# Package statistics -- Ranking by new installations 
#-------------------------------------------------------------------------------------------
# rank Package                            	     new     inst    votes      old   nofile
#-------------------------------------------------------------------------------------------
    1 bind9-host                         	     340     3994       61     3593        0
    2 bind9-dnsutils                     	     331     3356       64     2961        0
    3 python3                            	     320     4110       46     3743        1
    4 libreoffice-base-core              	     301     2794       20     2473        0
    5 python3-urllib3                    	     296     3925       34     3592        3
    6 libreoffice-math                   	     295     2745       38     2412        0
    7 python3-pkg-resources              	     295     3983       95     3593        0
    8 libreoffice-impress                	     292     2725       54     2379        0
    9 ucf                                	     292     4143      146     3705        0
   10 libreoffice-draw                   	     289     2737       59     2389        0
   11 locales                            	     289     4129       33     3807        0
   12 libreoffice-calc                   	     285     2764       86     2393        0
   13 libreoffice-writer                 	     284     2780       90     2406        0
   14 libreoffice-style-colibre          	     283     2768      107     2378        0
   15 ure                                	     280     2830      118     2432        0
   16 libreoffice-core                   	     277     2797      119     2401        0
   17 python3-uno                        	     277     2721       98     2346        0
   18 uno-libs-private                   	     277     2654      109     2268        0
   19 vim-common                         	     276     4082       37     3769        0
   20 libreoffice-common                 	     271     2808      122     2415        0
   21 vim-tiny                           	     271     3968       60     3637        0
   22 libgtk-3-bin                       	     270     3681       28     3383        0
   23 perl                               	     270     4138       40     3826        2
   24 python3-idna                       	     270     3805       27     3508        0
   25 bsdextrautils                      	     267     3649       66     3316        0
   26 fdisk                              	     265     3762       73     3424        0
   27 libuno-purpenvhelpergcc3-3         	     264     2469       29     2176        0
   28 eject                              	     262     3826       95     3469        0
   29 bootlogd                           	     260     3358       41     3057        0
   30 dictionaries-common                	     260     3994       63     3671        0
   31 gpg-wks-client                     	     260     3881       21     3600        0
   32 python3-certifi                    	     260     3779       34     3483        2
   33 console-setup                      	     258     4063       74     3731        0
   34 installation-report                	     258     3889       31     3600        0
   35 libpam-runtime                     	     258     4164       74     3832        0
   36 gnupg-utils                        	     256     3882       35     3591        0
   37 linux-image-6.1.0-31-amd64         	     256      357       14       86        1
   38 python3-requests                   	     256     3905       38     3609        2
   39 python3.11                         	     256     2814       33     2524        1
   40 dirmngr                            	     255     3965       52     3658        0
   41 base-passwd                        	     253     4164       31     3880        0
   42 iputils-ping                       	     253     4132      167     3711        1
   43 libuno-salhelpergcc3-3             	     253     2472      113     2106        0
   44 lsof                               	     253     4043       94     3696        0
   45 openssh-client                     	     253     4110      502     3355        0
   46 tasksel-data                       	     253     4070       26     3791        0
   47 wamerican                          	     253     3823       33     3537        0
   48 libuno-cppu3                       	     252     2471      113     2106        0
   49 libuno-sal3                        	     252     2478      115     2111        0
   50 ca-certificates                    	     251     4143       37     3855        0
   51 libuno-cppuhelpergcc3-3            	     251     2467      113     2103        0
   52 busybox                            	     250     4031      165     3616        0
   53 initramfs-tools-core               	     250     4052      159     3643        0
   54 python3-reportbug                  	     250     3712       20     3442        0
   55 reportbug                          	     250     3761       30     3481        0
   56 tasksel                            	     250     4070       37     3783        0
   57 xz-utils                           	     250     4136       94     3791        1
   58 bash-completion                    	     249     3906       33     3623        1
   59 bzip2                              	     249     4128       71     3807        1
   60 login                              	     249     4157      184     3724        0
   61 os-prober                          	     249     3920       67     3604        0
   62 emacsen-common                     	     248     4027       63     3716        0
   63 libgdk-pixbuf2.0-bin               	     248     3681       83     3349        1
   64 nano                               	     248     3979      105     3626        0
   65 python3-debian                     	     248     3805       27     3530        0
   66 grub-common                        	     247     4052      153     3652        0
   67 klibc-utils                        	     247     4123      156     3720        0
   68 python3-chardet                    	     247     3955       53     3655        0
   69 python3-debconf                    	     247     3594       18     3328        1
   70 grub2-common                       	     246     4038      150     3642        0
   71 nftables                           	     246     3383       76     3061        0
   72 pinentry-curses                    	     246     3777       36     3495        0
   73 discover-data                      	     245     3985       20     3719        1
   74 gpg-wks-server                     	     245     3811       32     3534        0
   75 liblockfile-bin                    	     245     3874       47     3582        0
   76 xserver-common                     	     245     3221      292     2684        0
   77 bsdutils                           	     244     4164      368     3552        0
   78 less                               	     244     4150      213     3693        0
   79 ncurses-bin                        	     244     4164      218     3702        0
   80 netcat-traditional                 	     244     3856       51     3561        0
   81 parted                             	     244     3536       38     3254        0
   82 pciutils                           	     244     4116      129     3743        0
   83 poppler-utils                      	     244     3251       40     2967        0
   84 aspell                             	     243     3483       40     3200        0
   85 ghostscript                        	     243     3479      106     3130        0
   86 traceroute                         	     243     3952       64     3645        0
   87 wget                               	     243     4096      140     3713        0
   88 cpio                               	     242     4144      198     3704        0
   89 gtk-update-icon-cache              	     242     3787      206     3339        0
   90 initramfs-tools                    	     242     4058      159     3497      160
   91 libcap2-bin                        	     242     4054      115     3697        0
   92 libpaper-utils                     	     242     3571      130     3199        0
   93 adduser                            	     241     4164      107     3816        0
   94 python3-debianbts                  	     241     3721       36     3444        0
   95 kbd                                	     240     4095      132     3723        0
   96 linux-base                         	     240     4129      174     3715        0
   97 passwd                             	     240     4164      136     3788        0
   98 python3-six                        	     240     3882       35     3604        3
   99 laptop-detect                      	     239     4016       53     3723        1
  100 net-tools                          	     239     4125      183     3703        0
  101 unzip                              	     239     3817       88     3489        1
  102 libpam-modules-bin                 	     238     4164      169     3757        0
  103 python3-httplib2                   	     238     3660       26     3396        0
  104 sensible-utils                     	     238     4156      138     3780        0
  105 xfonts-utils                       	     237     3521       39     3245        0
  106 discover                           	     236     3979       46     3697        0
  107 groff-base                         	     236     4117      135     3746        0
  108 libauthen-sasl-perl                	     235     3556       22     3299        0
  109 libmailtools-perl                  	     235     3565       31     3299        0
  110 poppler-data                       	     235     3610       90     3284        1
  111 xserver-xorg-legacy                	     235     3057      280     2542        0
  112 file                               	     234     4119      199     3686        0
  113 fontconfig                         	     234     3909      132     3543        0
  114 inetutils-telnet                   	     234     2826       35     2557        0
  115 libhttp-daemon-perl                	     234     3517       17     3266        0
  116 libtimedate-perl                   	     234     3746       37     3475        0
  117 dnsmasq-base                       	     233     2684       99     2352        0
  118 firefox-esr                        	     233     2856      250     2373        0
  119 firmware-linux-free                	     233     4000      141     3626        0
  120 gettext-base                       	     233     4136      223     3679        1
  121 iw                                 	     233     3246       50     2963        0
  122 libio-html-perl                    	     233     3682       21     3428        0
  123 liblwp-mediatypes-perl             	     233     3683       23     3427        0
  124 openssh-sftp-server                	     233     2872       84     2555        0
  125 shared-mime-info                   	     233     4072      154     3685        0
  126 libaspell15                        	     232     3524       95     3176       21
  127 libhtml-tagset-perl                	     232     3694       26     3436        0
  128 libnet-smtp-ssl-perl               	     232     3567       20     3315        0
  129 python3-pycurl                     	     232     3609       45     3332        0
  130 xml-core                           	     232     3499       54     3213        0
  131 xserver-xorg-video-nouveau         	     232     3088       30     2826        0
  132 libfont-afm-perl                   	     231     3511       15     3265        0
  133 libhtml-format-perl                	     231     3509       15     3263        0
  134 libmagic-mgc                       	     231     4066      218     3615        2
  135 libdata-dump-perl                  	     230     3312       15     3067        0
  136 libfile-listing-perl               	     230     3585       24     3331        0
  137 libhtml-form-perl                  	     230     3518       21     3267        0
  138 perl-openssl-defaults              	     230     3611       34     3347        0
  139 glib-networking-services           	     229     3430       15     3182        4
  140 insserv                            	     229     4151      212     3710        0
  141 libhtml-tree-perl                  	     229     3582       21     3332        0
  142 libhttp-cookies-perl               	     229     3585       23     3333        0
  143 libhttp-negotiate-perl             	     229     3582       22     3331        0
  144 python3-charset-normalizer         	     229     2925       39     2657        0
  145 hostname                           	     228     4164      330     3604        2
  146 libio-socket-ssl-perl              	     228     3638       61     3349        0
  147 libjxr-tools                       	     228     3131       24     2879        0
  148 libwww-perl                        	     228     3575       76     3271        0
  149 sgml-base                          	     228     3505       58     3219        0
  150 gdisk                              	     227     3247       34     2986        0
  151 libtie-ixhash-perl                 	     227     3217       12     2978        0
  152 gpgsm                              	     226     3899      168     3505        0
  153 isc-dhcp-client                    	     226     4017      276     3515        0
  154 libencode-locale-perl              	     226     3690       54     3410        0
  155 libhttp-date-perl                  	     226     3682       67     3389        0
  156 libhttp-message-perl               	     226     3681       64     3391        0
  157 libipc-system-simple-perl          	     226     3275       17     3032        0
  158 libnet-http-perl                   	     226     3581       52     3303        0
  159 liburi-perl                        	     226     3725       94     3405        0
  160 libwww-robotrules-perl             	     226     3585       21     3338        0
  161 libx11-protocol-perl               	     226     3212       11     2975        0
  162 libxml-twig-perl                   	     226     3216       18     2972        0
  163 libxml-xpathengine-perl            	     226     3201       11     2964        0
  164 dmsetup                            	     225     4135      258     3652        0
  165 libfile-desktopentry-perl          	     225     3228       16     2987        0
  166 xserver-xorg-video-qxl             	     225     2924        9     2690        0
  167 liblwp-protocol-https-perl         	     224     3580       40     3316        0
  168 ntfs-3g                            	     224     3190      153     2813        0
  169 alsa-topology-conf                 	     223     3208        9     2465      511
  170 lsb-release                        	     223     4066      330     3513        0
  171 python3-pysimplesoap               	     223     3542       83     3236        0
  172 zstd                               	     223     3007      148     2636        0
  173 ispell                             	     222     3407       34     3151        0
  174 libio-stringy-perl                 	     222     3268       16     3030        0
  175 libreoffice-gtk3                   	     222     2112       85     1805        0
  176 libtry-tiny-perl                   	     222     3501       70     3209        0
  177 sudo                               	     222     3843      285     3336        0
  178 wireless-regdb                     	     222     3190      104     2863        1
  179 xauth                              	     222     4025      272     3531        0
  180 apt-utils                          	     221     4151      310     3617        3
  181 usb.ids                            	     221     3140       19     2671      229
  182 cups-core-drivers                  	     220     2791       32     2539        0
  183 cups-ppdc                          	     220     2807       18     2569        0
  184 hunspell-en-us                     	     220     3027       22     2701       84
  185 libfile-basedir-perl               	     220     3260       38     3002        0
  186 libfile-mimeinfo-perl              	     220     3224       39     2965        0
  187 x11-apps                           	     220     3084       39     2825        0
  188 x11-session-utils                  	     220     3035       21     2794        0
  189 xserver-xorg-video-amdgpu          	     220     3094       62     2812        0
  190 python3-pyparsing                  	     219     2964       33     2712        0
  191 usb-modeswitch                     	     219     2869       31     2619        0
  192 xserver-xorg-video-intel           	     219     3081       51     2811        0
  193 cups-ipp-utils                     	     218     2726       41     2467        0
  194 mesa-vulkan-drivers                	     218     3039       80     2723       18
  195 python3-minimal                    	     218     4127      505     3404        0
  196 gpgv                               	     217     4164      352     3595        0
  197 libwacom-common                    	     217     3270      125     2928        0
  198 whiptail                           	     217     4119      261     3641        0
  199 bc                                 	     216     3266       58     2992        0
  200 ppp                                	     216     2721       32     2473        0
  201 ssl-cert                           	     216     3416       28     3172        0
  202 update-inetd                       	     216     3130       26     2888        0
  203 cups-filters                       	     215     2802       74     2513        0
  204 cups-filters-core-drivers          	     215     2803       83     2505        0
  205 pinentry-gnome3                    	     215     2876       33     2628        0
  206 pkexec                             	     215     2787      115     2457        0
  207 xserver-xorg-video-radeon          	     215     3107       71     2821        0
  208 acl                                	     214     3159       56     2889        0
  209 exfatprogs                         	     214     2388       32     2142        0
  210 python3-brlapi                     	     214     2478       17     2246        1
  211 cups                               	     213     2785       73     2499        0
  212 cups-client                        	     213     2962       58     2691        0
  213 mailcap                            	     213     3540      236     3091        0
  214 python3-speechd                    	     213     2558       15     2330        0
  215 startpar                           	     213     4136      389     3529        5
  216 xcvt                               	     213     2383       20     2150        0
  217 debconf                            	     212     4164      324     3628        0
  218 xinit                              	     212     3121       66     2843        0
  219 xserver-xorg-video-ati             	     212     3100      108     2780        0
  220 xserver-xorg-video-vmware          	     212     3091       45     2834        0
  221 dmidecode                          	     211     4111      311     3589        0
  222 libperl5.36                        	     211     2795      117     2466        1
  223 mawk                               	     210     4142      336     3596        0
  224 mount                              	     210     4141      461     3470        0
  225 openssl                            	     210     4145      627     3308        0
  226 gir1.2-packagekitglib-1.0          	     209     2710       19     2482        0
  227 gpg                                	     209     3902      438     3255        0
  228 liblouisutdml-bin                  	     209     2731       15     2507        0
  229 libtext-wrapi18n-perl              	     208     4134      320     3606        0
  230 python3-apt                        	     208     3905      333     3364        0
  231 python3-xdg                        	     208     2855       56     2590        1
  232 xdg-user-dirs                      	     208     4059      302     3548        1
  233 lp-solve                           	     207     2841       20     2614        0
  234 orca                               	     207     2398       17     2174        0
  235 python3-louis                      	     206     2482       36     2239        1
  236 dosfstools                         	     205     3270      172     2893        0
  237 perl-tk                            	     205     2412       14     2193        0
  238 python3-smbc                       	     205     2526       50     2270        1
  239 util-linux-extra                   	     205     3035      257     2573        0
  240 xserver-xorg-input-wacom           	     205     3082      127     2750        0
  241 dbus                               	     204     4010      462     3342        2
  242 p7zip                              	     204     2917       20     2632       61
  243 x11-utils                          	     204     3438      168     3066        0
  244 xkbset                             	     204     2344       16     2124        0
  245 apt-listchanges                    	     203     3781      283     3295        0
  246 aspell-en                          	     203     3031       70     2758        0
  247 gpg-agent                          	     203     3889      379     3307        0
  248 libregexp-ipv6-perl                	     203     2694       28     2463        0
  249 initscripts                        	     202     4146      442     3502        0
  250 kmod                               	     202     4133      486     3444        1
  251 xbrlapi                            	     202     2476       52     2222        0
  252 apparmor                           	     201     3729      398     3129        1
  253 gpgconf                            	     201     3902      438     3263        0
  254 ipp-usb                            	     201     2662      111     2350        0
  255 logsave                            	     201     3664      393     3070        0
  256 tar                                	     201     4164      640     3323        0
  257 xserver-xorg-core                  	     201     3198      439     2558        0
  258 gtk3-nocsd                         	     200     2222       19     2003        0
  259 xdg-utils                          	     200     3307      193     2914        0
  260 xterm                              	     200     2914       74     2640        0
  261 cpp                                	     199     3731      318     3214        0
  262 dpkg                               	     199     4164      684     3281        0
  263 libinput-bin                       	     199     3268      248     2821        0
  264 libopenni2-0                       	     199     2770      107     2463        1
  265 lynx                               	     199     2602       42     2352        9
  266 python3-pyatspi                    	     199     2349        8     2141        1
  267 sysvinit-utils                     	     199     4164      589     3376        0
  268 console-setup-linux                	     198     4065      418     3389       60
  269 gcr                                	     198     2896      150     2548        0
  270 ifupdown                           	     198     4128      455     3475        0
  271 init-system-helpers                	     198     4164      617     3349        0
  272 libmbim-utils                      	     198     2144       17     1929        0
  273 linux-libc-dev                     	     198     2621       62     2361        0
  274 usb-modeswitch-data                	     198     2871      111     2562        0
  275 libqmi-utils                       	     197     2142       18     1927        0
  276 python3-cupshelpers                	     197     2604       54     2352        1
  277 sane-airscan                       	     197     2196       16     1983        0
  278 perl-modules-5.36                  	     196     2797      156     2444        1
  279 p11-kit                            	     195     2785      121     2469        0
  280 p7zip-full                         	     195     2933       58     2591       89
  281 procps                             	     195     4151      565     3391        0
  282 e2fsprogs                          	     194     4145      598     3353        0
  283 iproute2                           	     194     4147      527     3426        0
  284 dbus-x11                           	     192     3833      470     3171        0
  285 diffutils                          	     192     4164      649     3323        0
  286 speech-dispatcher                  	     192     2508      147     2169        0
  287 enchant-2                          	     191     2582       19     2372        0
  288 gzip                               	     191     4164      676     3297        0
  289 libpython3.11-minimal              	     191     2832      354     2287        0
  290 system-config-printer-common       	     191     2594       92     2311        0
  291 grep                               	     190     4164      670     3304        0
  292 dbus-bin                           	     189     2985      332     2462        2
  293 sed                                	     189     4164      651     3323        1
  294 xdg-dbus-proxy                     	     189     2757       33     2535        0
  295 apt                                	     188     4163      676     3296        3
  296 libextutils-depends-perl           	     188     2430        7     2235        0
  297 alsa-utils                         	     187     3295      341     2767        0
  298 wpasupplicant                      	     187     3289      384     2718        0
  299 dconf-service                      	     186     3761      311     2997      267
  300 findutils                          	     186     4164      673     3305        0
  301 libtasn1-6                         	     186     4163      627     2669      681
  302 synaptic                           	     186     2473       66     2221        0
  303 libglib-object-introspection-perl  	     184     2414       22     2208        0
  304 logrotate                          	     184     4149      644     3321        0
  305 ncurses-base                       	     183     4164      503     3174      304
  306 pulseaudio-utils                   	     183     2910      257     2470        0
  307 system-config-printer-udev         	     183     2590      118     2288        1
  308 bash                               	     182     4160      697     3281        0
  309 libc6                              	     182     4164      812     3158       12
  310 man-db                             	     182     4037      636     3219        0
  311 libjpeg-turbo-progs                	     181     2604       19     2404        0
  312 popularity-contest                 	     181     4158      688     3289        0
  313 xserver-xorg-video-vesa            	     181     3128      316     2631        0
  314 at-spi2-core                       	     180     3675      434     3039       22
  315 python3-gi                         	     180     3401      379     2840        2
  316 x11-xserver-utils                  	     180     3336      339     2817        0
  317 bubblewrap                         	     179     2955      296     2480        0
  318 coreutils                          	     179     4164      724     3261        0
  319 linux-image-6.1.0-10-amd64         	     179     1265       10     1075        1
  320 util-linux                         	     179     4160      794     3187        0
  321 libgtk3-perl                       	     178     2413       45     2190        0
  322 gvfs-common                        	     177     2768      175     2415        1
  323 sysv-rc                            	     177     3618      337     2938      166
  324 x11-xkb-utils                      	     177     3243      355     2711        0
  325 polkitd-pkla                       	     176     2477      236     2065        0
  326 xserver-xorg-video-fbdev           	     176     3132      322     2634        0
  327 libpython3.11-stdlib               	     174     2828      401     2253        0
  328 python3.11-minimal                 	     174     2822      399     2249        0
  329 python3-cairo                      	     173     3048      336     2537        2
  330 debianutils                        	     172     4128      750     3206        0
  331 eudev                              	     172     4067      720     3175        0
  332 libgphoto2-6                       	     171     2931      255     2505        0
  333 perl-base                          	     171     4164      829     3164        0
  334 desktop-file-utils                 	     170     2845      200     2475        0
  335 python3-dbus                       	     170     3097      324     2602        1
  336 dash                               	     169     4164      825     3169        1
  337 libc-bin                           	     169     4066      739     3158        0
  338 psmisc                             	     169     3551      180     3202        0
  339 libblkid1                          	     168     4164      692     2751      553
  340 rsync                              	     168     2850      145     2537        0
  341 libgnutls30                        	     167     3809      577     2449      616
  342 anacron                            	     166     3046      455     2425        0
  343 cpp-12                             	     166     2672      232     2274        0
  344 python3-cups                       	     165     2605      279     2160        1
  345 udisks2                            	     165     3099      420     2514        0
  346 fuse3                              	     164     2881      387     2330        0
  347 libgssapi-krb5-2                   	     164     4145      700     2770      511
  348 libk5crypto3                       	     164     4146      700     2770      512
  349 libkrb5-3                          	     164     4146      700     2770      512
  350 libkrb5support0                    	     164     4149      700     2771      514
  351 cron                               	     163     4150      749     3238        0
  352 libpcre2-8-0                       	     163     4011      710     2805      333
  353 libuuid1                           	     163     4164      695     2752      554
  354 rsyslog                            	     163     4041      744     3134        0
  355 xdg-desktop-portal                 	     163     2746      331     2250        2
  356 xdg-desktop-portal-gtk             	     163     2728      324     2239        2
  357 elogind                            	     162     3674      629     2883        0
  358 libzstd1                           	     162     3954      682     2730      380
  359 polkitd                            	     162     2842      435     2245        0
  360 libkmod2                           	     161     4151      703     2776      511
  361 xsane                              	     161     1855       23     1671        0
  362 gnome-keyring                      	     160     2722      362     2200        0
  363 libapparmor1                       	     159     4054      683     2727      485
  364 libselinux1                        	     159     4164      679     2712      614
  365 colord                             	     158     2816      371     2287        0
  366 dbus-daemon                        	     158     2985      551     2276        0
  367 libdbus-1-3                        	     158     4118      651     2646      663
  368 rtkit                              	     158     2876      408     2310        0
  369 avahi-daemon                       	     157     3044      481     2406        0
  370 libcrypt1                          	     157     3695      683     2714      141
  371 libglib2.0-0                       	     157     3819      541     2353      768
  372 libaudit1                          	     156     4164      677     2698      633
  373 libcap-ng0                         	     156     4160      679     2697      628
  374 libexpat1                          	     156     4153      659     2630      708
  375 libidn2-0                          	     156     4106      680     2682      588
  376 libpam0g                           	     156     4148      670     2685      637
  377 xserver-xorg-input-libinput        	     156     3151      482     2513        0
  378 zlib1g                             	     156     4164      683     2705      620
  379 libestr0                           	     155     4087      682     2720      530
  380 libfastjson4                       	     155     4024      682     2720      467
  381 liblzma5                           	     155     4164      682     2704      623
  382 libmount1                          	     155     4164      579     2469      961
  383 upower                             	     155     2952      425     2372        0
  384 avahi-utils                        	     154     1812       15     1643        0
  385 libacl1                            	     154     4164      657     2670      683
  386 libcom-err2                        	     154     3919      666     2669      430
  387 libgmp10                           	     154     4164      682     2677      651
  388 libp11-kit0                        	     153     4164      682     2678      651
  389 libstdc++6                         	     153     4162      687     2726      596
  390 python3-mutagen                    	     152     2090       25     1913        0
  391 cups-browsed                       	     151     2685      405     2129        0
  392 libgcc-s1                          	     151     3694      668     2674      201
  393 libpolkit-gobject-elogind-1-0      	     151     3270      465     2112      542
  394 cups-daemon                        	     150     2802      454     2198        0
  395 libavahi-common3                   	     150     3972      535     2325      962
  396 libkeyutils1                       	     150     4157      678     2683      646
  397 libxfce4util-bin                   	     150     2078       12     1916        0
  398 sysvinit-core                      	     150     3872      759     2963        0
  399 libavahi-core7                     	     149     3065      457     2131      328
  400 libcap2                            	     149     4153      680     2692      632
  401 libelogind0                        	     149     3823      622     2592      460
  402 libavahi-client3                   	     148     3968      520     2278     1022
  403 libunistring2                      	     148     3836      621     2477      590
  404 sane-utils                         	     148     2925      439     2338        0
  405 libpam-elogind                     	     147     3665      581     2514      423
  406 libpam-modules                     	     147     4164      671     2666      680
  407 libsasl2-2                         	     147     4151      624     2487      893
  408 libxml2                            	     147     4144      611     2537      849
  409 modemmanager                       	     147     2687      386     2154        0
  410 libgudev-1.0-0                     	     146     3422      491     2280      505
  411 libhogweed6                        	     146     3396      617     2468      165
  412 libnettle8                         	     146     3396      618     2470      162
  413 libsane1                           	     146     2786      438     2202        0
  414 libtinfo6                          	     146     3945      581     2443      775
  415 python3-pyinotify                  	     146     2012       53     1813        0
  416 iamerican                          	     145     2208       25     2038        0
  417 ienglish-common                    	     145     2236       15     1779      297
  418 libasound2                         	     145     3466      462     2120      739
  419 libbrotli1                         	     145     3874      593     2494      642
  420 libdaemon0                         	     145     3192      463     2146      438
  421 libmd0                             	     145     3683      640     2551      347
  422 libnotify-bin                      	     145     2067       31     1891        0
  423 libssl3                            	     145     2765      506     2041       73
  424 ibritish                           	     144     2200       25     2031        0
  425 libbz2-1.0                         	     144     4164      598     2498      924
  426 libglib2.0-bin                     	     144     2427      184     2099        0
  427 libffi8                            	     143     3078      571     2274       90
  428 system-config-printer              	     143     2338      194     2001        0
  429 libbluetooth3                      	     142     3042      442     2064      394
  430 libcups2                           	     142     3672      422     1981     1127
  431 libinput10                         	     142     3268      464     2200      462
  432 libjpeg62-turbo                    	     142     4043      561     2416      924
  433 libdrm2                            	     141     3780      520     2302      817
  434 libduktape207                      	     141     2868      436     1936      355
  435 libelf1                            	     141     3844      465     2119     1119
  436 libfreetype6                       	     141     4135      537     2324     1133
  437 libgcrypt20                        	     141     4163      611     2495      916
  438 libgl1-mesa-dri                    	     141     3676      447     2099      989
  439 libicu72                           	     141     3048      545     2209      153
  440 libxfont2                          	     141     3221      478     2211      391
  441 pavucontrol                        	     141     2026       54     1831        0
  442 pulseaudio                         	     141     2743      381     2221        0
  443 libavahi-glib1                     	     140     3085      412     1989      544
  444 libbsd0                            	     140     4156      639     2533      844
  445 libevdev2                          	     140     3301      476     2207      478
  446 libglvnd0                          	     140     3565      487     2229      709
  447 libglx0                            	     140     3550      487     2224      699
  448 libunwind8                         	     140     3425      516     2291      478
  449 libxshmfence1                      	     140     3699      482     2224      853
  450 libfontconfig1                     	     139     4035      536     2345     1015
  451 libnghttp2-14                      	     139     4083      525     2210     1209
  452 libpciaccess0                      	     139     3709      504     2265      801
  453 libusb-1.0-0                       	     139     4003      484     2196     1184
  454 libxau6                            	     139     4115      550     2379     1047
  455 libxcb-dri3-0                      	     139     3699      481     2210      869
  456 libxcb1                            	     139     4113      550     2373     1051
  457 libxdmcp6                          	     139     4116      551     2381     1045
  458 libxrender1                        	     139     3971      501     2268     1063
  459 usbutils                           	     139     2691       47     2505        0
  460 grub-pc-bin                        	     138     2639       19     2482        0
  461 libeudev1                          	     138     4103      540     2316     1109
  462 libfontenc1                        	     138     3652      481     2215      818
  463 libgl1                             	     138     3550      439     2059      914
  464 libglapi-mesa                      	     138     3685      461     2179      907
  465 libpixman-1-0                      	     138     3965      525     2302     1000
  466 librtmp1                           	     138     4137      531     2223     1245
  467 libx11-6                           	     138     4104      545     2359     1062
  468 libxext6                           	     138     4087      521     2306     1122
  469 network-manager                    	     138     2428      387     1903        0
  470 grub-pc                            	     137     2528       19     2372        0
  471 libcupsfilters1                    	     137     2779      363     1799      480
  472 libdeflate0                        	     137     3605      489     2162      817
  473 libjbig0                           	     137     4034      490     2167     1240
  474 libpng16-16                        	     137     3794      519     2258      880
  475 libsensors5                        	     137     3729      459     2095     1038
  476 libwayland-client0                 	     137     3828      471     2181     1039
  477 libz3-4                            	     137     3391      448     2048      758
  478 atril                              	     136     1989      105     1748        0
  479 libdrm-amdgpu1                     	     136     3650      435     2022     1057
  480 libdrm-radeon1                     	     136     3688      450     2116      986
  481 libepoxy0                          	     136     3791      491     2206      958
  482 libx11-xcb1                        	     136     3721      475     2201      909
  483 gvfs-daemons                       	     135     2746      389     2222        0
  484 libexif12                          	     135     3475      427     2035      878
  485 libgpg-error0                      	     135     4163      589     2412     1027
  486 libice6                            	     135     3723      458     2117     1013
  487 libmtdev1                          	     135     3045      426     2051      433
  488 libnss-mdns                        	     135     3091      454     2072      430
  489 libpaper1                          	     135     3526      403     1982     1006
  490 libsm6                             	     135     3722      459     2117     1011
  491 libssh2-1                          	     135     3856      473     2052     1196
  492 libxcursor1                        	     135     3887      463     2134     1155
  493 gir1.2-keybinder-3.0               	     134     1827       69     1624        0
  494 libdrm-nouveau2                    	     134     3667      404     1956     1173
  495 libmm-glib0                        	     134     2821      387     1851      449
  496 libwrap0                           	     134     4108      672     2594      708
  497 libxcb-sync1                       	     134     3699      462     2121      982
  498 libxfixes3                         	     134     3923      469     2152     1168
  499 libcurl3-gnutls                    	     133     3794      386     1808     1467
  500 libedit2                           	     133     4149      523     2196     1297
  501 libmbim-glib4                      	     133     2738      367     1787      451
  502 libndp0                            	     133     2464      370     1785      176
  503 libqmi-glib5                       	     133     2725      367     1789      436
  504 libteamdctl0                       	     133     2473      378     1824      138
  505 libtiff6                           	     133     2980      393     1795      659
  506 libudisks2-0                       	     133     3109      384     1860      732
  507 libxcb-present0                    	     133     3702      462     2114      993
  508 libxcb-xfixes0                     	     133     3664      464     2125      942
  509 python3-distro                     	     133     2281       15     2132        1
  510 thunar-data                        	     133     1837       87     1574       43
  511 libharfbuzz0b                      	     132     3953      478     2165     1178
  512 libjson-c5                         	     132     3639      456     1987     1064
  513 liblcms2-2                         	     132     3948      483     2104     1229
  514 libnsl2                            	     132     3666      673     2604      257
  515 libnspr4                           	     132     3697      447     2006     1112
  516 libpsl5                            	     132     3805      485     2079     1109
  517 libvolume-key1                     	     132     2953      379     1827      615
  518 libxcb-shm0                        	     132     3966      479     2164     1191
  519 libxkbcommon0                      	     132     3800      459     2100     1109
  520 python3-feedparser                 	     132     1660       11     1517        0
  521 usbmuxd                            	     132     2422      111     2179        0
  522 libatasmart4                       	     131     3127      391     1864      741
  523 libgraphite2-3                     	     131     3966      481     2181     1173
  524 libjansson4                        	     131     3922      475     2079     1237
  525 libldap-2.5-0                      	     131     3007      473     2020      383
  526 libnss3                            	     131     3683      423     1924     1205
  527 libpam-gnome-keyring               	     131     2729      418     1925      255
  528 libupower-glib3                    	     131     2940      386     1820      603
  529 libwacom9                          	     131     2565      394     1850      190
  530 libxcb-render0                     	     131     3960      478     2160     1191
  531 libxcvt0                           	     131     2531      402     1862      136
  532 quodlibet                          	     131     1517       22     1364        0
  533 libdrm-intel1                      	     130     3671      404     1910     1227
  534 libwayland-cursor0                 	     130     3795      450     2083     1132
  535 mousepad                           	     130     1794       50     1614        0
  536 python3-musicbrainzngs             	     130     1531       10     1391        0
  537 ristretto                          	     130     1683       31     1522        0
  538 xarchiver                          	     130     1861       42     1689        0
  539 xfce4-whiskermenu-plugin           	     130     1655       29     1496        0
  540 exfalso                            	     129     1538       43     1366        0
  541 libogg0                            	     129     3633      441     2027     1036
  542 libvorbis0a                        	     129     3632      441     2030     1032
  543 libwebp7                           	     129     2986      432     1929      496
  544 libxcb-dri2-0                      	     129     3694      429     2085     1051
  545 libxxf86vm1                        	     129     3696      418     1951     1198
  546 xfburn                             	     129     1682       14     1539        0
  547 xfce4-dict                         	     129     1654       13     1512        0
  548 xfce4-places-plugin                	     129     1656       16     1511        0
  549 xfce4-taskmanager                  	     129     1668       21     1518        0
  550 libblockdev-loop2                  	     128     2808      353     1730      597
  551 libblockdev-part-err2              	     128     2807      353     1730      596
  552 libblockdev-part2                  	     128     2804      352     1730      594
  553 libblockdev-swap2                  	     128     2808      353     1730      597
  554 liblerc4                           	     128     2992      415     1816      633
  555 libsqlite3-0                       	     128     4150      509     2099     1414
  556 libtdb1                            	     128     3395      456     2021      790
  557 libxcb-randr0                      	     128     3555      429     1948     1050
  558 libxfce4ui-utils                   	     128     1736       18     1590        0
  559 libxi6                             	     128     3921      455     2100     1238
  560 netpbm                             	     128     2372       28     2216        0
  561 patch                              	     128     2918       46     2744        0
  562 xfce4-clipman                      	     128     1667       25     1514        0
  563 xfconf                             	     128     2112      148     1836        0
  564 dconf-gsettings-backend            	     127     3761      441     2062     1131
  565 libassuan0                         	     127     3955      381     1830     1617
  566 libblockdev-crypto2                	     127     2748      348     1699      574
  567 libblockdev-fs2                    	     127     2790      353     1723      587
  568 libblockdev-utils2                 	     127     2810      354     1732      597
  569 libblockdev2                       	     127     2808      354     1730      597
  570 libdevmapper1.02.1                 	     127     4134      432     1936     1639
  571 libmtp-common                      	     127     2405      108     2170        0
  572 libmtp-runtime                     	     127     2338      173     2038        0
  573 libtirpc3                          	     127     3486      604     2382      373
  574 libxcb-util1                       	     127     3056      405     1942      582
  575 libxrandr2                         	     127     3902      478     2164     1133
  576 libxtst6                           	     127     3840      436     2043     1234
  577 parole                             	     127     1502       25     1350        0
  578 runit-helper                       	     127     2836      152     2556        1
  579 shim-unsigned                      	     127     1503        6     1370        0
  580 tumbler                            	     127     1827       15     1304      381
  581 libargon2-1                        	     126     3385      418     1872      969
  582 libcairo-gobject2                  	     126     3831      466     2114     1125
  583 libcairo2                          	     126     3949      475     2145     1203
  584 libcryptsetup12                    	     126     3204      372     1789      917
  585 libdatrie1                         	     126     3948      479     2148     1195
  586 libfribidi0                        	     126     4008      481     2161     1240
  587 libgdk-pixbuf-2.0-0                	     126     3510      456     2094      834
  588 libglx-mesa0                       	     126     3543      384     1797     1236
  589 libgpgme11                         	     126     3433      363     1739     1205
  590 libpango-1.0-0                     	     126     3926      473     2139     1188
  591 libpangocairo-1.0-0                	     126     3926      473     2137     1190
  592 libpangoft2-1.0-0                  	     126     3926      473     2139     1188
  593 libthai0                           	     126     3944      479     2148     1191
  594 shim-signed                        	     126     1498        7     1365        0
  595 thunar-volman                      	     126     1800       91     1583        0
  596 xfce4-screenshooter                	     126     1681       41     1514        0
  597 curl                               	     125     2583      117     2341        0
  598 libllvm15                          	     125     2582      346     1657      454
  599 libopus0                           	     125     3636      454     2031     1026
  600 libpulse0                          	     125     3578      422     1977     1054
  601 libqrtr-glib0                      	     125     2217      314     1511      267
  602 libsndfile1                        	     125     3595      425     1975     1070
  603 libxcb-glx0                        	     125     3693      423     1915     1230
  604 shim-helpers-amd64-signed          	     125     1497        6     1366        0
  605 shim-signed-common                 	     125     1503       26     1352        0
  606 xfce4-appfinder                    	     125     1751       39     1587        0
  607 xfce4-sensors-plugin               	     125     1665       26     1514        0
  608 exo-utils                          	     124     1914      130     1660        0
  609 libasyncns0                        	     124     3595      426     1978     1067
  610 libc-dev-bin                       	     124     2619       28     2467        0
  611 libltdl7                           	     124     3821      449     1992     1256
  612 librsvg2-2                         	     123     3863      432     2024     1284
  613 libvorbisenc2                      	     123     3625      440     2006     1056
  614 libxinerama1                       	     123     3901      455     2062     1261
  615 lm-sensors                         	     123     2487      268     2096        0
  616 python3-sgmllib3k                  	     123     1357        9     1225        0
  617 grub-efi-amd64-bin                 	     122     1582       10     1450        0
  618 imagemagick-6.q16                  	     122     2262       57     2069       14
  619 libgbm1                            	     122     3530      458     2029      921
  620 libmp3lame0                        	     122     3534      433     1984      995
  621 libnotify4                         	     122     3241      374     1808      937
  622 libseat1                           	     122     2523      402     1837      162
  623 libwayland-egl1                    	     122     3687      449     2051     1065
  624 libxcomposite1                     	     122     3894      450     2049     1273
  625 libxdamage1                        	     122     3893      450     2046     1275
  626 python3-gi-cairo                   	     122     2483      320     2039        2
  627 libparted-fs-resize0               	     121     2858      340     1683      714
  628 libparted2                         	     121     3318      340     1683     1174
  629 libwayland-server0                 	     121     3537      458     2026      932
  630 libwebpmux3                        	     121     3547      411     1878     1137
  631 mokutil                            	     121     1529       29     1379        0
  632 python3-cryptography               	     121     1919       73     1724        1
  633 xfwm4                              	     121     1939      203     1615        0
  634 libpolkit-agent-1-0                	     120     3823      331     1654     1718
  635 xscreensaver                       	     120     1980      227     1633        0
  636 efibootmgr                         	     119     1602       13     1470        0
  637 fonts-dejavu-core                  	     119     3995      422     1969     1485
  638 libgtk-3-common                    	     119     3740      413     1880     1328
  639 wireless-tools                     	     119     2559      294     2146        0
  640 liborc-0.4-0                       	     118     3072      360     1708      886
  641 xfce4-terminal                     	     118     1774      132     1524        0
  642 libatspi2.0-0                      	     116     3500      397     1870     1117
  643 libxkbfile1                        	     116     3419      355     1702     1246
  644 network-manager-gnome              	     116     1956      242     1553       45
  645 thunar                             	     116     1816      200     1500        0
  646 python3-cffi-backend               	     115     2010       84     1809        2
  647 libgomp1                           	     114     3911      437     1959     1401
  648 xfce4-settings                     	     114     1798      197     1487        0
  649 libatk-bridge2.0-0                 	     113     3497      396     1852     1136
  650 libnm0                             	     113     2685      311     1576      685
  651 bluez                              	     112     2216      349     1755        0
  652 libatk1.0-0                        	     112     3583      399     1859     1213
  653 libflac12                          	     112     2514      325     1531      546
  654 libpulse-mainloop-glib0            	     112     3070      305     1548     1105
  655 libaom3                            	     111     2928      371     1629      817
  656 libgtk-3-0                         	     111     3477      384     1790     1192
  657 libmpg123-0                        	     111     3253      334     1568     1240
  658 xfce4-panel                        	     111     1765      194     1460        0
  659 xfce4-session                      	     111     1769      193     1465        0
  660 libdpkg-perl                       	     110     2768       42     2615        1
  661 liberror-perl                      	     110     2233       35     2088        0
  662 libopenjp2-7                       	     110     3700      389     1863     1338
  663 xfdesktop4                         	     110     1770      192     1468        0
  664 libegl1                            	     109     3321      381     1749     1082
  665 librav1e0                          	     109     2682      335     1516      722
  666 xfce4-power-manager                	     109     1705      200     1396        0
  667 zip                                	     109     2155       44     2002        0
  668 git                                	     108     2174      260     1806        0
  669 libdav1d6                          	     108     2738      333     1515      782
  670 libnuma1                           	     108     3893      501     2104     1180
  671 librsvg2-common                    	     108     3850      383     1812     1547
  672 libsoxr0                           	     108     3379      403     1867     1001
  673 libspeexdsp1                       	     108     3130      360     1723      939
  674 libsvtav1enc1                      	     108     2637      334     1522      673
  675 packagekit-tools                   	     108     1470       11     1351        0
  676 gvfs                               	     107     2745      356     1728      554
  677 gvfs-libs                          	     107     2759      357     1733      562
  678 libsecret-1-0                      	     107     3489      367     1756     1259
  679 graphviz                           	     106     1710       19     1585        0
  680 libdw1                             	     106     3071      351     1632      982
  681 libgvc6                            	     106     1730       18     1606        0
  682 xfce4-notifyd                      	     106     1774      190     1478        0
  683 libbluray2                         	     104     3264      348     1645     1167
  684 libudfread0                        	     104     3101      349     1641     1007
  685 libc-devtools                      	     103     2000       17     1880        0
  686 libgck-1-0                         	     103     3034      354     1696      881
  687 libgcr-base-3-1                    	     103     3034      354     1696      881
  688 libgusb2                           	     103     2933      343     1519      968
  689 libstartup-notification0           	     103     3110      335     1632     1040
  690 g++                                	     101     2347       57     2189        0
  691 libasound2-plugins                 	     101     3025      347     1677      900
  692 libegl-mesa0                       	     101     3312      403     1833      975
  693 libsnappy1v5                       	     101     3503      430     1930     1042
  694 libxvidcore4                       	     101     3401      390     1809     1101
  695 ocl-icd-libopencl1                 	     101     3184      387     1790      906
  696 xiccd                              	     101     1563      198     1264        0
  697 libgsm1                            	     100     3554      394     1811     1249
  698 libshine3                          	     100     3389      392     1807     1090
  699 libspeex1                          	     100     3556      396     1812     1248
  700 libtwolame0                        	     100     3394      391     1805     1098
  701 libva-drm2                         	     100     3284      399     1838      947
  702 libva-x11-2                        	     100     3286      387     1807      992
  703 libva2                             	     100     3292      399     1838      955
  704 libvdpau1                          	     100     3395      392     1808     1095
  705 iio-sensor-proxy                   	      99     1350       68     1183        0
  706 gir1.2-gdkpixbuf-2.0               	      98     3178      285     1363     1432
  707 gir1.2-glib-2.0                    	      98     3465      296     1419     1652
  708 libcolord2                         	      98     3777      342     1504     1833
  709 libtheora0                         	      98     3407      365     1782     1162
  710 libzvbi0                           	      98     3140      348     1674     1020
  711 gimp                               	      97     1700       59     1544        0
  712 libgirepository-1.0-1              	      97     3468      303     1433     1635
  713 libjxl0.7                          	      97     2598      304     1414      783
  714 libvpx7                            	      97     2502      306     1424      675
  715 dpkg-dev                           	      96     2288       39     2153        0
  716 libhwy1                            	      96     2506      299     1400      711
  717 libx265-199                        	      96     2781      333     1511      841
  718 libcrypt-dev                       	      95     2361       24     2242        0
  719 libgts-bin                         	      94     1621       15     1512        0
  720 libx264-164                        	      94     2649      333     1526      696
  721 media-player-info                  	      94     1466       71     1301        0
  722 libspa-0.2-modules                 	      93     2853      340     1579      841
  723 binutils                           	      92     2834      156     2586        0
  724 libgtk3-nocsd0                     	      92     2231      285     1319      535
  725 powertop                           	      92     1591        6     1493        0
  726 python3-blinker                    	      92     1009       10      907        0
  727 python3-jwt                        	      92      982        6      884        0
  728 python3-oauthlib                   	      92      955        7      856        0
  729 python3-software-properties        	      92     1055       12      951        0
  730 yelp                               	      92     1663       23     1548        0
  731 gcc                                	      91     2544      126     2327        0
  732 libavcodec59                       	      91     2347      265     1277      714
  733 libavutil57                        	      91     2433      278     1326      738
  734 libcodec2-1.0                      	      91     2472      292     1384      705
  735 libdbusmenu-glib4                  	      91     2689      292     1423      883
  736 libswresample4                     	      91     2543      288     1369      795
  737 libxklavier16                      	      90     2612      293     1378      851
  738 slim                               	      90     1563      213     1260        0
  739 w3m                                	      90     1844       26     1728        0
  740 binutils-x86-64-linux-gnu          	      89     2551      144     2318        0
  741 libalgorithm-merge-perl            	      89     2150       13     2048        0
  742 zenity                             	      89     1503       30     1384        0
  743 exim4-base                         	      88     1799       63     1648        0
  744 fakeroot                           	      88     2231       30     2113        0
  745 gir1.2-notify-0.7                  	      88     2747      254     1196     1209
  746 libayatana-ido3-0.4-0              	      88     2584      291     1364      841
  747 libayatana-indicator3-7            	      88     2586      291     1365      842
  748 libjson-glib-1.0-0                 	      88     3464      354     1598     1424
  749 libmfx1                            	      88     2835      325     1567      855
  750 libpipewire-0.3-0                  	      88     2631      319     1484      740
  751 avahi-autoipd                      	      87     1464       61     1316        0
  752 libdbusmenu-gtk3-4                 	      87     2618      283     1379      869
  753 libalgorithm-diff-perl             	      86     2159       14     2059        0
  754 libproxy1v5                        	      86     3435      335     1550     1464
  755 libxml2-utils                      	      86     1298       16     1196        0
  756 python3-lazr.uri                   	      86      852        4      762        0
  757 python3-pyxattr                    	      86     1229       19     1123        1
  758 fonts-noto-mono                    	      85     3455      278     1278     1814
  759 glib-networking                    	      85     3428      333     1536     1474
  760 libayatana-appindicator3-1         	      85     2419      262     1286      786
  761 libfuse3-3                         	      85     2980      349     1470     1076
  762 libnl-route-3-200                  	      85     3546      307     1443     1711
  763 libproxy-tools                     	      85     1619       22     1512        0
  764 rpcsvc-proto                       	      85     1973       23     1865        0
  765 software-properties-common         	      85     1050       31      934        0
  766 jq                                 	      83     1173       37     1053        0
  767 libnma0                            	      83     2036      243     1209      501
  768 libxres1                           	      83     2939      245     1264     1347
  769 python3-pyqt5.sip                  	      83     1241       46     1111        1
  770 python3-wadllib                    	      83      852        4      765        0
  771 tpm-udev                           	      83     1109       58      968        0
  772 geoclue-2.0                        	      82     1492      176     1233        1
  773 libpcsclite1                       	      82     3595      307     1420     1786
  774 libxpresent1                       	      82     2418      229     1198      909
  775 python3-lazr.restfulclient         	      82      850        4      764        0
  776 python3-ldb                        	      82     1284       15     1187        0
  777 libc6-dev                          	      81     2616      252     2283        0
  778 libnl-3-200                        	      81     3811      357     1543     1830
  779 libtirpc-dev                       	      81     2178       18     2078        1
  780 make                               	      81     2579      113     2385        0
  781 python3-distro-info                	      81      950       14      855        0
  782 python3-pyqt5                      	      81     1298       47     1169        1
  783 systemsettings                     	      81     1020       48      891        0
  784 libkf5dbusaddons-bin               	      80     1161       11     1070        0
  785 libkf5iconthemes-bin               	      80     1121       11     1030        0
  786 libnpth0                           	      80     3787      175      933     2599
  787 libnsl-dev                         	      80     2164       14     2070        0
  788 python3-talloc                     	      80     1281       14     1186        1
  789 kpackagelauncherqml                	      79     1059       11      969        0
  790 libkf5config-bin                   	      79     1236       23     1134        0
  791 libnl-genl-3-200                   	      79     3684      301     1413     1891
  792 bluez-obexd                        	      78     1286      142     1064        2
  793 htop                               	      78     2030       96     1856        0
  794 kpackagetool5                      	      78     1128       13     1037        0
  795 libc-l10n                          	      78     4075      245     1187     2565
  796 ffmpeg                             	      77     1504       56     1371        0
  797 libglib2.0-data                    	      77     4066      216     1133     2640
  798 libgtk-4-bin                       	      77      944       10      857        0
  799 libreoffice-qt5                    	      77      544       12      455        0
  800 libxft2                            	      77     3646      273     1286     2010
  801 pipewire                           	      77     1411        9     1325        0
  802 accountsservice                    	      76     1386      151     1158        1
  803 libkf5configwidgets-data           	      76     1222       44     1102        0
  804 libkf5service-bin                  	      76     1207       19     1112        0
  805 liblz4-1                           	      76     4112      351     1350     2335
  806 libxfce4ui-2-0                     	      76     2072      214     1081      701
  807 libxfce4util7                      	      76     2107      216     1090      725
  808 libxfconf-0-3                      	      76     1996      215     1082      623
  809 openjdk-17-jre-headless            	      76     1129       27     1025        1
  810 seatd                              	      76      825      125      624        0
  811 bolt                               	      75      875       54      746        0
  812 kactivities-bin                    	      75     1046       11      960        0
  813 kactivitymanagerd                  	      75     1075       74      839       87
  814 liblibreoffice-java                	      75      992       15      901        1
  815 libunoloader-java                  	      75      990       15      900        0
  816 libwnck-3-0                        	      75     2834      217     1145     1397
  817 openssh-server                     	      75     2868      600     2193        0
  818 python3-tornado                    	      75      731        3      653        0
  819 catdoc                             	      74     1015       16      925        0
  820 kio                                	      74     1185       68     1043        0
  821 libreoffice-style-breeze           	      74      507       15      418        0
  822 toilet                             	      73     1293       14     1206        0
  823 caca-utils                         	      72     1258       13     1173        0
  824 firmware-realtek                   	      72     1524      134     1318        0
  825 gnuchess                           	      72     1042        7      963        0
  826 keditbookmarks                     	      72      974       14      888        0
  827 libgstreamer1.0-0                  	      72     3324      226     1063     1963
  828 libkf5guiaddons-bin                	      72      842        9      761        0
  829 libxmu6                            	      72     3617      248     1143     2154
  830 python3-lib2to3                    	      72     1831       14     1743        2
  831 libcanberra0                       	      71     3063      292     1282     1418
  832 libstdc++-12-dev                   	      71     1617       28     1518        0
  833 python3-markupsafe                 	      71     1149       16     1061        1
  834 xwayland                           	      71      763       39      653        0
  835 libkeybinder-3.0-0                 	      70     2056      186      931      869
  836 libvorbisfile3                     	      70     3551      327     1392     1762
  837 python3-samba                      	      70      933       17      846        0
  838 appstream                          	      69     1127      127      931        0
  839 exim4-config                       	      69     1803      164     1570        0
  840 libxt6                             	      69     3421      254     1177     1921
  841 policykit-1-gnome                  	      69     3432      195      969     2199
  842 python3-tdb                        	      69      934        9      856        0
  843 sshfs                              	      69     1080       40      971        0
  844 thunderbird                        	      69      896       69      758        0
  845 kded5                              	      68     1155       72     1015        0
  846 libimobiledevice6                  	      68     2304      287     1309      640
  847 libkf5wallet-bin                   	      68     1201       63      994       76
  848 libplist3                          	      68     2326      291     1324      643
  849 libusbmuxd6                        	      68     2104      286     1312      438
  850 python3-pil                        	      68     1731       59     1604        0
  851 sox                                	      68     1267       18     1181        0
  852 g++-12                             	      67     1602       42     1493        0
  853 libkf5globalaccel-bin              	      67     1199       69     1018       45
  854 libreoffice-plasma                 	      67      429       12      333       17
  855 pipewire-bin                       	      67     1413       79     1267        0
  856 tmux                               	      67     1368       56     1245        0
  857 fonts-urw-base35                   	      66     3294      277     1261     1690
  858 libevent-2.1-7                     	      66     3175      438     1719      952
  859 libreoffice-kf5                    	      66      411       12      333        0
  860 python3-yaml                       	      66     1531       63     1402        0
  861 samba-common-bin                   	      66     1028       68      894        0
  862 gettext                            	      65     1561       30     1466        0
  863 libexo-2-0                         	      65     1889      174      890      760
  864 libgarcon-1-0                      	      65     1821      165      876      715
  865 libgarcon-gtk3-1-0                 	      65     1676      165      872      574
  866 libgstreamer-plugins-base1.0-0     	      65     3279      197      915     2102
  867 libthunarx-3-0                     	      65     1790      171      888      666
  868 python3-dateutil                   	      65     1348       14     1268        1
  869 python3-olefile                    	      65     1561        9     1486        1
  870 screen                             	      65     1871       80     1726        0
  871 chromium-sandbox                   	      64      755        6      685        0
  872 gvfs-backends                      	      64     1599      242     1293        0
  873 libreoffice-style-elementary       	      64      427       14      349        0
  874 libxfce4panel-2.0-4                	      64     1863      166      872      761
  875 thunar-archive-plugin              	      64     1712      160      829      659
  876 thunar-media-tags-plugin           	      64     1688      151      803      670
  877 xfce4-pulseaudio-plugin            	      64     1723      142      763      754
  878 fortune-mod                        	      63     1269       20     1186        0
  879 fwupd                              	      63      845      100      682        0
  880 gcc-12                             	      63     1792       95     1634        0
  881 libgdk-pixbuf2.0-common            	      63     3910      202     1023     2622
  882 mc                                 	      63     1595       69     1463        0
  883 mutt                               	      63     1577       33     1481        0
  884 zsh                                	      63     1232       45     1124        0
  885 install-info                       	      62     1421       64     1295        0
  886 khelpcenter                        	      62      633       11      560        0
  887 libtag1v5-vanilla                  	      62     3338      169      859     2248
  888 links2                             	      62     1103       15     1026        0
  889 ncdu                               	      62     1216       19     1135        0
  890 procmail                           	      62     1497       53     1382        0
  891 python3-gpg                        	      62     1089       39      988        0
  892 whois                              	      62     1880       46     1772        0
  893 breeze                             	      61      631        5      565        0
  894 bsd-mailx                          	      61     1313       62     1190        0
  895 cracklib-runtime                   	      61      923       49      813        0
  896 cups-bsd                           	      61     1206       32     1113        0
  897 dialog                             	      61     1477       27     1389        0
  898 ed                                 	      61     1305       23     1221        0
  899 iotop                              	      61     1337       62     1213        1
  900 libreoffice-gnome                  	      61      400       13      326        0
  901 libtagc0                           	      61     2214      150      795     1208
  902 minicom                            	      61     1167       15     1091        0
  903 multitail                          	      61     1025       10      954        0
  904 smbclient                          	      61      808       19      728        0
  905 entr                               	      60     1013       10      943        0
  906 gnustep-base-runtime               	      60      793        9      724        0
  907 gnustep-common                     	      60      807        8      739        0
  908 libfile-find-rule-perl             	      60      928       13      855        0
  909 libnumber-compare-perl             	      60      942       12      870        0
  910 libtext-glob-perl                  	      60      946       12      874        0
  911 libxxhash0                         	      60     3725      143      731     2791
  912 lrzsz                              	      60     1172       14     1098        0
  913 moc                                	      60     1030       14      956        0
  914 python3-webencodings               	      60     1430        9     1361        0
  915 time                               	      60     1393       23     1310        0
  916 ttyrec                             	      60     1016       10      946        0
  917 at-spi2-common                     	      59     2881      183      903     1736
  918 espeakup                           	      59      974       10      905        0
  919 irssi                              	      59     1062       15      988        0
  920 libdb5.3                           	      59     3867      449     1581     1778
  921 tin                                	      59      995        9      927        0
  922 unar                               	      59      779       10      710        0
  923 abook                              	      58     1012       11      943        0
  924 edbrowse                           	      58     1014       10      946        0
  925 fbi                                	      58     1019       13      948        0
  926 figlet                             	      58     1094       14     1022        0
  927 gddrescue                          	      58     1119       16     1045        0
  928 kdoctools5                         	      58      629        8      563        0
  929 kmenuedit                          	      58      552        8      486        0
  930 lftp                               	      58     1099       12     1029        0
  931 libkf5texteditor-bin               	      58      669        4      607        0
  932 linux-headers-6.1.0-31-common      	      58       93        7       28        0
  933 msmtp                              	      58     1079       17     1004        0
  934 mtr-tiny                           	      58     1149       18     1073        0
  935 pipewire-pulse                     	      58      910       24      828        0
  936 python3-bcrypt                     	      58      780       30      692        0
  937 python3-pylibacl                   	      58      526        3      465        0
  938 rename                             	      58     1335       18     1259        0
  939 xfce4-power-manager-plugins        	      58     1698      114      644      882
  940 yasr                               	      58     1002       10      934        0
  941 yt-dlp                             	      58      833       19      756        0
  942 apache2-bin                        	      57     1154      173      924        0
  943 ark                                	      57      565       28      480        0
  944 bsdgames                           	      57     1038       12      969        0
  945 calcurse                           	      57     1008       10      941        0
  946 cdrdao                             	      57      833        9      767        0
  947 cowsay                             	      57     1047        4      986        0
  948 debconf-kde-helper                 	      57      542        6      479        0
  949 espeak                             	      57     1040       11      972        0
  950 fetchmail                          	      57     1066       20      989        0
  951 freesweep                          	      57      981        4      920        0
  952 geoip-bin                          	      57     1078       11     1010        0
  953 gvfs-fuse                          	      57     1151      183      911        0
  954 iftop                              	      57     1239       15     1167        0
  955 jhead                              	      57     1035       12      966        0
  956 ksshaskpass                        	      57      557        8      492        0
  957 libwebpdemux2                      	      57     3504      204      857     2386
  958 mcabber                            	      57     1003       11      935        0
  959 mosh                               	      57     1067       18      992        0
  960 nethack-console                    	      57      964        5      902        0
  961 nfacct                             	      57     1263        8     1198        0
  962 partitionmanager                   	      57      545        8      480        0
  963 python3-fuse                       	      57      506        2      447        0
  964 radio                              	      57      899        9      833        0
  965 rpl                                	      57     1007       10      940        0
  966 sc                                 	      57     1022       10      955        0
  967 socat                              	      57     1045       50      938        0
  968 taskwarrior                        	      57     1021       11      953        0
  969 tnftp                              	      57     1081       18     1006        0
  970 wireplumber                        	      57      911       28      826        0
  971 bastet                             	      56      980        5      919        0
  972 bombardier                         	      56      983        4      923        0
  973 bup                                	      56      505        8      441        0
  974 cavezofphear                       	      56      987        4      927        0
  975 clex                               	      56     1009       10      943        0
  976 cmatrix                            	      56     1044       13      975        0
  977 eflite                             	      56      996        9      931        0
  978 fbterm                             	      56     1043       11      976        0
  979 kde-cli-tools                      	      56      620       36      528        0
  980 kde-config-sddm                    	      56      542       11      471        4
  981 kwalletmanager                     	      56      571       13      502        0
  982 libperl4-corelibs-perl             	      56     1119        9     1054        0
  983 linux-headers-6.1.0-31-amd64       	      56       90        6       28        0
  984 ninvaders                          	      56      975        4      915        0
  985 omega-rpg                          	      56      975        4      915        0
  986 parallel                           	      56     1051        9      986        0
  987 pente                              	      56      970        4      910        0
  988 wavemon                            	      56     1038       12      970        0
  989 zile                               	      56     1012       12      944        0
  990 curseofwar                         	      55      981        4      922        0
  991 dvtm                               	      55     1006       10      941        0
  992 empire                             	      55      970        5      910        0
  993 kfind                              	      55      578        9      514        0
  994 libapt-pkg6.0                      	      55     3410       83      526     2746
  995 libreoffice-base-drivers           	      55      854        7      792        0
  996 libvte-2.91-0                      	      55     2946      158      802     1931
  997 libxcb-xkb1                        	      55     3124      239     1021     1809
  998 libxkbcommon-x11-0                 	      55     3109      239     1020     1795
  999 mariadb-client-core                	      55      621       32      534        0
 1000 matanza                            	      55      974        5      914        0
 1001 moria                              	      55      957        6      896        0
 1002 par2                               	      55      542        7      480        0
 1003 python3-lxml                       	      55     1339       33     1251        0
 1004 qtchooser                          	      55      998       28      914        1
 1005 software-properties-qt             	      55      417        2      360        0
 1006 sudoku                             	      55     1006        5      946        0
 1007 t1utils                            	      55     1109       14     1040        0
 1008 calc                               	      54     1003       24      925        0
 1009 crawl                              	      54      969        6      909        0
 1010 cryfs                              	      54      448        4      390        0
 1011 hasciicam                          	      54      977       13      910        0
 1012 kde-spectacle                      	      54      523       15      454        0
 1013 kde-style-oxygen-qt5               	      54      530       10      466        0
 1014 kmag                               	      54      461        7      400        0
 1015 kmousetool                         	      54      453        6      393        0
 1016 kontrast                           	      54      432        5      373        0
 1017 kwrite                             	      54      551       10      487        0
 1018 libkf5bluezqt-data                 	      54      806       36      716        0
 1019 libkf5screen-bin                   	      54      737       61      622        0
 1020 libpam-kwallet-common              	      54      556        1      395      106
 1021 libreoffice-java-common            	      54      884       19      811        0
 1022 libxml-namespacesupport-perl       	      54     1403       15     1334        0
 1023 libxml-sax-expat-perl              	      54     1331       12     1265        0
 1024 libyaml-0-2                        	      54     2788      166      726     1842
 1025 okular                             	      54      671       39      578        0
 1026 pacman4console                     	      54      974       10      910        0
 1027 python3-bs4                        	      54     1280        4     1222        0
 1028 python3-mako                       	      54      775        8      713        0
 1029 python3-ptyprocess                 	      54     1156        8     1093        1
 1030 python3-websockets                 	      54      856        5      797        0
 1031 accountwizard                      	      53      469        4      412        0
 1032 akregator                          	      53      476        6      417        0
 1033 chromium-common                    	      53      780       49      678        0
 1034 drkonqi                            	      53      558        6      397      102
 1035 firmware-iwlwifi                   	      53     1143       77     1013        0
 1036 gnome-disk-utility                 	      53      684       17      614        0
 1037 greed                              	      53      973        6      914        0
 1038 kaddressbook                       	      53      481        7      421        0
 1039 kdepim-themeeditors                	      53      462        3      406        0
 1040 kinit                              	      53      859       66      740        0
 1041 kmouth                             	      53      460        6      401        0
 1042 libreoffice-base                   	      53      848       21      774        0
 1043 libstemmer0d                       	      53     1950      141      664     1092
 1044 libxml-sax-base-perl               	      53     1403       28     1322        0
 1045 libxml-sax-perl                    	      53     1401       21     1327        0
 1046 mbox-importer                      	      53      456        3      400        0
 1047 mesa-utils                         	      53     1342       34     1255        0
 1048 pim-data-exporter                  	      53      457        4      400        0
 1049 pim-sieve-editor                   	      53      457        3      401        0
 1050 plasma-framework                   	      53      835       56      726        0
 1051 python3-distutils                  	      53     1647       13     1579        2
 1052 python3-psutil                     	      53      996       78      865        0
 1053 sddm                               	      53      643       73      517        0
 1054 sweeper                            	      53      499        7      439        0
 1055 xxd                                	      53     2163       31     2079        0
 1056 aha                                	      52      445        5      388        0
 1057 beep                               	      52     1072       55      965        0
 1058 chromium                           	      52      796       53      691        0
 1059 dconf-cli                          	      52      802       26      724        0
 1060 dragonplayer                       	      52      496       11      433        0
 1061 gdb-minimal                        	      52      499        5      441        1
 1062 juk                                	      52      489        7      430        0
 1063 kdialog                            	      52      539        9      478        0
 1064 konq-plugins                       	      52      482       14      416        0
 1065 korganizer                         	      52      477       15      410        0
 1066 libclass-method-modifiers-perl     	      52     1221       16     1153        0
 1067 libdynaloader-functions-perl       	      52     1226       15     1159        0
 1068 libxcb-res0                        	      52     1536      150      649      685
 1069 qdbus-qt5                          	      52      595       13      518       12
 1070 dolphin                            	      51      614       55      508        0
 1071 genisoimage                        	      51     1090       17     1022        0
 1072 gwenview                           	      51      579       30      498        0
 1073 kdepim-addons                      	      51      469        8      410        0
 1074 konqueror                          	      51      555       20      484        0
 1075 kross                              	      51      530        5      474        0
 1076 ksystemstats                       	      51      442       18      373        0
 1077 libb-hooks-endofscope-perl         	      51     1136       19     1066        0
 1078 libmodule-implementation-perl      	      51     1187       31     1105        0
 1079 libmodule-runtime-perl             	      51     1265       38     1176        0
 1080 libsub-exporter-progressive-perl   	      51     1175       27     1097        0
 1081 plasma-systemmonitor               	      51      437       14      372        0
 1082 python3-brotli                     	      51     1130       56     1023        0
 1083 rtmpdump                           	      51      927        8      868        0
 1084 kate                               	      50      607       33      524        0
 1085 libnamespace-clean-perl            	      50     1136       19     1067        0
 1086 libpackage-stash-perl              	      50     1141       20     1071        0
 1087 libreoffice-report-builder-bin     	      50      808        5      753        0
 1088 librole-tiny-perl                  	      50     1215       27     1138        0
 1089 pulseaudio-module-bluetooth        	      50      977      144      783        0
 1090 python3-pexpect                    	      50     1155       35     1069        1
 1091 python3-pycryptodome               	      50     1091       25     1016        0
 1092 software-properties-gtk            	      50      448       12      386        0
 1093 xsettingsd                         	      50      738       68      620        0
 1094 akonadi-server                     	      49      512       36      427        0
 1095 ffmpegthumbnailer                  	      49      757       32      676        0
 1096 iptables                           	      49     2575      170     2356        0
 1097 kcalc                              	      49      537       21      467        0
 1098 kdepim-runtime                     	      49      500       32      419        0
 1099 kmail                              	      49      479       30      400        0
 1100 kwin-wayland                       	      49      438       22      367        0
 1101 kwin-x11                           	      49      562       51      462        0
 1102 libappstream4                      	      49     1547      112      573      813
 1103 libdata-optlist-perl               	      49     1187       20     1118        0
 1104 libsub-exporter-perl               	      49     1184       20     1115        0
 1105 libsub-install-perl                	      49     1187       20     1118        0
 1106 libsub-quote-perl                  	      49     1137       17     1071        0
 1107 mariadb-server-core                	      49      592       79      464        0
 1108 python3-xlib                       	      49      462        5      408        0
 1109 tree                               	      49      918       26      843        0
 1110 bluedevil                          	      48      558       55      455        0
 1111 growisofs                          	      48      704       10      646        0
 1112 inxi                               	      48      612        9      555        0
 1113 kinfocenter                        	      48      549       30      471        0
 1114 knotes                             	      48      467       27      392        0
 1115 libarchive-zip-perl                	      48     1460       29     1383        0
 1116 libdevel-stacktrace-perl           	      48     1088       21     1019        0
 1117 libexception-class-perl            	      48     1044       19      977        0
 1118 libkf5akonadisearch-bin            	      48      481       27      406        0
 1119 libkf5baloowidgets-bin             	      48      569       28      493        0
 1120 libpackagekit-glib2-18             	      48     2766       90      467     2161
 1121 libreoffice-sdbc-firebird          	      48      709        7      654        0
 1122 libwnck-3-common                   	      48     2843      104      609     2082
 1123 libxss1                            	      48     3443      299     1279     1817
 1124 plasma-discover                    	      48      516       54      414        0
 1125 transmission-gtk                   	      48      601       14      539        0
 1126 ure-java                           	      48      596       33      515        0
 1127 cheese                             	      47      496        6      443        0
 1128 evince                             	      47      964       44      873        0
 1129 gnupg2                             	      47     1596      198     1351        0
 1130 kscreen                            	      47      552       59      446        0
 1131 libabsl20220623                    	      47     2585      163      666     1709
 1132 libcapture-tiny-perl               	      47      848       21      780        0
 1133 libclass-data-inheritable-perl     	      47     1070       20     1003        0
 1134 libdouble-conversion3              	      47     2320      232      924     1117
 1135 libgpod-common                     	      47      596       31      518        0
 1136 libical3                           	      47     1372      155      705      465
 1137 libreoffice-sdbc-hsqldb            	      47      770       14      709        0
 1138 libxfce4ui-common                  	      47     2086       94      535     1410
 1139 mesa-utils-bin                     	      47     1019       29      943        0
 1140 plasma-workspace-wayland           	      47      411       19      345        0
 1141 python3-html5lib                   	      47     1305        7     1250        1
 1142 python3-soupsieve                  	      47     1276        4     1225        0
 1143 apg                                	      46      522        7      469        0
 1144 libkf5kdelibs4support5-bin         	      46      556       51      459        0
 1145 libpcre2-16-0                      	      46     2477      224      868     1339
 1146 libqt5core5a                       	      46     2211      188      774     1203
 1147 libqt5dbus5                        	      46     2207      179      762     1220
 1148 libqt5network5                     	      46     2204      165      716     1277
 1149 libtime-duration-perl              	      46      740        8      686        0
 1150 lightdm-gtk-greeter                	      46     1042       95      900        1
 1151 python3-numpy                      	      46     1163       24     1093        0
 1152 python3-setuptools                 	      46     1332      159     1126        1
 1153 python3-tz                         	      46     1174        9     1101       18
 1154 rhythmbox                          	      46      407        7      354        0
 1155 shotwell                           	      46      440        7      387        0
 1156 simple-scan                        	      46      546       13      487        0
 1157 vlc-plugin-qt                      	      46     1189       15     1128        0
 1158 wodim                              	      46      755       13      696        0
 1159 file-roller                        	      45      476       17      414        0
 1160 fonts-liberation2                  	      45     2769      200      881     1643
 1161 gkbd-capplet                       	      45      445        5      395        0
 1162 kup-backup                         	      45      513       48      420        0
 1163 libcgi-pm-perl                     	      45     1261       21     1195        0
 1164 libcpanel-json-xs-perl             	      45      738       13      680        0
 1165 libimport-into-perl                	      45      853       11      797        0
 1166 libipc-run3-perl                   	      45      712       10      657        0
 1167 liblist-utilsby-perl               	      45      744       11      688        0
 1168 libnet-domain-tld-perl             	      45      756       14      697        0
 1169 libxmlb2                           	      45     1275       96      465      669
 1170 libyuv0                            	      45     2791      158      661     1927
 1171 plasma-desktop                     	      45      556       65      446        0
 1172 plasma-workspace                   	      45      557       71      441        0
 1173 polkit-kde-agent-1                 	      45      579       57      411       66
 1174 seahorse                           	      45      468        9      414        0
 1175 diffstat                           	      44      764       12      708        0
 1176 five-or-more                       	      44      375        3      328        0
 1177 fonts-noto-core                    	      44     1422      225      859      294
 1178 gnome-font-viewer                  	      44      382        7      331        0
 1179 gnome-mahjongg                     	      44      410        5      361        0
 1180 gnome-sound-recorder               	      44      368        6      318        0
 1181 gnutls-bin                         	      44      554       11      499        0
 1182 kdeconnect                         	      44      760      110      606        0
 1183 konsole                            	      44      614       56      514        0
 1184 libavif15                          	      44     2561      143      607     1767
 1185 libgav1-1                          	      44     2790      157      653     1936
 1186 libgoa-1.0-0b                      	      44     1697      218      953      482
 1187 libio-string-perl                  	      44     1007       15      948        0
 1188 libmd4c0                           	      44     2229      210      827     1148
 1189 libpython3-dev                     	      44     1047        7      996        0
 1190 libqt5gui5                         	      44     2194      175      744     1231
 1191 libqt5widgets5                     	      44     2196      175      743     1234
 1192 libxcb-keysyms1                    	      44     2519      220      889     1366
 1193 libxcb-render-util0                	      44     2530      224      893     1369
 1194 libxcb-xinput0                     	      44     2244      207      833     1160
 1195 lintian                            	      44      670       14      612        0
 1196 lzop                               	      44      834       16      774        0
 1197 malcontent                         	      44      398        5      349        0
 1198 malcontent-gui                     	      44      396        5      347        0
 1199 plasma-integration                 	      44      561       57      397       63
 1200 powerdevil                         	      44      551       68      434        5
 1201 python3-click                      	      44      924        6      874        0
 1202 python3-dev                        	      44     1036        7      984        1
 1203 quadrapassel                       	      44      381        3      334        0
 1204 swell-foop                         	      44      375        4      327        0
 1205 vlc-plugin-skins2                  	      44     1109        7     1058        0
 1206 dmeventd                           	      43     1271       16     1212        0
 1207 eog                                	      43      457       17      397        0
 1208 four-in-a-row                      	      43      373        3      327        0
 1209 gjs                                	      43      532       27      462        0
 1210 gnome-2048                         	      43      342        3      296        0
 1211 gnome-calculator                   	      43      447       17      387        0
 1212 gnome-klotski                      	      43      367        3      321        0
 1213 gnome-mines                        	      43      382        3      336        0
 1214 gnome-system-monitor               	      43      411       10      358        0
 1215 gnome-taquin                       	      43      365        4      318        0
 1216 gnome-tetravex                     	      43      376        4      329        0
 1217 gnome-user-share                   	      43      404        2      359        0
 1218 hoichess                           	      43      384        4      337        0
 1219 iagno                              	      43      370        4      323        0
 1220 libconfig-tiny-perl                	      43      719       26      650        0
 1221 libmoo-perl                        	      43      851       18      790        0
 1222 libqt5svg5                         	      43     2379      191      803     1342
 1223 libqt5xml5                         	      43     1784      137      599     1005
 1224 libreoffice-report-builder         	      43      721       11      667        0
 1225 libxcb-icccm4                      	      43     2511      225      895     1348
 1226 libxcb-image0                      	      43     2527      218      886     1380
 1227 libxcb-shape0                      	      43     3524      227      901     2353
 1228 libxslt1.1                         	      43     3657      231      861     2522
 1229 plasma-browser-integration         	      43      440       44      353        0
 1230 plasma-disks                       	      43      515       62      410        0
 1231 print-manager                      	      43      452       51      358        0
 1232 rygel                              	      43      423        6      374        0
 1233 xapps-common                       	      43      417        5      369        0
 1234 exim4-daemon-light                 	      42     1772      353     1377        0
 1235 gnome-nibbles                      	      42      363        5      316        0
 1236 libaliased-perl                    	      42      624       11      571        0
 1237 libapache2-mod-dnssd               	      42      450       18      390        0
 1238 libatomic1                         	      42     3573      189      737     2605
 1239 libcgi-fast-perl                   	      42     1200       14     1144        0
 1240 libdata-dpath-perl                 	      42      622       10      570        0
 1241 libdata-validate-domain-perl       	      42      640       12      586        0
 1242 libfont-ttf-perl                   	      42      685       11      632        0
 1243 libhtml-html5-entities-perl        	      42      623       10      571        0
 1244 libiterator-perl                   	      42      622       10      570        0
 1245 libiterator-util-perl              	      42      622       10      570        0
 1246 libjson-maybexs-perl               	      42      677       16      619        0
 1247 libkf5windowsystem5                	      42     1320      129      590      559
 1248 libmoox-aliases-perl               	      42      623       10      571        0
 1249 libtext-xslate-perl                	      42      618       10      566        0
 1250 libxcb-xinerama0                   	      42     2451      207      878     1324
 1251 lightdm                            	      42     1059      146      870        1
 1252 lightsoff                          	      42      370        4      324        0
 1253 patchutils                         	      42      761       16      703        0
 1254 power-profiles-daemon              	      42      339        6      291        0
 1255 tali                               	      42      369        4      323        0
 1256 totem                              	      42      393       11      340        0
 1257 vim-runtime                        	      42     1360       22     1296        0
 1258 vlc-bin                            	      42     1206       62     1102        0
 1259 xfdesktop4-data                    	      42     1780       82      476     1180
 1260 aisleriot                          	      41      422       12      369        0
 1261 gnome-chess                        	      41      377        5      331        0
 1262 gnome-robots                       	      41      368        5      322        0
 1263 gnome-settings-daemon-common       	      41      438       24      373        0
 1264 gnome-sudoku                       	      41      387        6      340        0
 1265 hitori                             	      41      373        5      327        0
 1266 libfcgi-bin                        	      41      954       11      902        0
 1267 libpath-tiny-perl                  	      41      782       16      725        0
 1268 libqt5qml5                         	      41     2204      127      544     1492
 1269 libqt5x11extras5                   	      41     2034      159      687     1147
 1270 libstrictures-perl                 	      41      759       13      705        0
 1271 mate-calc                          	      41      511       15      455        0
 1272 mate-desktop                       	      41      553        7      505        0
 1273 mate-notification-daemon           	      41      529        6      482        0
 1274 mpv                                	      41     1000       57      902        0
 1275 packagekit                         	      41     1492       79      524      848
 1276 python3-paramiko                   	      41      418        4      373        0
 1277 smartmontools                      	      41     1244      240      963        0
 1278 eom                                	      40      531       26      465        0
 1279 libdbusmenu-qt5-2                  	      40     1268      126      575      527
 1280 libexpat1-dev                      	      40     1370       12     1318        0
 1281 libkf5solid5                       	      40     1234      119      535      540
 1282 liblist-compare-perl               	      40      647       13      594        0
 1283 mate-applets                       	      40      500       25      435        0
 1284 mate-system-monitor                	      40      515       14      461        0
 1285 nethack-common                     	      40      968      114      814        0
 1286 python3-packaging                  	      40     1147       10     1097        0
 1287 python3-setproctitle               	      40      754       59      655        0
 1288 qttranslations5-l10n               	      40     2307      164      687     1416
 1289 sysstat                            	      40     1450      240     1170        0
 1290 zlib1g-dev                         	      40     1605       22     1542        1
 1291 baloo-kf5                          	      39      597       71      487        0
 1292 gdebi                              	      39      469       10      420        0
 1293 gnome-screenshot                   	      39      466       13      414        0
 1294 hexchat                            	      39      378       13      326        0
 1295 java-common                        	      39     1650       20     1591        0
 1296 libglib2.0-dev-bin                 	      39      783       10      734        0
 1297 liblist-someutils-perl             	      39      651       11      601        0
 1298 libnet-netmask-perl                	      39      560        9      512        0
 1299 libutempter0                       	      39     3487      166      752     2530
 1300 mate-utils                         	      39      517       22      456        0
 1301 python3-xapp                       	      39      331        6      286        0
 1302 xdg-desktop-portal-kde             	      39      440       62      339        0
 1303 cryptsetup-bin                     	      38      901       46      817        0
 1304 duplicity                          	      38      301        8      255        0
 1305 gedit                              	      38      399       14      347        0
 1306 gnome-characters                   	      38      313        9      266        0
 1307 gnome-logs                         	      38      304        4      262        0
 1308 libgphoto2-port12                  	      38     2911      198      876     1799
 1309 libreoffice-sdbc-postgresql        	      38      744       35      671        0
 1310 libunity9                          	      38      441        8      395        0
 1311 pluma                              	      38      519       26      455        0
 1312 plzip                              	      38      400        9      353        0
 1313 python3-nacl                       	      38      475       11      426        0
 1314 python3-pampy                      	      38      286        3      245        0
 1315 ruby                               	      38     1072       34     1000        0
 1316 xfce4-power-manager-data           	      38     1714       69      421     1186
 1317 dvdauthor                          	      37      516        6      473        0
 1318 gnome-control-center               	      37      409       11      361        0
 1319 gnome-terminal                     	      37      445       34      374        0
 1320 libkf5kiocore5                     	      37     1186      109      511      529
 1321 libkf5kiogui5                      	      37     1163      108      503      515
 1322 libkf5kiowidgets5                  	      37     1186      107      496      546
 1323 libnet-ipv6addr-perl               	      37      545        8      500        0
 1324 libpurple-bin                      	      37      407        5      365        0
 1325 libseccomp2                        	      37     4011      235      932     2807
 1326 mate-control-center                	      37      517       23      457        0
 1327 python3-attr                       	      37      990       10      943        0
 1328 smplayer                           	      37      520       20      463        0
 1329 xdg-user-dirs-gtk                  	      37      513       49      427        0
 1330 apache2-utils                      	      36      830       21      773        0
 1331 audacious                          	      36      548       14      498        0
 1332 gnome-bluetooth-sendto             	      36      321        4      281        0
 1333 gnome-remote-desktop               	      36      315        4      275        0
 1334 libconst-fast-perl                 	      36      576       16      524        0
 1335 libdata-validate-ip-perl           	      36      543       14      493        0
 1336 libffi-dev                         	      36     1042       13      993        0
 1337 libio-interactive-perl             	      36      535       12      487        0
 1338 libkf5archive5                     	      36     1246      123      536      551
 1339 libkf5authcore5                    	      36     1147      111      518      482
 1340 libkf5codecs5                      	      36     1224      111      513      564
 1341 libkf5completion5                  	      36     1236      110      503      587
 1342 libkf5configcore5                  	      36     1288      112      526      614
 1343 libkf5configgui5                   	      36     1255      111      514      594
 1344 libkf5configwidgets5               	      36     1213      111      513      553
 1345 libkf5coreaddons-data              	      36     1321      117      545      623
 1346 libkf5coreaddons5                  	      36     1320      117      546      621
 1347 libkf5crash5                       	      36     1243      111      521      575
 1348 libkf5dbusaddons5                  	      36     1220      111      522      551
 1349 libkf5guiaddons5                   	      36     1265      111      514      604
 1350 libkf5i18n5                        	      36     1256      111      521      588
 1351 libkf5iconthemes5                  	      36     1206      111      511      548
 1352 libkf5jobwidgets-data              	      36     1197      109      502      550
 1353 libkf5jobwidgets5                  	      36     1193      109      502      546
 1354 libkf5service5                     	      36     1207      111      519      541
 1355 libkf5widgetsaddons-data           	      36     1265      111      514      604
 1356 libkf5widgetsaddons5               	      36     1263      111      514      602
 1357 libmath-base85-perl                	      36      522        8      478        0
 1358 libmenu-cache-bin                  	      36      555       21      498        0
 1359 libmng1                            	      36     2051      130      536     1349
 1360 libqt5printsupport5                	      36     1803      113      463     1191
 1361 libreoffice-sdbc-mysql             	      36      554        5      513        0
 1362 lvm2                               	      36     1268       98     1134        0
 1363 mate-terminal                      	      36      553       38      479        0
 1364 mutter-common                      	      36      382       19      316       11
 1365 pidgin                             	      36      392       19      336        1
 1366 python3-fasteners                  	      36      342        3      303        0
 1367 python3-invoke                     	      36      390        8      346        0
 1368 python3-monotonic                  	      36      349        3      310        0
 1369 python3-tinycss2                   	      36      376        7      333        0
 1370 realmd                             	      36      412       13      363        0
 1371 remmina                            	      36      468       19      413        0
 1372 uuid-dev                           	      36      943       14      893        0
 1373 emacs-bin-common                   	      35      453       10      408        0
 1374 emacs-common                       	      35      453        4      386       28
 1375 gnome-software                     	      35      388       40      313        0
 1376 intel-microcode                    	      35      871       33      801        2
 1377 libarchive13                       	      35     3144       89      445     2575
 1378 libdata-validate-uri-perl          	      35      516        9      472        0
 1379 libfreezethaw-perl                 	      35      520        6      479        0
 1380 libhtml-tokeparser-simple-perl     	      35      571        9      527        0
 1381 libkf5itemviews5                   	      35     1252       95      444      678
 1382 libmldbm-perl                      	      35      530       10      485        0
 1383 libqt5texttospeech5                	      35     1226      113      511      567
 1384 libqt5waylandclient5               	      35     2061      115      521     1390
 1385 libregexp-wildcards-perl           	      35      510        9      466        0
 1386 libsbc1                            	      35     3057      162      692     2168
 1387 libsort-versions-perl              	      35      572       11      526        0
 1388 mate-settings-daemon-common        	      35      525       44      375       71
 1389 qt5-image-formats-plugins          	      35      998      110      504      349
 1390 xserver-xephyr                     	      35      272        1      236        0
 1391 automake                           	      34     1292       21     1236        1
 1392 cinnamon-control-center            	      34      286        6      246        0
 1393 engrampa                           	      34      570       59      477        0
 1394 gdebi-core                         	      34      524       31      459        0
 1395 libkf5globalaccel5                 	      34     1201       89      419      659
 1396 libkf5notifications5               	      34     1211      110      506      561
 1397 libkf5xmlgui5                      	      34     1195       89      416      656
 1398 libqt5qmlmodels5                   	      34     2077      117      468     1458
 1399 libqt5quick5                       	      34     2191      117      468     1572
 1400 libwww-mechanize-perl              	      34      547       13      500        0
 1401 m4                                 	      34     1550       29     1487        0
 1402 muffin                             	      34      299        6      259        0
 1403 python3-tinycss                    	      34      256        3      219        0
 1404 vino                               	      34      307        3      270        0
 1405 brasero                            	      33      364        5      326        0
 1406 cjs                                	      33      283        5      245        0
 1407 gnote                              	      33      295        6      256        0
 1408 libblkid-dev                       	      33      790        6      751        0
 1409 libcanberra-gtk3-0                 	      33     2599      116      547     1903
 1410 libgnutls-dane0                    	      33     1820      257     1030      500
 1411 libmount-dev                       	      33      771        5      733        0
 1412 libunbound8                        	      33     2052      320     1167      532
 1413 libxcb-composite0                  	      33     1650       93      437     1087
 1414 marco                              	      33      551       55      463        0
 1415 openntpd                           	      33      363       65      265        0
 1416 python3-sip                        	      33      552        2      517        0
 1417 python3.11-dev                     	      33      770        5      732        0
 1418 sound-juicer                       	      33      298        5      260        0
 1419 blueman                            	      32      693      104      557        0
 1420 caja                               	      32      542       58      452        0
 1421 cinnamon-common                    	      32      282        7      243        0
 1422 firmware-amd-graphics              	      32     1002        7      963        0
 1423 gir1.2-atk-1.0                     	      32     3159      163      693     2271
 1424 gir1.2-gtk-3.0                     	      32     3124      158      644     2290
 1425 html2text                          	      32      344        8      304        0
 1426 libkf5idletime5                    	      32      952       72      374      474
 1427 libpython3.11-dev                  	      32      782        8      742        0
 1428 mate-settings-daemon               	      32      524       62      421        9
 1429 openrc                             	      32      528       71      425        0
 1430 python3-future                     	      32      470        9      429        0
 1431 python3-ibus-1.0                   	      32      368        3      332        1
 1432 python3-lockfile                   	      32      333        3      298        0
 1433 gir1.2-freedesktop                 	      31     3215      164      685     2335
 1434 gir1.2-harfbuzz-0.0                	      31     2967      162      679     2095
 1435 gir1.2-pango-1.0                   	      31     3159      164      684     2280
 1436 kalendarac                         	      31      372       60      281        0
 1437 libkf5package5                     	      31     1128       83      375      639
 1438 libkf5waylandclient5               	      31     1284       74      355      824
 1439 libpcre2-dev                       	      31      843       20      792        0
 1440 libqt5sql5                         	      31     1664       98      408     1127
 1441 libselinux1-dev                    	      31      790        6      752        1
 1442 libtool                            	      31     1189       19     1139        0
 1443 libxcb-damage0                     	      31     2643       86      419     2107
 1444 mate-media                         	      31      503       57      413        2
 1445 mate-panel                         	      31      525       57      437        0
 1446 mate-power-manager                 	      31      497       56      410        0
 1447 mate-screensaver                   	      31      498       61      406        0
 1448 mate-session-manager               	      31      529       56      442        0
 1449 notification-daemon                	      31     1377        7     1339        0
 1450 pkgconf                            	      31     1043       40      972        0
 1451 pkgconf-bin                        	      31     1030       39      960        0
 1452 pulseaudio-module-gsettings        	      31      472       68      373        0
 1453 python3-colorama                   	      31      918        6      881        0
 1454 tzdata                             	      31     4145       22     1089     3003
 1455 vim                                	      31     1227       73     1123        0
 1456 xtrans-dev                         	      31     1183       13     1139        0
 1457 zutty                              	      31      392       13      348        0
 1458 7zip                               	      30      306       11      264        1
 1459 autoconf                           	      30     1295       21     1244        0
 1460 autotools-dev                      	      30     1301       17     1254        0
 1461 gir1.2-nm-1.0                      	      30      860      107      461      262
 1462 gnome-session-bin                  	      30      326       17      279        0
 1463 gucharmap                          	      30      429        5      394        0
 1464 libexempi8                         	      30      975      102      494      349
 1465 libgail-3-0                        	      30      948       86      431      401
 1466 libltdl-dev                        	      30     1092        8     1054        0
 1467 libqca-qt5-2                       	      30     1130       99      464      537
 1468 libreoffice-uiconfig-math          	      30      245        1      214        0
 1469 libxau-dev                         	      30     1186       15     1141        0
 1470 libxcb1-dev                        	      30     1186       19     1137        0
 1471 libxdmcp-dev                       	      30     1186       15     1141        0
 1472 cron-daemon-common                 	      29     3046        1      261     2755
 1473 cryptsetup                         	      29      774       78      648       19
 1474 exif                               	      29      360        7      324        0
 1475 libenchant-2-2                     	      29     2688      107      472     2080
 1476 libgstreamer-gl1.0-0               	      29     3006       74      363     2540
 1477 libhyphen0                         	      29     3109      107      434     2539
 1478 libnss-myhostname                  	      29      430       68      289       44
 1479 libqca-qt5-2-plugins               	      29     1098       95      438      536
 1480 libreoffice-uiconfig-draw          	      29      241        3      209        0
 1481 libreoffice-uiconfig-impress       	      29      241        4      208        0
 1482 libsepol-dev                       	      29      627        4      594        0
 1483 libtasn1-6-dev                     	      29      270        1      240        0
 1484 libx11-dev                         	      29     1175       28     1118        0
 1485 menu                               	      29      816       38      749        0
 1486 deja-dup                           	      28      261       29      204        0
 1487 gir1.2-xapp-1.0                    	      28      340       39      267        6
 1488 id3                                	      28      263        4      231        0
 1489 kimageformat-plugins               	      28      577       78      338      133
 1490 libbrotli-dev                      	      28      736        4      704        0
 1491 libglib2.0-dev                     	      28      802        9      701       64
 1492 libharfbuzz-icu0                   	      28     3114      100      418     2568
 1493 libkf5bookmarks5                   	      28     1021       81      379      533
 1494 libkf5kiofilewidgets5              	      28      968       80      360      500
 1495 libreoffice-script-provider-bsh    	      28      566       27      511        0
 1496 libreoffice-script-provider-js     	      28      568       27      513        0
 1497 libreoffice-uiconfig-calc          	      28      247        6      213        0
 1498 libstdc++-14-dev                   	      28      187        5      154        0
 1499 libtiff-dev                        	      28      563        5      530        0
 1500 odt2txt                            	      28      306        6      272        0
 1501 plocate                            	      28     1207      193      986        0
 1502 python3-ply                        	      28      801        5      768        0
 1503 python3-pygments                   	      28     1262       19     1215        0
 1504 python3-xlrd                       	      28      267        4      235        0
 1505 scrot                              	      28      505       15      462        0
 1506 unattended-upgrades                	      28      643       64      551        0
 1507 untex                              	      28      252        5      219        0
 1508 firmware-misc-nonfree              	      27     1068       45      996        0
 1509 gcr4                               	      27      186        1      158        0
 1510 konwert                            	      27      244        3      214        0
 1511 libjcat1                           	      27      848       37      206      578
 1512 libkf5sonnetcore5                  	      27     1219       80      371      741
 1513 libkf5sonnetui5                    	      27     1216       80      371      738
 1514 libkf5wallet5                      	      27     1205       71      347      760
 1515 libpng-dev                         	      27      883       16      840        0
 1516 libpolkit-qt5-1-1                  	      27     1258       70      348      813
 1517 libreoffice-nlpsolver              	      27      557       26      504        0
 1518 libreoffice-script-provider-python 	      27      567       27      513        0
 1519 libreoffice-wiki-publisher         	      27      560       28      505        0
 1520 libwacom-bin                       	      27     1342        8     1307        0
 1521 lxqt-about                         	      27      259        4      228        0
 1522 python3-more-itertools             	      27      769        4      738        0
 1523 python3.13                         	      27       85        6       52        0
 1524 qpdfview                           	      27      331        8      296        0
 1525 qpdfview-djvu-plugin               	      27      318        1      290        0
 1526 qpdfview-pdf-poppler-plugin        	      27      314        6      281        0
 1527 qpdfview-ps-plugin                 	      27      321        1      293        0
 1528 switcheroo-control                 	      27      257        7      223        0
 1529 systemd-standalone-sysusers        	      27      348        6      315        0
 1530 x11proto-dev                       	      27     1146       26     1093        0
 1531 amd64-microcode                    	      26      770       18      726        0
 1532 cryptsetup-initramfs               	      26      623       23      574        0
 1533 dkms                               	      26      738       40      671        1
 1534 feathernotes                       	      26      251        4      221        0
 1535 featherpad                         	      26      283       12      245        0
 1536 gnome-online-accounts              	      26      441       61      272       82
 1537 gnupg-l10n                         	      26     3930        8      117     3779
 1538 libflashrom1                       	      26      854       29      189      610
 1539 libftdi1-2                         	      26      992       29      194      743
 1540 libidn12                           	      26     2925      292      995     1612
 1541 libimage-magick-perl               	      26      645        3      616        0
 1542 libjpeg62-turbo-dev                	      26      711       11      674        0
 1543 libkf5declarative5                 	      26     1109       64      318      701
 1544 libkf5plasma5                      	      26     1050       50      283      691
 1545 libkf5quickaddons5                 	      26     1099       64      315      694
 1546 libkf5textwidgets5                 	      26     1193       80      365      722
 1547 liblmdb0                           	      26     3889      117      434     3312
 1548 liblzma-dev                        	      26      720       10      684        0
 1549 libopenexr-3-1-30                  	      26     2662       75      328     2233
 1550 libpci3                            	      26     4122       65      266     3765
 1551 libpng-tools                       	      26      763        8      729        0
 1552 libqrencode4                       	      26     2895      106      434     2329
 1553 libqt5qmlworkerscript5             	      26     1326       69      322      909
 1554 libwoff1                           	      26     3009       86      397     2500
 1555 lximage-qt                         	      26      278        9      243        0
 1556 lxqt-admin                         	      26      250        4      220        0
 1557 lxqt-openssh-askpass               	      26      260        3      231        0
 1558 lxqt-runner                        	      26      259       23      210        0
 1559 lxqt-sudo                          	      26      282        6      250        0
 1560 qemu-utils                         	      26      706       13      667        0
 1561 qml-module-qtquick2                	      26     1375       69      322      958
 1562 qps                                	      26      281        6      249        0
 1563 rake                               	      26     1066       15     1025        0
 1564 ruby-net-telnet                    	      26     1068       10     1032        0
 1565 ruby-xmlrpc                        	      26     1021        9      986        0
 1566 smtube                             	      26      289        7      256        0
 1567 brltty                             	      25      774      150      599        0
 1568 chafa                              	      25      408        4      379        0
 1569 g++-14                             	      25      186        8      153        0
 1570 g++-14-x86-64-linux-gnu            	      25      181        8      148        0
 1571 g++-x86-64-linux-gnu               	      25      207        8      174        0
 1572 gawk                               	      25     1391      209     1157        0
 1573 google-chrome-stable               	      25      319       26      265        3
 1574 iucode-tool                        	      25      886       46      815        0
 1575 libcloudproviders0                 	      25     1093       94      380      594
 1576 libdconf1                          	      25     3777      125      515     3112
 1577 libde265-0                         	      25     3618       97      381     3115
 1578 libdmtx0b                          	      25      719       71      295      328
 1579 libfwupd2                          	      25      805       36      201      543
 1580 libgoa-backend-1.0-1               	      25      426       57      263       81
 1581 libheif1                           	      25     3462      112      415     2910
 1582 libice-dev                         	      25      932       12      895        0
 1583 libicu-dev                         	      25      927       13      889        0
 1584 libimath-3-1-29                    	      25     2415       66      299     2025
 1585 libkf5attica5                      	      25     1162       78      353      706
 1586 libkf5kirigami2-5                  	      25     1091       55      287      724
 1587 libkf5plasmaquick5                 	      25      837       49      277      486
 1588 libkf5prison5                      	      25      759       70      312      352
 1589 libkworkspace5-5                   	      25      987       50      274      638
 1590 libmanette-0.2-0                   	      25     2584       75      360     2124
 1591 libopengl0                         	      25     2787      116      484     2162
 1592 libperl5.32                        	      25      717       24      668        0
 1593 libperl5.40                        	      25      186       11      150        0
 1594 libqt5quickcontrols2-5             	      25     1257       71      322      839
 1595 libqt5quicktemplates2-5            	      25     1259       71      323      840
 1596 libraw20                           	      25     2552       63      295     2169
 1597 libreoffice-help-common            	      25     2375        1      165     2184
 1598 libreoffice-l10n-es                	      25      212       12      175        0
 1599 libsm-dev                          	      25      932       12      895        0
 1600 libsoup-3.0-0                      	      25     2493      112      435     1921
 1601 libtss2-esys-3.0.2-0               	      25      981       32      201      723
 1602 libtss2-mu0                        	      25      985       33      209      718
 1603 libtss2-sys1                       	      25      986       33      209      719
 1604 libuno-purpenvhelpergcc3-3t64      	      25      186        5      156        0
 1605 lxqt-config                        	      25      279       14      240        0
 1606 lxqt-globalkeys                    	      25      259       23      211        0
 1607 lxqt-panel                         	      25      257       24      208        0
 1608 lxqt-policykit                     	      25      275       25      225        0
 1609 lxqt-powermanagement               	      25      271       24      222        0
 1610 lxqt-session                       	      25      280       24      231        0
 1611 meteo-qt                           	      25      234        4      205        0
 1612 pcmanfm-qt                         	      25      277       25      227        0
 1613 python3.9                          	      25      722        6      690        1
 1614 qml-module-org-kde-kconfig         	      25      830       49      273      483
 1615 qml-module-org-kde-kirigami2       	      25     1083       51      278      729
 1616 qml-module-qtgraphicaleffects      	      25     1306       63      305      913
 1617 qml-module-qtqml                   	      25     1211       60      310      816
 1618 qml-module-qtquick-controls2       	      25     1250       65      309      851
 1619 qml-module-qtquick-layouts         	      25     1303       65      311      902
 1620 qml-module-qtquick-templates2      	      25     1250       65      310      850
 1621 qml-module-qtquick-window2         	      25     1319       64      310      920
 1622 qterminal                          	      25      283       22      236        0
 1623 screengrab                         	      25      263        9      229        0
 1624 tk                                 	      25     1133       13     1095        0
 1625 aptitude-common                    	      24     1163       13     1124        2
 1626 cinnamon-screensaver               	      24      292       35      233        0
 1627 cinnamon-session                   	      24      289       38      227        0
 1628 cinnamon-settings-daemon           	      24      290       36      220       10
 1629 debian-reference-common            	      24      397        2      371        0
 1630 fonts-noto-extra                   	      24     1141      143      576      398
 1631 galternatives                      	      24      293       11      258        0
 1632 gpm                                	      24     1122      215      882        1
 1633 libefiboot1                        	      24     3596       27      177     3368
 1634 libefivar1                         	      24     3597       27      177     3369
 1635 libgcab-1.0-0                      	      24      785       27      177      557
 1636 libgpm2                            	      24     4014      183      697     3110
 1637 libgrantlee-templates5             	      24      671       62      280      305
 1638 libjavascriptcoregtk-4.1-0         	      24     2113       52      265     1772
 1639 libjaylink0                        	      24      836       25      175      612
 1640 libkf5akonadicalendar5abi1         	      24      483       60      274      125
 1641 libkf5akonadicontact5              	      24      536       62      281      169
 1642 libkf5akonadicore5abi2             	      24      539       62      286      167
 1643 libkf5akonadimime5                 	      24      510       61      276      149
 1644 libkf5akonadiprivate5abi2          	      24      544       62      286      172
 1645 libkf5akonadiwidgets5abi1          	      24      538       62      282      170
 1646 libkf5calendarcore5abi2            	      24      524       61      276      163
 1647 libkf5calendarutils5               	      24      496       61      277      134
 1648 libkf5contacteditor5               	      24      526       62      281      159
 1649 libkf5contacts5                    	      24      782       62      286      410
 1650 libkf5identitymanagement5          	      24      512       61      277      150
 1651 libkf5itemmodels5                  	      24     1018       71      322      601
 1652 libkf5mailtransport5               	      24      510       61      276      149
 1653 libkf5mailtransportakonadi5        	      24      500       61      276      139
 1654 libkf5mime5abi1                    	      24      541       62      282      173
 1655 libkf5newstuff5                    	      24      887       73      341      449
 1656 libkf5newstuffcore5                	      24     1065       75      342      624
 1657 libprotobuf-c1                     	      24     3852       86      319     3423
 1658 libsixel-bin                       	      24      352        2      326        0
 1659 libsmbios-c2                       	      24      769       27      177      541
 1660 libwebkit2gtk-4.1-0                	      24     2103       52      265     1762
 1661 libzxing2                          	      24     2231       76      293     1838
 1662 lxqt-notificationd                 	      24      279       24      231        0
 1663 perl-modules-5.32                  	      24      727       34      669        0
 1664 python3-typing-extensions          	      24      534        7      503        0
 1665 qemu-system-common                 	      24      655       22      609        0
 1666 qlipper                            	      24      283       19      240        0
 1667 qml-module-qt-labs-folderlistmodel 	      24     1053       45      254      730
 1668 tcl                                	      24     1172       16     1131        1
 1669 tcl8.6                             	      24     1255       20     1211        0
 1670 tk8.6                              	      24     1159       14     1121        0
 1671 baobab                             	      23      200        2      175        0
 1672 cinnamon                           	      23      281       38      220        0
 1673 cpp-x86-64-linux-gnu               	      23      272       23      226        0
 1674 firebird3.0-utils                  	      23      703        9      671        0
 1675 gnome-shell-common                 	      23      193        7      160        3
 1676 gnome-shell-extension-prefs        	      23      159        2      134        0
 1677 icu-devtools                       	      23      933       13      897        0
 1678 jp2a                               	      23      366        2      341        0
 1679 keyutils                           	      23     1097       18     1055        1
 1680 libgpgmepp6                        	      23     2691       80      322     2266
 1681 libkf5grantleetheme5               	      23      531       56      257      195
 1682 libkf5i18nlocaledata5              	      23      632       56      250      303
 1683 libkf5newstuffwidgets5             	      23      681       65      295      298
 1684 libkf5syndication5abi1             	      23      984       68      298      595
 1685 libncurses-dev                     	      23      908       19      866        0
 1686 libpcre2-32-0                      	      23     1808      149      569     1067
 1687 libqt5keychain1                    	      23      604       65      277      239
 1688 libreoffice-uiconfig-common        	      23      257       13      221        0
 1689 libreoffice-uiconfig-writer        	      23      252       10      219        0
 1690 libxcb-cursor0                     	      23     1023       89      380      531
 1691 lxpolkit                           	      23      204       13      168        0
 1692 nemo                               	      23      304       39      242        0
 1693 python3-jinja2                     	      23      577        2      552        0
 1694 python3-toml                       	      23      311        0      288        0
 1695 w3m-img                            	      23      414        4      387        0
 1696 analog                             	      22      312        4      286        0
 1697 aspell-ru                          	      22      174        2      150        0
 1698 dracut-install                     	      22      234        9      203        0
 1699 evolution                          	      22      238        8      208        0
 1700 evolution-plugin-bogofilter        	      22      220        7      191        0
 1701 evolution-plugin-pstimport         	      22      224        7      195        0
 1702 evolution-plugins                  	      22      234        8      204        0
 1703 fonts-cantarell                    	      22      920       82      369      447
 1704 gcc-x86-64-linux-gnu               	      22      218       13      183        0
 1705 gir1.2-caribou-1.0                 	      22      314       39      249        4
 1706 gnome-session-common               	      22      187        6      152        7
 1707 irussian                           	      22      168        2      144        0
 1708 kde-config-gtk-style               	      22      555       68      310      155
 1709 kde-style-breeze                   	      22      635       55      269      289
 1710 kwin-common                        	      22      563       56      285      200
 1711 kwin-style-breeze                  	      22      631       51      246      312
 1712 libcbor0.8                         	      22     2780       23      163     2572
 1713 libgnutls28-dev                    	      22      260        2      236        0
 1714 libgsf-bin                         	      22      154        2      130        0
 1715 libhunspell-1.7-0                  	      22     3066       77      313     2654
 1716 libjuh-java                        	      22      397        1      374        0
 1717 libjurt-java                       	      22      396        1      373        0
 1718 libkf5globalaccelprivate5          	      22     1166       62      326      756
 1719 libkf5screen8                      	      22      583       47      260      254
 1720 liblouis20                         	      22     2834      138      530     2144
 1721 liblzo2-2                          	      22     2751      134      444     2151
 1722 libphonon4qt5-4                    	      22      913       68      331      492
 1723 libreoffice-l10n-ru                	      22      139        3      114        0
 1724 librest-1.0-0                      	      22      372       50      226       74
 1725 libridl-java                       	      22      397        1      374        0
 1726 libssl-dev                         	      22      936       17      897        0
 1727 libxext-dev                        	      22      964       13      929        0
 1728 luit                               	      22      341        3      316        0
 1729 mtools                             	      22      585       11      552        0
 1730 perl-modules-5.40                  	      22      186       17      147        0
 1731 python3-typeguard                  	      22      203        1      180        0
 1732 qemu-system-gui                    	      22      552        5      418      107
 1733 re                                 	      22      161        1      138        0
 1734 ruby-rubygems                      	      22      934       29      883        0
 1735 rubygems-integration               	      22     1075       29     1024        0
 1736 bogofilter-bdb                     	      21      293        8      264        0
 1737 dh-strip-nondeterminism            	      21      904       14      869        0
 1738 fig2dev                            	      21      679        6      652        0
 1739 firefox-esr-l10n-de                	      21      268       26      221        0
 1740 fonts-dejavu-extra                 	      21     2406      207      811     1367
 1741 gcc-14                             	      21      200       13      166        0
 1742 gcc-14-x86-64-linux-gnu            	      21      195       13      161        0
 1743 gnome-music                        	      21      116        1       94        0
 1744 gnome-sushi                        	      21      195        1      173        0
 1745 gnome-tweaks                       	      21      152        1      130        0
 1746 kio-extras                         	      21      676       54      262      339
 1747 kwrited                            	      21      547       54      271      201
 1748 libc6-dbg                          	      21      631        7      597        6
 1749 libcairo-script-interpreter2       	      21     1312       41      201     1049
 1750 libexiv2-27                        	      21     2602       41      255     2285
 1751 libfile-which-perl                 	      21      894       42      831        0
 1752 libgdm1                            	      21      200        8      171        0
 1753 libgles2                           	      21     3272       49      209     2993
 1754 libgraphene-1.0-0                  	      21     2979       51      222     2685
 1755 libgstreamer-plugins-bad1.0-0      	      21     3044       76      322     2625
 1756 libgtk-4-1                         	      21      998       41      197      739
 1757 libgtk-4-common                    	      21      998       38      189      750
 1758 libkdecorations2-5v5               	      21      616       49      272      274
 1759 libkf5activities5                  	      21     1085       56      306      702
 1760 libkf5auth5                        	      21     1116       62      306      727
 1761 libkf5kcmutils5                    	      21     1079       59      300      699
 1762 libkf5parts5                       	      21     1039       57      314      647
 1763 libkscreenlocker5                  	      21      540       49      272      198
 1764 libkwalletbackend5-5               	      21     1142       48      240      833
 1765 libmalcontent-0-0                  	      21      713       44      217      431
 1766 libnotificationmanager1            	      21      533       55      271      186
 1767 libpowerdevilcore2                 	      21      553       55      274      203
 1768 libqt5quickwidgets5                	      21     1451       85      376      969
 1769 libqt5sensors5                     	      21     1194       54      276      843
 1770 libxfixes-dev                      	      21      630        4      605        0
 1771 obconf                             	      21      327        7      299        0
 1772 plasma-nm                          	      21      526       55      277      173
 1773 plasma-pa                          	      21      538       53      267      197
 1774 plasma-widgets-addons              	      21      496       50      252      173
 1775 python3-jaraco.context             	      21      222        3      198        0
 1776 python3-ntp                        	      21     1082       37     1024        0
 1777 qemu-system-x86                    	      21      642       53      568        0
 1778 system-tools-backends              	      21      257        3      233        0
 1779 telnet                             	      21     1492       16      992      463
 1780 tk8.6-blt2.5                       	      21      901        8      872        0
 1781 tracker                            	      21      261        3      236        1
 1782 wspanish                           	      21      286        2      263        0
 1783 apache2                            	      20      786      149      616        1
 1784 aspell-es                          	      20      282        2      260        0
 1785 cpp-14                             	      20      245       21      204        0
 1786 cpp-14-x86-64-linux-gnu            	      20      241       21      200        0
 1787 firefox-esr-l10n-ru                	      20      133        9      104        0
 1788 gnome-browser-connector            	      20      133        3      110        0
 1789 gnome-color-manager                	      20      125        1      104        0
 1790 gnome-contacts                     	      20      123        1      102        0
 1791 gnome-initial-setup                	      20       94        1       73        0
 1792 gnome-maps                         	      20      120        1       99        0
 1793 gnome-session                      	      20      125        1      100        4
 1794 gnome-system-tools                 	      20      238        3      215        0
 1795 ibus                               	      20      386       56      309        1
 1796 im-config                          	      20      437       43      374        0
 1797 ispanish                           	      20      274        1      253        0
 1798 khotkeys                           	      20      525       48      259      198
 1799 libadwaita-1-0                     	      20      711       40      187      464
 1800 libappstreamqt2                    	      20      535       49      255      211
 1801 libcolorcorrect5                   	      20      528       43      252      213
 1802 libdbus-1-dev                      	      20      543        5      518        0
 1803 libdeflate-dev                     	      20      553        5      528        0
 1804 libfile-stripnondeterminism-perl   	      20      905       12      873        0
 1805 libfreetype-dev                    	      20      723       12      691        0
 1806 libkdecorations2private10          	      20      493       41      235      197
 1807 libkf5activitiesstats1             	      20      674       48      264      342
 1808 libkf5bluezqt6                     	      20      805       48      264      473
 1809 libkf5configqml5                   	      20      457       41      233      163
 1810 libkf5kcmutilscore5                	      20      895       53      266      556
 1811 libkf5kdelibs4support5             	      20      552       47      256      229
 1812 libkf5modemmanagerqt6              	      20      531       47      259      205
 1813 libkf5networkmanagerqt6            	      20      580       54      285      221
 1814 libkf5people5                      	      20      770       48      263      439
 1815 libkf5peoplebackend5               	      20      772       48      263      441
 1816 libkf5peoplewidgets5               	      20      770       48      261      441
 1817 libkf5pty5                         	      20      768       50      292      406
 1818 libkf5runner5                      	      20      976       48      265      643
 1819 libkf5style5                       	      20      644       53      269      302
 1820 libkf5threadweaver5                	      20     1040       49      274      697
 1821 libksysguardformatter1             	      20      525       48      266      191
 1822 libkuserfeedbackcore1              	      20      639       56      290      273
 1823 libkwineffects14                   	      20      437       41      235      141
 1824 libkwinglutils14                   	      20      438       41      235      142
 1825 libmpfr6                           	      20     3682       73      287     3302
 1826 libpam-kwallet5                    	      20      555       40      222      273
 1827 libprocesscore9                    	      20      523       48      266      189
 1828 libqaccessibilityclient-qt5-0      	      20      523       41      232      230
 1829 libqt5concurrent5                  	      20     1292       63      319      890
 1830 libqt5sql5-sqlite                  	      20     1777       72      353     1332
 1831 libreoffice-l10n-de                	      20      300       19      261        0
 1832 libtaskmanager6abi1                	      20      516       48      262      186
 1833 libxcb-dpms0                       	      20      742       59      289      374
 1834 low-memory-monitor                 	      20      119        2       96        1
 1835 neofetch                           	      20      430       15      395        0
 1836 pcmanfm                            	      20      320       20      280        0
 1837 plasma-vault                       	      20      429       44      230      135
 1838 python3-sipbuild                   	      20      181        0      161        0
 1839 qml-module-org-kde-draganddrop     	      20      543       48      263      212
 1840 qml-module-org-kde-kcoreaddons     	      20      583       48      262      253
 1841 qml-module-org-kde-kquickcontrolsaddons	      20      873       49      266      538
 1842 qml-module-org-kde-kwindowsystem   	      20      541       48      263      210
 1843 qml-module-org-kde-qqc2desktopstyle	      20      573       49      266      238
 1844 qml-module-qtqml-models2           	      20     1276       61      294      901
 1845 qml-module-qtquick-controls        	      20     1236       49      273      894
 1846 brave-browser                      	      19      191       26      145        1
 1847 cmake-data                         	      19      681       12      650        0
 1848 evolution-data-server              	      19      301       29      253        0
 1849 firefox-esr-l10n-es-ar             	      19      166       15      132        0
 1850 firefox-esr-l10n-es-cl             	      19      162       15      128        0
 1851 firefox-esr-l10n-es-mx             	      19      166       14      133        0
 1852 gdb                                	      19      642       16      607        0
 1853 gnome-menus                        	      19      266       19      228        0
 1854 gnome-shell-extensions             	      19      116        3       92        2
 1855 gnome-text-editor                  	      19      105        2       84        0
 1856 gnome-weather                      	      19      119        4       96        0
 1857 inkscape                           	      19      613       17      577        0
 1858 libegl-dev                         	      19      735        7      709        0
 1859 libespeak-ng1                      	      19     2799       65      239     2476
 1860 libfontconfig-dev                  	      19      681        8      654        0
 1861 libgdk-pixbuf-2.0-dev              	      19      483        6      458        0
 1862 libgl-dev                          	      19      807       12      776        0
 1863 libgles-dev                        	      19      648        6      623        0
 1864 libglx-dev                         	      19      806       10      777        0
 1865 libgnomekbd8                       	      19      567       71      243      234
 1866 libharfbuzz-dev                    	      19      518        7      492        0
 1867 libjbig-dev                        	      19      595        3      573        0
 1868 libjson-xs-perl                    	      19      980       20      941        0
 1869 libkf5calendarevents5              	      19      845       48      262      516
 1870 libkf5kexiv2-15.0.0                	      19      716       34      209      454
 1871 libkf5screendpms8                  	      19      587       40      230      298
 1872 libkf5windowsystem-data            	      19     1325       67      329      910
 1873 libkpipewire5                      	      19      436       40      231      146
 1874 libmime-types-perl                 	      19      678       12      647        0
 1875 libpackagekitqt5-1                 	      19      606       41      247      299
 1876 libpython3.9-minimal               	      19      737       79      638        1
 1877 libreoffice-l10n-en-gb             	      19      207       14      174        0
 1878 libuno-cppu3t64                    	      19      186       13      154        0
 1879 libuno-cppuhelpergcc3-3t64         	      19      186       13      154        0
 1880 libuno-sal3t64                     	      19      186       13      154        0
 1881 libuno-salhelpergcc3-3t64          	      19      186       13      154        0
 1882 libwebp-dev                        	      19      548        3      526        0
 1883 libxapian30                        	      19     3456       56      269     3112
 1884 libxcursor-dev                     	      19      572        4      549        0
 1885 libxi-dev                          	      19      588        4      565        0
 1886 libxrandr-dev                      	      19      600        5      576        0
 1887 libxrender-dev                     	      19      770       12      739        0
 1888 libzstd-dev                        	      19      583        6      558        0
 1889 lxappearance                       	      19      285        3      263        0
 1890 myspell-es                         	      19      192        1      172        0
 1891 nautilus                           	      19      209        5      185        0
 1892 plasma-thunderbolt                 	      19      428       39      216      154
 1893 python3-anyio                      	      19      469        3      447        0
 1894 python3-httpx                      	      19      461        4      438        0
 1895 python3.9-minimal                  	      19      724       88      616        1
 1896 qml-module-org-kde-bluezqt         	      19      801       42      248      492
 1897 qml-module-org-kde-kitemmodels     	      19      943       26      201      697
 1898 qml-module-org-kde-quickcharts     	      19      527       48      262      198
 1899 qml-module-qt-labs-settings        	      19     1055       43      246      747
 1900 qml-module-qtquick-dialogs         	      19     1063       44      253      747
 1901 ruby-webrick                       	      19      749        7      723        0
 1902 sysuser-helper                     	      19      313       19      275        0
 1903 tex-common                         	      19      958       16      923        0
 1904 xkb-data                           	      19     4122       65      383     3655
 1905 cmake                              	      18      677       17      642        0
 1906 emacs-gtk                          	      18      324       21      285        0
 1907 exfat-fuse                         	      18      813        7      788        0
 1908 exfat-utils                        	      18      674        5      651        0
 1909 firefox-esr-l10n-es-es             	      18      175       18      139        0
 1910 gnome-calendar                     	      18      129        4      107        0
 1911 gnome-clocks                       	      18      136        4      114        0
 1912 gnome-shell                        	      18      173       13      142        0
 1913 libcairo2-dev                      	      18      564        7      539        0
 1914 libcanberra-pulse                  	      18     1258       75      313      852
 1915 libdatrie-dev                      	      18      448        2      428        0
 1916 libfribidi-dev                     	      18      502        3      481        0
 1917 libglvnd-core-dev                  	      18      535        1      510        6
 1918 libgraphite2-dev                   	      18      524        2      504        0
 1919 libkf5notifyconfig5                	      18      902       52      265      567
 1920 liblerc-dev                        	      18      488        2      468        0
 1921 libpango1.0-dev                    	      18      474        5      451        0
 1922 libpcaudio0                        	      18     2813       67      239     2489
 1923 libpixman-1-dev                    	      18      602        4      580        0
 1924 libsonic0                          	      18     2856       67      240     2531
 1925 libthai-dev                        	      18      448        2      428        0
 1926 libwmf-bin                         	      18      645        7      620        0
 1927 libxapp1                           	      18      413       72      242       81
 1928 libxcb-shm0-dev                    	      18      589        4      567        0
 1929 libxdamage-dev                     	      18      512        3      491        0
 1930 libxft-dev                         	      18      616        6      592        0
 1931 libxinerama-dev                    	      18      620        8      594        0
 1932 libxml2-dev                        	      18      671        8      645        0
 1933 linux-image-5.10.0-34-amd64        	      18       19        1        0        0
 1934 lxde-settings-daemon               	      18      217        1      198        0
 1935 openbox                            	      18      343       42      283        0
 1936 python3-tk                         	      18      855        7      752       78
 1937 qml-module-org-kde-prison          	      18      719       27      173      501
 1938 qml-module-qt-labs-platform        	      18      855       48      256      533
 1939 runit-init                         	      18      268       30      220        0
 1940 texlive-base                       	      18      629       16      595        0
 1941 texlive-binaries                   	      18      630       27      585        0
 1942 fonts-droid-fallback               	      17     3445       86      390     2952
 1943 gir1.2-json-1.0                    	      17      566       37      174      338
 1944 gir1.2-nma-1.0                     	      17      408       34      171      186
 1945 gir1.2-upowerglib-1.0              	      17      471       38      200      216
 1946 gparted                            	      17      895       19      858        1
 1947 gpicview                           	      17      227        3      207        0
 1948 java-wrappers                      	      17      667       10      640        0
 1949 libatk1.0-dev                      	      17      425        5      403        0
 1950 libdotconf0                        	      17     2523       68      241     2197
 1951 libexporter-tiny-perl              	      17      734       12      705        0
 1952 libgtk-layer-shell0                	      17      610       58      290      245
 1953 libkf5baloo5                       	      17      668       30      156      465
 1954 libkf5balooengine5                 	      17      670       30      161      462
 1955 libkf5filemetadata3                	      17      803       30      176      580
 1956 libkf5solid5-data                  	      17     1238       62      305      854
 1957 libkf6kcmutils-bin                 	      17       56        2       37        0
 1958 libpython3.13-minimal              	      17       97       27       53        0
 1959 libpython3.9-stdlib                	      17      736       87      631        1
 1960 libreadline8                       	      17     3389       71      254     3047
 1961 libregexp-assemble-perl            	      17      548        7      524        0
 1962 libspeechd2                        	      17     2751       75      268     2391
 1963 libxcb-render0-dev                 	      17      630        4      609        0
 1964 libxcomposite-dev                  	      17      476        2      457        0
 1965 libxkbregistry0                    	      17     1446       73      368      988
 1966 lxrandr                            	      17      226        2      207        0
 1967 lxsession-edit                     	      17      184        1      166        0
 1968 lxtask                             	      17      204        3      184        0
 1969 numlockx                           	      17      217       23      177        0
 1970 pango1.0-tools                     	      17      469        4      448        0
 1971 pavucontrol-qt                     	      17      198        7      174        0
 1972 preload                            	      17      247       51      179        0
 1973 python3-cssselect                  	      17      605        2      586        0
 1974 python3-httpcore                   	      17      462        3      442        0
 1975 python3-pytz                       	      17       18        1        0        0
 1976 python3-scour                      	      17      593        3      573        0
 1977 python3-wheel                      	      17      778        5      753        3
 1978 python3.13-minimal                 	      17       90       26       47        0
 1979 rfkill                             	      17      696       16      663        0
 1980 ruby2.7                            	      17      230        1      212        0
 1981 runit                              	      17      297       45      235        0
 1982 speech-dispatcher-espeak-ng        	      17     2473       68      239     2149
 1983 texlive-latex-base                 	      17      604       23      564        0
 1984 tracker-extract                    	      17      254       27      209        1
 1985 xxkb                               	      17      111        2       92        0
 1986 ant                                	      16      849       12      821        0
 1987 aptitude                           	      16     1142       47     1079        0
 1988 autopoint                          	      16      991       13      962        0
 1989 bind9-utils                        	      16      213       16      181        0
 1990 btop                               	      16      166       11      139        0
 1991 dvisvgm                            	      16      506        4      486        0
 1992 flatpak                            	      16      371       49      306        0
 1993 libbatik-java                      	      16      594       11      566        1
 1994 libdbus-glib-1-2                   	      16     3021      161      856     1988
 1995 libevolution                       	      16      240       26      198        0
 1996 libgspell-1-2                      	      16     2081       36      202     1827
 1997 libhfstospell11                    	      16     1125       18      126      965
 1998 libintl-perl                       	      16      490       34      440        0
 1999 libkf6config-bin                   	      16       86        3       67        0
 2000 libkf6dbusaddons-bin               	      16       80        2       62        0
 2001 libkf6iconthemes-bin               	      16       68        1       51        0
 2002 libmail-sendmail-perl              	      16      942        8      918        0
 2003 libsoup2.4-1                       	      16     3040       73      407     2544
 2004 libsys-hostname-long-perl          	      16      994       13      965        0
 2005 libvoikko1                         	      16     1173       18      126     1013
 2006 libxatracker2                      	      16     3098       22      147     2913
 2007 libxkbcommon-dev                   	      16      452        4      432        0
 2008 linux-image-6.1.0-10-686           	      16       75        0       59        0
 2009 linux-image-6.1.0-31-686           	      16       18        1        1        0
 2010 lsb-base                           	      16     4069      199      888     2966
 2011 lxhotkey-core                      	      16      176        1      159        0
 2012 lxinput                            	      16      192        2      174        0
 2013 lxlock                             	      16      192        2      174        0
 2014 lxpanel                            	      16      223       13      194        0
 2015 mailutils                          	      16     1180       48     1116        0
 2016 mupdf-tools                        	      16      436        6      414        0
 2017 node-normalize.css                 	      16     2423        2      428     1977
 2018 policykit-1                        	      16     3612      110      826     2660
 2019 python3-h11                        	      16      497        6      475        0
 2020 python3-openssl                    	      16      694        6      671        1
 2021 python3-pip                        	      16      774       12      746        0
 2022 python3-rich                       	      16      480        4      460        0
 2023 python3-sniffio                    	      16      500        5      479        0
 2024 ruby-minitest                      	      16      660        6      638        0
 2025 sonnet-plugins                     	      16     1169       17      122     1014
 2026 speech-dispatcher-audio-plugins    	      16     2511       65      232     2198
 2027 usrmerge                           	      16      252        1      235        0
 2028 wngerman                           	      16      382        7      359        0
 2029 btrfs-progs                        	      15      435       35      385        0
 2030 dwz                                	      15      868       12      841        0
 2031 gir1.2-graphene-1.0                	      15      505       33      157      300
 2032 ingerman                           	      15      362        6      341        0
 2033 iswiss                             	      15      344        6      323        0
 2034 kpackagetool6                      	      15       48        2       31        0
 2035 libaccountsservice0                	      15     1390       35      124     1216
 2036 libapr1                            	      15     1398      129      406      848
 2037 libclass-inspector-perl            	      15      670       22      633        0
 2038 libdebhelper-perl                  	      15      824       11      798        0
 2039 libffado2                          	      15      299       12      272        0
 2040 libgtk-3-dev                       	      15      277        2      260        0
 2041 libharfbuzz-subset0                	      15     1424       57      221     1131
 2042 libintl-xs-perl                    	      15      390       31      320       24
 2043 libjson-perl                       	      15      947       25      907        0
 2044 libkf6guiaddons-bin                	      15       78        0       63        0
 2045 libphonenumber8                    	      15      793       38      195      545
 2046 libprotobuf32                      	      15     1434       44      198     1177
 2047 libref-util-perl                   	      15      714        9      690        0
 2048 libtypes-serialiser-perl           	      15      977       27      935        0
 2049 libwayland-dev                     	      15      454        4      435        0
 2050 lxlauncher                         	      15      140        0      125        0
 2051 lxsession                          	      15      192       12      165        0
 2052 lxsession-default-apps             	      15      143        0      128        0
 2053 lxsession-logout                   	      15      190        5      170        0
 2054 lxterminal                         	      15      256       17      224        0
 2055 openbox-lxde-session               	      15      179       10      154        0
 2056 printer-driver-postscript-hp       	      15      669        7      647        0
 2057 python3-autocommand                	      15      217        1      201        0
 2058 python3-inflect                    	      15      216        1      200        0
 2059 python3-jaraco.functools           	      15      230        4      211        0
 2060 python3-markdown                   	      15      736        8      713        0
 2061 python3-markdown-it                	      15      479        7      457        0
 2062 python3-mdurl                      	      15      479        5      459        0
 2063 python3-pyasn1                     	      15      451        4      432        0
 2064 python3-pyasn1-modules             	      15      342       12      315        0
 2065 python3-sympy                      	      15      471       11      445        0
 2066 python3-zipp                       	      15      593        2      576        0
 2067 qt5-qmake-bin                      	      15      351        1      335        0
 2068 qtwayland5                         	      15     1998       17      128     1838
 2069 quassel                            	      15      142        0      127        0
 2070 strace                             	      15      704       15      674        0
 2071 tdb-tools                          	      15      431        5      411        0
 2072 thin-provisioning-tools            	      15      592       21      556        0
 2073 tracker-miner-fs                   	      15      253       36      202        0
 2074 usermode                           	      15      176        1      160        0
 2075 xscreensaver-data                  	      15     2023       24      467     1517
 2076 aspell-de                          	      14      382       10      358        0
 2077 attr                               	      14      538        7      517        0
 2078 cdparanoia                         	      14      527        8      505        0
 2079 debhelper                          	      14      913       17      882        0
 2080 ethtool                            	      14     1132       57     1061        0
 2081 flac                               	      14      402        5      383        0
 2082 gdm3                               	      14      158       18      125        1
 2083 hunspell-de-de                     	      14      311        5      288        4
 2084 libaprutil1                        	      14     1389      130      412      833
 2085 libegl1-mesa-dev                   	      14      369        2      353        0
 2086 libextutils-pkgconfig-perl         	      14      446        1      431        0
 2087 libgeocode-glib-2-0                	      14      431       28      140      249
 2088 libkf5akonadisearchpim5            	      14      476       30      130      302
 2089 libkf5auth-data                    	      14     1223       57      292      860
 2090 libkf5codecs-data                  	      14     1226       57      287      868
 2091 libkf5completion-data              	      14     1238       57      281      886
 2092 libkf5config-data                  	      14     1289       57      288      930
 2093 libkf5dbusaddons-data              	      14     1223       57      293      859
 2094 libkf6service-bin                  	      14       72        5       53        0
 2095 libpython3.13-stdlib               	      14       92       27       51        0
 2096 libreadonly-perl                   	      14      767        8      745        0
 2097 libssl1.1                          	      14     1930      168      589     1159
 2098 libvulkan1                         	      14     3304      115      422     2753
 2099 libwayland-bin                     	      14      453        5      434        0
 2100 libxapp-gtk3-module                	      14      328       60      203       51
 2101 linux-sysctl-defaults              	      14      213       25      174        0
 2102 nodejs                             	      14      444       15      415        0
 2103 pkg-config                         	      14     1539        9      557      959
 2104 plasma-activities-bin              	      14       54        1       39        0
 2105 plasma-runners-addons              	      14      494       13       70      397
 2106 printer-driver-hpcups              	      14      688        8      666        0
 2107 python3-decorator                  	      14      865        6      845        0
 2108 python3-kiwisolver                 	      14      546        7      524        1
 2109 python3-scipy                      	      14      540        6      520        0
 2110 python3-tqdm                       	      14      240        4      222        0
 2111 python3.11-venv                    	      14      377        1      362        0
 2112 qemu-block-extra                   	      14      475        2      388       71
 2113 qtbase5-dev-tools                  	      14      383        4      359        6
 2114 ruby3.1                            	      14      728       24      690        0
 2115 syslinux-common                    	      14      419        7      398        0
 2116 xdg-desktop-portal-gnome           	      14      111       12       85        0
 2117 xvfb                               	      14      170        6      150        0
 2118 acpi                               	      13      590       16      561        0
 2119 apt-file                           	      13      544       17      514        0
 2120 audacity                           	      13      511       17      481        0
 2121 dh-autoreconf                      	      13      906       16      877        0
 2122 firefox-esr-l10n-en-gb             	      13      175       19      143        0
 2123 firmware-nvidia-gsp                	      13      159        4      142        0
 2124 gir1.2-accountsservice-1.0         	      13      437       30      129      265
 2125 grub-efi-amd64-unsigned            	      13      137        2      122        0
 2126 hddtemp                            	      13      630       15      602        0
 2127 hwinfo                             	      13      335        8      314        0
 2128 ibus-gtk4                          	      13      276       15       74      174
 2129 isympy-common                      	      13      423        2      408        0
 2130 isympy3                            	      13      423        2      408        0
 2131 k3b                                	      13      291        4      274        0
 2132 kwayland-integration               	      13     1230       15      117     1085
 2133 libatk-bridge2.0-dev               	      13      281        1      267        0
 2134 libatspi2.0-dev                    	      13      282        1      268        0
 2135 libcamel-1.2-64                    	      13      432       24      126      269
 2136 libebackend-1.2-11                 	      13      230       23      126       68
 2137 libebook-1.2-21                    	      13      230       23      125       69
 2138 libebook-contacts-1.2-4            	      13      279       23      125      118
 2139 libecal-2.0-2                      	      13      375       24      125      213
 2140 libedata-book-1.2-27               	      13      230       23      125       69
 2141 libedata-cal-2.0-2                 	      13      214       23      124       54
 2142 libedataserver-1.2-27              	      13      432       24      126      269
 2143 libedataserverui-1.2-4             	      13      214       23      125       53
 2144 libfile-sharedir-perl              	      13      547       20      514        0
 2145 libgmp-dev                         	      13      575       11      551        0
 2146 libgnome-desktop-3-20              	      13      812       26      129      644
 2147 libgtop-2.0-11                     	      13     2274       69      349     1843
 2148 libgweather-4-0                    	      13      398       25      129      231
 2149 libibus-1.0-5                      	      13     1176       63      263      837
 2150 libkf5akonadisearch-plugins        	      13      487       25      113      336
 2151 libkf5itemviews-data               	      13     1254       49      249      943
 2152 libkf5notifications-data           	      13     1215       58      282      862
 2153 libkf5unitconversion5              	      13      496       13       84      386
 2154 liblist-moreutils-perl             	      13      648       11      498      126
 2155 libmarco-private2                  	      13      488       49      243      183
 2156 libmate-menu2                      	      13      535       53      263      206
 2157 libmate-panel-applet-4-1           	      13      556       47      246      250
 2158 libmatekbd4                        	      13      531       49      246      223
 2159 libmatemixer0                      	      13      528       49      247      219
 2160 libminizip1                        	      13     1738       68      278     1379
 2161 libqalculate22                     	      13      468        9       62      384
 2162 libqt5opengl5-dev                  	      13      299        3      283        0
 2163 libqt5positioning5                 	      13     1443       45      165     1220
 2164 libqt5webchannel5                  	      13     1423       45      165     1200
 2165 librda0                            	      13      509       53      266      177
 2166 libxt-dev                          	      13      714       13      688        0
 2167 mate-polkit                        	      13      548       47      240      248
 2168 mate-screensaver-common            	      13      501       47      213      228
 2169 po-debconf                         	      13      930       17      900        0
 2170 proj-bin                           	      13      637        7      617        0
 2171 python3-babel                      	      13      495        7      475        0
 2172 python3-fonttools                  	      13      465        2      450        0
 2173 python3-lz4                        	      13      505        3      489        0
 2174 python3-pil.imagetk                	      13      508        5      490        0
 2175 python3-regex                      	      13      344       12      319        0
 2176 python3-twisted                    	      13      291        9      269        0
 2177 qt5-qmake                          	      13      355        4      334        4
 2178 ruby-power-assert                  	      13      659        6      640        0
 2179 ruby-test-unit                     	      13      657        6      638        0
 2180 sqlite3                            	      13      579       15      551        0
 2181 squashfs-tools                     	      13      404        5      386        0
 2182 texlive-latex-recommended          	      13      510        5      492        0
 2183 vorbis-tools                       	      13      456        6      437        0
 2184 xorriso                            	      13      484        4      467        0
 2185 cpp-10                             	      12     1154       53     1089        0
 2186 dvd+rw-tools                       	      12      379        6      361        0
 2187 emacs-nox                          	      12      108        7       88        1
 2188 firmware-ath9k-htc                 	      12      265        3      250        0
 2189 fonts-hack                         	      12      814       35      164      603
 2190 hunspell-de-at                     	      12      244        2      226        4
 2191 hunspell-de-ch                     	      12      248        2      230        4
 2192 kio6                               	      12       72       10       50        0
 2193 kwallet6                           	      12       86       10       64        0
 2194 libarchive-cpio-perl               	      12      726        7      707        0
 2195 libcanberra-gtk3-module            	      12     2567       23       85     2447
 2196 libcmark0.30.2                     	      12      286       21      114      139
 2197 libdate-manip-perl                 	      12      591       27      552        0
 2198 libepoxy-dev                       	      12      311        1      298        0
 2199 libgio-2.0-dev                     	      12       65        1       52        0
 2200 libgnome-autoar-0-0                	      12      339       22      136      169
 2201 libgnome-autoar-gtk-0-0            	      12      241       21      131       77
 2202 libgnome-bg-4-2                    	      12      308       12       67      217
 2203 libgnome-desktop-4-2               	      12      359       12       67      268
 2204 libjs-popper.js                    	      12      324        2      310        0
 2205 libkf5globalaccel-data             	      12     1204       46      240      906
 2206 libmate-desktop-2-17               	      12      561       53      262      234
 2207 libmateweather1                    	      12      508       43      233      220
 2208 libogdi4.1                         	      12      622        3      545       62
 2209 libpcre3                           	      12     3580      161      597     2810
 2210 libpoppler-glib8                   	      12     2918       25      198     2683
 2211 libpoppler126                      	      12     2468       35      200     2221
 2212 libqt5webenginecore5               	      12     1161       41      154      954
 2213 libqt6bluetooth6-bin               	      12       43        1       30        0
 2214 libre2-9                           	      12     1224       41      146     1025
 2215 libreoffice-uiconfig-base          	      12      101        1       88        0
 2216 libruby2.7                         	      12      503       43      448        0
 2217 libsqlite3-dev                     	      12      386        7      367        0
 2218 libsysprof-capture-4-dev           	      12       83        2       69        0
 2219 libtiff5                           	      12     1324       71      361      880
 2220 libtumbler-1-0                     	      12     1719       10      124     1573
 2221 libxtst-dev                        	      12      342        2      328        0
 2222 linux-image-5.10.0-33-amd64        	      12      220        1      206        1
 2223 linux-image-5.10.0-9-amd64         	      12      234        1      219        2
 2224 metacity                           	      12       97        2       83        0
 2225 nmap                               	      12      968       27      928        1
 2226 ntpsec-ntpdig                      	      12      547       26      509        0
 2227 nvidia-suspend-common              	      12      122        2      108        0
 2228 openjdk-11-jre-headless            	      12      720       12      695        1
 2229 opensc                             	      12      467       36      419        0
 2230 psutils                            	      12      422        7      403        0
 2231 python3-configobj                  	      12      500       10      478        0
 2232 python3-contourpy                  	      12      449        2      435        0
 2233 python3-importlib-metadata         	      12      524        3      509        0
 2234 python3-incremental                	      12      295        2      281        0
 2235 python3-libxml2                    	      12      395       12      371        0
 2236 python3-netifaces                  	      12      373       12      349        0
 2237 python3-rfc3986                    	      12      403        2      389        0
 2238 qtbase5-dev                        	      12      333        4      317        0
 2239 rpm-common                         	      12      338       14      312        0
 2240 tcpdump                            	      12      704       16      676        0
 2241 texlive-pictures                   	      12      443        4      427        0
 2242 xclip                              	      12      401       18      371        0
 2243 alacarte                           	      11       92        0       81        0
 2244 code                               	      11      118        7      100        0
 2245 dc                                 	      11      797        9      777        0
 2246 debootstrap                        	      11      494       12      471        0
 2247 dhcpcd-base                        	      11       80        8       61        0
 2248 galculator                         	      11      327       12      304        0
 2249 geany-common                       	      11      269        2      256        0
 2250 girepository-tools                 	      11       65        2       52        0
 2251 gnome-applets                      	      11       60        1       48        0
 2252 gnome-flashback                    	      11       62        1       50        0
 2253 gnome-flashback-common             	      11       64        1       49        3
 2254 gnome-panel                        	      11       64        1       52        0
 2255 gnome-power-manager                	      11       74        0       63        0
 2256 hdparm                             	      11     1489      108     1370        0
 2257 kded6                              	      11       72       11       50        0
 2258 libcaja-extension1                 	      11     2027       48      244     1724
 2259 libemail-date-format-perl          	      11      631        7      613        0
 2260 libgio-2.0-dev-bin                 	      11       65        2       52        0
 2261 libglu1-mesa-dev                   	      11      663        8      644        0
 2262 libgoa-1.0-common                  	      11     1697       24      155     1507
 2263 libgraphicsmagick-q16-3            	      11      539        2      526        0
 2264 libjavascriptcoregtk-4.0-18        	      11     2227       23      131     2062
 2265 libkf5archive-data                 	      11      976       51      242      672
 2266 libkf5konq6                        	      11      530        2       28      489
 2267 libmime-lite-perl                  	      11      588        7      570        0
 2268 libqt5webengine-data               	      11     1165       10       59     1085
 2269 libqt5webenginewidgets5            	      11     1056       38      145      862
 2270 librewolf                          	      11       96        9       76        0
 2271 libssl3t64                         	      11      299       63      214       11
 2272 libsub-override-perl               	      11      744        7      726        0
 2273 libsynctex2                        	      11     2634       59      276     2288
 2274 libtext-unidecode-perl             	      11      737       17      709        0
 2275 libwebp6                           	      11     1592       89      391     1101
 2276 linux-cpupower                     	      11      128        2      115        0
 2277 linux-image-6.1.0-29-amd64         	      11      135        5      118        1
 2278 nettle-dev                         	      11      273        1      261        0
 2279 nfs-common                         	      11      949      194      744        0
 2280 nvidia-driver-bin                  	      11      161        2      148        0
 2281 nvidia-kernel-dkms                 	      11      170        7      152        0
 2282 ofono                              	      11      186       24      151        0
 2283 plasma-workspace-data              	      11      537       33      161      332
 2284 python3-automat                    	      11      292        5      276        0
 2285 python3-constantly                 	      11      295        2      282        0
 2286 python3-hamcrest                   	      11      291        1      279        0
 2287 python3-hyperlink                  	      11      293        3      279        0
 2288 python3-ifaddr                     	      11      301        3      287        0
 2289 python3-joblib                     	      11      156        0      145        0
 2290 python3-service-identity           	      11      295        2      282        0
 2291 python3-tomli                      	      11      121        1      109        0
 2292 qml-module-org-kde-pipewire        	      11      413       12       78      312
 2293 r-cran-mass                        	      11      141        3      127        0
 2294 rpm2cpio                           	      11      276        5      260        0
 2295 shotwell-common                    	      11      444       21      121      291
 2296 syslinux                           	      11      365        5      349        0
 2297 texlive-latex-extra                	      11      436       21      404        0
 2298 texlive-plain-generic              	      11      422        3      408        0
 2299 zerofree                           	      11      266        8      247        0
 2300 bamfdaemon                         	      10      131        6      115        0
 2301 chromium-l10n                      	      10      133        8      115        0
 2302 connman                            	      10      201       24      167        0
 2303 firebird-utils                     	      10       12        1        1        0
 2304 firmware-carl9170                  	      10      115        0      105        0
 2305 geany                              	      10      270       20      240        0
 2306 gir1.2-atspi-2.0                   	      10     2633       10       66     2547
 2307 gir1.2-gck-1                       	      10      199        7       42      140
 2308 gir1.2-gcr-3                       	      10      198        7       42      139
 2309 gir1.2-polkit-1.0                  	      10     2356        8       51     2287
 2310 hplip-data                         	      10      613        4      538       61
 2311 icoutils                           	      10      311       10      291        0
 2312 libatrildocument3                  	      10     1914       48      242     1614
 2313 libbz2-dev                         	      10      420        5      405        0
 2314 libc++1-16                         	      10      349       16      323        0
 2315 libc++abi1-16                      	      10      349       16      323        0
 2316 libdbi-perl                        	      10      682       34      638        0
 2317 libffi7                            	      10     1380      140      504      726
 2318 libgnutls30t64                     	      10      294       60      204       20
 2319 libhogweed6t64                     	      10      295       62      205       18
 2320 libicu67                           	      10      820      134      499      177
 2321 libiptcdata0                       	      10      322       25      125      162
 2322 libldap-2.4-2                      	      10     1309      132      467      700
 2323 libmime-charset-perl               	      10      545        3      532        0
 2324 libnettle8t64                      	      10      295       62      205       18
 2325 libparse-recdescent-perl           	      10      499        7      482        0
 2326 libspreadsheet-parseexcel-perl     	      10      365        4      351        0
 2327 libtracker-sparql-3.0-0            	      10      457       23      103      321
 2328 libunwind-16                       	      10      351       16      325        0
 2329 libwacom2                          	      10      703       70      349      274
 2330 libwine                            	      10      523       15      466       32
 2331 lightdm-settings                   	      10       72        2       60        0
 2332 linux-image-6.1.0-30-amd64         	      10      363       12      339        2
 2333 live-boot                          	      10      164        4      150        0
 2334 lzip                               	      10      351        5      336        0
 2335 mariadb-client-core-10.5           	      10      137        6      121        0
 2336 netcat-openbsd                     	      10      637       25      602        0
 2337 nvidia-installer-cleanup           	      10      249        7      232        0
 2338 nvidia-support                     	      10      218        7      201        0
 2339 parcellite                         	      10      149       21      118        0
 2340 picom                              	      10      146        8      128        0
 2341 python3-argcomplete                	      10      265       12      243        0
 2342 python3-dnspython                  	      10      606        5      591        0
 2343 python3-fs                         	      10      465        2      453        0
 2344 python3-matplotlib                 	      10      546       74      462        0
 2345 python3-mechanize                  	      10      279        3      266        0
 2346 python3-msgpack                    	      10      448       16      422        0
 2347 python3-numpy-dev                  	      10       16        1        5        0
 2348 python3-pyqt5.qtwebchannel         	      10      230        3      217        0
 2349 python3-reportlab                  	      10      659       12      636        1
 2350 python3-requests-toolbelt          	      10      621        7      604        0
 2351 python3-simplejson                 	      10      368       26      332        0
 2352 python3-userpath                   	      10      142        0      132        0
 2353 python3-zeroconf                   	      10      297        2      285        0
 2354 qt5-gtk-platformtheme              	      10     2243       80      291     1862
 2355 r-cran-class                       	      10      139        3      126        0
 2356 r-cran-foreign                     	      10      139        3      126        0
 2357 r-cran-kernsmooth                  	      10      139        3      126        0
 2358 r-cran-matrix                      	      10      141        3      128        0
 2359 r-cran-nlme                        	      10      141        3      128        0
 2360 r-cran-nnet                        	      10      139        3      126        0
 2361 r-cran-rpart                       	      10      139        3      126        0
 2362 r-cran-spatial                     	      10      139        4      125        0
 2363 update-glx                         	      10      268        6      252        0
 2364 vcdimager                          	      10      317        7      300        0
 2365 xfsprogs                           	      10      381       25      346        0
 2366 arch-test                          	       9      425       10      406        0
 2367 binutils-gold                      	       9       46        4       33        0
 2368 binutils-gold-x86-64-linux-gnu     	       9       45        4       32        0
 2369 cinnamon-l10n                      	       9      315       14       93      199
 2370 deluge                             	       9      196        0      187        0
 2371 easy-rsa                           	       9      466       10      447        0
 2372 firefox-esr-l10n-fr                	       9       78       11       58        0
 2373 fonts-symbola                      	       9     2602      117      444     2032
 2374 g++-10                             	       9      548        5      534        0
 2375 gcc-10                             	       9      982       25      948        0
 2376 gir1.2-gdesktopenums-3.0           	       9      473        6       45      413
 2377 gir1.2-gdm-1.0                     	       9      183        6       45      123
 2378 gir1.2-geoclue-2.0                 	       9      252        7       54      182
 2379 gir1.2-gnomebluetooth-3.0          	       9      143        6       40       88
 2380 gir1.2-gnomedesktop-3.0            	       9      425        6       41      369
 2381 gir1.2-gweather-4.0                	       9      154        6       40       99
 2382 gir1.2-ibus-1.0                    	       9      574        6       81      478
 2383 gir1.2-malcontent-0                	       9      399        6       42      342
 2384 gir1.2-mutter-11                   	       9      131        6       35       81
 2385 gir1.2-rsvg-2.0                    	       9      716       10       54      643
 2386 gir1.2-soup-3.0                    	       9      563        9       50      495
 2387 gnome-desktop3-data                	       9     1446        7       53     1377
 2388 gnome-settings-daemon              	       9      432        8       61      354
 2389 gnome-software-common              	       9      396       14       98      275
 2390 grub-customizer                    	       9       73        2       62        0
 2391 ieee-data                          	       9      392        3      380        0
 2392 konsole-kpart                      	       9      629       32      163      425
 2393 libarchive-tools                   	       9      118        4      105        0
 2394 libcarp-clan-perl                  	       9      449        7      433        0
 2395 libclass-accessor-perl             	       9      562       19      534        0
 2396 libcrypt-rc4-perl                  	       9      366        4      353        0
 2397 libdate-calc-perl                  	       9      441        7      425        0
 2398 libdigest-perl-md5-perl            	       9      366        4      353        0
 2399 libflac8                           	       9      987       60      313      605
 2400 libgeoclue-2-0                     	       9      566        8       52      497
 2401 libgjs0g                           	       9      562        6       62      485
 2402 libglib2.0-0t64                    	       9      282       57      200       16
 2403 libgnome-bluetooth-3.0-13          	       9      330        6       44      271
 2404 libjcode-pm-perl                   	       9      371        5      357        0
 2405 libmodule-find-perl                	       9      324       31      284        0
 2406 libmozjs-102-0                     	       9      414        6       37      362
 2407 libmutter-11-0                     	       9      307        7       35      256
 2408 libole-storage-lite-perl           	       9      370        4      357        0
 2409 libreoffice-uiconfig-report-builder	       9       81        1       71        0
 2410 libspecio-perl                     	       9      553       10      534        0
 2411 libspreadsheet-writeexcel-perl     	       9      366        3      354        0
 2412 libstdc++-10-dev                   	       9      591        6      576        0
 2413 libtype-tiny-perl                  	       9      371        4      358        0
 2414 libvulkan-dev                      	       9      410        3      397        1
 2415 libxtables12                       	       9     4091       84      339     3659
 2416 linux-image-6.12.12-amd64          	       9       28       12        7        0
 2417 mariadb-server-core-10.5           	       9      127       16      102        0
 2418 mplayer                            	       9      491       16      466        0
 2419 needrestart                        	       9      297       30      258        0
 2420 nfs-kernel-server                  	       9      314       66      239        0
 2421 ninja-build                        	       9      298        5      284        0
 2422 nm-connection-editor               	       9       78       11       58        0
 2423 pyqt6-dev-tools                    	       9      220        3      208        0
 2424 python2.7                          	       9     1166       16     1141        0
 2425 python2.7-minimal                  	       9     1170       52     1109        0
 2426 python3-chm                        	       9      220        2      209        0
 2427 python3-cycler                     	       9      550        3      538        0
 2428 python3-executing                  	       9      263        2      252        0
 2429 python3-iniconfig                  	       9      294        1      284        0
 2430 python3-jeepney                    	       9      391        5      377        0
 2431 python3-jsonschema                 	       9      340        4      327        0
 2432 python3-pluggy                     	       9      363        1      353        0
 2433 python3-py                         	       9      380        0      371        0
 2434 python3-pygame                     	       9      290       23      258        0
 2435 python3-typer                      	       9       72        0       63        0
 2436 python3-watchdog                   	       9      176        2      165        0
 2437 python3-zope.interface             	       9      389       59      321        0
 2438 qemu-system-arm                    	       9      215        4      202        0
 2439 qml-module-org-kde-sonnet          	       9      476       10       69      388
 2440 qtspeech5-speechd-plugin           	       9     1093        4       34     1046
 2441 r-cran-cluster                     	       9      139        3      127        0
 2442 r-cran-lattice                     	       9      142        4      129        0
 2443 r-cran-survival                    	       9      139        3      127        0
 2444 texinfo                            	       9      673       11      653        0
 2445 unrar                              	       9      449       19      421        0
 2446 wine                               	       9      497       20      467        1
 2447 xfce4-notes                        	       9      173        5      159        0
 2448 cabextract                         	       8      571       11      552        0
 2449 caja-common                        	       8      549       22      137      382
 2450 cifs-utils                         	       8      375       18      349        0
 2451 deluge-common                      	       8      211        4      199        0
 2452 deluge-gtk                         	       8      206        3      195        0
 2453 exiv2                              	       8      256        2      246        0
 2454 extlinux                           	       8      182        4      170        0
 2455 feh                                	       8      315       22      285        0
 2456 firebird4.0-utils                  	       8       10        1        1        0
 2457 firefox-esr-l10n-it                	       8       85        5       72        0
 2458 firmware-intel-graphics            	       8      114        6      100        0
 2459 firmware-intel-misc                	       8      112        5       99        0
 2460 fuse                               	       8      578       31      539        0
 2461 galera-4                           	       8      273        8      257        0
 2462 gfortran                           	       8      341        6      327        0
 2463 gir1.2-cinnamondesktop-3.0         	       8      295       34      145      108
 2464 gir1.2-cmenu-3.0                   	       8      284       32      133      111
 2465 gir1.2-cvc-1.0                     	       8      293       34      145      106
 2466 gir1.2-ggit-1.0                    	       8      124        1      114        1
 2467 gir1.2-meta-muffin-0.0             	       8      284       32      139      105
 2468 golang-go                          	       8      172        3      161        0
 2469 hplip                              	       8      586       27      551        0
 2470 imagemagick-7.q16                  	       8       88        6       74        0
 2471 info                               	       8      615       10      597        0
 2472 ipxe-qemu                          	       8      660       23      603       26
 2473 kdoctools6                         	       8       19        0       11        0
 2474 libalgorithm-c3-perl               	       8      691        7      676        0
 2475 libavcodec58                       	       8     1250       52      276      914
 2476 libavutil56                        	       8     1284       53      285      938
 2477 libc6-dev-x32                      	       8      190        4      178        0
 2478 libcinnamon-menu-3-0               	       8      290       32      133      117
 2479 libcjs0                            	       8      284       32      133      111
 2480 libclass-c3-perl                   	       8      691        7      676        0
 2481 libcurl4-openssl-dev               	       8      242        3      231        0
 2482 libdatetime-timezone-perl          	       8      380       10      362        0
 2483 libfile-homedir-perl               	       8      524        9      507        0
 2484 libgphoto2-6t64                    	       8      214       34      172        0
 2485 libgtk2.0-0                        	       8     3101       79      297     2717
 2486 libidn2-dev                        	       8      254        1      245        0
 2487 libllvm11                          	       8      737       49      231      449
 2488 libmenu-cache3                     	       8      555       36      166      345
 2489 libmono-corlib4.5-dll              	       8      265        9      248        0
 2490 libmono-security4.0-cil            	       8      316        9      299        0
 2491 libmono-system-configuration4.0-cil	       8      316        7      301        0
 2492 libmono-system-core4.0-cil         	       8      315        8      299        0
 2493 libmono-system-numerics4.0-cil     	       8      312        6      298        0
 2494 libmono-system-security4.0-cil     	       8      316        5      303        0
 2495 libmono-system-xml4.0-cil          	       8      316        7      301        0
 2496 libmono-system4.0-cil              	       8      316        8      300        0
 2497 libmro-compat-perl                 	       8      691       14      669        0
 2498 libnamespace-autoclean-perl        	       8      621       10      603        0
 2499 libnemo-extension1                 	       8      311       33      137      133
 2500 libnfsidmap1                       	       8      623      147      437       31
 2501 libostree-1-1                      	       8      695       13       53      621
 2502 libpng16-16t64                     	       8      283       48      178       49
 2503 libpod-parser-perl                 	       8      413        3      402        0
 2504 libproc2-0                         	       8     3038       29      111     2890
 2505 libpython2.7-minimal               	       8     1182       32     1142        0
 2506 libpython2.7-stdlib                	       8     1180       47     1125        0
 2507 libsane-hpaio                      	       8      606       20      526       52
 2508 libswresample3                     	       8     1284       53      285      938
 2509 libtiff-tools                      	       8      185        3      174        0
 2510 libunistring5                      	       8      313       66      215       24
 2511 libxcb-record0                     	       8     1126       62      241      815
 2512 mariadb-server                     	       8      303       20      180       95
 2513 mate-desktop-common                	       8      634       24      126      476
 2514 mate-media-common                  	       8      504       24      139      333
 2515 mate-panel-common                  	       8      526       22      135      361
 2516 mate-power-manager-common          	       8      501       23      134      336
 2517 mdadm                              	       8      563       97      458        0
 2518 micro                              	       8       48        3       37        0
 2519 mono-4.0-gac                       	       8      316        2      306        0
 2520 mono-gac                           	       8      316        2      306        0
 2521 mono-runtime                       	       8      316        8      300        0
 2522 mono-runtime-common                	       8      316        4      277       27
 2523 mono-runtime-sgen                  	       8      316       10      298        0
 2524 mscompress                         	       8      580        6      566        0
 2525 nemo-fileroller                    	       8      302       31      137      126
 2526 network-manager-applet             	       8       76       18       50        0
 2527 node-undici                        	       8      296        2      286        0
 2528 ntpsec-ntpdate                     	       8      521       64      449        0
 2529 nvidia-kernel-common               	       8      215       17      190        0
 2530 nvidia-modprobe                    	       8      223       18      197        0
 2531 nvidia-settings                    	       8      167       18      141        0
 2532 nvidia-smi                         	       8      166       15      143        0
 2533 openvpn                            	       8      487       58      421        0
 2534 pipx                               	       8      141        4      129        0
 2535 powermgmt-base                     	       8     1511      294     1209        0
 2536 printer-driver-cups-pdf            	       8      204        6      190        0
 2537 pstoedit                           	       8      263        1      254        0
 2538 pv                                 	       8      468       11      449        0
 2539 python3-docopt                     	       8      204        3      193        0
 2540 python3-dotenv                     	       8      188        1      179        0
 2541 python3-geoip                      	       8      206        6      192        0
 2542 python3-greenlet                   	       8      220        3      209        0
 2543 python3-h2                         	       8      419        4      407        0
 2544 python3-hpack                      	       8      419        4      407        0
 2545 python3-hyperframe                 	       8      419        4      407        0
 2546 python3-jaraco.classes             	       8      226        1      217        0
 2547 python3-json-pointer               	       8      270        2      260        0
 2548 python3-libtorrent                 	       8      199        8      183        0
 2549 python3-lunr                       	       8      121        0      113        0
 2550 python3-magic                      	       8      336        5      323        0
 2551 python3-mergedeep                  	       8      120        0      112        0
 2552 python3-mpmath                     	       8      473        2      463        0
 2553 python3-protobuf                   	       8      245        9      228        0
 2554 python3-pyasyncore                 	       8      125        1      116        0
 2555 python3-pyqt5.qtopengl             	       8      275        6      261        0
 2556 python3-pyqt5.qtwebengine          	       8      219        1      210        0
 2557 python3-pyqt6                      	       8      252       16      228        0
 2558 python3-pytest                     	       8      301        7      286        0
 2559 python3-pyyaml-env-tag             	       8      120        0      112        0
 2560 python3-rencode                    	       8      259        7      244        0
 2561 python3-renderpm                   	       8      580        2      567        3
 2562 python3-reportlab-accel            	       8      609        3      594        4
 2563 python3-rfc3987                    	       8      262        2      252        0
 2564 python3-ufolib2                    	       8      456        2      446        0
 2565 python3-uritemplate                	       8      274        2      264        0
 2566 python3-webcolors                  	       8      263        2      253        0
 2567 python3.13-tk                      	       8       77        3       66        0
 2568 qemu-system-mips                   	       8      187        3      176        0
 2569 qemu-system-ppc                    	       8      194        4      182        0
 2570 qemu-system-sparc                  	       8      188        3      177        0
 2571 r-cran-mgcv                        	       8      141        3      130        0
 2572 recode                             	       8      132        4      120        0
 2573 slick-greeter                      	       8       72        6       58        0
 2574 suckless-tools                     	       8      305       20      277        0
 2575 texlive-lang-greek                 	       8      268        2      258        0
 2576 texlive-luatex                     	       8      301        3      290        0
 2577 tix                                	       8      494        4      482        0
 2578 ttf-mscorefonts-installer          	       8      284        3      273        0
 2579 tumbler-common                     	       8     1837        4       73     1752
 2580 virt-manager                       	       8      332        9      315        0
 2581 vivaldi-stable                     	       8      114        7       99        0
 2582 vulkan-tools                       	       8      220        6      206        0
 2583 wfrench                            	       8      128        1      119        0
 2584 wtmpdb                             	       8       57        4       45        0
 2585 xsltproc                           	       8      447        8      431        0
 2586 yad                                	       8      207        4      195        0
 2587 aspell-fr                          	       7      130        1      122        0
 2588 binfmt-support                     	       7      864       96      761        0
 2589 ca-certificates-mono               	       7      296        2      287        0
 2590 claws-mail                         	       7      174       17      150        0
 2591 cmst                               	       7      105        5       93        0
 2592 devscripts                         	       7      272        8      257        0
 2593 dh-elpa-helper                     	       7      172       12      153        0
 2594 dvipng                             	       7      256        3      246        0
 2595 extrepo                            	       7       64        1       56        0
 2596 f2fs-tools                         	       7      236        7      222        0
 2597 fastfetch                          	       7       41        3       31        0
 2598 firmware-atheros                   	       7      288        4      277        0
 2599 ftp                                	       7     1298        5      456      830
 2600 ghp-import                         	       7      120        4      109        0
 2601 gitk                               	       7      200        3      190        0
 2602 hfsplus                            	       7      125        4      114        0
 2603 ifrench-gut                        	       7      110        1      102        0
 2604 imvirt-helper                      	       7      244       26      211        0
 2605 iso-codes                          	       7     4012       24      172     3809
 2606 kglobalacceld                      	       7       45        6       32        0
 2607 libaom0                            	       7     1244       53      277      907
 2608 libatk-adaptor                     	       7     2396       50      211     2128
 2609 libatk-bridge2.0-0t64              	       7      267       45      158       57
 2610 libatk1.0-0t64                     	       7      268       45      157       59
 2611 libatk1.0-data                     	       7     1071       30      164      870
 2612 libatspi2.0-0t64                   	       7      267       45      159       56
 2613 libayatana-appindicator1           	       7      223       17       74      125
 2614 libayatana-indicator7              	       7      223       17       74      125
 2615 libbytesize1                       	       7      252       32      140       73
 2616 libc6-dev-i386                     	       7      191        9      175        0
 2617 libcinnamon-desktop4               	       7      299       33      134      125
 2618 libclang1-19                       	       7       58        3       48        0
 2619 libcodec2-0.9                      	       7     1026       59      293      667
 2620 libconfig-inifiles-perl            	       7      552        8      537        0
 2621 libcurl3t64-gnutls                 	       7      264       46      154       57
 2622 libcvc0                            	       7      272       30      126      109
 2623 libdav1d4                          	       7     1074       55      278      734
 2624 libdb5.3t64                        	       7      297       52      170       68
 2625 libdbusmenu-gtk4                   	       7      353       17       76      253
 2626 libeval-closure-perl               	       7      593       12      574        0
 2627 libfile-slurp-perl                 	       7      382       33      342        0
 2628 libflatpak0                        	       7      472        9       32      424
 2629 libgail-common                     	       7     3199       56      217     2919
 2630 libgail18                          	       7     2996       52      210     2727
 2631 libgpgme11t64                      	       7      258       31      126       94
 2632 libgtk-3-0t64                      	       7      264       44      157       56
 2633 libimvirt-perl                     	       7      244       27      210        0
 2634 libipc-run-perl                    	       7      478       13      458        0
 2635 libjavascriptcoregtk-6.0-1         	       7      145        0       10      128
 2636 libkf5bookmarks-data               	       7     1029       41      215      766
 2637 libkf5screen-data                  	       7      590       25      147      411
 2638 libkf5sonnet5-data                 	       7     1219       40      210      962
 2639 liblcms2-utils                     	       7      644        5      632        0
 2640 libldap2                           	       7       89       36       30       16
 2641 libmono-btls-interface4.0-cil      	       7      279        2      270        0
 2642 libmono-i18n-west4.0-cil           	       7      309        5      297        0
 2643 libmono-i18n4.0-cil                	       7      309        5      297        0
 2644 libmozjs-78-0                      	       7      314       30      131      146
 2645 libmtdev1t64                       	       7      246       44      160       35
 2646 libmuffin0                         	       7      278       30      126      115
 2647 libmuparser2v5                     	       7      388       24      109      248
 2648 libnet-dns-perl                    	       7      396       25      357        7
 2649 libnghttp3-9                       	       7      282       54      163       58
 2650 libngtcp2-16                       	       7      282       53      164       58
 2651 libngtcp2-crypto-gnutls8           	       7      282       53      164       58
 2652 libp11-kit-dev                     	       7      271        1      263        0
 2653 libparams-validationcompiler-perl  	       7      552       10      535        0
 2654 libpq-dev                          	       7      180        1      172        0
 2655 libpython3.13-dev                  	       7       27        1       19        0
 2656 libqt5xdg3                         	       7      321       25      101      188
 2657 libqt5xdgiconloader3               	       7      323       25      101      190
 2658 libruby3.1                         	       7      659       74      578        0
 2659 libsort-naturally-perl             	       7      338       33      298        0
 2660 libvirt-clients                    	       7      442       44      391        0
 2661 libvpx6                            	       7     1051       60      289      695
 2662 libwavpack1                        	       7     3458       71      298     3082
 2663 libwebkitgtk-6.0-4                 	       7      145        0       10      128
 2664 libx264-160                        	       7     1019       56      279      677
 2665 libx265-192                        	       7     1072       57      281      727
 2666 libyajl2                           	       7     3228      138      402     2681
 2667 lshw                               	       7      556       11      538        0
 2668 lxqt-qtplugin                      	       7      283       23      102      151
 2669 m17n-db                            	       7      403        2      394        0
 2670 marco-common                       	       7      557       21      131      398
 2671 mariadb-client                     	       7      308       29      210       62
 2672 mate-tweak                         	       7      114        2      105        0
 2673 ncompress                          	       7      133        3      123        0
 2674 neovim                             	       7      136        7      122        0
 2675 node-acorn                         	       7      294        2      285        0
 2676 printer-driver-escpr               	       7      581        6      568        0
 2677 python3-appdirs                    	       7      508        2      499        0
 2678 python3-asttokens                  	       7      280        3      270        0
 2679 python3-async-timeout              	       7      332        4      321        0
 2680 python3-jaraco.text                	       7       98        3       88        0
 2681 python3-jedi                       	       7      332        5      320        0
 2682 python3-keyring                    	       7      290        2      281        0
 2683 python3-libvirt                    	       7      362       12      343        0
 2684 python3-nltk                       	       7      125        2      116        0
 2685 python3-parso                      	       7      332        5      320        0
 2686 python3-platformdirs               	       7      240        4      229        0
 2687 python3-prompt-toolkit             	       7      321        2      312        0
 2688 python3-pyqt6.qtmultimedia         	       7       26        1       18        0
 2689 python3-pyqt6.qtsvg                	       7      188        4      177        0
 2690 python3-pyqt6.qttexttospeech       	       7       26        1       18        0
 2691 python3-pyqt6.sip                  	       7      254       16      231        0
 2692 python3-pyrsistent                 	       7      294        4      283        0
 2693 python3-pyudev                     	       7      734        4      723        0
 2694 python3-secretstorage              	       7      301        3      291        0
 2695 python3-socksio                    	       7       64        0       57        0
 2696 python3-texttable                  	       7      320        3      310        0
 2697 python3-unicodedata2               	       7      130        2      121        0
 2698 python3.13-dev                     	       7       27        1       19        0
 2699 python3.13-venv                    	       7       17        1        9        0
 2700 qemu-system-misc                   	       7      194        6      181        0
 2701 qml-module-org-kde-kcm             	       7      969        5       40      917
 2702 r-cran-boot                        	       7      139        3      129        0
 2703 r-cran-codetools                   	       7      139        3      129        0
 2704 redshift                           	       7      205       17      181        0
 2705 rpcbind                            	       7      985      198      779        1
 2706 ruby3.3                            	       7       20        5        8        0
 2707 simplescreenrecorder               	       7      164        3      154        0
 2708 sleuthkit                          	       7      173        4      162        0
 2709 vim-gui-common                     	       7      227        1      219        0
 2710 virt-viewer                        	       7      368        9      352        0
 2711 wine32                             	       7      318       15      296        0
 2712 x11vnc                             	       7      181       12      162        0
 2713 xdotool                            	       7      257       11      239        0
 2714 xsel                               	       7      266        6      253        0
 2715 b43-fwcutter                       	       6       87        1       80        0
 2716 chromium-driver                    	       6       74        2       66        0
 2717 compizconfig-settings-manager      	       6       48        2       40        0
 2718 dctrl-tools                        	       6      480       23      451        0
 2719 dleyna-server                      	       6      100        1       93        0
 2720 engrampa-common                    	       6      570       14       68      482
 2721 equivs                             	       6      279        7      266        0
 2722 firmware-brcm80211                 	       6      232        4      222        0
 2723 firmware-mediatek                  	       6      104        1       97        0
 2724 firmware-nvidia-graphics           	       6       97        3       88        0
 2725 fluidsynth                         	       6      110        1      103        0
 2726 fuseiso                            	       6      268        2      260        0
 2727 gfortran-14                        	       6       32        1       25        0
 2728 gfortran-14-x86-64-linux-gnu       	       6       31        1       24        0
 2729 gfortran-x86-64-linux-gnu          	       6       32        1       25        0
 2730 gir1.2-ayatanaappindicator3-0.1    	       6      634       38      143      447
 2731 gist                               	       6       72        1       65        0
 2732 git-email                          	       6       74        2       66        0
 2733 git-gui                            	       6      130        2      122        0
 2734 heif-thumbnailer                   	       6       45        1       38        0
 2735 iceweasel                          	       6       89        5       78        0
 2736 intel-media-va-driver              	       6     3142       83      308     2745
 2737 kde-config-screenlocker            	       6      550       30      158      356
 2738 krita                              	       6      139        6      127        0
 2739 kwin-data                          	       6      569       30      161      372
 2740 libanyevent-i3-perl                	       6      146        0      140        0
 2741 libaom-dev                         	       6      181        0      175        0
 2742 libatkmm-1.6-1v5                   	       6     2751       25      101     2619
 2743 libblockdev-crypto3                	       6      230       31      133       60
 2744 libblockdev-fs3                    	       6      231       31      134       60
 2745 libblockdev-loop3                  	       6      231       31      133       61
 2746 libblockdev-mdraid3                	       6      231       31      133       61
 2747 libblockdev-nvme3                  	       6      231       31      134       60
 2748 libblockdev-part3                  	       6      231       31      133       61
 2749 libblockdev-swap3                  	       6      231       31      133       61
 2750 libblockdev-utils3                 	       6      231       31      133       61
 2751 libblockdev3                       	       6      231       31      133       61
 2752 libboost1.74-dev                   	       6      508        6      496        0
 2753 libcairomm-1.0-1v5                 	       6     2751       26      101     2618
 2754 libccid                            	       6      542       67      469        0
 2755 libclang-common-19-dev             	       6       43        0       37        0
 2756 libclang-rt-19-dev                 	       6       41        0       35        0
 2757 libclang1-14                       	       6      373       10      357        0
 2758 libclass-singleton-perl            	       6      380       10      364        0
 2759 libcups2t64                        	       6      275       43      155       71
 2760 libcupsfilters1t64                 	       6      179       28      123       22
 2761 libcurl4                           	       6     3444      137      407     2894
 2762 libdatetime-locale-perl            	       6      380       10      364        0
 2763 libdav1d7                          	       6      284       49      165       64
 2764 libdigest-hmac-perl                	       6      545       11      528        0
 2765 libdrm-dev                         	       6      369        4      359        0
 2766 libelf1t64                         	       6      288       50      184       48
 2767 libext2fs2t64                      	       6      283       31      124      122
 2768 libfdisk1                          	       6     4087       28      130     3923
 2769 libfm-qt12                         	       6      202       17       77      102
 2770 libgtk2.0-dev                      	       6      269        6      257        0
 2771 libigdgmm12                        	       6     2549       78      284     2181
 2772 libimobiledevice-1.0-6             	       6      200       35      122       37
 2773 libimobiledevice-glue-1.0-0        	       6      203       37      124       36
 2774 libjavascriptcoregtk-4.0-dev       	       6       44        1       37        0
 2775 libkf5kiontlm5                     	       6     1195        4       18     1167
 2776 libkf5xmlgui-data                  	       6     1203       23      149     1025
 2777 libkuserfeedbackwidgets1           	       6      629       28      112      483
 2778 libllvm19                          	       6      199       38      103       52
 2779 liblxqt-globalkeys-ui1             	       6      188       19       77       86
 2780 liblxqt-globalkeys1                	       6      199       20       77       96
 2781 liblxqt1                           	       6      209       21       77      105
 2782 libmariadb-dev                     	       6      132        1      125        0
 2783 libmono-posix4.0-cil               	       6      264        6      252        0
 2784 libnss3-tools                      	       6      123        1      116        0
 2785 libnvme1t64                        	       6      213       28      122       57
 2786 libopenjp2-7-dev                   	       6      158        3      149        0
 2787 libpangomm-1.4-1v5                 	       6     2749       26      101     2616
 2788 libplist-2.0-4                     	       6      202       35      122       39
 2789 libproxy1-plugin-networkmanager    	       6      134       10       60       58
 2790 libproxy1-plugin-webkit            	       6      134       10       60       58
 2791 libpsl-dev                         	       6      119        1      112        0
 2792 libpsl5t64                         	       6      290       53      168       63
 2793 libpurple0                         	       6      393       16      104      267
 2794 libqt5virtualkeyboard5             	       6      513        7       33      467
 2795 libquvi-scripts-0.9                	       6      203        1      161       35
 2796 libreoffice-librelogo              	       6      273        5      262        0
 2797 libsigc++-2.0-0v5                  	       6     3144       28      120     2990
 2798 libssh2-1t64                       	       6      287       53      167       61
 2799 libstring-shellquote-perl          	       6      337        3      328        0
 2800 libtirpc3t64                       	       6      284       51      165       62
 2801 libusbmuxd-2.0-7                   	       6      203       37      125       35
 2802 libutf8proc2                       	       6      742       18       68      650
 2803 libwebkit2gtk-4.0-dev              	       6       42        1       35        0
 2804 light-locker                       	       6      151       16      129        0
 2805 links                              	       6      192        3      183        0
 2806 linux-image-6.11.2-amd64           	       6       40        0       34        0
 2807 live-config-sysvinit               	       6      161        0      155        0
 2808 lsscsi                             	       6      272       12      254        0
 2809 mesa-libgallium                    	       6      235       47      140       42
 2810 mono-utils                         	       6       72        2       64        0
 2811 obs-studio                         	       6      156        5      145        0
 2812 opera-stable                       	       6       71        2       63        0
 2813 passt                              	       6       34        1       27        0
 2814 plasma-desktop-data                	       6      563       30      160      367
 2815 plasma-discover-common             	       6      525       27      138      354
 2816 postgresql-client-common           	       6      315       40      269        0
 2817 postgresql-common-dev              	       6        9        1        2        0
 2818 powerdevil-data                    	       6      556       29      152      369
 2819 printer-driver-cjet                	       6      560        4      550        0
 2820 printer-driver-dymo                	       6      539        6      527        0
 2821 printer-driver-foo2zjs             	       6      561       18      537        0
 2822 printer-driver-foo2zjs-common      	       6      564       11      547        0
 2823 printer-driver-m2300w              	       6      549        9      534        0
 2824 python3-bcj                        	       6       27        1       20        0
 2825 python3-beniget                    	       6      408        1      401        0
 2826 python3-filelock                   	       6      165        1      158        0
 2827 python3-gast                       	       6      408        1      401        0
 2828 python3-inflate64                  	       6       27        1       20        0
 2829 python3-ipython                    	       6      297        5      286        0
 2830 python3-libevdev                   	       6      689       20      663        0
 2831 python3-livereload                 	       6      124        2      116        0
 2832 python3-matplotlib-inline          	       6      264        2      256        0
 2833 python3-pure-eval                  	       6      262        4      252        0
 2834 python3-pynvim                     	       6      128        0      122        0
 2835 python3-pyppmd                     	       6       27        1       20        0
 2836 python3-pyqt5.qtquick              	       6      184        3      175        0
 2837 python3-pyqt6.qtqml                	       6      199       13      180        0
 2838 python3-pyqt6.qtquick              	       6      182       12      164        0
 2839 python3-pyqt6.qtwebchannel         	       6      197       12      179        0
 2840 python3-pythran                    	       6      405        1      398        0
 2841 python3-pyzstd                     	       6       27        1       20        0
 2842 python3-roman                      	       6      378        4      367        1
 2843 python3-stack-data                 	       6      262        5      251        0
 2844 python3-traitlets                  	       6      326        4      316        0
 2845 python3-unidecode                  	       6      116        0      110        0
 2846 python3-wcwidth                    	       6      377        5      366        0
 2847 python3-webob                      	       6      204        2      196        0
 2848 python3-websocket                  	       6      210        5      199        0
 2849 python3-wxgtk4.0                   	       6      272        9      257        0
 2850 python3.12-tk                      	       6       76        1       69        0
 2851 qml-module-org-kde-newstuff        	       6     1047        3       23     1015
 2852 qml-module-qtquick-privatewidgets  	       6     1063        8       55      994
 2853 qml-module-qtquick-virtualkeyboard 	       6      526        7       33      480
 2854 r-base-core                        	       6      145       12      127        0
 2855 r-base-html                        	       6       95        1       88        0
 2856 rpm                                	       6      216       13      197        0
 2857 samba-libs                         	       6     3271      143      418     2704
 2858 sassc                              	       6       57        1       50        0
 2859 scribus                            	       6      137        1      130        0
 2860 supermin                           	       6      110        3      101        0
 2861 swtpm                              	       6      284        9      269        0
 2862 swtpm-tools                        	       6      280        6      268        0
 2863 tcpd                               	       6      486       16      464        0
 2864 texlive-extra-utils                	       6      308        4      298        0
 2865 thunderbird-l10n-de                	       6       81       12       63        0
 2866 ufw                                	       6      306       43      257        0
 2867 user-setup                         	       6      160        0      154        0
 2868 wine64                             	       6      453       17      430        0
 2869 witalian                           	       6      143        1      120       16
 2870 wsdd                               	       6       80       11       63        0
 2871 xserver-xorg-video-nvidia          	       6      174       25      143        0
 2872 zfs-dkms                           	       6       77        2       69        0
 2873 acpi-support                       	       5      141        2      122       12
 2874 alacritty                          	       5       44        6       33        0
 2875 aspell-it                          	       5      133        1      127        0
 2876 asymptote                          	       5      110        2      103        0
 2877 bluez-alsa-utils                   	       5       54        1       48        0
 2878 calibre                            	       5      225       13      207        0
 2879 calibre-bin                        	       5      226       12      209        0
 2880 chntpw                             	       5       38        1       32        0
 2881 cinnamon-desktop-data              	       5      326       12       80      229
 2882 clamav                             	       5      278       12      261        0
 2883 clinfo                             	       5       87        1       81        0
 2884 compiz-plugins-extra               	       5       40        3       32        0
 2885 connman-gtk                        	       5       92        2       85        0
 2886 crda                               	       5      396       18      373        0
 2887 db-util                            	       5      187        7      175        0
 2888 db5.3-util                         	       5      211        8      198        0
 2889 dconf-editor                       	       5      182        4      173        0
 2890 debsums                            	       5      222        7      210        0
 2891 debugedit                          	       5      232        4      223        0
 2892 dex                                	       5      137        6      126        0
 2893 dict                               	       5       92        5       82        0
 2894 dotnet-host                        	       5       36        0       31        0
 2895 evolution-data-server-common       	       5      619       14      102      498
 2896 expect                             	       5      176        7      164        0
 2897 filezilla                          	       5      298       12      281        0
 2898 firefox                            	       5      116       12       98        1
 2899 firefox-esr-l10n-nn-no             	       5       39        0       34        0
 2900 firmware-libertas                  	       5      155        0      150        0
 2901 fonts-freefont-ttf                 	       5     1520       98      334     1083
 2902 fonts-liberation                   	       5     2173      165      617     1386
 2903 fonts-noto-color-emoji             	       5     1294       87      285      917
 2904 foomatic-db-compressed-ppds        	       5      486        7      474        0
 2905 foomatic-db-engine                 	       5      551        9      537        0
 2906 fzf                                	       5       92        5       82        0
 2907 ghc                                	       5      102        3       94        0
 2908 gnome-package-updater              	       5       28        1       22        0
 2909 greybird-gtk-theme                 	       5      194        4       16      169
 2910 grim                               	       5       95        0       90        0
 2911 gstreamer1.0-tools                 	       5      149        5      139        0
 2912 gthumb                             	       5      135        4      126        0
 2913 gtkhash                            	       5      100        1       94        0
 2914 i3lock                             	       5      159        7      147        0
 2915 iitalian                           	       5      126        0      121        0
 2916 intltool                           	       5      193        3      185        0
 2917 kde-style-oxygen-qt6               	       5       23        1       17        0
 2918 kdeplasma-addons-data              	       5      512       28      144      335
 2919 keepassxc                          	       5      299       28      249       17
 2920 khotkeys-data                      	       5      528       25      149      349
 2921 kitty                              	       5       65        3       57        0
 2922 kitty-shell-integration            	       5       64        3       56        0
 2923 kpartx                             	       5      236       15      216        0
 2924 krita-gmic                         	       5      108        2      101        0
 2925 ldmtool                            	       5      111        4      102        0
 2926 libasound2-dev                     	       5      463        6      452        0
 2927 libasound2t64                      	       5      261       46      181       29
 2928 libassuan9                         	       5      228       38      110       75
 2929 libavcodec-dev                     	       5      225        0      220        0
 2930 libavformat-dev                    	       5      188        0      183        0
 2931 libavutil-dev                      	       5      231        1      225        0
 2932 libbusiness-isbn-data-perl         	       5      125        1      119        0
 2933 libclang-cpp14                     	       5      343       13      325        0
 2934 libclang-cpp19                     	       5       50        3       42        0
 2935 libcrack2                          	       5      976       13       66      892
 2936 libcurl4-gnutls-dev                	       5      145        2      138        0
 2937 libdatetime-format-strptime-perl   	       5      287        5      277        0
 2938 libdevel-globaldestruction-perl    	       5      487        4      478        0
 2939 libdist-checkconflicts-perl        	       5      417        4      408        0
 2940 libedataserverui4-1.0-0            	       5      111        0       10       96
 2941 libelf-dev                         	       5      312        8      299        0
 2942 libept1.6.0                        	       5     2165        9       72     2079
 2943 libfile-slurper-perl               	       5      178        5      168        0
 2944 libfl-dev                          	       5      470        4      461        0
 2945 libfm-qt-l10n                      	       5      281       15       63      198
 2946 libgck-2-2                         	       5      206       28      118       55
 2947 libgcr-4-4                         	       5      206       28      118       55
 2948 libglibmm-2.4-1v5                  	       5     2608       24       93     2486
 2949 libgtkmm-3.0-1v5                   	       5     2572       23       91     2453
 2950 libio-prompter-perl                	       5      206        2      199        0
 2951 libipc-shareable-perl              	       5      355        3      347        0
 2952 libkf5akonadicalendar-data         	       5      487       27      133      322
 2953 libkf5package-data                 	       5     1131       26      153      947
 2954 libkf5wallet-data                  	       5     1208       25      129     1049
 2955 libkf6texteditor-bin               	       5       27        0       22        0
 2956 libldb2                            	       5     3007      146      418     2438
 2957 liblibreofficekitgtk               	       5       65        2       58        0
 2958 liblxqt-l10n                       	       5      285       11       52      217
 2959 liblxqt0                           	       5       79        5       65        4
 2960 libmariadb-dev-compat              	       5      112        0      107        0
 2961 libmpg123-0t64                     	       5      250       44      149       52
 2962 libntfs-3g89                       	       5     2268       21       71     2171
 2963 libopenblas-pthread-dev            	       5      379        4      370        0
 2964 libpam-wtmpdb                      	       5       54        9       38        2
 2965 libpaper2                          	       5      117       29       45       38
 2966 libpciaccess-dev                   	       5      299        3      291        0
 2967 libpcre3-dev                       	       5      385        5      375        0
 2968 libpipewire-0.3-0t64               	       5      220       31      109       75
 2969 libpwquality1                      	       5      934       11       65      853
 2970 libpython3.7-minimal               	       5      322       25      292        0
 2971 libpython3.7-stdlib                	       5      320       28      287        0
 2972 libqt6webenginecore6-bin           	       5      242       17      220        0
 2973 librcc0                            	       5     1032        3      208      816
 2974 libreadline-dev                    	       5      441       12      419        5
 2975 libreoffice-l10n-fr                	       5       88        8       75        0
 2976 libreoffice-l10n-it                	       5       77        5       67        0
 2977 libreoffice-l10n-pt-br             	       5       42        0       37        0
 2978 libreofficekit-data                	       5       68        1       62        0
 2979 libsharpyuv0                       	       5      301       56      178       62
 2980 libssh-4                           	       5     1514       96      260     1153
 2981 libswresample-dev                  	       5      226        0      221        0
 2982 libtalloc2                         	       5     3366      150      446     2765
 2983 libtext-csv-perl                   	       5      294        4      285        0
 2984 libvirt-daemon                     	       5      447       97      345        0
 2985 libvirt-daemon-driver-qemu         	       5      389       90      294        0
 2986 libvirt-daemon-lock                	       5       21        1       15        0
 2987 libvirt-daemon-plugin-sanlock      	       5       21        1       15        0
 2988 libwbclient0                       	       5     3315      142      425     2743
 2989 libwebkit2gtk-4.0-37               	       5     2206       15       78     2108
 2990 libwin-hivex-perl                  	       5       99        2       92        0
 2991 libwtmpdb0                         	       5       57        9       38        5
 2992 libz3-dev                          	       5      395        5      385        0
 2993 light                              	       5       32        4       23        0
 2994 lightdm-gtk-greeter-settings       	       5       70        1       64        0
 2995 lilypond                           	       5       70        2       63        0
 2996 linux-image-4.19.0-27-amd64        	       5       74        1       68        0
 2997 live-tools                         	       5      167        7      155        0
 2998 llvm-19                            	       5       41        0       36        0
 2999 llvm-19-runtime                    	       5       41        0       36        0
 3000 llvm-19-tools                      	       5       41        0       36        0
 3001 lxqt-notificationd-l10n            	       5      272        9       48      210
 3002 lxqt-panel-l10n                    	       5      253        9       52      187
 3003 lxqt-policykit-l10n                	       5      270        8       36      221
 3004 lxqt-powermanagement-l10n          	       5      269        9       50      205
 3005 lxqt-runner-l10n                   	       5      254        9       52      188
 3006 lxqt-session-l10n                  	       5      271        9       52      205
 3007 lz4                                	       5      150        7      138        0
 3008 mesa-va-drivers                    	       5     3152       19      101     3027
 3009 microsoft-edge-stable              	       5       32        0       27        0
 3010 mkdocs                             	       5      122       13      104        0
 3011 mlocate                            	       5     1529       86      347     1091
 3012 nala                               	       5       70        5       60        0
 3013 ncftp                              	       5      136        9      122        0
 3014 node-corepack                      	       5       17        0       12        0
 3015 normalize-audio                    	       5      109        3      101        0
 3016 ntpsec                             	       5      811      204      602        0
 3017 open-vm-tools                      	       5      125       29       91        0
 3018 openjdk-21-jre-headless            	       5      100        4       91        0
 3019 openssl-provider-legacy            	       5      257       31       98      123
 3020 orphan-sysvinit-scripts            	       5      801       81      482      233
 3021 pcmanfm-qt-l10n                    	       5      274       15       65      189
 3022 pfb2t1c2pfb                        	       5      160        4      151        0
 3023 plank                              	       5       49        8       36        0
 3024 printer-driver-brlaser             	       5      574        2      567        0
 3025 printer-driver-c2esp               	       5      554        1      548        0
 3026 printer-driver-fujixerox           	       5      526        7      514        0
 3027 printer-driver-gutenprint          	       5      646       18      623        0
 3028 printer-driver-ptouch              	       5      557        7      545        0
 3029 printer-driver-pxljr               	       5      551        9      537        0
 3030 printer-driver-sag-gdi             	       5      554        1      548        0
 3031 printer-driver-splix               	       5      540        1      534        0
 3032 pylint                             	       5       94        1       88        0
 3033 python                             	       5      499        5      489        0
 3034 python-minimal                     	       5      503       33      464        1
 3035 python2                            	       5      562        8      549        0
 3036 python2-minimal                    	       5      569       27      537        0
 3037 python3-apsw                       	       5      215       13      197        0
 3038 python3-compizconfig               	       5       47        3       39        0
 3039 python3-docker                     	       5      159        2      152        0
 3040 python3-html5-parser               	       5      213       12      196        0
 3041 python3-legacy-cgi                 	       5       21        1       15        0
 3042 python3-pikepdf                    	       5      172        4      163        0
 3043 python3-psutils                    	       5        6        1        0        0
 3044 python3-pyqt5.qtmultimedia         	       5      166        3      158        0
 3045 python3-pyqt5.qtsql                	       5      208        4      199        0
 3046 python3-pyqt5.qtsvg                	       5      339        6      328        0
 3047 python3-routes                     	       5      222        2      215        0
 3048 python3-rpds-py                    	       5       48        1       42        0
 3049 python3-rpm                        	       5       34        2       27        0
 3050 python3-ruamel.yaml.clib           	       5      151        1      145        0
 3051 python3-serial                     	       5      216        3      208        0
 3052 python3-wrapt                      	       5      202        3      194        0
 3053 python3-zmq                        	       5      231        2      224        0
 3054 python3.12                         	       5      209        2      202        0
 3055 python3.7                          	       5      256        2      249        0
 3056 python3.7-minimal                  	       5      302       28      269        0
 3057 qdoc-qt5                           	       5      196        1      190        0
 3058 qhelpgenerator-qt5                 	       5      190        1      184        0
 3059 qsynth                             	       5      120        3      112        0
 3060 qt6-base-dev-tools                 	       5      145        3      137        0
 3061 qtattributionsscanner-qt5          	       5      190        1      184        0
 3062 qterminal-l10n                     	       5      275        4       22      244
 3063 refractasnapshot-base              	       5      144        0      139        0
 3064 reiserfsprogs                      	       5      159       12      142        0
 3065 rosegarden                         	       5       44        3       36        0
 3066 scrub                              	       5      118        4      109        0
 3067 sndfile-programs                   	       5       63        1       57        0
 3068 software-properties-kde            	       5      104        1       98        0
 3069 teckit                             	       5      238        3      230        0
 3070 termit                             	       5      132        2      125        0
 3071 texlive-bibtex-extra               	       5      201        1      195        0
 3072 texlive-font-utils                 	       5      257        4      248        0
 3073 texlive-pstricks                   	       5      215        2      208        0
 3074 texlive-xetex                      	       5      242        4      233        0
 3075 universal-ctags                    	       5      120        2      113        0
 3076 uuid-runtime                       	       5      780      155      620        0
 3077 vim-gtk3                           	       5      201       17      178        1
 3078 virtinst                           	       5      361        6      345        5
 3079 winetricks                         	       5      255        3      247        0
 3080 wireshark-common                   	       5      365        6      354        0
 3081 wpolish                            	       5       87        1       81        0
 3082 xapp-sn-watcher                    	       5      327       24      106      192
 3083 xorgxrdp                           	       5      127        6      116        0
 3084 xss-lock                           	       5      135        8      122        0
 3085 youtube-dl                         	       5      426        2      228      191
 3086 acpid                              	       4      826      177      645        0
 3087 alsamixergui                       	       4      193        6      183        0
 3088 apper                              	       4       90       10       76        0
 3089 appmenu-gtk-module-common          	       4       63        1       58        0
 3090 arduino                            	       4       90        2       84        0
 3091 arduino-builder                    	       4       84        2       78        0
 3092 arduino-core-avr                   	       4       84        1       79        0
 3093 arduino-ctags                      	       4       85        2       79        0
 3094 aria2                              	       4      140        2      134        0
 3095 arj                                	       4      200        7      189        0
 3096 aspell-no                          	       4       46        0       42        0
 3097 aspell-pl                          	       4       76        0       72        0
 3098 avr-libc                           	       4      132        5      123        0
 3099 ayatana-indicator-common           	       4       43        0       39        0
 3100 bind9                              	       4      175       36      135        0
 3101 binutils-avr                       	       4      136        4      128        0
 3102 binutils-i686-linux-gnu            	       4      128        6      118        0
 3103 bison                              	       4      561       13      544        0
 3104 bluez-firmware                     	       4      167        1      162        0
 3105 calamares                          	       4       11        1        6        0
 3106 chktex                             	       4      111        0      107        0
 3107 clang-14                           	       4      196        6      186        0
 3108 clang-tidy                         	       4       68        0       64        0
 3109 clang-tidy-19                      	       4       14        0       10        0
 3110 clangd-19                          	       4       12        0        8        0
 3111 codium                             	       4       53        0       49        0
 3112 compiz-mate                        	       4       38        4       30        0
 3113 cpu-x                              	       4       52        0       48        0
 3114 criu                               	       4       93        3       86        0
 3115 cvs                                	       4      229        7      218        0
 3116 docker-compose                     	       4      112        2      106        0
 3117 dosbox                             	       4      203        5      194        0
 3118 easytag                            	       4       98        3       91        0
 3119 evolution-common                   	       4      253        9       71      169
 3120 extra-xdg-menus                    	       4      157        0      153        0
 3121 falkon                             	       4       90       11       75        0
 3122 feynmf                             	       4      124        1      119        0
 3123 firefox-esr-l10n-nb-no             	       4       39        1       34        0
 3124 firefox-esr-l10n-pl                	       4       47        1       42        0
 3125 firmware-zd1211                    	       4      157        1      151        1
 3126 flameshot                          	       4       92        7       81        0
 3127 flex                               	       4      523       10      509        0
 3128 fonts-dejavu-mono                  	       4      288       35      148      101
 3129 fonts-font-awesome                 	       4     2009       25      110     1870
 3130 fonts-noto-cjk                     	       4      898       57      219      618
 3131 foot                               	       4       52        4       44        0
 3132 fragmaster                         	       4       96        0       92        0
 3133 frameworkintegration               	       4      540       14       37      485
 3134 frei0r-plugins                     	       4      242        7      231        0
 3135 gcc-avr                            	       4      136        5      127        0
 3136 git-cvs                            	       4       49        1       44        0
 3137 git-svn                            	       4       86        3       79        0
 3138 gnome-software-plugin-flatpak      	       4       47        4        7       32
 3139 gnutls-doc                         	       4       18        0       14        0
 3140 go-mtpfs                           	       4       54        1       49        0
 3141 golang-1.24-go                     	       4        4        0        0        0
 3142 golang-1.24-src                    	       4        4        0        0        0
 3143 group-service                      	       4       12        1        7        0
 3144 guestfish                          	       4       65        1       60        0
 3145 guestmount                         	       4       66        1       61        0
 3146 gufw                               	       4      118        7      107        0
 3147 guile-2.2                          	       4       82        1       77        0
 3148 handbrake                          	       4      222        4      197       17
 3149 hardinfo2                          	       4       30        0       26        0
 3150 i3status                           	       4      159       10      145        0
 3151 inorwegian                         	       4       45        0       41        0
 3152 ipolish                            	       4       72        0       68        0
 3153 iptables-persistent                	       4      109        0       74       31
 3154 isolinux                           	       4      212        1      207        0
 3155 jackd2                             	       4      161        6      151        0
 3156 kdenlive                           	       4      136        1      131        0
 3157 keepassxc-full                     	       4       26        2       20        0
 3158 kicad                              	       4      120        4      112        0
 3159 kio-fuse                           	       4       37        0       33        0
 3160 kolf                               	       4       58        0       54        0
 3161 kolourpaint                        	       4      104        0      100        0
 3162 krb5-multidev                      	       4      163        1      158        0
 3163 ksysguard                          	       4      109        3      102        0
 3164 ksysguardd                         	       4      122        3      115        0
 3165 lame                               	       4      377       11      362        0
 3166 latexdiff                          	       4      114        2      108        0
 3167 latexmk                            	       4      134        1      129        0
 3168 lcdf-typetools                     	       4       99        2       93        0
 3169 libappstream5                      	       4      176       13       43      116
 3170 libapt-pkg7.0                      	       4       23        2        0       17
 3171 libb2-1                            	       4      969       35       96      834
 3172 libbaloowidgets-bin                	       4       17        2       11        0
 3173 libblas-dev                        	       4      179        3      172        0
 3174 libblas3                           	       4     3520       40      252     3224
 3175 libboost-iostreams1.74.0           	       4     2939       47      129     2759
 3176 libboost1.83-dev                   	       4       34        1       29        0
 3177 libbsd-dev                         	       4      193        6      183        0
 3178 libbusiness-isbn-perl              	       4      125        2      119        0
 3179 libbusiness-issn-perl              	       4      121        2      115        0
 3180 libclang-common-14-dev             	       4      237        6      227        0
 3181 libclang-rt-14-dev                 	       4      209        1      204        0
 3182 libdatetime-format-builder-perl    	       4      194        4      186        0
 3183 libdisplay-info2                   	       4      106       19       44       39
 3184 libdistro-info-perl                	       4      265        4      257        0
 3185 libdolphinvcs5                     	       4      595       22       93      476
 3186 libdw1t64                          	       4      251       40      148       59
 3187 libeditorconfig0                   	       4      765        7       39      715
 3188 libevent-2.1-7t64                  	       4      262       45      154       59
 3189 libexif-dev                        	       4      161        1      156        0
 3190 libfuture-perl                     	       4      169        2      163        0
 3191 libgif-dev                         	       4      134        1      129        0
 3192 libgnutls-dane0t64                 	       4      202       32      116       50
 3193 libgtksourceview-4-0               	       4     2103       23       79     1997
 3194 libgtksourceview-4-common          	       4     2106       12       38     2052
 3195 libguestfs-tools                   	       4       95        2       89        0
 3196 libheif-dev                        	       4      177        0      173        0
 3197 libhtml-template-perl              	       4      391       12      375        0
 3198 libhwy1t64                         	       4      256       41      135       76
 3199 libidn11                           	       4     1430       61      225     1140
 3200 libimage-exiftool-perl             	       4      329       10      315        0
 3201 libinput-tools                     	       4       43        1       38        0
 3202 libjxl0.10                         	       4      108       35       40       29
 3203 libkf5baloowidgets5                	       4      590       23       94      469
 3204 libkf5i18n-data                    	       4     1257       20      142     1091
 3205 libkf5newstuff-data                	       4      894       11       58      821
 3206 libkf5purpose5                     	       4      792       32      142      614
 3207 libkf5syntaxhighlighting5          	       4      748       34      117      593
 3208 libkf5sysguard-bin                 	       4      118        1      102       11
 3209 libkrb5-dev                        	       4      148        1      143        0
 3210 liblapack3                         	       4     3303       40      207     3052
 3211 liblog-dispatch-perl               	       4      353        2      347        0
 3212 liblog-log4perl-perl               	       4      373        9      360        0
 3213 libmd-dev                          	       4      176        5      167        0
 3214 libmono-accessibility4.0-cil       	       4      193        2      187        0
 3215 libmono-csharp4.0c-cil             	       4      122        1      117        0
 3216 libmono-management4.0-cil          	       4       93        1       88        0
 3217 libmono-system-data4.0-cil         	       4      198        4      190        0
 3218 libmono-system-drawing4.0-cil      	       4      242        2      236        0
 3219 libmono-system-enterpriseservices4.0-cil	       4      198        1      193        0
 3220 libmono-system-runtime-serialization-formatters-soap4.0-cil	       4      194        1      189        0
 3221 libmono-system-transactions4.0-cil 	       4      198        4      190        0
 3222 libmono-system-windows-forms4.0-cil	       4      193        4      185        0
 3223 libmono-webbrowser4.0-cil          	       4      194        1      189        0
 3224 libncursesw6                       	       4     3927       95      301     3527
 3225 libnet-snmp-perl                   	       4      143        6      133        0
 3226 libnghttp3-dev                     	       4       20        1       15        0
 3227 libnode-dev                        	       4      196        2      190        0
 3228 liborc-0.4-0t64                    	       4      234       33      131       66
 3229 libpulse-dev                       	       4      389        4      381        0
 3230 libpython3.12-minimal              	       4      217       15      198        0
 3231 libpython3.12-stdlib               	       4      215       18      193        0
 3232 libpython3.9-dev                   	       4      182        3      174        1
 3233 libqtermwidget5-1                  	       4      242        8       41      189
 3234 librav1e0.7                        	       4      244       36      125       79
 3235 libregexp-common-perl              	       4      332       11      317        0
 3236 libreoffice-l10n-nb                	       4       39        0       35        0
 3237 libreoffice-l10n-nn                	       4       39        0       35        0
 3238 librest-0.7-0                      	       4      996       13       55      924
 3239 librsvg2-dev                       	       4      160        2      154        0
 3240 librxtx-java                       	       4       96        0       92        0
 3241 libsasl2-modules                   	       4     4059       87      206     3762
 3242 libsasl2-modules-db                	       4     4153       90      213     3846
 3243 libsdl2-dev                        	       4      222        7      211        0
 3244 libsharpyuv-dev                    	       4       71        1       66        0
 3245 libslang2                          	       4     4147       38      143     3962
 3246 libsodium23                        	       4     3547      170      517     2856
 3247 libsoup-gnome2.4-1                 	       4     2386       13       53     2316
 3248 libsoup2.4-dev                     	       4       65        1       60        0
 3249 libssh2-1-dev                      	       4      108        2      102        0
 3250 libsvtav1enc2                      	       4      251       39      132       76
 3251 libswscale-dev                     	       4      163        0      159        0
 3252 libtask-weaken-perl                	       4      281        4      273        0
 3253 libtevent0                         	       4     3061      127      383     2547
 3254 libtie-cycle-perl                  	       4      118        1      113        0
 3255 libvirt-daemon-common              	       4       26        6       16        0
 3256 libvirt-daemon-driver-lxc          	       4      343       79      260        0
 3257 libvirt-daemon-log                 	       4       26        9       13        0
 3258 libvirt-daemon-system              	       4      402        4      374       20
 3259 libvirt0                           	       4      540       91      257      188
 3260 libxpm-dev                         	       4      268        6      258        0
 3261 libxpm4                            	       4     3844      115      331     3394
 3262 libxxf86vm-dev                     	       4      398        3      391        0
 3263 libyaml-tiny-perl                  	       4      479       24      451        0
 3264 linux-headers-6.12.12-amd64        	       4        8        1        3        0
 3265 linux-headers-6.12.12-common       	       4        8        1        3        0
 3266 linux-image-6.1.0-32-amd64         	       4        4        0        0        0
 3267 linux-perf                         	       4       56        1       38       13
 3268 live-config                        	       4      157        2      151        0
 3269 llvm-14                            	       4      260        1      255        0
 3270 llvm-14-runtime                    	       4      261        1      256        0
 3271 llvm-14-tools                      	       4      257        1      252        0
 3272 mate-menu                          	       4       73        3       66        0
 3273 mate-polkit-common                 	       4      551       10       29      508
 3274 mate-terminal-common               	       4      553       14       57      478
 3275 mate-user-admin                    	       4       12        0        8        0
 3276 mdevctl                            	       4      317       50      263        0
 3277 melt                               	       4      187        1      182        0
 3278 mencoder                           	       4      150        2      144        0
 3279 mercurial                          	       4      198        6      188        0
 3280 mercurial-common                   	       4      198        9      185        0
 3281 meson                              	       4      191        2      185        0
 3282 module-assistant                   	       4      138        7      127        0
 3283 mono-csharp-shell                  	       4       92        1       87        0
 3284 moreutils                          	       4      168        9      155        0
 3285 mozo                               	       4      101        3       94        0
 3286 muffin-common                      	       4      302        8       70      220
 3287 mupdf                              	       4      131        7      120        0
 3288 ncal                               	       4      993       25      964        0
 3289 network-manager-config-connectivity-debian	       4       42        7       31        0
 3290 network-manager-openvpn            	       4      172       23      145        0
 3291 openjade                           	       4      187        1      182        0
 3292 openprinting-ppds                  	       4      487        7      476        0
 3293 optipng                            	       4      300        7      289        0
 3294 opus-tools                         	       4       95        0       91        0
 3295 p11-kit-modules                    	       4     2786       15       96     2671
 3296 pandoc                             	       4      308        7      297        0
 3297 pdftk-java                         	       4      283       10      269        0
 3298 perl-doc                           	       4      253        4      245        0
 3299 php-symfony-cache                  	       4       54        5       45        0
 3300 php-symfony-config                 	       4       52        5       43        0
 3301 php-symfony-dependency-injection   	       4       52        5       43        0
 3302 php-symfony-expression-language    	       4       54        5       45        0
 3303 php-symfony-filesystem             	       4       92        7       81        0
 3304 php-symfony-var-exporter           	       4       53        5       44        0
 3305 pigz                               	       4      642       26      612        0
 3306 postgresql-client-13               	       4      111        7      100        0
 3307 postgresql-common                  	       4      261       39      218        0
 3308 printer-driver-c2050               	       4      554        4      546        0
 3309 printer-driver-hpijs               	       4      253        1      247        1
 3310 printer-driver-indexbraille        	       4      367        1      362        0
 3311 printer-driver-min12xxw            	       4      561        5      552        0
 3312 printer-driver-oki                 	       4      368        1      363        0
 3313 printer-driver-pnm2ppa             	       4      555        5      546        0
 3314 ps2eps                             	       4      249        3      242        0
 3315 purifyeps                          	       4      104        1       99        0
 3316 python-is-python3                  	       4      151       15      132        0
 3317 python3-aiohttp                    	       4      103        8       91        0
 3318 python3-aiosignal                  	       4       94        1       89        0
 3319 python3-astroid                    	       4       95        1       90        0
 3320 python3-backcall                   	       4      256        4      248        0
 3321 python3-dbusmock                   	       4       10        0        6        0
 3322 python3-dockerpty                  	       4      112        1      107        0
 3323 python3-docutils                   	       4      377        4      368        1
 3324 python3-frozenlist                 	       4       95        8       83        0
 3325 python3-gnupg                      	       4       81        1       76        0
 3326 python3-gst-1.0                    	       4      215        4      207        0
 3327 python3-isort                      	       4      101        1       96        0
 3328 python3-multidict                  	       4      119        9      106        0
 3329 python3-nbconvert                  	       4       85        1       80        0
 3330 python3-opengl                     	       4      190        3      183        0
 3331 python3-pickleshare                	       4      264        2      258        0
 3332 python3-puremagic                  	       4        8        1        3        0
 3333 python3-pypdf                      	       4       22        1       17        0
 3334 python3-pyqt5.qtchart              	       4      107        0      103        0
 3335 python3-pyqt5.qtpositioning        	       4      141        3      134        0
 3336 python3-pyqt5.qtremoteobjects      	       4      106        1      101        0
 3337 python3-pyqt5.qtsensors            	       4      106        1      101        0
 3338 python3-pyqt5.qtserialport         	       4      106        1      101        0
 3339 python3-pyqt5.qttexttospeech       	       4      106        1      101        0
 3340 python3-pyqt5.qtwebkit             	       4      236        2      230        0
 3341 python3-pyqt5.qtwebsockets         	       4      122        1      117        0
 3342 python3-pyqt5.qtxmlpatterns        	       4      118        1      113        0
 3343 python3-qtpy                       	       4      126        3      119        0
 3344 python3-ruamel.yaml                	       4      155       15      136        0
 3345 python3-sphinx                     	       4      154        2      148        0
 3346 python3-sugar3                     	       4      257        1      252        0
 3347 python3-xapian                     	       4      144        6      134        0
 3348 python3-xxhash                     	       4       44        4       36        0
 3349 python3-yarl                       	       4      107        8       95        0
 3350 python3.12-minimal                 	       4      211       17      190        0
 3351 python3.9-dev                      	       4      176        1      169        2
 3352 qdbus-qt6                          	       4       89        2       83        0
 3353 qemu-system-riscv                  	       4       20        2       14        0
 3354 qemu-system-s390x                  	       4       20        2       14        0
 3355 qjackctl                           	       4      156        3      149        0
 3356 qmmp                               	       4       53        1       48        0
 3357 qrencode                           	       4      251        3      244        0
 3358 qt5-assistant                      	       4      202        2      196        0
 3359 qttools5-dev                       	       4      110        1      105        0
 3360 qttools5-dev-tools                 	       4      210        3      189       14
 3361 rar                                	       4      201        7      190        0
 3362 redshift-gtk                       	       4      111        3      104        0
 3363 refractainstaller-base             	       4      148        0      144        0
 3364 rrdtool                            	       4      105        6       95        0
 3365 runc                               	       4      168       24      140        0
 3366 samba                              	       4      380       91      285        0
 3367 scite                              	       4       62        1       57        0
 3368 sharutils                          	       4      192        3      185        0
 3369 sphinx-common                      	       4      167        1      162        0
 3370 steam-launcher                     	       4      144       13      127        0
 3371 subversion                         	       4      385       18      363        0
 3372 syncthing                          	       4      169       21      144        0
 3373 tcl-dev                            	       4       92        0       88        0
 3374 telegram-desktop                   	       4      146       11      131        0
 3375 texlive-humanities                 	       4       99        1       93        1
 3376 texlive-lang-cyrillic              	       4      101        1       96        0
 3377 texlive-metapost-doc               	       4      104        1       99        0
 3378 texlive-science                    	       4      266       14      248        0
 3379 timidity                           	       4      186        7      175        0
 3380 u-boot-tools                       	       4       75        2       69        0
 3381 uidmap                             	       4      172        5      163        0
 3382 unace                              	       4       79        3       59       13
 3383 unrar-free                         	       4      237        8      225        0
 3384 vbetool                            	       4      640        6      630        0
 3385 wakeonlan                          	       4      155        2      149        0
 3386 wdiff                              	       4      354        5      345        0
 3387 webapp-manager                     	       4        8        0        4        0
 3388 webp-pixbuf-loader                 	       4      751        6       26      715
 3389 wl-clipboard                       	       4       99        0       95        0
 3390 wmdocker                           	       4       67        1       62        0
 3391 wnorwegian                         	       4       44        0       40        0
 3392 xdelta3                            	       4      221        3      214        0
 3393 xserver-xorg-dev                   	       4       74        3       67        0
 3394 zfsutils-linux                     	       4       72       10       58        0
 3395 zoom                               	       4      186       14      167        1
 3396 abcde                              	       3       93        4       86        0
 3397 abootimg                           	       3       33        1       29        0
 3398 adb                                	       3      302        6      293        0
 3399 alsa-tools                         	       3      157        4      150        0
 3400 amule                              	       3       74        3       68        0
 3401 amule-common                       	       3       77        0       74        0
 3402 amule-utils                        	       3       71        0       68        0
 3403 arc-theme                          	       3       67        7       16       41
 3404 avrdude                            	       3      135        7      125        0
 3405 ayatana-indicator-application      	       3       37        5       29        0
 3406 bauh                               	       3        4        0        1        0
 3407 biber                              	       3      117        3      111        0
 3408 bind9-libs                         	       3     3543       35       97     3408
 3409 binutils-multiarch                 	       3       41        7       31        0
 3410 black                              	       3       51        0       48        0
 3411 bridge-utils                       	       3      444       61      380        0
 3412 broadcom-sta-dkms                  	       3       32        1       27        1
 3413 brotli                             	       3       35        1       31        0
 3414 bsdmainutils                       	       3      931        5      469      454
 3415 caja-eiciel                        	       3       57        7       47        0
 3416 caja-rename                        	       3       57        1       49        4
 3417 caja-seahorse                      	       3       52        7       42        0
 3418 caja-sendto                        	       3       70        8       59        0
 3419 calligra-libs                      	       3       51        1       47        0
 3420 calligrawords                      	       3       39        1       35        0
 3421 cd-discid                          	       3      100        0       97        0
 3422 cgroupfs-mount                     	       3      252       23      226        0
 3423 chromium-shell                     	       3       37        1       33        0
 3424 clang                              	       3      195        8      184        0
 3425 clang-19                           	       3       39        2       34        0
 3426 clang-format                       	       3       51        1       47        0
 3427 clang-format-19                    	       3        9        0        6        0
 3428 clang-tools-19                     	       3       14        1       10        0
 3429 clangd                             	       3       65        1       61        0
 3430 clzip                              	       3       29        2       24        0
 3431 comerr-dev                         	       3      194        3      188        0
 3432 command-not-found                  	       3      109       16       90        0
 3433 compiz-core                        	       3       52        5       44        0
 3434 compiz-gnome                       	       3       42        5       34        0
 3435 compiz-plugins                     	       3       52        5       44        0
 3436 compiz-plugins-main                	       3       52        5       44        0
 3437 containernetworking-plugins        	       3       66        1       62        0
 3438 context                            	       3       95        2       90        0
 3439 cppcheck                           	       3       55        1       51        0
 3440 cpufrequtils                       	       3      271       17      251        0
 3441 debian-goodies                     	       3      111        9       99        0
 3442 device-tree-compiler               	       3       98        1       94        0
 3443 dh-make                            	       3       73        1       69        0
 3444 dictzip                            	       3       59        3       53        0
 3445 diffoscope-minimal                 	       3       26        2       21        0
 3446 discord                            	       3      128        7      118        0
 3447 djview4                            	       3       45        1       41        0
 3448 dnsutils                           	       3     1106        7      286      810
 3449 dotnet-apphost-pack-8.0            	       3       14        0       11        0
 3450 dotnet-sdk-8.0                     	       3       14        0       11        0
 3451 doublecmd-common                   	       3       46        2       41        0
 3452 doublecmd-gtk                      	       3       35        1       31        0
 3453 doublecmd-plugins                  	       3       46        2       41        0
 3454 dput                               	       3      215        3      209        0
 3455 dunst                              	       3      176       25      148        0
 3456 dvgrab                             	       3      143        0      140        0
 3457 dvidvi                             	       3      102        0       99        0
 3458 emerald                            	       3       14        0       11        0
 3459 enscript                           	       3      131        4      124        0
 3460 erlang-base                        	       3       66        4       59        0
 3461 erlang-crypto                      	       3       64        4       57        0
 3462 erlang-syntax-tools                	       3       63        0       60        0
 3463 evemu-tools                        	       3      103        0       99        1
 3464 evtest                             	       3      109        2      103        1
 3465 exa                                	       3       37        2       32        0
 3466 exiftran                           	       3      195        0      192        0
 3467 ffcvt                              	       3       13        0       10        0
 3468 firefox-esr-l10n-pt-br             	       3       36        1       32        0
 3469 firmware-b43-installer             	       3       77        0        9       65
 3470 firmware-ipw2x00                   	       3       49        0       46        0
 3471 firmware-marvell-prestera          	       3       29        0       26        0
 3472 firmware-sof-signed                	       3       72        5       63        1
 3473 fluxbox                            	       3      103        9       91        0
 3474 foliate                            	       3       31        2       26        0
 3475 fontforge-extras                   	       3       52        1       48        0
 3476 fonts-lato                         	       3     2254       50      151     2050
 3477 fp-compiler-3.2.2                  	       3       89        3       83        0
 3478 fp-utils                           	       3       28        1       24        0
 3479 fp-utils-3.2.2                     	       3       91        3       85        0
 3480 freerdp2-x11                       	       3      187        4      180        0
 3481 frozen-bubble                      	       3       52        0       49        0
 3482 fusion-icon                        	       3       13        3        7        0
 3483 gir1.2-caja-2.0                    	       3       91       11       52       25
 3484 gir1.2-soup-2.4                    	       3     1910       12       46     1849
 3485 git-mediawiki                      	       3       39        1       35        0
 3486 glyrc                              	       3       93        0       90        0
 3487 gnome-epub-thumbnailer             	       3       34        2       29        0
 3488 gnome-terminal-data                	       3      451        9       52      387
 3489 gnubg                              	       3       21        0       18        0
 3490 grsync                             	       3       74        2       69        0
 3491 gtk2-engines                       	       3     2991       30      129     2829
 3492 gtk2-engines-pixbuf                	       3     2028       34      125     1866
 3493 guestfs-tools                      	       3       65        2       60        0
 3494 guile-3.0                          	       3      122        1      118        0
 3495 hardinfo                           	       3      159        3      136       17
 3496 haveged                            	       3      195       38      154        0
 3497 hdf5-helpers                       	       3       84        1       80        0
 3498 helpdev                            	       3       43        1       39        0
 3499 hexedit                            	       3       90        1       86        0
 3500 icedove                            	       3       40        1       36        0
 3501 icewm                              	       3       78        5       70        0
 3502 icewm-common                       	       3       79        5       71        0
 3503 inotify-tools                      	       3      153        9      141        0
 3504 iperf3                             	       3      199        8      188        0
 3505 jed-common                         	       3       40        1       36        0
 3506 kapman                             	       3       59        0       56        0
 3507 kblocks                            	       3       64        0       61        0
 3508 kdeconnect-libs                    	       3       39       14       17        5
 3509 kdevelop                           	       3       48        0       45        0
 3510 kdf                                	       3       63        0       60        0
 3511 kdiff3                             	       3       83        4       76        0
 3512 kid3                               	       3       38        2       33        0
 3513 kid3-core                          	       3       50        2       45        0
 3514 kmahjongg                          	       3       82        2       77        0
 3515 knights                            	       3       59        0       56        0
 3516 krusader                           	       3       62        7       52        0
 3517 ktuberling                         	       3       62        1       58        0
 3518 lacheck                            	       3      115        1      111        0
 3519 latex-cjk-chinese                  	       3       94        1       90        0
 3520 latex-cjk-common                   	       3       96        1       92        0
 3521 latex-cjk-japanese                 	       3       96        0       93        0
 3522 latex-cjk-thai                     	       3       94        1       90        0
 3523 layer-shell-qt                     	       3      459        6       22      428
 3524 ledit                              	       3       62        1       58        0
 3525 libabsl20200923                    	       3      131       15       57       56
 3526 libalien-sdl-perl                  	       3       93        0       90        0
 3527 libappconfig-perl                  	       3       81        2       76        0
 3528 libappstream-glib8                 	       3      180        4       23      150
 3529 libarchive-dev                     	       3      108        1      104        0
 3530 libarchive13t64                    	       3      245       10       36      196
 3531 libarray-intspan-perl              	       3      183        2      178        0
 3532 libavcodec61                       	       3      216       34      110       69
 3533 libavif9                           	       3      103       15       51       34
 3534 libavutil59                        	       3      225       35      114       73
 3535 libboost-filesystem1.74.0          	       3     2640       53      144     2440
 3536 libboost-locale1.74.0              	       3     2529       35       97     2394
 3537 libboost-thread1.74.0              	       3     2778       52      128     2595
 3538 libbusiness-ismn-perl              	       3      118        1      114        0
 3539 libbytes-random-secure-perl        	       3      211        6      202        0
 3540 libcap-ng-dev                      	       3       51        4       44        0
 3541 libchromaprint1                    	       3     3322       77      249     2993
 3542 libck-connector0                   	       3      787       63      235      486
 3543 libclucene-contribs1v5             	       3     2651       29       84     2535
 3544 libclucene-core1v5                 	       3     2660       29       85     2543
 3545 libcoarrays-dev                    	       3      178        1      156       18
 3546 libcodec2-1.2                      	       3      259       41      138       77
 3547 libcompizconfig0                   	       3       50        5       42        0
 3548 libcontextual-return-perl          	       3      206        2      201        0
 3549 libconvert-binhex-perl             	       3      342        5      334        0
 3550 libcrypt-random-seed-perl          	       3      211        6      202        0
 3551 libcurses-ui-perl                  	       3      220        6      211        0
 3552 libdata-compare-perl               	       3      132        1      128        0
 3553 libdata-uniqid-perl                	       3      126        1      122        0
 3554 libdatetime-calendar-julian-perl   	       3      118        1      114        0
 3555 libdatetime-format-iso8601-perl    	       3       82        2       77        0
 3556 libdav1d-dev                       	       3      188        1      184        0
 3557 libdebuginfod1                     	       3      972       28      101      840
 3558 libdigest-bubblebabble-perl        	       3      322        7      312        0
 3559 libeinfo1                          	       3      534        1        8      522
 3560 libemail-valid-perl                	       3      170        4      163        0
 3561 libeot0                            	       3     2862       32       87     2740
 3562 libeudev-dev                       	       3      409        4      402        0
 3563 libevent-core-2.1-7                	       3     1628      157      438     1030
 3564 libexttextcat-2.0-0                	       3     2938       32       87     2816
 3565 libfam0                            	       3      346       17       85      241
 3566 libfile-chdir-perl                 	       3      226        4      219        0
 3567 libfile-dirlist-perl               	       3      251        6      242        0
 3568 libfile-touch-perl                 	       3      256        6      247        0
 3569 libflac-dev                        	       3      236        3      230        0
 3570 libflac14                          	       3       23       17        0        3
 3571 libgav1-0                          	       3      103       15       51       34
 3572 libgbm-dev                         	       3      218        2      213        0
 3573 libgcrypt20-dev                    	       3      179        2      174        0
 3574 libgdiplus                         	       3      246        1      242        0
 3575 libgetopt-long-descriptive-perl    	       3      215        5      207        0
 3576 libgfortran5                       	       3     3273       49      189     3032
 3577 libghc-utf8-string-dev             	       3       38        2       33        0
 3578 libgit-wrapper-perl                	       3      213        3      207        0
 3579 libgitlab-api-v4-perl              	       3      203        3      197        0
 3580 libgme0                            	       3     3335       75      242     3015
 3581 libgoffice-0.10-10                 	       3      166        7      156        0
 3582 libgpg-error-dev                   	       3      196        2      191        0
 3583 libgphoto2-port12t64               	       3      218       21       66      128
 3584 libgraphviz-dev                    	       3      109        0      106        0
 3585 libgtk-4-media-gstreamer           	       3      223        5       16      199
 3586 libgtk2.0-common                   	       3     3336       36      152     3145
 3587 libhavege2                         	       3      163       36      118        6
 3588 libhdf5-dev                        	       3       80        1       76        0
 3589 libhttp-tiny-multipart-perl        	       3      203        2      198        0
 3590 libhwloc-dev                       	       3      145        3      139        0
 3591 libibus-1.0-dev                    	       3      224        2      219        0
 3592 libilmbase25                       	       3      601       14       50      534
 3593 libimath-dev                       	       3       98        0       95        0
 3594 libinput-dev                       	       3       67        2       62        0
 3595 libio-multiplex-perl               	       3      162        4      155        0
 3596 libio-sessiondata-perl             	       3      249        4      242        0
 3597 libio-socket-inet6-perl            	       3      433       21      409        0
 3598 libio-socket-socks-perl            	       3      129        4      122        0
 3599 libjssc-java                       	       3       84        0       81        0
 3600 libkf5config-dev                   	       3       93        0       90        0
 3601 libkf5config-dev-bin               	       3       94        0       91        0
 3602 libkf5coreaddons-dev               	       3       93        0       90        0
 3603 libkf5coreaddons-dev-bin           	       3       95        0       92        0
 3604 libkf5dbusaddons-dev               	       3       85        0       82        0
 3605 libkf5i18n-dev                     	       3       93        0       90        0
 3606 libkf5service-dev                  	       3       85        0       82        0
 3607 libkf5texteditor5                  	       3      679        7       38      631
 3608 libkf6auth-data                    	       3       73        9       11       50
 3609 libkf6authcore6                    	       3       72       13       22       34
 3610 libkf6configcore6                  	       3       91       15       31       42
 3611 libkf6coreaddons-data              	       3       90       14       28       45
 3612 libkf6coreaddons6                  	       3       87       14       28       42
 3613 libkf6crash6                       	       3       78       14       25       36
 3614 libkf6dbusaddons-data              	       3       87        9       11       64
 3615 libkf6dbusaddons6                  	       3       86       14       27       42
 3616 libkf6i18n6                        	       3       87       13       28       43
 3617 libkf6kiocore6                     	       3       72       13       22       34
 3618 libkf6kiogui6                      	       3       72       13       22       34
 3619 libkf6notifications-data           	       3       91        9       11       68
 3620 libkf6notifications6               	       3       90       14       31       42
 3621 libkf6service6                     	       3       72       14       22       33
 3622 libkf6solid-data                   	       3       74        9       13       49
 3623 libkf6solid6                       	       3       73       13       24       33
 3624 libkf6windowsystem-data            	       3       92        9       11       69
 3625 libkf6windowsystem6                	       3       91       14       31       43
 3626 liblangtag1                        	       3     2865       32       87     2743
 3627 liblayershellqtinterface5          	       3      434       10       38      383
 3628 liblcms2-dev                       	       3      176        1      172        0
 3629 libldap-dev                        	       3      105        0      102        0
 3630 liblightdm-gobject-1-0             	       3     1078       25       87      963
 3631 liblingua-translit-perl            	       3      115        1      111        0
 3632 liblist-allutils-perl              	       3      139        2      134        0
 3633 liblog-any-adapter-screen-perl     	       3      214        2      209        0
 3634 liblog-any-perl                    	       3      218        3      212        0
 3635 liblz4-dev                         	       3       99        3       93        0
 3636 libmateweather-common              	       3      528        8       24      493
 3637 libmath-random-isaac-perl          	       3      221        6      212        0
 3638 libmhash2                          	       3     2908       32       90     2783
 3639 libmime-tools-perl                 	       3      342        6      333        0
 3640 libmojo-server-fastcgi-perl        	       3      123        0      120        0
 3641 libmojolicious-perl                	       3      137        5      129        0
 3642 libmono-2.0-dev                    	       3       93        1       89        0
 3643 libmono-cairo4.0-cil               	       3      159        1      155        0
 3644 libmono-cecil-private-cil          	       3       95        1       91        0
 3645 libmono-cil-dev                    	       3       90        1       86        0
 3646 libmono-codecontracts4.0-cil       	       3       91        1       87        0
 3647 libmono-compilerservices-symbolwriter4.0-cil	       3       92        1       88        0
 3648 libmono-cscompmgd0.0-cil           	       3       87        1       83        0
 3649 libmono-custommarshalers4.0-cil    	       3       91        1       87        0
 3650 libmono-data-tds4.0-cil            	       3       97        1       93        0
 3651 libmono-db2-1.0-cil                	       3       90        1       86        0
 3652 libmono-debugger-soft4.0a-cil      	       3       90        1       86        0
 3653 libmono-http4.0-cil                	       3       91        1       87        0
 3654 libmono-i18n-cjk4.0-cil            	       3      110        1      106        0
 3655 libmono-i18n-mideast4.0-cil        	       3      110        1      106        0
 3656 libmono-i18n-other4.0-cil          	       3      110        1      106        0
 3657 libmono-i18n-rare4.0-cil           	       3      110        1      106        0
 3658 libmono-ldap4.0-cil                	       3      123        1      119        0
 3659 libmono-messaging-rabbitmq4.0-cil  	       3       91        1       87        0
 3660 libmono-messaging4.0-cil           	       3       96        1       92        0
 3661 libmono-microsoft-build-engine4.0-cil	       3       94        1       90        0
 3662 libmono-microsoft-build-framework4.0-cil	       3       94        1       90        0
 3663 libmono-microsoft-build-tasks-v4.0-4.0-cil	       3       93        1       89        0
 3664 libmono-microsoft-build-utilities-v4.0-4.0-cil	       3       94        1       90        0
 3665 libmono-microsoft-build4.0-cil     	       3       91        1       87        0
 3666 libmono-microsoft-csharp4.0-cil    	       3       99        1       95        0
 3667 libmono-microsoft-visualc10.0-cil  	       3       91        1       87        0
 3668 libmono-microsoft-web-infrastructure1.0-cil	       3       92        1       88        0
 3669 libmono-oracle4.0-cil              	       3       91        1       87        0
 3670 libmono-parallel4.0-cil            	       3       90        1       86        0
 3671 libmono-peapi4.0a-cil              	       3       90        1       86        0
 3672 libmono-profiler                   	       3       53        1       47        2
 3673 libmono-rabbitmq4.0-cil            	       3       91        1       87        0
 3674 libmono-relaxng4.0-cil             	       3       91        1       87        0
 3675 libmono-sharpzip4.84-cil           	       3      117        1      113        0
 3676 libmono-simd4.0-cil                	       3       91        1       87        0
 3677 libmono-smdiagnostics0.0-cil       	       3       87        1       83        0
 3678 libmono-sqlite4.0-cil              	       3      125        4      118        0
 3679 libmono-system-componentmodel-composition4.0-cil	       3       92        1       88        0
 3680 libmono-system-componentmodel-dataannotations4.0-cil	       3      123        1      119        0
 3681 libmono-system-configuration-install4.0-cil	       3       96        1       92        0
 3682 libmono-system-data-datasetextensions4.0-cil	       3       91        1       87        0
 3683 libmono-system-data-entity4.0-cil  	       3       87        1       83        0
 3684 libmono-system-data-linq4.0-cil    	       3       92        1       88        0
 3685 libmono-system-data-services-client4.0-cil	       3       93        1       89        0
 3686 libmono-system-data-services4.0-cil	       3       91        1       87        0
 3687 libmono-system-deployment4.0-cil   	       3       87        1       83        0
 3688 libmono-system-design4.0-cil       	       3      123        1      119        0
 3689 libmono-system-drawing-design4.0-cil	       3       92        1       88        0
 3690 libmono-system-dynamic4.0-cil      	       3       91        1       87        0
 3691 libmono-system-identitymodel-selectors4.0-cil	       3       96        1       92        0
 3692 libmono-system-identitymodel4.0-cil	       3       96        1       92        0
 3693 libmono-system-io-compression-filesystem4.0-cil	       3       90        1       86        0
 3694 libmono-system-io-compression4.0-cil	       3       90        1       86        0
 3695 libmono-system-json-microsoft4.0-cil	       3       90        1       86        0
 3696 libmono-system-json4.0-cil         	       3       90        1       86        0
 3697 libmono-system-ldap-protocols4.0-cil	       3       90        1       86        0
 3698 libmono-system-ldap4.0-cil         	       3      123        1      119        0
 3699 libmono-system-management4.0-cil   	       3       91        1       87        0
 3700 libmono-system-messaging4.0-cil    	       3       96        1       92        0
 3701 libmono-system-net-http-formatting4.0-cil	       3       90        1       86        0
 3702 libmono-system-net-http-webrequest4.0-cil	       3       92        3       86        0
 3703 libmono-system-net-http4.0-cil     	       3       93        4       86        0
 3704 libmono-system-net4.0-cil          	       3       91        1       87        0
 3705 libmono-system-numerics-vectors4.0-cil	       3       87        1       83        0
 3706 libmono-system-reactive-core2.2-cil	       3       90        1       86        0
 3707 libmono-system-reactive-debugger2.2-cil	       3       90        1       86        0
 3708 libmono-system-reactive-experimental2.2-cil	       3       90        1       86        0
 3709 libmono-system-reactive-interfaces2.2-cil	       3       90        1       86        0
 3710 libmono-system-reactive-linq2.2-cil	       3       90        1       86        0
 3711 libmono-system-reactive-observable-aliases0.0-cil	       3       90        1       86        0
 3712 libmono-system-reactive-platformservices2.2-cil	       3       90        1       86        0
 3713 libmono-system-reactive-providers2.2-cil	       3       90        1       86        0
 3714 libmono-system-reactive-runtime-remoting2.2-cil	       3       90        1       86        0
 3715 libmono-system-reactive-windows-forms2.2-cil	       3       90        1       86        0
 3716 libmono-system-reactive-windows-threading2.2-cil	       3       90        1       86        0
 3717 libmono-system-reflection-context4.0-cil	       3       87        1       83        0
 3718 libmono-system-runtime-caching4.0-cil	       3       93        1       89        0
 3719 libmono-system-runtime-durableinstancing4.0-cil	       3       91        1       87        0
 3720 libmono-system-runtime-serialization4.0-cil	       3      108        4      101        0
 3721 libmono-system-runtime4.0-cil      	       3      111        1      107        0
 3722 libmono-system-servicemodel-activation4.0-cil	       3       96        1       92        0
 3723 libmono-system-servicemodel-discovery4.0-cil	       3       91        1       87        0
 3724 libmono-system-servicemodel-internals0.0-cil	       3      106        1      102        0
 3725 libmono-system-servicemodel-routing4.0-cil	       3       91        1       87        0
 3726 libmono-system-servicemodel-web4.0-cil	       3       91        1       87        0
 3727 libmono-system-servicemodel4.0a-cil	       3       96        1       92        0
 3728 libmono-system-serviceprocess4.0-cil	       3       91        1       87        0
 3729 libmono-system-threading-tasks-dataflow4.0-cil	       3       90        1       86        0
 3730 libmono-system-web-abstractions4.0-cil	       3       91        1       87        0
 3731 libmono-system-web-applicationservices4.0-cil	       3      124        1      120        0
 3732 libmono-system-web-dynamicdata4.0-cil	       3       91        1       87        0
 3733 libmono-system-web-extensions-design4.0-cil	       3       91        1       87        0
 3734 libmono-system-web-extensions4.0-cil	       3       92        1       88        0
 3735 libmono-system-web-http-selfhost4.0-cil	       3       90        1       86        0
 3736 libmono-system-web-http-webhost4.0-cil	       3       90        1       86        0
 3737 libmono-system-web-http4.0-cil     	       3       90        1       86        0
 3738 libmono-system-web-mobile4.0-cil   	       3       87        1       83        0
 3739 libmono-system-web-mvc3.0-cil      	       3       91        1       87        0
 3740 libmono-system-web-razor2.0-cil    	       3       91        1       87        0
 3741 libmono-system-web-regularexpressions4.0-cil	       3       87        1       83        0
 3742 libmono-system-web-routing4.0-cil  	       3       91        1       87        0
 3743 libmono-system-web-services4.0-cil 	       3      124        3      118        0
 3744 libmono-system-web-webpages-deployment2.0-cil	       3       91        1       87        0
 3745 libmono-system-web-webpages-razor2.0-cil	       3       91        1       87        0
 3746 libmono-system-web-webpages2.0-cil 	       3       91        1       87        0
 3747 libmono-system-web4.0-cil          	       3      124        3      118        0
 3748 libmono-system-windows-forms-datavisualization4.0a-cil	       3       90        1       86        0
 3749 libmono-system-windows4.0-cil      	       3       90        1       86        0
 3750 libmono-system-workflow-activities4.0-cil	       3       87        1       83        0
 3751 libmono-system-workflow-componentmodel4.0-cil	       3       87        1       83        0
 3752 libmono-system-workflow-runtime4.0-cil	       3       87        1       83        0
 3753 libmono-system-xaml4.0-cil         	       3       93        1       89        0
 3754 libmono-system-xml-linq4.0-cil     	       3      107        3      101        0
 3755 libmono-system-xml-serialization4.0-cil	       3       90        1       86        0
 3756 libmono-tasklets4.0-cil            	       3       91        1       87        0
 3757 libmono-webmatrix-data4.0-cil      	       3       91        1       87        0
 3758 libmono-windowsbase4.0-cil         	       3       93        3       87        0
 3759 libmono-xbuild-tasks4.0-cil        	       3       93        1       89        0
 3760 libmonosgen-2.0-dev                	       3       90        1       86        0
 3761 libmspack0                         	       3      762       71      225      463
 3762 libmythes-1.2-0                    	       3     2857       32       88     2734
 3763 libnet-cidr-perl                   	       3      173        5      165        0
 3764 libnma-gtk4-0                      	       3      429        0        4      422
 3765 libnorm1                           	       3     3002       60      217     2722
 3766 libnotify-dev                      	       3       59        1       55        0
 3767 libnumbertext-1.0-0                	       3     2781       32       83     2663
 3768 libopenexr-dev                     	       3      124        1      120        0
 3769 libopenexr25                       	       3      597       14       50      530
 3770 libopenmpt0                        	       3     3083       62      208     2810
 3771 liborcus-0.17-0                    	       3     2036       24       59     1950
 3772 liborcus-parser-0.17-0             	       3     2037       24       59     1951
 3773 liboxygenstyle5-5                  	       3      531        5       24      499
 3774 libpam0g-dev                       	       3      124        3      118        0
 3775 libpath-iterator-rule-perl         	       3      200        3      194        0
 3776 libpcap0.8                         	       3     3401       94      286     3018
 3777 libpcap0.8-dev                     	       3       97        1       93        0
 3778 libpgm-5.3-0                       	       3     2857       60      217     2577
 3779 libphonon4qt5-data                 	       3      850       31      137      679
 3780 libpod-constants-perl              	       3      196        3      190        0
 3781 libpolkit-gobject-consolekit-1-0   	       3      549       56      217      273
 3782 libpopt0                           	       3     4154      115      333     3703
 3783 libpostproc-dev                    	       3       89        0       86        0
 3784 libpsm-infinipath1                 	       3      337        8      319        7
 3785 libpython3.11                      	       3     2475       42      163     2267
 3786 libqt6bluetooth6                   	       3       42       14       16        9
 3787 libqt6core6t64                     	       3      154       20       39       92
 3788 libqt6dbus6                        	       3      586       23       47      513
 3789 libqt6gui6                         	       3      584       23       47      511
 3790 libqt6network6                     	       3      579       19       41      516
 3791 libqt6svg6                         	       3      371       19       36      313
 3792 libqt6widgets6                     	       3      558       23       47      485
 3793 libqt6xml6                         	       3      452       17       31      401
 3794 libquadmath0                       	       3     3718       38      153     3524
 3795 librabbitmq4                       	       3     3094       74      240     2777
 3796 libraptor2-0                       	       3     3039       35       94     2907
 3797 librasqal3                         	       3     2688       29       86     2570
 3798 librav1e-dev                       	       3       12        0        9        0
 3799 librdf0                            	       3     2687       29       86     2569
 3800 libregexp-pattern-license-perl     	       3      191        2      186        0
 3801 libregexp-pattern-perl             	       3      183        2      178        0
 3802 libreoffice-avmedia-backend-gstreamer	       3      190        1      164       22
 3803 libreoffice-l10n-pl                	       3       51        1       47        0
 3804 libreoffice-style-tango            	       3      167        2      161        1
 3805 libruby3.3                         	       3       22       10        9        0
 3806 libsoap-lite-perl                  	       3      248        5      240        0
 3807 libssh-gcrypt-4                    	       3     3174       60      202     2909
 3808 libstring-copyright-perl           	       3      199        3      193        0
 3809 libstring-escape-perl              	       3      201        4      194        0
 3810 libswresample5                     	       3      225       35      114       73
 3811 libsystemd0                        	       3      485       67      122      293
 3812 libtemplate-perl                   	       3       65        3       59        0
 3813 libterm-readline-gnu-perl          	       3       90        1       86        0
 3814 libtext-roman-perl                 	       3      119        1      115        0
 3815 libtie-simple-perl                 	       3       91        0       88        0
 3816 libubootenv-tool                   	       3       52        1       48        0
 3817 liburing2                          	       3     1821      116      289     1413
 3818 libvpl2                            	       3      435       50      165      217
 3819 libvpx9                            	       3      244       38      140       63
 3820 libwacom-dev                       	       3       71        1       67        0
 3821 libwebservice-musicbrainz-perl     	       3      102        2       97        0
 3822 libwpe-1.0-1                       	       3      654       10       47      594
 3823 libwpebackend-fdo-1.0-1            	       3      654       10       48      593
 3824 libxencall1                        	       3      442       73      222      144
 3825 libxendevicemodel1                 	       3      442       73      222      144
 3826 libxenevtchn1                      	       3      442       73      222      144
 3827 libxenforeignmemory1               	       3      442       73      222      144
 3828 libxengnttab1                      	       3      442       73      222      144
 3829 libxenhypfs1                       	       3      397       69      210      115
 3830 libxenstore4                       	       3      277       54      167       53
 3831 libxentoolcore1                    	       3      449       73      222      151
 3832 libxentoollog1                     	       3      442       73      222      144
 3833 libxfce4windowing-0-0              	       3       60        9       22       26
 3834 libxine2-bin                       	       3      112        0      109        0
 3835 libxml-libxml-simple-perl          	       3      120        1      116        0
 3836 libxml-writer-perl                 	       3      153        2      148        0
 3837 libxml-xpath-perl                  	       3      180        5      172        0
 3838 libxmlrpc-lite-perl                	       3      240        3      234        0
 3839 libxmlsec1                         	       3     2718       27       87     2601
 3840 libxss-dev                         	       3      353        7      343        0
 3841 libxt6t64                          	       3      263       23       75      162
 3842 libxv-dev                          	       3      272        3      266        0
 3843 libyaml-perl                       	       3      270        6      261        0
 3844 libzmq5                            	       3     3300       73      250     2974
 3845 libzvbi0t64                        	       3      244       42      131       68
 3846 licensecheck                       	       3      196        4      189        0
 3847 linux-headers-5.10.0-34-amd64      	       3        4        1        0        0
 3848 linux-headers-5.10.0-34-common     	       3        4        1        0        0
 3849 linux-headers-6.12.9+bpo-amd64     	       3       17        2       12        0
 3850 linux-headers-6.12.9+bpo-common    	       3       17        2       12        0
 3851 linux-image-5.10.0-9-686           	       3       23        0       19        1
 3852 linux-image-6.1.0-31-arm64         	       3        3        0        0        0
 3853 linux-image-6.12.11-amd64          	       3       26        3       20        0
 3854 live-usb-maker                     	       3        4        0        1        0
 3855 llvm-14-dev                        	       3      255       15      237        0
 3856 llvm-14-linker-tools               	       3      276       11      262        0
 3857 llvm-19-linker-tools               	       3       42        4       35        0
 3858 lmms                               	       3       52        2       47        0
 3859 lxqt-archiver                      	       3       38        1       34        0
 3860 makedeb                            	       3       10        0        7        0
 3861 mariadb-plugin-provider-bzip2      	       3      185       46      136        0
 3862 mariadb-plugin-provider-lz4        	       3      185       46      136        0
 3863 mariadb-plugin-provider-lzma       	       3      186       47      136        0
 3864 mariadb-plugin-provider-lzo        	       3      185       46      136        0
 3865 mate-applets-common                	       3      503        8       47      445
 3866 mate-user-share                    	       3       52        7       42        0
 3867 meld                               	       3      198        5      190        0
 3868 mesa-common-dev                    	       3      202        4      195        0
 3869 mime-support                       	       3     3978       11      461     3503
 3870 mono-4.0-service                   	       3       54        1       50        0
 3871 mono-devel                         	       3       88        3       82        0
 3872 mono-mcs                           	       3       95        1       91        0
 3873 mono-xbuild                        	       3       93        1       89        0
 3874 monodoc-base                       	       3       72        1       68        0
 3875 monodoc-manual                     	       3       71        1       67        0
 3876 msr-tools                          	       3       83        1       79        0
 3877 mtd-utils                          	       3       76        2       71        0
 3878 munin-plugins-core                 	       3       75        4       68        0
 3879 mx-cleanup                         	       3        5        0        2        0
 3880 mx-live-usb-maker                  	       3        4        0        1        0
 3881 mx-locale                          	       3        4        0        1        0
 3882 mx-tools                           	       3        5        0        2        0
 3883 mx-user                            	       3        4        0        1        0
 3884 navit-graphics-gtk-drawing-area    	       3       14        1       10        0
 3885 ncmpcpp                            	       3       32        1       28        0
 3886 netfilter-persistent               	       3      113        8      102        0
 3887 network-manager-vpnc               	       3       56        7       46        0
 3888 network-manager-vpnc-gnome         	       3       44        0       35        6
 3889 nilfs-tools                        	       3       56        1       52        0
 3890 nmon                               	       3       65        1       61        0
 3891 node-browserslist                  	       3      182        1      178        0
 3892 node-gyp                           	       3      192        2      187        0
 3893 nvidia-detect                      	       3       93        1       89        0
 3894 openfortivpn                       	       3       61        3       55        0
 3895 openmpi-bin                        	       3      190        2      185        0
 3896 osspd-pulseaudio                   	       3       33        1       29        0
 3897 package-update-indicator           	       3       24        5       16        0
 3898 pamixer                            	       3       18        3       12        0
 3899 pbzip2                             	       3      282        5      274        0
 3900 pcscd                              	       3      539      104      432        0
 3901 pdfarranger                        	       3      106        4       99        0
 3902 penguins-eggs                      	       3        4        1        0        0
 3903 php-dev                            	       3       24        0       21        0
 3904 pinentry-gtk2                      	       3      374        6      365        0
 3905 pixz                               	       3      215        3      209        0
 3906 playonlinux                        	       3       89        2       84        0
 3907 pm-utils                           	       3      639       31      605        0
 3908 postgresql-client-15               	       3      153       18      132        0
 3909 pristine-tar                       	       3      205        3      199        0
 3910 pulseaudio-module-zeroconf         	       3       68        1       64        0
 3911 pulsemixer                         	       3       81        3       75        0
 3912 putty-tools                        	       3       78        0       75        0
 3913 pwgen                              	       3      306       13      290        0
 3914 python-gi                          	       3      219        4      212        0
 3915 python-talloc                      	       3      277        7      267        0
 3916 python3-aiohappyeyeballs           	       3       13        1        9        0
 3917 python3-asgiref                    	       3       99        0       96        0
 3918 python3-asn1crypto                 	       3      185        5      177        0
 3919 python3-caja                       	       3       87       11       52       21
 3920 python3-cffi                       	       3      142        1      138        0
 3921 python3-coverage                   	       3      109        1      105        0
 3922 python3-css-parser                 	       3      268        3      262        0
 3923 python3-defusedxml                 	       3      287        6      278        0
 3924 python3-dill                       	       3       84        3       78        0
 3925 python3-editorconfig               	       3       32        1       28        0
 3926 python3-firewall                   	       3       54        0       51        0
 3927 python3-flask                      	       3      107        0      104        0
 3928 python3-gdal                       	       3      147        4      140        0
 3929 python3-gdbm                       	       3      113        2      100        8
 3930 python3-html2text                  	       3      231        5      223        0
 3931 python3-hypothesis                 	       3       55        1       51        0
 3932 python3-icu                        	       3      185       23      159        0
 3933 python3-img2pdf                    	       3      155        2      150        0
 3934 python3-itsdangerous               	       3      116        0      113        0
 3935 python3-jellyfish                  	       3       36        0       33        0
 3936 python3-lazy-object-proxy          	       3       80        0       77        0
 3937 python3-levenshtein                	       3       89        2       84        0
 3938 python3-libsass                    	       3       51        0       48        0
 3939 python3-logilab-common             	       3       97       13       81        0
 3940 python3-mccabe                     	       3      115        1      111        0
 3941 python3-mypy-extensions            	       3      119        1      115        0
 3942 python3-netaddr                    	       3      131        1      127        0
 3943 python3-nftables                   	       3       49        0       46        0
 3944 python3-parted                     	       3       40        0       37        0
 3945 python3-pdfminer                   	       3       91        2       86        0
 3946 python3-poppler-qt5                	       3       25        0       22        0
 3947 python3-progressbar                	       3       34        1       30        0
 3948 python3-psycopg2                   	       3      142        8      131        0
 3949 python3-py7zr                      	       3      215        3      209        0
 3950 python3-pycparser                  	       3      144        4      137        0
 3951 python3-pyqt6.qtwebengine          	       3      197       12      182        0
 3952 python3-qdarkstyle                 	       3       43        1       39        0
 3953 python3-qrcode                     	       3      118        2      113        0
 3954 python3-qtawesome                  	       3       32        0       29        0
 3955 python3-qtsass                     	       3       39        0       36        0
 3956 python3-requests-file              	       3       25        0       22        0
 3957 python3-requests-oauthlib          	       3       31        1       27        0
 3958 python3-sentry-sdk                 	       3       87        0       84        0
 3959 python3-shellingham                	       3       24        0       21        0
 3960 python3-sortedcontainers           	       3      194        4      187        0
 3961 python3-sqlalchemy-ext             	       3       83        1       79        0
 3962 python3-tldextract                 	       3       18        0       15        0
 3963 python3-tomlkit                    	       3       92        1       88        0
 3964 python3-tzlocal                    	       3       62        1       58        0
 3965 python3-ujson                      	       3       82        3       76        0
 3966 python3-unidiff                    	       3      202        3      196        0
 3967 python3-urwid                      	       3      141        1      137        0
 3968 python3-werkzeug                   	       3      119        0      116        0
 3969 python3.11-examples                	       3       64        0       61        0
 3970 q4wine                             	       3       71        3       65        0
 3971 qbittorrent                        	       3      162       18      141        0
 3972 qemu-guest-agent                   	       3      163       26      134        0
 3973 qemu-user                          	       3       71        1       67        0
 3974 qemu-user-binfmt                   	       3       50        1       30       16
 3975 qmake6                             	       3       78        2       73        0
 3976 qmake6-bin                         	       3       78        2       73        0
 3977 qml-module-org-kde-kquickcontrols  	       3      846        1       11      831
 3978 qt6-base-dev                       	       3       75        2       70        0
 3979 qt6-declarative-dev-tools          	       3       54        0       51        0
 3980 qt6-svg-dev                        	       3       31        1       27        0
 3981 qt6-svg-plugins                    	       3       79       17       22       37
 3982 qt6-translations-l10n              	       3      534       19       38      474
 3983 qtermwidget5-data                  	       3      318        2       20      293
 3984 qtscript5-dev                      	       3      108        0      105        0
 3985 qtwebengine5-dev-tools             	       3       64        0       61        0
 3986 recordmydesktop                    	       3      171        0      168        0
 3987 refractainstaller-gui              	       3      134        0      131        0
 3988 refractasnapshot-gui               	       3      131        0      128        0
 3989 remind-tools                       	       3       25        1       21        0
 3990 ripgrep                            	       3       77        2       72        0
 3991 rlwrap                             	       3      117        0      114        0
 3992 rofi                               	       3       78        5       70        0
 3993 ruby-addressable                   	       3       64        2       59        0
 3994 ruby-concurrent                    	       3       67        3       61        0
 3995 ruby-did-you-mean                  	       3      189        6      180        0
 3996 ruby-i18n                          	       3       59        2       54        0
 3997 ruby-json                          	       3      112        2       80       27
 3998 ruby-public-suffix                 	       3       61        2       56        0
 3999 screenfetch                        	       3       89        2       84        0
 4000 seahorse-daemon                    	       3       75        0       72        0
 4001 shellcheck                         	       3      103        5       95        0
 4002 sjeng                              	       3       57        0       54        0
 4003 slsh                               	       3       40        1       36        0
 4004 slurp                              	       3       19        0       16        0
 4005 sndfile-tools                      	       3       58        1       54        0
 4006 snmp                               	       3      150        7      140        0
 4007 sntp                               	       3      377        3      371        0
 4008 ssh-tools                          	       3       14        1       10        0
 4009 stacer                             	       3       16        2       11        0
 4010 stk                                	       3       73        2       68        0
 4011 stockfish                          	       3       74        0       71        0
 4012 supercat                           	       3       64        2       59        0
 4013 swaylock                           	       3       35        2       30        0
 4014 swh-plugins                        	       3      227       10      214        0
 4015 sxiv                               	       3       70        4       63        0
 4016 systemd-standalone-tmpfiles        	       3      101       12       86        0
 4017 sysv-rc-conf                       	       3      205        6      196        0
 4018 talk                               	       3       40        1       36        0
 4019 telepathy-mission-control-5        	       3      339        4      332        0
 4020 telepathy-salut                    	       3      276        0      273        0
 4021 testdisk                           	       3      246        3      240        0
 4022 texlive-formats-extra              	       3      165       10      152        0
 4023 texlive-games                      	       3      103        0      100        0
 4024 texlive-lang-cjk                   	       3      101        0       97        1
 4025 texlive-lang-french                	       3      114        1      110        0
 4026 texlive-lang-japanese              	       3       98        0       95        0
 4027 texlive-lang-korean                	       3       95        0       92        0
 4028 texlive-music                      	       3       94        0       91        0
 4029 texlive-publishers-doc             	       3       96        1       85        7
 4030 tini                               	       3      174       24      147        0
 4031 tint2                              	       3       68        7       58        0
 4032 uno-libs3                          	       3      179        8      168        0
 4033 vainfo                             	       3      120        2      115        0
 4034 virt-install                       	       3       16        2       11        0
 4035 virt-p2v                           	       3       76        2       71        0
 4036 vpnc                               	       3       84        3       78        0
 4037 vprerex                            	       3      120        0      117        0
 4038 wavpack                            	       3      148        2      143        0
 4039 waybar                             	       3       30        1       26        0
 4040 wayland-protocols                  	       3      316        0       20      293
 4041 wayland-utils                      	       3       40        1       36        0
 4042 wbrazilian                         	       3       66        1       62        0
 4043 webapps-creator-ui                 	       3        4        0        1        0
 4044 wine-binfmt                        	       3       52        0       37       12
 4045 wine-stable                        	       3       48        1       44        0
 4046 wine-stable-amd64                  	       3       46        1       42        0
 4047 wine-stable-i386                   	       3       49        2       44        0
 4048 wine64-preloader                   	       3       36        3       30        0
 4049 winehq-stable                      	       3       44        2       39        0
 4050 x11-xfs-utils                      	       3      148        2      143        0
 4051 x2goserver-common                  	       3       64        0       61        0
 4052 x2goserver-fmbindings              	       3       61        2       56        0
 4053 x2goserver-printing                	       3       61        2       56        0
 4054 xbacklight                         	       3       69        1       65        0
 4055 xdelta                             	       3      221        2      216        0
 4056 xdg-desktop-portal-xapp            	       3       26        3       20        0
 4057 xemacs21-bin                       	       3      283        0      280        0
 4058 xemacs21-mule-canna-wnn            	       3      266        0      263        0
 4059 xemacs21-support                   	       3      283        0      280        0
 4060 xfce4-panel-profiles               	       3       28        0       25        0
 4061 xfce4-weather-plugin               	       3     1659        9       64     1583
 4062 xrdp                               	       3      114       33       78        0
 4063 xserver-xorg-input-evdev           	       3      255       10      242        0
 4064 xzdec                              	       3       25        3       19        0
 4065 yakuake                            	       3       47        6       38        0
 4066 zynaddsubfx                        	       3       63        2       58        0
 4067 0ad                                	       2       61        1       58        0
 4068 2to3                               	       2       79        2       75        0
 4069 aapt                               	       2       59        0       57        0
 4070 aardvark-dns                       	       2       58        1       55        0
 4071 abiword                            	       2       95        3       90        0
 4072 alpine                             	       2       68        2       64        0
 4073 alsa-firmware-loaders              	       2       57        2       53        0
 4074 alsa-tools-gui                     	       2       97        2       93        0
 4075 amarok-utils                       	       2        8        0        6        0
 4076 amdgpu-install                     	       2       24        0       22        0
 4077 android-sdk-platform-tools-common  	       2      306       16      287        1
 4078 antlr                              	       2      112        2      108        0
 4079 apache-netbeans                    	       2       16        1       13        0
 4080 apksigner                          	       2       49        1       46        0
 4081 apktool                            	       2       27        0       25        0
 4082 apt-xapian-index                   	       2      115        9      104        0
 4083 arandr                             	       2      167       12      153        0
 4084 arc                                	       2       38        2       34        0
 4085 ario                               	       2       10        0        8        0
 4086 artikulate                         	       2       48        1       45        0
 4087 asciijump                          	       2        8        0        6        0
 4088 aspell-pt-br                       	       2       53        0       51        0
 4089 assistant-qt6                      	       2       71        1       68        0
 4090 at                                 	       2      501      100      399        0
 4091 augeas-tools                       	       2       42        2       38        0
 4092 autopkgtest                        	       2       33        1       30        0
 4093 awesome                            	       2       50        3       45        0
 4094 awesome-extra                      	       2       50        0       48        0
 4095 axel                               	       2       34        2       30        0
 4096 baloo6                             	       2       23        9       12        0
 4097 bash-builtins                      	       2       12        0       10        0
 4098 bgconf                             	       2       16        0       14        0
 4099 bgscripts                          	       2       14        0       12        0
 4100 bgscripts-core                     	       2       16        1       13        0
 4101 blktool                            	       2       20        0       18        0
 4102 blobby                             	       2        8        0        6        0
 4103 blobby-server                      	       2        2        0        0        0
 4104 blockout2                          	       2       20        0       18        0
 4105 blt-dev                            	       2       14        0       12        0
 4106 bluefish                           	       2       59        2       55        0
 4107 bluez-hcidump                      	       2       63        0       61        0
 4108 boinc-manager                      	       2       19        1       16        0
 4109 brightness-udev                    	       2       54        3       49        0
 4110 brightnessctl                      	       2       54        2       50        0
 4111 bsdgames-nonfree                   	       2       21        0       19        0
 4112 buildah                            	       2       60        1       57        0
 4113 caffeine                           	       2       10        0        8        0
 4114 caja-extensions-common             	       2      107       16       43       46
 4115 caja-gtkhash                       	       2       58        7       29       20
 4116 caja-image-converter               	       2       62        8       29       23
 4117 caja-open-terminal                 	       2       96       15       50       29
 4118 caja-wallpaper                     	       2       55        6       29       18
 4119 calligrasheets                     	       2       27        0       25        0
 4120 calligrastage                      	       2       23        0       21        0
 4121 cantor                             	       2       44        0       42        0
 4122 cargo                              	       2       93        1       90        0
 4123 celluloid                          	       2       26        0       24        0
 4124 check-dfsg-status                  	       2       52        2       48        0
 4125 chromium-bsu                       	       2       20        0       18        0
 4126 cinnamon-control-center-goa        	       2      246        0        6      238
 4127 clipman                            	       2        8        0        6        0
 4128 codeblocks                         	       2       65        2       61        0
 4129 codeblocks-common                  	       2       66        0       64        0
 4130 conmon                             	       2       60        3       55        0
 4131 console-common                     	       2      119        4      113        0
 4132 cpp-8                              	       2      269       20      246        1
 4133 cpuinfo                            	       2       34        0       32        0
 4134 cpulimit                           	       2       40        1       37        0
 4135 cramfsswap                         	       2       45        2       41        0
 4136 crun                               	       2       51        0       49        0
 4137 cryptmount                         	       2       39        2       35        0
 4138 csound                             	       2       33        2       29        0
 4139 csound-utils                       	       2       33        2       29        0
 4140 debconf-utils                      	       2      101        4       95        0
 4141 deborphan                          	       2      262       12      248        0
 4142 dejagnu                            	       2       28        1       25        0
 4143 deluged                            	       2       15        1       12        0
 4144 designer-qt6                       	       2       74        1       71        0
 4145 devhelp                            	       2       58        1       55        0
 4146 dh-python                          	       2      365        8      355        0
 4147 dia                                	       2      171        5      164        0
 4148 digikam                            	       2       68        1       65        0
 4149 digikam-private-libs               	       2       68        1       65        0
 4150 dislocker                          	       2       29        1       26        0
 4151 distro-info                        	       2      138        4      132        0
 4152 dmraid                             	       2       32        1       29        0
 4153 dnsmasq                            	       2      151        3      146        0
 4154 docker-cli                         	       2       17        1       14        0
 4155 docx2txt                           	       2       53        2       49        0
 4156 drumstick-tools                    	       2       10        0        8        0
 4157 eatmydata                          	       2      105        3      100        0
 4158 ebtables                           	       2       78        2       74        0
 4159 edid-decode                        	       2       55        1       52        0
 4160 electrum                           	       2       18        0       16        0
 4161 elfutils                           	       2      103        2       99        0
 4162 elinks                             	       2      154        4      148        0
 4163 elisa                              	       2       63        1       60        0
 4164 enchant                            	       2      303        4      297        0
 4165 enjarify                           	       2       19        1       16        0
 4166 erlang-cl                          	       2       18        0       16        0
 4167 erlang-wx                          	       2       31        0       29        0
 4168 erlang-xmerl                       	       2       48        1       45        0
 4169 evolution-ews-core                 	       2       11        5        4        0
 4170 exactimage                         	       2       24        0       22        0
 4171 exuberant-ctags                    	       2      124        3      119        0
 4172 fatcat                             	       2       32        1       29        0
 4173 fbreader                           	       2      102        1       99        0
 4174 fdupes                             	       2      126        4      120        0
 4175 festival                           	       2      109        3      104        0
 4176 fido2-tools                        	       2        6        0        4        0
 4177 filelight                          	       2      110        0      108        0
 4178 firefox-esr-l10n-cs                	       2       29        2       25        0
 4179 firefox-esr-l10n-fi                	       2        7        1        4        0
 4180 firefox-esr-l10n-ja                	       2       31        0       29        0
 4181 firefox-esr-l10n-sq                	       2        3        0        1        0
 4182 firefox-esr-l10n-tr                	       2        7        0        5        0
 4183 firefox-esr-l10n-zh-cn             	       2        8        0        6        0
 4184 firefox-l10n-en-gb                 	       2       10        0        8        0
 4185 firmware-intel-sound               	       2      111        2      107        0
 4186 firmware-ti-connectivity           	       2      145        0      143        0
 4187 fish                               	       2       95       10       83        0
 4188 fontforge                          	       2       88        2       84        0
 4189 fonts-mathjax                      	       2     2184       22       93     2067
 4190 fonts-noto-ui-core                 	       2     1324       36      100     1186
 4191 fonts-ubuntu                       	       2       51        4       20       25
 4192 foremost                           	       2       38        1       35        0
 4193 fossil                             	       2       35        0       33        0
 4194 fp-ide-3.2.2                       	       2       76        3       71        0
 4195 fp-units-rtl-3.2.2                 	       2       90        4       83        1
 4196 fprintd                            	       2       40        1       37        0
 4197 freerdp3-wayland                   	       2        8        0        6        0
 4198 freerdp3-x11                       	       2        6        1        3        0
 4199 fscrypt                            	       2        8        0        6        0
 4200 fuse-overlayfs                     	       2       72        2       68        0
 4201 fuse-zip                           	       2       14        0       12        0
 4202 fxload                             	       2       75        4       69        0
 4203 gambas3-devel                      	       2       16        0       14        0
 4204 gambas3-gb-args                    	       2       15        0       13        0
 4205 gambas3-gb-cairo                   	       2       15        0       13        0
 4206 gambas3-gb-chart                   	       2       15        0       13        0
 4207 gambas3-gb-clipper                 	       2       16        0       14        0
 4208 gambas3-gb-complex                 	       2       15        0       13        0
 4209 gambas3-gb-compress                	       2       15        0       13        0
 4210 gambas3-gb-compress-bzlib2         	       2       15        0       13        0
 4211 gambas3-gb-compress-zlib           	       2       15        0       13        0
 4212 gambas3-gb-compress-zstd           	       2       14        0       12        0
 4213 gambas3-gb-crypt                   	       2       16        0       14        0
 4214 gambas3-gb-data                    	       2       15        0       13        0
 4215 gambas3-gb-db                      	       2       16        0       14        0
 4216 gambas3-gb-db-form                 	       2       16        0       14        0
 4217 gambas3-gb-db-mysql                	       2       15        0       13        0
 4218 gambas3-gb-db-odbc                 	       2       15        0       13        0
 4219 gambas3-gb-db-postgresql           	       2       15        0       13        0
 4220 gambas3-gb-db-sqlite3              	       2       15        0       13        0
 4221 gambas3-gb-dbus                    	       2       15        0       13        0
 4222 gambas3-gb-dbus-trayicon           	       2       15        0       13        0
 4223 gambas3-gb-desktop                 	       2       18        0       16        0
 4224 gambas3-gb-desktop-x11             	       2       18        0       16        0
 4225 gambas3-gb-eval-highlight          	       2       14        0       12        0
 4226 gambas3-gb-form                    	       2       17        0       15        0
 4227 gambas3-gb-form-dialog             	       2       16        0       14        0
 4228 gambas3-gb-form-editor             	       2       16        0       14        0
 4229 gambas3-gb-form-htmlview           	       2       15        0       13        0
 4230 gambas3-gb-form-mdi                	       2       16        0       14        0
 4231 gambas3-gb-form-print              	       2       16        0       14        0
 4232 gambas3-gb-form-stock              	       2       16        0       14        0
 4233 gambas3-gb-form-terminal           	       2       16        0       14        0
 4234 gambas3-gb-gmp                     	       2       15        0       13        0
 4235 gambas3-gb-gsl                     	       2       15        0       13        0
 4236 gambas3-gb-gtk3                    	       2       19        1       16        0
 4237 gambas3-gb-gtk3-opengl             	       2       13        0       11        0
 4238 gambas3-gb-gtk3-webview            	       2       16        0       14        0
 4239 gambas3-gb-gtk3-x11                	       2       16        0       14        0
 4240 gambas3-gb-gui                     	       2       18        1       15        0
 4241 gambas3-gb-hash                    	       2       13        0       11        0
 4242 gambas3-gb-httpd                   	       2       15        0       13        0
 4243 gambas3-gb-image                   	       2       22        1       19        0
 4244 gambas3-gb-image-effect            	       2       15        0       13        0
 4245 gambas3-gb-image-imlib             	       2       15        0       13        0
 4246 gambas3-gb-image-io                	       2       16        0       14        0
 4247 gambas3-gb-jit                     	       2       16        0       14        0
 4248 gambas3-gb-logging                 	       2       15        0       13        0
 4249 gambas3-gb-map                     	       2       15        0       13        0
 4250 gambas3-gb-markdown                	       2       16        0       14        0
 4251 gambas3-gb-media                   	       2       15        0       13        0
 4252 gambas3-gb-media-form              	       2       15        0       13        0
 4253 gambas3-gb-memcached               	       2       15        0       13        0
 4254 gambas3-gb-mime                    	       2       15        0       13        0
 4255 gambas3-gb-mysql                   	       2       15        0       13        0
 4256 gambas3-gb-ncurses                 	       2       15        0       13        0
 4257 gambas3-gb-net                     	       2       18        1       15        0
 4258 gambas3-gb-net-curl                	       2       17        0       15        0
 4259 gambas3-gb-net-pop3                	       2       15        0       13        0
 4260 gambas3-gb-net-smtp                	       2       16        1       13        0
 4261 gambas3-gb-openal                  	       2       16        0       14        0
 4262 gambas3-gb-opengl                  	       2       17        0       15        0
 4263 gambas3-gb-opengl-glsl             	       2       15        0       13        0
 4264 gambas3-gb-opengl-glu              	       2       15        0       13        0
 4265 gambas3-gb-opengl-sge              	       2       16        0       14        0
 4266 gambas3-gb-openssl                 	       2       15        0       13        0
 4267 gambas3-gb-pcre                    	       2       16        0       14        0
 4268 gambas3-gb-poppler                 	       2       15        0       13        0
 4269 gambas3-gb-report2                 	       2       15        0       13        0
 4270 gambas3-gb-scanner                 	       2       15        0       13        0
 4271 gambas3-gb-sdl2                    	       2       16        0       14        0
 4272 gambas3-gb-sdl2-audio              	       2       16        0       14        0
 4273 gambas3-gb-settings                	       2       17        0       15        0
 4274 gambas3-gb-signal                  	       2       16        0       14        0
 4275 gambas3-gb-term                    	       2       16        0       14        0
 4276 gambas3-gb-term-form               	       2       15        0       13        0
 4277 gambas3-gb-util                    	       2       16        0       14        0
 4278 gambas3-gb-util-web                	       2       16        0       14        0
 4279 gambas3-gb-vb                      	       2       15        0       13        0
 4280 gambas3-gb-web                     	       2       15        0       13        0
 4281 gambas3-gb-web-feed                	       2       15        0       13        0
 4282 gambas3-gb-web-gui                 	       2       15        0       13        0
 4283 gambas3-gb-xml                     	       2       15        0       13        0
 4284 gambas3-gb-xml-html                	       2       15        0       13        0
 4285 gambas3-gb-xml-rpc                 	       2       15        0       13        0
 4286 gambas3-gb-xml-xslt                	       2       15        0       13        0
 4287 gambas3-ide                        	       2       16        0       14        0
 4288 gambas3-runtime                    	       2       22        1       19        0
 4289 gambas3-scripter                   	       2       15        0       13        0
 4290 gamemode                           	       2       64        5       57        0
 4291 gamemode-daemon                    	       2       80        7       71        0
 4292 gamescope                          	       2       33        1       30        0
 4293 gconf2                             	       2      365       12      351        0
 4294 gdal-bin                           	       2       84        3       79        0
 4295 geeqie-common                      	       2      193        0      191        0
 4296 gh                                 	       2       39        3       34        0
 4297 giflib-tools                       	       2       31        2       27        0
 4298 gigolo                             	       2       40        1       37        0
 4299 gimp-gutenprint                    	       2       95        0       93        0
 4300 gir1.2-gstreamer-1.0               	       2     2736       14       74     2646
 4301 gir1.2-gtk-4.0                     	       2      460        1        3      454
 4302 gir1.2-gtksource-4                 	       2     1066       18       39     1007
 4303 gir1.2-nemo-3.0                    	       2      275        1        8      264
 4304 gir1.2-peas-1.0                    	       2     1016       12       42      960
 4305 gir1.2-pluma-1.0                   	       2      495        7       15      471
 4306 gir1.2-timezonemap-1.0             	       2      266        1        6      257
 4307 git-cola                           	       2       27        1       24        0
 4308 git-lfs                            	       2       79        3       74        0
 4309 gitweb                             	       2       51        2       47        0
 4310 glib-networking-common             	       2     3431        3       27     3399
 4311 gmtp                               	       2       55        0       53        0
 4312 gnome-online-accounts-gtk          	       2       20        0       18        0
 4313 gnome-shell-extension-manager      	       2        6        0        4        0
 4314 gnome-software-plugin-deb          	       2       27        2        8       15
 4315 gnome-software-plugin-fwupd        	       2       27        2        8       15
 4316 gnome-themes-extra                 	       2     1404       23       74     1305
 4317 gnumeric                           	       2      135       11      122        0
 4318 gnuplot-doc                        	       2       48        0       46        0
 4319 gnuplot-nox                        	       2       46        3       41        0
 4320 gobject-introspection              	       2      167        3      162        0
 4321 gobject-introspection-bin          	       2       15        0       13        0
 4322 golang-1.19-go                     	       2      117        2      113        0
 4323 golang-1.19-src                    	       2      117        2      113        0
 4324 golang-1.22-go                     	       2       18        0       16        0
 4325 golang-1.22-src                    	       2       18        0       16        0
 4326 goldendict                         	       2       30        1       26        1
 4327 google-earth-pro-stable            	       2       56        2       52        0
 4328 googletest                         	       2       38        0       35        1
 4329 gpsbabel                           	       2       64        0       62        0
 4330 gsmartcontrol                      	       2      124        2      120        0
 4331 gtklp                              	       2       78        0       75        1
 4332 guile-3.0-dev                      	       2       19        1       16        0
 4333 hdf5-tools                         	       2       36        1       33        0
 4334 hedgewars                          	       2       25        0       23        0
 4335 hexchat-dev                        	       2        2        0        0        0
 4336 hfsutils                           	       2       42        1       39        0
 4337 hwloc                              	       2       87        1       84        0
 4338 hydrogen                           	       2       40        1       37        0
 4339 i3-wm                              	       2      155       17      136        0
 4340 i965-va-driver                     	       2     3164       35      122     3005
 4341 ibrazilian                         	       2       52        0       50        0
 4342 icoextract                         	       2        5        0        3        0
 4343 icoextract-thumbnailer             	       2       14        0       12        0
 4344 idle-python3.11                    	       2       79        3       74        0
 4345 img2pdf                            	       2       49        1       46        0
 4346 inetutils-tools                    	       2       15        0       13        0
 4347 info2www                           	       2       24        1       21        0
 4348 intel-gpu-tools                    	       2       51        1       48        0
 4349 ipcalc                             	       2      106        1      103        0
 4350 ipython3                           	       2      128        5      121        0
 4351 javahelp2                          	       2       77        1       74        0
 4352 jed                                	       2       28        3       23        0
 4353 jfsutils                           	       2       67        0       65        0
 4354 jmapviewer                         	       2       45        1       42        0
 4355 jmtpfs                             	       2      172        5      165        0
 4356 jo                                 	       2       35        0       33        0
 4357 john                               	       2       86        6       78        0
 4358 jsbeautifier                       	       2       26        2       22        0
 4359 junit                              	       2      159        4      153        0
 4360 jupyter-nbconvert                  	       2       26        1       23        0
 4361 kaffeine                           	       2       24        0       22        0
 4362 kajongg                            	       2       55        0       53        0
 4363 kalgebra                           	       2       50        0       48        0
 4364 kanagram                           	       2       48        0       46        0
 4365 kapptemplate                       	       2       78        0       76        0
 4366 karbon                             	       2       31        0       29        0
 4367 kbreakout                          	       2       62        0       60        0
 4368 kbruch                             	       2       46        1       43        0
 4369 kcharselect                        	       2       75        0       73        0
 4370 kdenetwork-filesharing             	       2       46        2       41        1
 4371 kdevelop-data                      	       2       49        0       47        0
 4372 kfourinline                        	       2       57        0       55        0
 4373 kgeography                         	       2       54        1       51        0
 4374 kget                               	       2       58        1       55        0
 4375 khangman                           	       2       45        0       43        0
 4376 khexedit-trinity                   	       2       26        0       24        0
 4377 kid3-qt                            	       2       21        0       19        0
 4378 kio-extras-data                    	       2      683        4       24      653
 4379 klickety                           	       2       57        1       54        0
 4380 kodi                               	       2       86        1       83        0
 4381 kodi-data                          	       2       87        2       79        4
 4382 krfb                               	       2       54        2       50        0
 4383 kruler                             	       2       71        0       69        0
 4384 ksh93u+m                           	       2       61        3       56        0
 4385 ksnakeduel                         	       2       56        0       54        0
 4386 kteatime                           	       2       55        1       52        0
 4387 ktimer                             	       2       57        0       55        0
 4388 kturtle                            	       2       46        0       44        0
 4389 kubectl                            	       2        8        0        6        0
 4390 kwave                              	       2       27        1       24        0
 4391 lib32stdc++-12-dev                 	       2       69        0       67        0
 4392 lib32stdc++-14-dev                 	       2       16        1       13        0
 4393 libabsl20230802                    	       2      266       21       70      173
 4394 libaccounts-glib0                  	       2      816       28       93      693
 4395 libaccounts-qt5-1                  	       2      781       26       89      664
 4396 libaec-dev                         	       2       88        0       86        0
 4397 libakonadisearch-bin               	       2        7        2        3        0
 4398 libapt-pkg-dev                     	       2       12        1        9        0
 4399 libass9                            	       2     3208       51      166     2989
 4400 libattr1-dev                       	       2       94        2       90        0
 4401 libaudit-dev                       	       2       44        4       38        0
 4402 libavdevice-dev                    	       2       68        0       66        0
 4403 libavfilter-dev                    	       2       81        0       79        0
 4404 libavfilter8                       	       2     2294       22       82     2188
 4405 libavformat59                      	       2     2365       42      140     2181
 4406 libavif-dev                        	       2      107        0      105        0
 4407 libavif16                          	       2      271       14       49      206
 4408 libboost-mpi-python1.83.0          	       2        7        1        4        0
 4409 libboost1.83-tools-dev             	       2       12        1        9        0
 4410 libbrlapi0.8                       	       2     2749       18       31     2698
 4411 libbs2b0                           	       2     3273       51      166     3054
 4412 libcamel-1.2-64t64                 	       2       87       12       33       40
 4413 libcares2                          	       2       93        5       17       69
 4414 libcbor0.10                        	       2      286        2       13      269
 4415 libcfitsio-dev                     	       2       54        0       52        0
 4416 libcinnamon-control-center1        	       2      288        1        7      278
 4417 libcjson1                          	       2     2628       59      189     2378
 4418 libclang-common-15-dev             	       2       84        0       82        0
 4419 libclang-common-17-dev             	       2       17        0       15        0
 4420 libclang-cpp15                     	       2      119        2      115        0
 4421 libclang-cpp17t64                  	       2       19        0       17        0
 4422 libclang-rt-17-dev                 	       2       15        0       13        0
 4423 libclang1-17t64                    	       2       17        0       15        0
 4424 libclang1-18                       	       2       26        2       22        0
 4425 libclass-accessor-chained-perl     	       2       40        1       37        0
 4426 libclc-15                          	       2       38        0       36        0
 4427 libclc-15-dev                      	       2       39        1       36        0
 4428 libcloudproviders-dev              	       2       31        0       29        0
 4429 libconfig-simple-perl              	       2       85       10       73        0
 4430 libcscreensaver0                   	       2      271       17       44      208
 4431 libcurl4t64                        	       2      273       13       36      222
 4432 libdata-page-perl                  	       2       29        1       26        0
 4433 libdaxctl1                         	       2     1042       99      233      708
 4434 libdc1394-dev                      	       2       62        1       59        0
 4435 libddcutil-dev                     	       2        4        0        2        0
 4436 libde265-dev                       	       2      165        0      163        0
 4437 libdecor-0-0                       	       2     2569       36      100     2431
 4438 libdecor-0-dev                     	       2      177        2      173        0
 4439 libdevmapper-dev                   	       2       34        1       31        0
 4440 libdjvulibre-dev                   	       2       80        1       77        0
 4441 libebackend-1.2-11t64              	       2       71       12       33       24
 4442 libebook-1.2-21t64                 	       2       71       12       33       24
 4443 libebook-contacts-1.2-4t64         	       2       77       12       33       30
 4444 libeclipse-e4-ui-widgets-java      	       2       27        1       24        0
 4445 libedata-book-1.2-27t64            	       2       71       12       33       24
 4446 libedataserver-1.2-27t64           	       2       85       12       33       38
 4447 libegl-nvidia0                     	       2      171       21       99       49
 4448 libemeraldengine0t64               	       2        3        0        1        0
 4449 libevdev-dev                       	       2       83        1       80        0
 4450 libevent-core-2.1-7t64             	       2      168       21       75       70
 4451 libext2fs2                         	       2     3659       13       68     3576
 4452 libfeature-compat-class-perl       	       2      151        2      147        0
 4453 libfeature-compat-try-perl         	       2      151        2      147        0
 4454 libfftw3-bin                       	       2      183        3      178        0
 4455 libfftw3-dev                       	       2      178        4      172        0
 4456 libfinance-quote-perl              	       2      106        0      104        0
 4457 libflac12t64                       	       2      232       28      146       56
 4458 libflite1                          	       2     3441       45      157     3237
 4459 libfuse2                           	       2     3832       71      317     3442
 4460 libfwupd3                          	       2       54        1        6       45
 4461 libfwupdplugin1                    	       2       74        3       14       55
 4462 libgail-3-0t64                     	       2       50        6       21       21
 4463 libgdal-dev                        	       2       39        1       36        0
 4464 libgdcm-dev                        	       2       49        1       46        0
 4465 libgeos-dev                        	       2       48        1       45        0
 4466 libgeotiff-dev                     	       2       43        0       41        0
 4467 libgexiv2-2                        	       2     2783        5       46     2730
 4468 libghc-attoparsec-dev              	       2       24        1       21        0
 4469 libghc-hashable-dev                	       2       27        1       24        0
 4470 libghc-integer-logarithms-dev      	       2       25        1       22        0
 4471 libghc-primitive-dev               	       2       31        1       28        0
 4472 libghc-random-dev                  	       2       43        1       40        0
 4473 libghc-regex-base-dev              	       2       21        1       18        0
 4474 libghc-scientific-dev              	       2       26        1       23        0
 4475 libghc-setlocale-dev               	       2       18        0       16        0
 4476 libghc-splitmix-dev                	       2       30        1       27        0
 4477 libgirepository1.0-dev             	       2      112        1      100        9
 4478 libglut-dev                        	       2      198        3      193        0
 4479 libgmp10-doc                       	       2       16        0       14        0
 4480 libgnome-desktop-3-19              	       2      417       35      216      164
 4481 libgstreamer1.0-dev                	       2       71        2       67        0
 4482 libgtest-dev                       	       2       35        1       32        0
 4483 libgudev-1.0-dev                   	       2      106        1      103        0
 4484 libhandy-1-0                       	       2     2310       12       51     2245
 4485 libibverbs-dev                     	       2      138        2      134        0
 4486 libimagequant0                     	       2     1796       24       68     1702
 4487 libimlib2                          	       2     1671       46      164     1459
 4488 libjack-jackd2-0                   	       2     3454       55      154     3243
 4489 libjs-iscroll                      	       2       87        0       85        0
 4490 libjson-c-dev                      	       2       77        2       73        0
 4491 libkaccounts2                      	       2      754       26       88      638
 4492 libkf5archive-dev                  	       2       24        0       22        0
 4493 libkf5package-dev                  	       2       72        0       70        0
 4494 libkf5plasma-dev                   	       2       69        0       67        0
 4495 libkf5windowsystem-dev             	       2       92        0       90        0
 4496 libkf6archive-data                 	       2       77        7        9       59
 4497 libkf6archive6                     	       2       77       11       20       44
 4498 libkf6bookmarks-data               	       2       54        6        7       39
 4499 libkf6bookmarks6                   	       2       53        9       17       25
 4500 libkf6bookmarkswidgets6            	       2       53        9       17       25
 4501 libkf6breezeicons6                 	       2       73       11       18       42
 4502 libkf6codecs-data                  	       2       74        7        8       57
 4503 libkf6codecs6                      	       2       74       11       18       43
 4504 libkf6colorscheme6                 	       2       87       11       24       50
 4505 libkf6completion-data              	       2       66        6        7       51
 4506 libkf6completion6                  	       2       65        9       17       37
 4507 libkf6config-data                  	       2       92        7        8       75
 4508 libkf6configgui6                   	       2       87       11       24       50
 4509 libkf6guiaddons6                   	       2       88       11       25       50
 4510 libkf6iconthemes6                  	       2       73       11       18       42
 4511 libkf6iconwidgets6                 	       2       73       11       18       42
 4512 libkf6itemviews-data               	       2       74        7        8       57
 4513 libkf6itemviews6                   	       2       73       11       18       42
 4514 libkf6jobwidgets-data              	       2       73        9       17       45
 4515 libkf6jobwidgets6                  	       2       72        9       17       44
 4516 libkf6kiofilewidgets6              	       2       52        8       17       25
 4517 libkf6kiowidgets6                  	       2       65        9       17       37
 4518 libkf6modemmanagerqt6              	       2       43        8       14       19
 4519 libkf6pulseaudioqt5                	       2       42        8       15       17
 4520 libkf6widgetsaddons-data           	       2       88       11       24       51
 4521 libkf6widgetsaddons6               	       2       87       11       24       50
 4522 libkscreen-bin                     	       2       30        8       20        0
 4523 libldacbt-abr2                     	       2      503       18       57      426
 4524 libldb-dev                         	       2        8        0        6        0
 4525 liblilv-0-0                        	       2     3224       48      158     3016
 4526 liblqr-1-0-dev                     	       2       75        1       72        0
 4527 liblua5.3-0                        	       2     2207       49      139     2017
 4528 liblwp-useragent-determined-perl   	       2       42        5       35        0
 4529 liblxc-common                      	       2       72        1       69        0
 4530 libmad0-dev                        	       2      152        2      148        0
 4531 libmagick++-6-headers              	       2       42        1       39        0
 4532 libmagickcore-6-arch-config        	       2       73        1       70        0
 4533 libmagickcore-6-headers            	       2       75        1       72        0
 4534 libmagickwand-6-headers            	       2       61        1       58        0
 4535 libmalcontent-ui-1-1               	       2      329        0        0      327
 4536 libmariadbd-dev                    	       2        9        0        7        0
 4537 libmate-slab0                      	       2      499        0        9      488
 4538 libmate-window-settings1           	       2      499        0        1      496
 4539 libmbedcrypto7                     	       2     2383       49      178     2154
 4540 libmodule-pluggable-perl           	       2      323        7      314        0
 4541 libmousepad0                       	       2     1421        6       33     1380
 4542 libmpc3                            	       2     3750       24       73     3651
 4543 libmpfr-dev                        	       2      102        4       96        0
 4544 libmpg123-dev                      	       2      131        1      128        0
 4545 libmtdev-dev                       	       2       74        2       70        0
 4546 libmysofa1                         	       2     3051       45      152     2852
 4547 libnautilus-extension4             	       2      454        1        8      443
 4548 libndctl6                          	       2     1042       99      233      708
 4549 libnet-ip-perl                     	       2      287       16      269        0
 4550 libnet-server-perl                 	       2      161        8      151        0
 4551 libnetcdf-dev                      	       2       53        1       50        0
 4552 libnghttp2-dev                     	       2       73        0       71        0
 4553 libnpth0t64                        	       2      271       19       74      176
 4554 libnss3-dev                        	       2       70        1       67        0
 4555 libnunit-cil-dev                   	       2       64        1       61        0
 4556 libnunit-core-interfaces2.6.3-cil  	       2       66        1       63        0
 4557 libnunit-core2.6.3-cil             	       2       66        1       63        0
 4558 libnunit-framework2.6.3-cil        	       2       70        1       67        0
 4559 libnunit-mocks2.6.3-cil            	       2       66        1       63        0
 4560 libnunit-util2.6.3-cil             	       2       66        1       63        0
 4561 libnvidia-egl-gbm1                 	       2      125       16       76       31
 4562 libnvidia-egl-wayland1             	       2      180       21      101       56
 4563 libnvidia-eglcore                  	       2      182       28      118       34
 4564 libnvidia-glcore                   	       2      183       25      105       51
 4565 libnvidia-glvkspirv                	       2      177       22       89       64
 4566 libobasis25.2-pyuno                	       2        2        0        0        0
 4567 libobrender32v5                    	       2      346       29       99      216
 4568 libobt2v5                          	       2      347       29       99      217
 4569 libogg-dev                         	       2      313        6      305        0
 4570 libopencv-calib3d-dev              	       2       47        0       44        1
 4571 libopencv-core-dev                 	       2       51        0       49        0
 4572 libopencv-features2d-dev           	       2       47        0       44        1
 4573 libopencv-flann-dev                	       2       49        0       47        0
 4574 libopencv-highgui-dev              	       2       47        0       45        0
 4575 libopencv-imgcodecs-dev            	       2       46        0       44        0
 4576 libopencv-imgproc-dev              	       2       50        0       48        0
 4577 libopencv-photo-dev                	       2       49        0       47        0
 4578 libopencv-video-dev                	       2       49        0       46        1
 4579 libopencv-videoio-dev              	       2       46        0       44        0
 4580 libopenh264-7                      	       2     2401       25      115     2259
 4581 libosinfo-bin                      	       2       64        0       62        0
 4582 libpangoxft-1.0-0                  	       2     3227       41      123     3061
 4583 libparallel-forkmanager-perl       	       2       60        1       57        0
 4584 libpcap0.8t64                      	       2      248       15       27      204
 4585 libpeas-1.0-0                      	       2     1096       17       65     1012
 4586 libperl5.28                        	       2      329       61      266        0
 4587 libpipewire-0.3-modules            	       2     1418       22       82     1312
 4588 libplacebo208                      	       2     2318       26       97     2193
 4589 libpmem1                           	       2     1042       99      233      708
 4590 libpocketsphinx3                   	       2     2969       43      143     2781
 4591 libpocl2-common                    	       2       55        1       52        0
 4592 libpoppler-glib-dev                	       2       31        1       28        0
 4593 libpoppler-private-dev             	       2       50        2       46        0
 4594 libportal-gtk4-1                   	       2      168        2        8      156
 4595 libportal1                         	       2      404        3       14      385
 4596 libpostproc56                      	       2     2328       25       88     2213
 4597 libprotobuf32t64                   	       2      192       14       37      139
 4598 libpython3.11-testsuite            	       2       58        1       55        0
 4599 libqt5script5                      	       2      825       10       44      769
 4600 libqt5svg5-dev                     	       2      115        2      111        0
 4601 libqt6multimedia6                  	       2      148       11       18      117
 4602 libqt6opengl6                      	       2      417       13       24      378
 4603 libqt6qml6                         	       2      385       13       27      343
 4604 libqt6qmlmodels6                   	       2      383       12       23      346
 4605 libqt6qmlworkerscript6             	       2      146        9       17      118
 4606 libqt6quick6                       	       2      382       12       23      345
 4607 libqt6remoteobjects6-bin           	       2        2        0        0        0
 4608 libqt6scxml6-bin                   	       2        2        0        0        0
 4609 libqt6serialbus6-bin               	       2        3        0        1        0
 4610 libqt6waylandclient6               	       2      292       14       31      245
 4611 libqtermwidget5-0                  	       2       75        4       11       58
 4612 librc1t64                          	       2       54        0        0       52
 4613 libreoffice-gtk2                   	       2       70        1       67        0
 4614 libreoffice-l10n-cs                	       2       32        1       29        0
 4615 libreoffice-l10n-fi                	       2        8        0        6        0
 4616 libreoffice-qt6                    	       2       19        5       12        0
 4617 libreoffice-style-karasa-jaga      	       2       24        0       22        0
 4618 libreoffice-style-sifr             	       2       31        0       29        0
 4619 libreoffice-style-sukapura         	       2       21        2       17        0
 4620 libreoffice25.2-debian-menus       	       2        2        0        0        0
 4621 librist4                           	       2     2607       56      192     2357
 4622 librsvg2-bin                       	       2      178        3      173        0
 4623 librtmp-dev                        	       2       69        0       67        0
 4624 librttopo-dev                      	       2       39        0       37        0
 4625 librubberband2                     	       2     3230       47      153     3028
 4626 libsamplerate0-dev                 	       2      214        2      210        0
 4627 libsane                            	       2      335        3      214      116
 4628 libsdl-mixer1.2-dev                	       2      100        1       97        0
 4629 libsdl1.2-dev                      	       2      192        4      186        0
 4630 libsepol1-dev                      	       2      165        2      161        0
 4631 libserd-0-0                        	       2     3227       48      158     3019
 4632 libset-intspan-perl                	       2      204        2      200        0
 4633 libsignon-qt5-1                    	       2      782       26       88      666
 4634 libsigrok4                         	       2       21        0       19        0
 4635 libsmbclient                       	       2     2957       44      146     2765
 4636 libsndfile1-dev                    	       2      120        1      117        0
 4637 libsndio-dev                       	       2      229        2      225        0
 4638 libsndio7.0                        	       2     3178       47      155     2974
 4639 libsord-0-0                        	       2     3224       48      158     3016
 4640 libsoup-3.0-common                 	       2     2500        4       11     2483
 4641 libspa-0.2-bluetooth               	       2      463       16       56      389
 4642 libspatialite-dev                  	       2       42        1       39        0
 4643 libsphinxbase3                     	       2     2759       30      115     2612
 4644 libsqlite3-tcl                     	       2       23        1       20        0
 4645 libsratom-0-0                      	       2     3224       48      158     3016
 4646 libsrt1.5-gnutls                   	       2     2591       52      182     2355
 4647 libstring-license-perl             	       2      151        2      147        0
 4648 libstrongswan                      	       2       77       10       65        0
 4649 libstrongswan-standard-plugins     	       2       75       10       63        0
 4650 libsuitesparse-dev                 	       2       33        0       31        0
 4651 libswitch-perl                     	       2      728       17      709        0
 4652 libswscale6                        	       2     2367       42      128     2195
 4653 libswt-gtk-4-java                  	       2       59        3       54        0
 4654 libswt-gtk-4-jni                   	       2       65        3       60        0
 4655 libtag-c2                          	       2       48        7       10       29
 4656 libtag2                            	       2       77        8       10       57
 4657 libtalloc-dev                      	       2       14        0       12        0
 4658 libtbb-dev                         	       2       92        1       89        0
 4659 libtdb-dev                         	       2       16        1       13        0
 4660 libtevent-dev                      	       2        8        0        6        0
 4661 libtext-template-perl              	       2      294        4      288        0
 4662 libtheoradec1                      	       2       74       29       21       22
 4663 libtheoraenc1                      	       2       73       29       20       22
 4664 libtss2-esys-3.0.2-0t64            	       2      113        2       17       92
 4665 libtss2-mu-4.0.1-0t64              	       2      114        2       19       91
 4666 libtss2-sys1t64                    	       2      114        2       19       91
 4667 libu2f-udev                        	       2      589        4      117      466
 4668 libunwind-dev                      	       2      125        3      120        0
 4669 libuv1-dev                         	       2      236        4      230        0
 4670 libvidstab1.1                      	       2     3129       43      147     2937
 4671 libvirt-daemon-driver-interface    	       2       21        9        9        1
 4672 libvirt-daemon-driver-network      	       2       25       11       12        0
 4673 libvirt-daemon-driver-nodedev      	       2       25       11       11        1
 4674 libvirt-daemon-driver-nwfilter     	       2       25       11       11        1
 4675 libvirt-daemon-driver-secret       	       2       25       11       11        1
 4676 libvirt-daemon-driver-storage      	       2       25       11       12        0
 4677 libvirt-daemon-driver-storage-disk 	       2       21        9        9        1
 4678 libvirt-daemon-driver-storage-iscsi	       2       18        7        9        0
 4679 libvirt-daemon-driver-storage-logical	       2       21        9        9        1
 4680 libvirt-daemon-driver-storage-mpath	       2       21        9        9        1
 4681 libvirt-daemon-driver-storage-scsi 	       2       21        9        9        1
 4682 libvirt-daemon-driver-vbox         	       2      341       76      225       38
 4683 libvirt-daemon-driver-xen          	       2      334       77      223       32
 4684 libvlc-dev                         	       2      109        0      107        0
 4685 libvorbis-dev                      	       2      287        5      280        0
 4686 libvpx-dev                         	       2      175        1      172        0
 4687 libwbclient-dev                    	       2        5        0        3        0
 4688 libwine-dev                        	       2       38        0       36        0
 4689 libwmf-dev                         	       2       91        1       88        0
 4690 libwrap0-dev                       	       2       95        2       91        0
 4691 libx11-xcb-dev                     	       2      210        6      202        0
 4692 libx265-215                        	       2       78       28       22       26
 4693 libx265-dev                        	       2      183        1      180        0
 4694 libx2go-server-perl                	       2       64        8       54        0
 4695 libx32stdc++-12-dev                	       2       69        0       67        0
 4696 libx32stdc++-14-dev                	       2       16        1       13        0
 4697 libxcb-xkb-dev                     	       2       77        2       73        0
 4698 libxenmisc4.19                     	       2       10        5        2        1
 4699 libxkbcommon-x11-dev               	       2       52        1       49        0
 4700 libxml-simple-perl                 	       2      253       13      238        0
 4701 libxmlb1                           	       2       78        5       23       48
 4702 libxmlsec1-nss                     	       2     2596       21       62     2511
 4703 libxnvctrl0                        	       2     2224       43      173     2006
 4704 libxxf86dga-dev                    	       2      147        1      144        0
 4705 libyajl-dev                        	       2       38        1       35        0
 4706 libyaml-pp-perl                    	       2       43        1       40        0
 4707 libyuv-dev                         	       2       10        0        8        0
 4708 libzimg2                           	       2     2572       36      127     2407
 4709 libzip-dev                         	       2       81        2       77        0
 4710 libzlui-gtk                        	       2       86        0       84        0
 4711 lighttpd-mod-openssl               	       2       53        3       48        0
 4712 linguist-qt6                       	       2       73        1       70        0
 4713 link-grammar-dictionaries-en       	       2       98        1       92        3
 4714 linux-headers-6.1.0-30-amd64       	       2       99        2       95        0
 4715 linux-headers-6.1.0-30-common      	       2      102        2       98        0
 4716 linux-headers-6.12.12+bpo-amd64    	       2        2        0        0        0
 4717 linux-headers-6.12.12+bpo-common   	       2        2        0        0        0
 4718 linux-image-5.10.0-34-686          	       2        2        0        0        0
 4719 linux-image-6.1.0-31-686-pae       	       2        4        1        1        0
 4720 linux-image-6.1.0-31-rt-amd64      	       2        2        0        0        0
 4721 linux-image-6.12.12+bpo-amd64      	       2        3        1        0        0
 4722 linux-image-6.12.13-amd64          	       2        6        2        2        0
 4723 linux-image-6.12.16-amd64          	       2        3        1        0        0
 4724 linux-image-6.12.17-amd64          	       2        4        2        0        0
 4725 linux-image-6.12.9+bpo-amd64       	       2       26       10       14        0
 4726 linux-modules-6.8.0-1021-nvidia-lowlatency	       2        2        0        0        0
 4727 linux-nvidia-lowlatency-headers-6.8.0-1021	       2        2        0        0        0
 4728 linux-tools-common                 	       2        2        0        0        0
 4729 llvm                               	       2       99        2       95        0
 4730 llvm-17                            	       2       16        0       14        0
 4731 llvm-17-dev                        	       2       16        0       14        0
 4732 llvm-17-linker-tools               	       2       17        0       15        0
 4733 llvm-17-runtime                    	       2       16        0       14        0
 4734 llvm-17-tools                      	       2       16        0       14        0
 4735 llvm-runtime                       	       2      101        2       97        0
 4736 lockfile-progs                     	       2      220       35      183        0
 4737 logtail                            	       2       78       19       57        0
 4738 lshw-gtk                           	       2       86        1       83        0
 4739 lynis                              	       2       66        3       61        0
 4740 maim                               	       2       23        3       18        0
 4741 make-guile                         	       2       10        1        7        0
 4742 maptool                            	       2       15        0       13        0
 4743 marble                             	       2       74        1       71        0
 4744 mariadb-client-10.5                	       2       68        5       61        0
 4745 mariadb-plugin-provider-snappy     	       2      184       46      136        0
 4746 mariadb-server-10.5                	       2       77        2       55       18
 4747 mariadb-server-compat              	       2        8        0        6        0
 4748 markdown                           	       2       77        2       73        0
 4749 mate-applet-brisk-menu             	       2      477        4       20      451
 4750 mc-data                            	       2     1598        8       41     1547
 4751 mediathekview                      	       2       38        2       34        0
 4752 mednafen                           	       2       32        0       30        0
 4753 mednaffe                           	       2       29        0       27        0
 4754 mergerfs                           	       2        8        1        5        0
 4755 mintstick                          	       2       33        0       31        0
 4756 minuet                             	       2       51        0       49        0
 4757 miscfiles                          	       2      185        1      182        0
 4758 mkvtoolnix                         	       2      115        4      109        0
 4759 mkvtoolnix-gui                     	       2       63        3       58        0
 4760 mlock                              	       2      164        5      157        0
 4761 mmdebstrap                         	       2       77        3       72        0
 4762 mongodb-mongosh                    	       2        8        0        6        0
 4763 mono-xsp4                          	       2       54        3       49        0
 4764 mono-xsp4-base                     	       2       56        3       51        0
 4765 monodoc-http                       	       2       55        1       52        0
 4766 moon-buggy                         	       2       17        1       14        0
 4767 mosquitto-clients                  	       2       47        2       43        0
 4768 mp3gain                            	       2       51        0       49        0
 4769 mpc                                	       2       58        3       53        0
 4770 mpg123                             	       2      173        5      166        0
 4771 mplayer-gui                        	       2       55        3       50        0
 4772 mtpaint                            	       2       56        1       53        0
 4773 mtr                                	       2      151        4      145        0
 4774 munin-node                         	       2       75        5       68        0
 4775 muse                               	       2       19        0       17        0
 4776 musescore3                         	       2       28        2       24        0
 4777 mypy                               	       2       36        1       33        0
 4778 nautilus-extension-gnome-terminal  	       2      418        1       12      403
 4779 navit                              	       2       14        2       10        0
 4780 navit-gui-gtk                      	       2       10        0        8        0
 4781 navit-gui-internal                 	       2       10        1        7        0
 4782 ncat                               	       2       80        1       77        0
 4783 nedit                              	       2       41        1       38        0
 4784 netdiscover                        	       2       39        2       35        0
 4785 netselect                          	       2       36        0       34        0
 4786 netselect-apt                      	       2       26        0       24        0
 4787 network-manager-openconnect        	       2       46       10       34        0
 4788 network-manager-openconnect-gnome  	       2       37        0       29        6
 4789 network-manager-openvpn-gnome      	       2      120        4      100       14
 4790 network-manager-pptp               	       2       45        8       35        0
 4791 network-manager-pptp-gnome         	       2       34        0       26        6
 4792 neverball                          	       2       27        0       25        0
 4793 newsboat                           	       2       46        3       41        0
 4794 nfs4-acl-tools                     	       2       28        0       26        0
 4795 nginx-common                       	       2      158        2      153        1
 4796 nitrogen                           	       2       48        4       42        0
 4797 node-babel7                        	       2      180        1      177        0
 4798 node-busboy                        	       2      261        2      257        0
 4799 node-highlight.js                  	       2       48        0       46        0
 4800 node-tap                           	       2      153        0      151        0
 4801 node-y18n                          	       2      190        2      183        3
 4802 node-yargs                         	       2      189        2      183        2
 4803 nsxiv                              	       2       16        0       14        0
 4804 nut-client                         	       2       69       12       55        0
 4805 nvidia-firmware-535-535.183.01     	       2        2        0        0        0
 4806 nvidia-firmware-535-server-535.216.03	       2        2        0        0        0
 4807 nvidia-firmware-550-550.120        	       2        2        0        0        0
 4808 nvidia-firmware-550-server-550.127.08	       2        2        0        0        0
 4809 nvidia-firmware-565-server-565.57.01	       2        2        0        0        0
 4810 nvme-cli                           	       2       85        9       74        0
 4811 nwipe                              	       2       29        2       25        0
 4812 nxagent                            	       2       71        4       65        0
 4813 nxproxy                            	       2       92        6       84        0
 4814 obkey                              	       2        4        1        1        0
 4815 ocaml-interp                       	       2       75        1       72        0
 4816 octave                             	       2       83        2       79        0
 4817 oggvideotools                      	       2       28        2       24        0
 4818 onboard                            	       2       48        4       42        0
 4819 open-iscsi                         	       2       50       13       35        0
 4820 open-vm-tools-desktop              	       2       20        2       16        0
 4821 openbsd-inetd                      	       2      172       26      144        0
 4822 opencity                           	       2        9        0        7        0
 4823 openconnect                        	       2       93        6       85        0
 4824 opendoas                           	       2       79       10       66        1
 4825 openjdk-17-jdk                     	       2      226        0        9      215
 4826 openjdk-17-jre                     	       2     1044        6       15     1021
 4827 openjdk-17-source                  	       2       11        0        9        0
 4828 openjdk-24-jre-headless            	       2        4        0        2        0
 4829 openjdk-25-jre-headless            	       2        2        0        0        0
 4830 openjdk-8-jre-headless             	       2      199        5      192        0
 4831 opensc-pkcs11                      	       2      468       46      116      304
 4832 opensp                             	       2      159        3      154        0
 4833 opensysusers                       	       2       33        5       26        0
 4834 openttd                            	       2       48        1       45        0
 4835 palemoon                           	       2       60        7       51        0
 4836 pavumeter                          	       2       83        2       79        0
 4837 pbuilder                           	       2       88        6       80        0
 4838 pdfchain                           	       2       45        2       41        0
 4839 perl-modules-5.28                  	       2      521       55      464        0
 4840 pgpdump                            	       2       29        1       26        0
 4841 php-cgi                            	       2       42        5       33        2
 4842 php-common                         	       2      505      101      402        0
 4843 php-composer-ca-bundle             	       2       77        5       70        0
 4844 php-getallheaders                  	       2       40        3       35        0
 4845 php-google-recaptcha               	       2       51        5       44        0
 4846 php-mariadb-mysql-kbs              	       2       51        5       44        0
 4847 php-pear                           	       2      170        7      161        0
 4848 php-psr-cache                      	       2       57        5       50        0
 4849 php-psr-http-message               	       2       41        3       36        0
 4850 php-slim-psr7                      	       2       35        3       30        0
 4851 php-symfony-cache-contracts        	       2       53        5       46        0
 4852 php-symfony-deprecation-contracts  	       2       76        5       69        0
 4853 php-symfony-service-contracts      	       2       91        7       82        0
 4854 php-symfony-yaml                   	       2       36        4       30        0
 4855 php-twig                           	       2       55        5       48        0
 4856 php-webmozart-assert               	       2       38        3       33        0
 4857 php8.2-cgi                         	       2       48        7       39        0
 4858 php8.2-cli                         	       2      313       59      252        0
 4859 php8.2-phpdbg                      	       2       59        2       55        0
 4860 php8.4-dev                         	       2        2        0        0        0
 4861 pinentry-tqt                       	       2       14        0       12        0
 4862 pinfo                              	       2       29        0       27        0
 4863 pingus                             	       2       34        0       32        0
 4864 pipewire-jack                      	       2       41        3       36        0
 4865 pkg-php-tools                      	       2       37        1       34        0
 4866 plasma-firewall                    	       2       31        0       29        0
 4867 pluma-common                       	       2      520        3        9      506
 4868 pngquant                           	       2       85        3       80        0
 4869 podman                             	       2       60        3       55        0
 4870 postfix                            	       2      379      105      272        0
 4871 postgresql-client-17               	       2       26        6       18        0
 4872 prerex                             	       2      132        2      128        0
 4873 procyon-decompiler                 	       2       23        1       20        0
 4874 proftpd-core                       	       2       29        5       22        0
 4875 pulseview                          	       2       24        0       22        0
 4876 pyflakes3                          	       2       64        0       62        0
 4877 pypy3-lib                          	       2       13        0       11        0
 4878 pyqt5-dev-tools                    	       2      101        2       97        0
 4879 python-dbus                        	       2      235       18      215        0
 4880 python-dev-is-python3              	       2       30        1       27        0
 4881 python-gobject-2                   	       2      229       23      204        0
 4882 python-pkg-resources               	       2      437        8      426        1
 4883 python3-aiohttp-socks              	       2       23        0       21        0
 4884 python3-arrow                      	       2       43        1       40        0
 4885 python3-astrometry                 	       2       26        0       24        0
 4886 python3-atomicwrites               	       2       73        0       71        0
 4887 python3-autopep8                   	       2       44        0       42        0
 4888 python3-binwalk                    	       2       52        2       48        0
 4889 python3-bluez                      	       2       18        0       16        0
 4890 python3-botocore                   	       2       23        0       21        0
 4891 python3-bson                       	       2       22        2       18        0
 4892 python3-bson-ext                   	       2       22        2       18        0
 4893 python3-cairocffi                  	       2       50        0       48        0
 4894 python3-cloudpickle                	       2       43        0       41        0
 4895 python3-cssutils                   	       2       81        0       79        0
 4896 python3-dbus.mainloop.pyqt5        	       2      181       12      166        1
 4897 python3-dbus.mainloop.pyqt6        	       2       10        2        6        0
 4898 python3-deprecated                 	       2      123        2      119        0
 4899 python3-deprecation                	       2      183        2      179        0
 4900 python3-diff-match-patch           	       2       69        0       67        0
 4901 python3-electrum                   	       2       16        0       14        0
 4902 python3-enchant                    	       2      127        0      125        0
 4903 python3-evdev                      	       2      122       12      108        0
 4904 python3-ewmh                       	       2       11        0        9        0
 4905 python3-flake8                     	       2       57        0       55        0
 4906 python3-fqdn                       	       2       15        1       12        0
 4907 python3-freetype                   	       2       55        1       52        0
 4908 python3-gattlib                    	       2       18        0       16        0
 4909 python3-gevent                     	       2       35        1       32        0
 4910 python3-gps                        	       2        8        0        6        0
 4911 python3-gridfs                     	       2       20        2       16        0
 4912 python3-guestfs                    	       2       16        1       13        0
 4913 python3-icoextract                 	       2       14        0       12        0
 4914 python3-isoduration                	       2       15        1       12        0
 4915 python3-jmespath                   	       2      107        0      105        0
 4916 python3-jsbeautifier               	       2       27        1       24        0
 4917 python3-jsondiff                   	       2       27        1       24        0
 4918 python3-jsonschema-specifications  	       2       48        1       45        0
 4919 python3-jupyter-client             	       2       89        1       86        0
 4920 python3-libarchive-c               	       2       41        1       38        0
 4921 python3-libcloud                   	       2       64        0       62        0
 4922 python3-mediainfodll               	       2       16        0       14        0
 4923 python3-mypy                       	       2       42        1       39        0
 4924 python3-newt                       	       2       77        3       72        0
 4925 python3-nose                       	       2       56        1       53        0
 4926 python3-odf                        	       2      113        1      110        0
 4927 python3-pbr                        	       2      162        2      158        0
 4928 python3-pivy                       	       2      113        3      108        0
 4929 python3-png                        	       2      112        0      110        0
 4930 python3-pooch                      	       2       28        1       25        0
 4931 python3-pycares                    	       2       45        6       37        0
 4932 python3-pycodestyle                	       2       89        0       87        0
 4933 python3-pyflakes                   	       2       72        0       70        0
 4934 python3-pymongo                    	       2       20        2       16        0
 4935 python3-pymongo-ext                	       2       20        2       16        0
 4936 python3-pypdf2                     	       2       66        2       62        0
 4937 python3-pyproj                     	       2       56        2       52        0
 4938 python3-pyqt6.qtbluetooth          	       2        4        0        2        0
 4939 python3-pyqt6.qtcharts             	       2       12        0       10        0
 4940 python3-pyqt6.qtdesigner           	       2        6        0        4        0
 4941 python3-pyqt6.qthelp               	       2       11        0        9        0
 4942 python3-pyqt6.qtpdf                	       2        4        0        2        0
 4943 python3-pyqt6.qtpositioning        	       2        4        0        2        0
 4944 python3-pyqt6.qtquick3d            	       2        4        0        2        0
 4945 python3-pyqt6.qtremoteobjects      	       2        4        0        2        0
 4946 python3-pyqt6.qtsensors            	       2        4        0        2        0
 4947 python3-pyqt6.qtserialport         	       2       11        0        9        0
 4948 python3-pyqt6.qtwebsockets         	       2        5        0        3        0
 4949 python3-pyqtgraph                  	       2       81        2       77        0
 4950 python3-pyside2.qtcore             	       2      133        2      128        1
 4951 python3-pyside2.qtgui              	       2      132        2      127        1
 4952 python3-pyside2.qtwidgets          	       2      131        2      126        1
 4953 python3-pyside6.qtcore             	       2        4        1        1        0
 4954 python3-python-socks               	       2       19        0       17        0
 4955 python3-pytoolconfig               	       2       32        0       30        0
 4956 python3-pytz-deprecation-shim      	       2       37        0       35        0
 4957 python3-qtconsole                  	       2       35        1       32        0
 4958 python3-rapidfuzz                  	       2       16        0       14        0
 4959 python3-recommonmark               	       2       23        1       20        0
 4960 python3-referencing                	       2       48        1       45        0
 4961 python3-requests-ntlm              	       2       64        0       62        0
 4962 python3-rfc3339-validator          	       2       15        1       12        0
 4963 python3-rfc3986-validator          	       2       15        1       12        0
 4964 python3-rlpycairo                  	       2       52        1       49        0
 4965 python3-rope                       	       2       35        0       33        0
 4966 python3-rtree                      	       2       31        0       29        0
 4967 python3-sane                       	       2       32        0       30        0
 4968 python3-send2trash                 	       2      107        1      104        0
 4969 python3-sh                         	       2       14        0       12        0
 4970 python3-sklearn-lib                	       2       31        0       29        0
 4971 python3-slugify                    	       2       43        0       41        0
 4972 python3-sqlalchemy                 	       2       91        1       88        0
 4973 python3-sqlparse                   	       2       42        0       40        0
 4974 python3-superqt                    	       2        4        0        2        0
 4975 python3-svn                        	       2       40        0       38        0
 4976 python3-systemd                    	       2      220       10      208        0
 4977 python3-tlsh                       	       2       19        1       16        0
 4978 python3-trio-websocket             	       2       37        2       33        0
 4979 python3-virtualenv                 	       2      165        4      159        0
 4980 python3-xmltodict                  	       2       86        0       84        0
 4981 python3-yapf                       	       2       33        0       31        0
 4982 python3-zbar                       	       2       46        1       43        0
 4983 python3.12-venv                    	       2       49        0       47        0
 4984 qalc                               	       2       88        1       85        0
 4985 qdoc-qt6                           	       2       19        1       16        0
 4986 qimgv                              	       2       11        0        9        0
 4987 qml-module-org-kde-userfeedback    	       2      627        3       11      611
 4988 qml-qt6                            	       2       43        0       41        0
 4989 qpdf                               	       2      245        1      242        0
 4990 qt5-style-kvantum                  	       2       53        3       48        0
 4991 qt6-5compat-dev                    	       2        8        0        6        0
 4992 qt6-charts-dev                     	       2        5        0        3        0
 4993 qt6-declarative-dev                	       2       15        0       13        0
 4994 qt6-documentation-tools            	       2       71        1       68        0
 4995 qt6-gtk-platformtheme              	       2      524        9       11      502
 4996 qt6-image-formats-plugins          	       2      211       14       21      174
 4997 qt6-l10n-tools                     	       2       71        1       68        0
 4998 qt6-lottie-dev                     	       2        2        0        0        0
 4999 qt6-networkauth-dev                	       2        3        0        1        0
 5000 qt6-quicktimeline-dev              	       2        2        0        0        0
 5001 qt6-sensors-dev                    	       2        2        0        0        0
 5002 qt6-serialbus-dev                  	       2        3        0        1        0
 5003 qt6-serialport-dev                 	       2        5        0        3        0
 5004 qt6-shader-baker                   	       2        3        0        1        0
 5005 qt6-shadertools-dev                	       2        3        0        1        0
 5006 qt6-tools-dev                      	       2       24        1       21        0
 5007 qt6-tools-dev-tools                	       2       71        1       68        0
 5008 qt6-webchannel-dev                 	       2        9        0        7        0
 5009 qt6-websockets-dev                 	       2        2        0        0        0
 5010 qtcreator                          	       2       58        1       55        0
 5011 qtcreator-data                     	       2       63        0       61        0
 5012 quilt                              	       2      169        4      163        0
 5013 qutebrowser                        	       2       55        3       50        0
 5014 r-mathlib                          	       2       18        0       16        0
 5015 rclone                             	       2       88        2       84        0
 5016 rdesktop                           	       2      207        5      200        0
 5017 rdiff-backup                       	       2       41        3       36        0
 5018 re2c                               	       2      122        6      114        0
 5019 remind                             	       2       32        2       28        0
 5020 renameutils                        	       2       22        1       19        0
 5021 rkward                             	       2       14        0       12        0
 5022 rsh-client                         	       2       20        0       18        0
 5023 rubberband-cli                     	       2       43        1       40        0
 5024 ruby-activesupport                 	       2       24        1       21        0
 5025 ruby-memoist                       	       2       27        1       24        0
 5026 ruby-multi-json                    	       2       53        2       49        0
 5027 ruby-nokogiri                      	       2       86        2       82        0
 5028 ruby-oj                            	       2       44        1       41        0
 5029 ruby-polyglot                      	       2       14        0       12        0
 5030 ruby-text                          	       2      111        2      107        0
 5031 ruby-treetop                       	       2       14        0       12        0
 5032 ruby-unf-ext                       	       2       74        1       71        0
 5033 rustc                              	       2       96        2       92        0
 5034 rxvt-unicode                       	       2      167       17      148        0
 5035 samba-dev                          	       2        6        0        4        0
 5036 sbcl                               	       2       68        2       64        0
 5037 sdparm                             	       2       73        0       71        0
 5038 sg3-utils                          	       2       89        1       86        0
 5039 sigil                              	       2       24        0       22        0
 5040 sigrok-cli                         	       2       16        0       14        0
 5041 simple-ccsm                        	       2        8        1        5        0
 5042 skanlite                           	       2       57        0       55        0
 5043 skrooge                            	       2       13        0       11        0
 5044 slirp4netns                        	       2       65        1       62        0
 5045 sng                                	       2       24        1       21        0
 5046 sonic-visualiser                   	       2       24        1       21        0
 5047 speedtest-cli                      	       2      124        3      119        0
 5048 spell                              	       2       37        0       35        0
 5049 spfquery                           	       2       10        0        8        0
 5050 spice-client-gtk                   	       2       49        4       43        0
 5051 spotify-client                     	       2       66        3       61        0
 5052 sqlite3-tools                      	       2       18        0       16        0
 5053 sshpass                            	       2      122        3      117        0
 5054 sstp-client                        	       2       21        1       18        0
 5055 steam-devices                      	       2      152        8      142        0
 5056 steam-installer                    	       2      126        9      115        0
 5057 steghide                           	       2       45        1       42        0
 5058 strawberry                         	       2       32        2       28        0
 5059 strongswan-nm                      	       2       30        1       27        0
 5060 sublime-text                       	       2       26        1       23        0
 5061 subversion-tools                   	       2       39        1       36        0
 5062 surf                               	       2       72        2       68        0
 5063 svn2cl                             	       2       37        0       35        0
 5064 sway                               	       2       49        7       40        0
 5065 swaybg                             	       2       52        7       43        0
 5066 swayidle                           	       2       23        2       19        0
 5067 symlinks                           	       2       40        2       36        0
 5068 talkd                              	       2       23        1       20        0
 5069 tcl-expect-dev                     	       2        3        0        1        0
 5070 tcl-tclreadline                    	       2       29        0       27        0
 5071 tcl8.6-dev                         	       2      121        3      116        0
 5072 tdeartwork-theme-window-trinity    	       2       25        0       23        0
 5073 tellico                            	       2       12        0       10        0
 5074 terminator                         	       2       97       11       84        0
 5075 texlive-lang-czechslovak           	       2       92        5       85        0
 5076 texlive-lang-polish                	       2       99        6       91        0
 5077 texstudio                          	       2       69        1       66        0
 5078 texworks                           	       2       14        0       12        0
 5079 thorium-browser                    	       2       11        0        9        0
 5080 thunderbird-l10n-en-gb             	       2       29        6       21        0
 5081 thunderbird-l10n-es-es             	       2       19        0       17        0
 5082 tigervnc-tools                     	       2       77        3       72        0
 5083 tilix                              	       2       21        2       17        0
 5084 timeshift                          	       2       67        5       60        0
 5085 tkremind                           	       2       11        0        9        0
 5086 tlp-rdw                            	       2       66        5       59        0
 5087 tripwire                           	       2       21        5       14        0
 5088 tshark                             	       2      127        3      122        0
 5089 tuxguitar                          	       2       26        1       23        0
 5090 tuxpaint                           	       2       44        1       41        0
 5091 tuxpaint-data                      	       2       44        0       42        0
 5092 tuxpaint-plugins-default           	       2       44        0       42        0
 5093 umockdev                           	       2        3        0        1        0
 5094 uni2ascii                          	       2       32        1       29        0
 5095 unison                             	       2       98        5       91        0
 5096 vdirsyncer                         	       2       19        0       17        0
 5097 vim-motif                          	       2       17        2       13        0
 5098 vim-nox                            	       2       98        4       92        0
 5099 vokoscreen-ng                      	       2       30        0       28        0
 5100 vrms                               	       2       52        2       48        0
 5101 wazuh-agent                        	       2        5        0        3        0
 5102 wbritish                           	       2       63        4       57        0
 5103 webcamoid                          	       2       46        0       44        0
 5104 webp                               	       2       90        4       84        0
 5105 weechat-curses                     	       2       54        2       50        0
 5106 wine32-preloader                   	       2       26        1       23        0
 5107 wine64-tools                       	       2       36        0       34        0
 5108 wireshark-qt                       	       2      278        3      273        0
 5109 wmaker-common                      	       2       82        4       76        0
 5110 workrave                           	       2       16        0       14        0
 5111 x264                               	       2       62        1       59        0
 5112 x2goserver-extensions              	       2       60        4       54        0
 5113 x2goserver-x2goagent               	       2       63        3       58        0
 5114 xbindkeys                          	       2       57       13       42        0
 5115 xcalib                             	       2       63        0       61        0
 5116 xchm                               	       2       48        0       46        0
 5117 xdg-desktop-portal-wlr             	       2       22        0       20        0
 5118 xdm                                	       2       89       12       75        0
 5119 xe-guest-utilities                 	       2        5        1        2        0
 5120 xfce4-appmenu-plugin               	       2       35        0       32        1
 5121 xfce4-dev-tools                    	       2       35        2       31        0
 5122 xfce4-session-dbgsym               	       2       15        0       13        0
 5123 xinput                             	       2      286       17      266        1
 5124 xjed                               	       2       17        1       14        0
 5125 xmlbeans                           	       2       18        1       15        0
 5126 xmlto                              	       2      102        0      100        0
 5127 xnest                              	       2       50        0       48        0
 5128 xserver-xorg-input-mouse           	       2      216        2      212        0
 5129 xserver-xorg-input-synaptics       	       2      245       27      216        0
 5130 xvkbd                              	       2       37        0       35        0
 5131 yapf3                              	       2       27        0       25        0
 5132 youtube-viewer                     	       2        2        0        0        0
 5133 zabbix-agent                       	       2       50        9       39        0
 5134 zbar-tools                         	       2       67        0       65        0
 5135 zipcmp                             	       2       16        2       12        0
 5136 zipmerge                           	       2       13        2        9        0
 5137 ziptool                            	       2       15        2       11        0
 5138 zsh-dev                            	       2        4        0        2        0
 5139 zsync                              	       2       18        1       15        0
 5140 3d-ascii-viewer                    	       1        1        0        0        0
 5141 64tass                             	       1        2        0        1        0
 5142 7zip-rar                           	       1       21        2       18        0
 5143 7zip-standalone                    	       1        5        1        3        0
 5144 aaphoto                            	       1        9        0        8        0
 5145 abcm2ps                            	       1        3        0        2        0
 5146 abe                                	       1        9        0        8        0
 5147 acct                               	       1       44        9       34        0
 5148 ace                                	       1        2        0        1        0
 5149 acmetool                           	       1        4        0        3        0
 5150 acpi-fakekey                       	       1      227       46      180        0
 5151 acpitool                           	       1      114        2      111        0
 5152 acr                                	       1        4        0        3        0
 5153 activity-aware-firefox             	       1        6        1        4        0
 5154 agda-bin                           	       1        6        0        5        0
 5155 age                                	       1       21        0       20        0
 5156 aide                               	       1       13        2       10        0
 5157 aircrack-ng                        	       1       72        1       70        0
 5158 airstrike                          	       1        6        0        5        0
 5159 akonadi-import-wizard              	       1        7        0        6        0
 5160 akonadiconsole                     	       1       50        0       49        0
 5161 akregator-trinity                  	       1       26        0       25        0
 5162 algol68g                           	       1        3        0        2        0
 5163 alien                              	       1      122        2      119        0
 5164 alpine-pico                        	       1       14        0       13        0
 5165 alsa-oss                           	       1       94        2       91        0
 5166 alttab                             	       1       12        0       11        0
 5167 amarok                             	       1        7        0        6        0
 5168 amdgpu-dkms                        	       1       12        0       11        0
 5169 amdgpu-dkms-firmware               	       1       11        0        8        2
 5170 amor-trinity                       	       1       23        0       22        0
 5171 amule-daemon                       	       1        6        0        5        0
 5172 amule-utils-gui                    	       1       14        0       13        0
 5173 ancient                            	       1       16        1       14        0
 5174 androguard                         	       1       15        0       14        0
 5175 ansible                            	       1       65        1       63        0
 5176 ansible-core                       	       1       47        0       46        0
 5177 ansifilter                         	       1       16        0       15        0
 5178 ansiweather                        	       1       14        0       13        0
 5179 anthy                              	       1       65        0       64        0
 5180 antlr3                             	       1       42        1       40        0
 5181 antlr4                             	       1       12        0       11        0
 5182 apachetop                          	       1       12        1       10        0
 5183 apksigcopier                       	       1       14        0       13        0
 5184 apparmor-utils                     	       1       91        1       89        0
 5185 apt-fast                           	       1        1        0        0        0
 5186 apt-mirror                         	       1       18        0       17        0
 5187 apt-show-versions                  	       1      120       26       93        0
 5188 apt-src                            	       1       25        0       24        0
 5189 apticron                           	       1       47        8       38        0
 5190 apulse                             	       1      124        2      121        0
 5191 aqemu                              	       1       66        2       63        0
 5192 archivemount                       	       1       18        1       16        0
 5193 ardour                             	       1       66        1       64        0
 5194 ardour-lv2-plugins                 	       1       64        2       61        0
 5195 ardour-video-timeline              	       1       63        2       60        0
 5196 ark-trinity                        	       1       32        1       30        0
 5197 arqiver                            	       1       10        0        9        0
 5198 artsbuilder-trinity                	       1       26        2       23        0
 5199 ascii                              	       1       28        1       26        0
 5200 asciidoc-base                      	       1       76        0       75        0
 5201 asciidoctor                        	       1       65        1       63        0
 5202 aspell-cs                          	       1       43        0       42        0
 5203 asterisk-dev                       	       1        2        0        1        0
 5204 asunder                            	       1       76        1       74        0
 5205 asymptote-x11                      	       1        1        0        0        0
 5206 atanks                             	       1       10        0        9        0
 5207 atlantik-trinity                   	       1       23        0       22        0
 5208 atlantikdesigner-trinity           	       1       23        0       22        0
 5209 atmel-firmware                     	       1       10        0        9        0
 5210 audispd-plugins                    	       1        8        0        7        0
 5211 ausweisapp                         	       1        1        0        0        0
 5212 auto-editor                        	       1        3        0        2        0
 5213 auto-multiple-choice               	       1        4        0        3        0
 5214 autofirma                          	       1       26        2       23        0
 5215 autogen                            	       1       54        1       52        0
 5216 autojump                           	       1       19        1       17        0
 5217 avfs                               	       1       21        1       19        0
 5218 avidemux-cli                       	       1        4        0        3        0
 5219 avidemux-common                    	       1       33        1       30        1
 5220 avidemux-plugins                   	       1       30        1       28        0
 5221 avidemux-qt                        	       1       29        1       27        0
 5222 avogadro                           	       1       15        1       13        0
 5223 avogadro-utils                     	       1       14        1       12        0
 5224 avrp                               	       1       12        0       11        0
 5225 axiom                              	       1        2        0        1        0
 5226 axiom-databases                    	       1        2        0        1        0
 5227 axiom-graphics                     	       1        2        0        1        0
 5228 axiom-graphics-data                	       1        2        0        1        0
 5229 axiom-hypertex                     	       1        2        0        1        0
 5230 ayatana-indicator-power            	       1        2        0        1        0
 5231 azure-cli                          	       1       13        0       12        0
 5232 backintime-common                  	       1       34        9       24        0
 5233 backintime-qt                      	       1       32        2       29        0
 5234 backuppc                           	       1        9        2        6        0
 5235 balena-etcher                      	       1       28        0       27        0
 5236 basic256                           	       1       36        2       33        0
 5237 bat                                	       1       42        4       37        0
 5238 bbe                                	       1        3        0        2        0
 5239 bcompare                           	       1        7        0        6        0
 5240 bd                                 	       1        3        0        2        0
 5241 bdf2psf                            	       1       28        1       26        0
 5242 bemenu                             	       1        9        0        8        0
 5243 bfbtester                          	       1       17        1       15        0
 5244 biff                               	       1       11        0       10        0
 5245 binaryen                           	       1       13        0       12        0
 5246 bind9-dev                          	       1        3        0        2        0
 5247 bindgen                            	       1        5        0        4        0
 5248 binfmtc                            	       1        4        0        3        0
 5249 binutils-dbg                       	       1        1        0        0        0
 5250 binutils-dev                       	       1       47        3       43        0
 5251 binutils-x86-64-linux-gnu-dbg      	       1        2        0        1        0
 5252 birdtray                           	       1       13        3        9        0
 5253 bison-doc                          	       1       22        0       21        0
 5254 bitmath                            	       1        1        0        0        0
 5255 bkt                                	       1        1        0        0        0
 5256 bladerf                            	       1       49        0       48        0
 5257 bleachbit                          	       1      108        5      102        0
 5258 blender                            	       1      167        2      164        0
 5259 blender-data                       	       1      181        0      180        0
 5260 blender-ogrexml-1.9                	       1        6        0        5        0
 5261 blight                             	       1        3        0        2        0
 5262 blinken                            	       1       49        2       46        0
 5263 blinken-trinity                    	       1       23        0       22        0
 5264 blop                               	       1       11        0       10        0
 5265 bluebird-gtk-theme                 	       1      152        1        3      147
 5266 bluez-cups                         	       1       48        0       47        0
 5267 bluez-meshd                        	       1       25        0       24        0
 5268 bluez-test-tools                   	       1       39        0       38        0
 5269 bluez-tools                        	       1      178        2      175        0
 5270 bmake                              	       1       25        1       23        0
 5271 boinc-client                       	       1       29        7       21        0
 5272 bomber                             	       1       58        0       57        0
 5273 bonnie++                           	       1       28        1       26        0
 5274 bookworm                           	       1       20        1       18        0
 5275 bovo                               	       1       55        0       54        0
 5276 bruteforce-luks                    	       1       20        1       18        0
 5277 brz                                	       1       47        2       44        0
 5278 btrfs-assistant                    	       1        3        0        2        0
 5279 btrfsmaintenance                   	       1       16        0       15        0
 5280 buffer                             	       1       23        1       21        0
 5281 bvi                                	       1       21        1       19        0
 5282 byacc                              	       1       42        0       41        0
 5283 byobu                              	       1       80        4       75        0
 5284 c-icap                             	       1        5        1        3        0
 5285 c2go                               	       1        1        0        0        0
 5286 cadubi                             	       1        6        0        5        0
 5287 calf-plugins                       	       1       72        5       66        0
 5288 callaudiod                         	       1        5        2        2        0
 5289 calligra                           	       1       22        0        0       21
 5290 calligra-gemini                    	       1        6        0        5        0
 5291 cam2ip                             	       1        2        0        1        0
 5292 canmatrix-utils                    	       1        3        0        2        0
 5293 cantor-backend-python3             	       1        3        0        2        0
 5294 cantor-backend-r                   	       1        2        0        1        0
 5295 caps                               	       1       88        7       80        0
 5296 cardpeek                           	       1       10        0        9        0
 5297 cargo-auditable                    	       1        1        0        0        0
 5298 cargo-debstatus                    	       1        1        0        0        0
 5299 castxml                            	       1       39        0       38        0
 5300 casync                             	       1        2        0        1        0
 5301 catatonit                          	       1       42        0       41        0
 5302 catfish                            	       1       60        4       55        0
 5303 ccache                             	       1      138        4      133        0
 5304 ccrypt                             	       1       60        2       57        0
 5305 cdbs                               	       1       71        2       68        0
 5306 cde                                	       1        3        0        2        0
 5307 cdrskin                            	       1       45        0       44        0
 5308 cdtool                             	       1       28        0       27        0
 5309 certbot                            	       1      182       41      140        0
 5310 certinfo                           	       1        3        1        1        0
 5311 certmonger                         	       1       18        0       17        0
 5312 cervisia-trinity                   	       1       25        0       24        0
 5313 cfortran                           	       1        6        0        5        0
 5314 checksecurity                      	       1       22        8       13        0
 5315 chkrootkit                         	       1      136       23      112        0
 5316 chrony                             	       1      229       44      184        0
 5317 chrpath                            	       1       62        1       60        0
 5318 clamassassin                       	       1       10        1        8        0
 5319 clamav-daemon                      	       1       92       24       67        0
 5320 clamav-freshclam                   	       1      279       53      225        0
 5321 clamav-unofficial-sigs             	       1       14        1       12        0
 5322 clamdscan                          	       1       89        7       81        0
 5323 clamfs                             	       1        7        1        5        0
 5324 clamtk                             	       1       94        4       89        0
 5325 clang-15                           	       1       52        0       51        0
 5326 clang-17                           	       1       17        1       15        0
 5327 clang-18                           	       1       11        0       10        0
 5328 clang-format-14                    	       1       37        1       35        0
 5329 clang-tidy-14                      	       1       46        0       45        0
 5330 clang-tools-14                     	       1       49        1       47        0
 5331 claws-mail-perl-filter             	       1       28        0       27        0
 5332 clawsker                           	       1       17        1       15        0
 5333 clementine                         	       1      113        8      104        0
 5334 clipit                             	       1       55        2       52        0
 5335 clisp                              	       1       45        0       44        0
 5336 clitest                            	       1        3        0        2        0
 5337 clojure                            	       1       13        0       12        0
 5338 cloud-guest-utils                  	       1       20        0       19        0
 5339 cloudflared                        	       1        3        0        2        0
 5340 cmake-curses-gui                   	       1       55        0       54        0
 5341 cmake-extras                       	       1       28        0       26        1
 5342 cmdtest                            	       1       20        1       18        0
 5343 cmospwd                            	       1       19        1       17        0
 5344 cmt                                	       1       36        2       33        0
 5345 cmus                               	       1       58        1       56        0
 5346 cmus-plugin-ffmpeg                 	       1       57        1       55        0
 5347 code-insiders                      	       1        1        0        0        0
 5348 codelite                           	       1       28        2       25        0
 5349 codelite-plugins                   	       1       16        0       15        0
 5350 codequery                          	       1        5        0        4        0
 5351 coffeescript                       	       1        8        0        7        0
 5352 coinor-libcoinutils-dev            	       1        6        0        5        0
 5353 coinor-libosi-dev                  	       1        6        0        5        0
 5354 color-picker                       	       1       14        1       12        0
 5355 colordiff                          	       1       72        3       68        0
 5356 comgr                              	       1       16        1       13        1
 5357 compiz-bcop                        	       1        6        0        5        0
 5358 compiz-boxmenu                     	       1        6        0        5        0
 5359 compiz-plugins-experimental        	       1       11        3        7        0
 5360 composer                           	       1       45        3       41        0
 5361 comprez                            	       1       19        2       16        0
 5362 compton                            	       1       60        5       54        0
 5363 condor                             	       1        1        0        0        0
 5364 condor-upgrade-checks              	       1        1        0        0        0
 5365 conky-std                          	       1       85       10       74        0
 5366 connect-proxy                      	       1        4        0        3        0
 5367 connman-dev                        	       1        1        0        0        0
 5368 connman-vpn                        	       1       17        3       13        0
 5369 console-cyrillic                   	       1       34        3       30        0
 5370 consolekit                         	       1      474       56      417        0
 5371 containerd                         	       1      161       36      124        0
 5372 converseen                         	       1       15        0       14        0
 5373 cool-retro-term                    	       1       34        0       33        0
 5374 coreboot-utils                     	       1       22        0       21        0
 5375 cpp-4.9                            	       1      158        4      152        1
 5376 cppcheck-gui                       	       1       16        0       15        0
 5377 cpphs                              	       1        3        1        1        0
 5378 cpu-checker                        	       1       50        0       49        0
 5379 cpufetch                           	       1        9        0        8        0
 5380 cpuid                              	       1       87        2       84        0
 5381 cream                              	       1        6        0        5        0
 5382 crispy-doom-dbgsym                 	       1        1        0        0        0
 5383 critcl                             	       1        3        0        2        0
 5384 cross-exe-wrapper                  	       1        2        0        1        0
 5385 crossover                          	       1       12        1        9        1
 5386 crunch                             	       1       19        1       17        0
 5387 cryptomator                        	       1        1        0        0        0
 5388 csh                                	       1       48        0       47        0
 5389 cu                                 	       1       36        1       34        0
 5390 cuda-cccl-12-6                     	       1        5        0        3        1
 5391 cuda-crt-12-6                      	       1        5        0        3        1
 5392 cuda-cudart-dev-12-6               	       1        5        1        3        0
 5393 cuda-cuobjdump-12-6                	       1        4        0        2        1
 5394 cuda-cupti-12-6                    	       1        5        0        3        1
 5395 cuda-cupti-dev-12-6                	       1        5        0        3        1
 5396 cuda-cuxxfilt-12-6                 	       1        4        0        2        1
 5397 cuda-driver-dev-12-6               	       1        5        1        3        0
 5398 cuda-gdb-12-6                      	       1        4        0        2        1
 5399 cuda-nsight-12-6                   	       1        4        0        2        1
 5400 cuda-nsight-compute-12-6           	       1        4        0        2        1
 5401 cuda-nsight-systems-12-6           	       1        4        0        2        1
 5402 cuda-nvcc-12-6                     	       1        5        0        3        1
 5403 cuda-nvdisasm-12-6                 	       1        4        0        2        1
 5404 cuda-nvml-dev-12-6                 	       1        4        1        2        0
 5405 cuda-nvprof-12-6                   	       1        5        1        3        0
 5406 cuda-nvprune-12-6                  	       1        4        0        2        1
 5407 cuda-nvrtc-dev-12-6                	       1        4        1        2        0
 5408 cuda-nvtx-12-6                     	       1        4        1        2        0
 5409 cuda-nvvm-12-6                     	       1        5        0        3        1
 5410 cuda-nvvp-12-6                     	       1        4        0        2        1
 5411 cuda-opencl-dev-12-6               	       1        4        1        2        0
 5412 cuda-profiler-api-12-6             	       1        5        0        3        1
 5413 cuda-sanitizer-12-6                	       1        4        0        2        1
 5414 cuetools                           	       1       57        1       55        0
 5415 cupp                               	       1       16        1       14        0
 5416 cvsps                              	       1       58        2       55        0
 5417 cwp                                	       1       16        6        9        0
 5418 cython3                            	       1       63        0       62        0
 5419 dact                               	       1       20        1       18        0
 5420 dar                                	       1       20        0       19        0
 5421 dar-static                         	       1       10        0        9        0
 5422 dares                              	       1       24        1       22        0
 5423 datamash                           	       1        7        0        6        0
 5424 davmail                            	       1        7        2        4        0
 5425 davmail-server                     	       1        7        1        5        0
 5426 dbconfig-common                    	       1      121        5      115        0
 5427 dbeaver-ce                         	       1       39        1       35        2
 5428 dblatex                            	       1       79        2       76        0
 5429 dbus-tests                         	       1        3        0        2        0
 5430 dcfldd                             	       1       42        2       39        0
 5431 dcmtk                              	       1       26        1       24        0
 5432 dcoprss-trinity                    	       1       24        0       23        0
 5433 dcraw                              	       1       98        1       96        0
 5434 ddccontrol                         	       1       19        1       17        0
 5435 ddgr                               	       1       17        1       15        0
 5436 ddrescueview                       	       1       45        0       44        0
 5437 ddrutility                         	       1       40        2       37        0
 5438 deadbeef-static                    	       1       13        0       11        1
 5439 debcargo                           	       1        2        0        1        0
 5440 debcraft                           	       1        3        0        2        0
 5441 debian-edu-artwork-buster          	       1        5        1        3        0
 5442 debian-edu-artwork-homeworld       	       1        7        1        5        0
 5443 debian-edu-artwork-softwaves       	       1        3        0        2        0
 5444 debian-edu-artwork-spacefun        	       1        6        0        5        0
 5445 debmirror                          	       1       15        1       13        0
 5446 debram                             	       1        4        0        2        1
 5447 debsecan                           	       1       52       13       38        0
 5448 debsig-verify                      	       1       15        1       13        0
 5449 debtags                            	       1       55       13       41        0
 5450 deluge-console                     	       1       16        0       15        0
 5451 denemo                             	       1       15        0       14        0
 5452 denemo-data                        	       1       17        0       16        0
 5453 devede                             	       1       15        0       14        0
 5454 developers-reference               	       1       21        0       19        1
 5455 devscripts-devuan                  	       1       13        0       12        0
 5456 dexdump                            	       1       25        0       24        0
 5457 dfc                                	       1       14        0       13        0
 5458 dfrs                               	       1        4        0        3        0
 5459 dgit                               	       1        8        0        7        0
 5460 dh-cargo                           	       1        2        0        1        0
 5461 dh-dkms                            	       1       20        0       19        0
 5462 dh-make-perl                       	       1        9        0        8        0
 5463 dh-octave                          	       1        2        0        1        0
 5464 dh-php                             	       1       10        1        8        0
 5465 dh-vim-addon                       	       1        1        0        0        0
 5466 dhcpcd                             	       1       36        0       35        0
 5467 dhcpdump                           	       1       33        2       30        0
 5468 dico                               	       1        2        0        1        0
 5469 dictconv                           	       1       18        1       16        0
 5470 dictd                              	       1       40       11       28        0
 5471 diodon                             	       1       53        7       45        0
 5472 direnv                             	       1       17        2       14        0
 5473 diskscan                           	       1       16        3       12        0
 5474 disktype                           	       1       45        1       43        0
 5475 distrobox                          	       1        7        0        6        0
 5476 distrobuilder                      	       1        7        0        6        0
 5477 ditaa                              	       1       18        0       17        0
 5478 dj64-dev                           	       1        1        0        0        0
 5479 djdev64-dev                        	       1        1        0        0        0
 5480 dma                                	       1       14        1       12        0
 5481 dmitry                             	       1       16        1       14        0
 5482 dnstracer                          	       1       20        1       18        0
 5483 doc-base                           	       1      177       14      162        0
 5484 docbook-dsssl                      	       1       99        0       98        0
 5485 docbook-utils                      	       1       71        0       70        0
 5486 docker-ce-cli                      	       1       97       13       83        0
 5487 docker.io                          	       1      162       36      125        0
 5488 dolphin-data                       	       1       24        1        1       21
 5489 dos2unix                           	       1      229        9      219        0
 5490 dosemu2                            	       1        1        0        0        0
 5491 dotnet-apphost-pack-6.0            	       1        9        0        8        0
 5492 dotnet-apphost-pack-7.0            	       1        9        0        8        0
 5493 dotnet-apphost-pack-9.0            	       1        2        0        1        0
 5494 dotnet-hostfxr-8.0                 	       1       14        0        0       13
 5495 dotnet-runtime-8.0                 	       1       14        0        0       13
 5496 dotnet-sdk-6.0                     	       1        9        0        8        0
 5497 dotnet-sdk-7.0                     	       1        9        0        8        0
 5498 dotnet-sdk-9.0                     	       1        2        0        1        0
 5499 dovecot-imapd                      	       1      116       22       93        0
 5500 dovecot-lmtpd                      	       1       41        7       33        0
 5501 dovecot-pop3d                      	       1       48        7       40        0
 5502 dovecot-submissiond                	       1        9        0        8        0
 5503 doxygen                            	       1      165        5      159        0
 5504 doxygen-doxyparse                  	       1        8        0        7        0
 5505 doxygen-gui                        	       1       27        1       25        0
 5506 dpkg-repack                        	       1       48        1       46        0
 5507 dracut-core                        	       1        9        0        8        0
 5508 dselect                            	       1      144        5      138        0
 5509 dtach                              	       1       35        1       33        0
 5510 duf                                	       1       28        1       26        0
 5511 duktape-dev                        	       1        6        0        5        0
 5512 dump                               	       1       43        4       38        0
 5513 duperemove                         	       1       30        1       28        0
 5514 dv4l                               	       1        7        0        6        0
 5515 dxf2gcode                          	       1       10        0        9        0
 5516 e2tools                            	       1        9        0        8        0
 5517 earlyoom                           	       1       11        1        9        0
 5518 ecryptfs-utils                     	       1       45        7       37        0
 5519 edict                              	       1       83        0       81        1
 5520 edlin                              	       1        1        0        0        0
 5521 efitools                           	       1       33        0       32        0
 5522 elixir-nimble-parsec               	       1        1        0        0        0
 5523 elpa-apache-mode                   	       1       41        3       37        0
 5524 elpa-async                         	       1       45        3       41        0
 5525 elpa-bar-cursor                    	       1       39        2       36        0
 5526 elpa-bm                            	       1       37        2       34        0
 5527 elpa-boxquote                      	       1       37        2       34        0
 5528 elpa-browse-kill-ring              	       1       39        2       36        0
 5529 elpa-color-theme-modern            	       1       34        1       32        0
 5530 elpa-company                       	       1       46        3       42        0
 5531 elpa-csv-mode                      	       1       42        3       38        0
 5532 elpa-dart-mode                     	       1        2        0        1        0
 5533 elpa-diminish                      	       1       41        2       38        0
 5534 elpa-eproject                      	       1       37        2       34        0
 5535 elpa-ess                           	       1       14        0       13        0
 5536 elpa-folding                       	       1       39        2       36        0
 5537 elpa-graphviz-dot-mode             	       1       43        4       38        0
 5538 elpa-haskell-mode                  	       1       16        2       13        0
 5539 elpa-helm                          	       1       40        2       37        0
 5540 elpa-helm-core                     	       1       40        2       37        0
 5541 elpa-htmlize                       	       1       55        5       49        0
 5542 elpa-initsplit                     	       1       37        2       34        0
 5543 elpa-markdown-mode                 	       1       59        6       52        0
 5544 elpa-mutt-alias                    	       1       37        2       34        0
 5545 elpa-muttrc-mode                   	       1       41        3       37        0
 5546 elpa-notmuch                       	       1       28        4       23        0
 5547 elpa-pod-mode                      	       1       37        2       34        0
 5548 elpa-popup                         	       1       43        3       39        0
 5549 elpa-session                       	       1       37        2       34        0
 5550 elpa-tabbar                        	       1       38        2       35        0
 5551 elpa-web-mode                      	       1        3        1        1        0
 5552 elvish                             	       1        2        0        1        0
 5553 emacs-bin-common-dbgsym            	       1        1        0        0        0
 5554 emacs-nox-dbgsym                   	       1        1        0        0        0
 5555 enblend                            	       1       48        1       46        0
 5556 encfs                              	       1       52        3       48        0
 5557 enfuse                             	       1       48        1       46        0
 5558 enlightenment                      	       1       31        1       29        0
 5559 eot-utils                          	       1        3        0        2        0
 5560 epiphany-browser                   	       1       84        6       77        0
 5561 epson-inkjet-printer-escpr         	       1        9        0        8        0
 5562 eric                               	       1        7        0        6        0
 5563 erlang-asn1                        	       1       39        3       35        0
 5564 erlang-common-test                 	       1       16        0       15        0
 5565 erlang-debugger                    	       1       16        0       15        0
 5566 erlang-dev                         	       1       20        0       19        0
 5567 erlang-dialyzer                    	       1       18        0       17        0
 5568 erlang-diameter                    	       1       17        0       16        0
 5569 erlang-doc                         	       1        8        0        7        0
 5570 erlang-edoc                        	       1       17        0       16        0
 5571 erlang-eldap                       	       1       24        0       23        0
 5572 erlang-esdl                        	       1       18        0       17        0
 5573 erlang-et                          	       1       16        0       15        0
 5574 erlang-eunit                       	       1       23        0       22        0
 5575 erlang-examples                    	       1       16        0       15        0
 5576 erlang-ftp                         	       1       32        0       31        0
 5577 erlang-inets                       	       1       37        1       35        0
 5578 erlang-jinterface                  	       1       15        0       14        0
 5579 erlang-megaco                      	       1       16        0       15        0
 5580 erlang-metrics                     	       1        1        0        0        0
 5581 erlang-mimerl                      	       1        1        0        0        0
 5582 erlang-mnesia                      	       1       43        1       41        0
 5583 erlang-mode                        	       1       19        1       17        0
 5584 erlang-observer                    	       1       16        0       15        0
 5585 erlang-odbc                        	       1       21        0       20        0
 5586 erlang-os-mon                      	       1       26        1       24        0
 5587 erlang-p1-acme                     	       1        4        1        2        0
 5588 erlang-p1-cache-tab                	       1        7        1        5        0
 5589 erlang-p1-eimp                     	       1        4        1        2        0
 5590 erlang-p1-iconv                    	       1        5        0        4        0
 5591 erlang-p1-mqtree                   	       1        4        0        3        0
 5592 erlang-p1-mysql                    	       1        4        0        3        0
 5593 erlang-p1-oauth2                   	       1        1        0        0        0
 5594 erlang-p1-pam                      	       1        4        0        3        0
 5595 erlang-p1-pgsql                    	       1        5        0        4        0
 5596 erlang-p1-pkix                     	       1        5        1        3        0
 5597 erlang-p1-sip                      	       1        4        0        3        0
 5598 erlang-p1-sqlite3                  	       1        2        0        1        0
 5599 erlang-p1-stringprep               	       1        7        1        5        0
 5600 erlang-p1-stun                     	       1        7        0        6        0
 5601 erlang-p1-tls                      	       1        8        1        6        0
 5602 erlang-p1-utils                    	       1        8        1        6        0
 5603 erlang-p1-xml                      	       1        8        1        6        0
 5604 erlang-p1-xmpp                     	       1        5        1        3        0
 5605 erlang-p1-yaml                     	       1        7        1        5        0
 5606 erlang-p1-yconf                    	       1        4        1        2        0
 5607 erlang-p1-zlib                     	       1        7        1        5        0
 5608 erlang-parsetools                  	       1       28        0       27        0
 5609 erlang-proper                      	       1        8        0        7        0
 5610 erlang-proper-dev                  	       1        1        0        0        0
 5611 erlang-public-key                  	       1       38        1       36        0
 5612 erlang-redis-client                	       1        1        0        0        0
 5613 erlang-reltool                     	       1       16        0       15        0
 5614 erlang-runtime-tools               	       1       43        0       42        0
 5615 erlang-snmp                        	       1       27        0       26        0
 5616 erlang-src                         	       1       17        0       16        0
 5617 erlang-ssh                         	       1       17        0       16        0
 5618 erlang-ssl                         	       1       37        1       35        0
 5619 erlang-tftp                        	       1       32        0       31        0
 5620 erlang-tools                       	       1       41        0       40        0
 5621 erlang-uuid                        	       1        3        0        2        0
 5622 erofs-utils                        	       1       15        1       13        0
 5623 eslint                             	       1      170        1      168        0
 5624 espeak-ng                          	       1       31        1       29        0
 5625 eterm                              	       1       31        0       30        0
 5626 ethstatus                          	       1       27        1       25        0
 5627 evince-gtk                         	       1       30        1        8       20
 5628 evolution-ews                      	       1       17        5       11        0
 5629 evolution-plugins-experimental     	       1       10        0        9        0
 5630 exiftags                           	       1       43        1       41        0
 5631 extract                            	       1       16        0       15        0
 5632 eyesapplet-trinity                 	       1       23        0       22        0
 5633 eza                                	       1        2        0        1        0
 5634 f3                                 	       1       46        1       44        0
 5635 faad                               	       1       97        0       96        0
 5636 fancontrol                         	       1      117        3      113        0
 5637 fatattr                            	       1       11        0       10        0
 5638 fatrace                            	       1       14        0       13        0
 5639 fatresize                          	       1       28        2       25        0
 5640 fbless                             	       1        9        1        7        0
 5641 fbpager                            	       1       22        0       21        0
 5642 fcitx5                             	       1       22        2       19        0
 5643 fcitx5-chinese-addons-bin          	       1        8        0        7        0
 5644 fcitx5-chinese-addons-data         	       1        9        0        5        3
 5645 fcitx5-config-qt                   	       1       21        0       20        0
 5646 fcitx5-data                        	       1       23        0       10       12
 5647 fcitx5-frontend-qt5                	       1       23        1        8       13
 5648 fcitx5-frontend-qt6                	       1       17        0        1       15
 5649 fcitx5-module-cloudpinyin          	       1        8        0        4        3
 5650 fcitx5-module-lua                  	       1       10        0        6        3
 5651 fcitx5-module-lua-dev              	       1        1        0        0        0
 5652 fcitx5-module-pinyinhelper         	       1        8        0        6        1
 5653 fcitx5-module-punctuation          	       1        9        0        5        3
 5654 fcitx5-modules                     	       1       23        1       14        7
 5655 fcitx5-pinyin                      	       1        8        0        4        3
 5656 fcml                               	       1        3        0        2        0
 5657 fd-find                            	       1       32        2       29        0
 5658 fdpp                               	       1        1        0        0        0
 5659 fdpp-dev                           	       1        1        0        0        0
 5660 fdroidcl                           	       1        4        0        3        0
 5661 feedbackd                          	       1        9        1        7        0
 5662 ffmpegthumbs                       	       1      527        1        3      522
 5663 fifteenapplet-trinity              	       1       23        0       22        0
 5664 fil-plugins                        	       1       27        2       24        0
 5665 finger                             	       1      126        6      119        0
 5666 fio                                	       1       65        1       63        0
 5667 firebird-dev                       	       1       16        1       14        0
 5668 firebird2.5-common                 	       1       19        1       17        0
 5669 firebird2.5-server-common          	       1       17        1       15        0
 5670 firebird3.0-examples               	       1        4        0        3        0
 5671 firebird3.0-server                 	       1        4        1        2        0
 5672 firefox-esr-l10n-ach               	       1        1        0        0        0
 5673 firefox-esr-l10n-af                	       1        1        0        0        0
 5674 firefox-esr-l10n-an                	       1        1        0        0        0
 5675 firefox-esr-l10n-ar                	       1        2        0        1        0
 5676 firefox-esr-l10n-ast               	       1        2        0        1        0
 5677 firefox-esr-l10n-az                	       1        1        0        0        0
 5678 firefox-esr-l10n-be                	       1        3        0        2        0
 5679 firefox-esr-l10n-bg                	       1        2        0        1        0
 5680 firefox-esr-l10n-bn                	       1        2        0        1        0
 5681 firefox-esr-l10n-br                	       1        1        0        0        0
 5682 firefox-esr-l10n-bs                	       1        2        0        1        0
 5683 firefox-esr-l10n-ca                	       1        7        0        6        0
 5684 firefox-esr-l10n-ca-valencia       	       1        2        0        1        0
 5685 firefox-esr-l10n-cak               	       1        1        0        0        0
 5686 firefox-esr-l10n-cy                	       1        2        0        1        0
 5687 firefox-esr-l10n-da                	       1        4        0        3        0
 5688 firefox-esr-l10n-dsb               	       1        2        0        1        0
 5689 firefox-esr-l10n-el                	       1       20        1       18        0
 5690 firefox-esr-l10n-en-ca             	       1        2        0        1        0
 5691 firefox-esr-l10n-eo                	       1        5        0        4        0
 5692 firefox-esr-l10n-et                	       1        4        0        3        0
 5693 firefox-esr-l10n-eu                	       1        3        0        2        0
 5694 firefox-esr-l10n-fa                	       1        2        0        1        0
 5695 firefox-esr-l10n-ff                	       1        1        0        0        0
 5696 firefox-esr-l10n-fur               	       1        2        1        0        0
 5697 firefox-esr-l10n-fy-nl             	       1        1        0        0        0
 5698 firefox-esr-l10n-ga-ie             	       1        3        0        2        0
 5699 firefox-esr-l10n-gd                	       1        1        0        0        0
 5700 firefox-esr-l10n-gl                	       1        3        0        2        0
 5701 firefox-esr-l10n-gn                	       1        1        0        0        0
 5702 firefox-esr-l10n-gu-in             	       1        2        0        1        0
 5703 firefox-esr-l10n-he                	       1        3        0        2        0
 5704 firefox-esr-l10n-hi-in             	       1        2        0        1        0
 5705 firefox-esr-l10n-hr                	       1        2        0        1        0
 5706 firefox-esr-l10n-hsb               	       1        2        0        1        0
 5707 firefox-esr-l10n-hu                	       1       15        1       13        0
 5708 firefox-esr-l10n-hy-am             	       1        1        0        0        0
 5709 firefox-esr-l10n-ia                	       1        1        0        0        0
 5710 firefox-esr-l10n-id                	       1        2        0        1        0
 5711 firefox-esr-l10n-is                	       1        2        0        1        0
 5712 firefox-esr-l10n-ka                	       1        1        0        0        0
 5713 firefox-esr-l10n-kab               	       1        1        0        0        0
 5714 firefox-esr-l10n-kk                	       1        2        0        1        0
 5715 firefox-esr-l10n-km                	       1        2        0        1        0
 5716 firefox-esr-l10n-kn                	       1        2        0        1        0
 5717 firefox-esr-l10n-ko                	       1        7        0        6        0
 5718 firefox-esr-l10n-lij               	       1        1        0        0        0
 5719 firefox-esr-l10n-lt                	       1        2        0        1        0
 5720 firefox-esr-l10n-lv                	       1        2        0        1        0
 5721 firefox-esr-l10n-mk                	       1        2        0        1        0
 5722 firefox-esr-l10n-mr                	       1        2        0        1        0
 5723 firefox-esr-l10n-ms                	       1        1        0        0        0
 5724 firefox-esr-l10n-my                	       1        1        0        0        0
 5725 firefox-esr-l10n-ne-np             	       1        2        0        1        0
 5726 firefox-esr-l10n-nl                	       1       11        1        9        0
 5727 firefox-esr-l10n-oc                	       1        1        0        0        0
 5728 firefox-esr-l10n-pa-in             	       1        2        0        1        0
 5729 firefox-esr-l10n-pt-pt             	       1        2        0        1        0
 5730 firefox-esr-l10n-rm                	       1        1        0        0        0
 5731 firefox-esr-l10n-ro                	       1        5        0        4        0
 5732 firefox-esr-l10n-sat               	       1        1        0        0        0
 5733 firefox-esr-l10n-sc                	       1        2        1        0        0
 5734 firefox-esr-l10n-sco               	       1        1        0        0        0
 5735 firefox-esr-l10n-si                	       1        2        0        1        0
 5736 firefox-esr-l10n-sk                	       1        8        0        7        0
 5737 firefox-esr-l10n-skr               	       1        1        0        0        0
 5738 firefox-esr-l10n-sl                	       1        2        0        1        0
 5739 firefox-esr-l10n-son               	       1        1        0        0        0
 5740 firefox-esr-l10n-sr                	       1        2        0        1        0
 5741 firefox-esr-l10n-sv-se             	       1       13        1       11        0
 5742 firefox-esr-l10n-szl               	       1        1        0        0        0
 5743 firefox-esr-l10n-ta                	       1        2        0        1        0
 5744 firefox-esr-l10n-te                	       1        2        0        1        0
 5745 firefox-esr-l10n-tg                	       1        2        1        0        0
 5746 firefox-esr-l10n-th                	       1        2        0        1        0
 5747 firefox-esr-l10n-tl                	       1        2        0        1        0
 5748 firefox-esr-l10n-trs               	       1        2        0        1        0
 5749 firefox-esr-l10n-uk                	       1       10        0        9        0
 5750 firefox-esr-l10n-ur                	       1        1        0        0        0
 5751 firefox-esr-l10n-uz                	       1        1        0        0        0
 5752 firefox-esr-l10n-vi                	       1        2        0        1        0
 5753 firefox-esr-l10n-xh                	       1        1        0        0        0
 5754 firefox-esr-l10n-zh-tw             	       1        5        0        4        0
 5755 firefox-l10n-de                    	       1        6        0        4        1
 5756 firefox-l10n-es-ar                 	       1        1        0        0        0
 5757 firefox-l10n-es-es                 	       1        7        0        6        0
 5758 firefox-l10n-nl                    	       1        1        0        0        0
 5759 firewalld                          	       1       48        4       43        0
 5760 firmware-b43legacy-installer       	       1       21        0        5       15
 5761 firmware-bnx2                      	       1      178        0      177        0
 5762 firmware-netronome                 	       1       14        0       13        0
 5763 firmware-netxen                    	       1      145        0      144        0
 5764 firmware-nvidia-tesla-gsp          	       1        5        0        4        0
 5765 firmware-realtek-rtl8723cs-bt      	       1       13        0       12        0
 5766 firmware-siano                     	       1       11        0       10        0
 5767 flactag                            	       1       30        0       29        0
 5768 flatpak-builder                    	       1       14        0       13        0
 5769 fltk1.3-doc                        	       1       49        0       47        1
 5770 fluid                              	       1       51        0       50        0
 5771 fmit                               	       1       12        0       11        0
 5772 font-manager                       	       1       84        1       82        0
 5773 fonts-croscore                     	       1      257       34      114      108
 5774 fonts-fantasque-sans               	       1       38        0        3       34
 5775 fonts-jetbrains-mono               	       1       28        1        5       21
 5776 fonts-lyx                          	       1      698       16       49      632
 5777 fonts-mononoki                     	       1       31        0        3       27
 5778 fonts-noto-ui-extra                	       1      856        7       35      813
 5779 fonts-roboto-unhinted              	       1      399       39      146      213
 5780 fonts-vlgothic                     	       1      270       17       82      170
 5781 fonts-wqy-zenhei                   	       1       80        7       28       44
 5782 fonttools                          	       1       26        0       25        0
 5783 fp-compiler-3.2.0                  	       1       22        1       20        0
 5784 fp-units-castle-game-engine        	       1        5        0        4        0
 5785 fp-utils-3.2.0                     	       1       22        1       20        0
 5786 fpa-backup-scripts                 	       1       10        0        9        0
 5787 fpa-bash-lib                       	       1       10        0        9        0
 5788 fpa-btrfs-utils                    	       1        2        0        1        0
 5789 fpa-general-scripts                	       1       10        0        9        0
 5790 fpa-general-x                      	       1        7        0        6        0
 5791 fpa-gitman                         	       1        7        0        6        0
 5792 fpa-network-scripts                	       1        7        0        6        0
 5793 fping                              	       1      147       11      135        0
 5794 fracplanet                         	       1        9        0        8        0
 5795 freecad                            	       1      116        0       17       98
 5796 freecad-python3                    	       1      109        2      106        0
 5797 freedm                             	       1       10        0        9        0
 5798 freedoom                           	       1       42        0       41        0
 5799 freeipa-client                     	       1       17        0       16        0
 5800 freeipa-helper                     	       1       12        0       11        0
 5801 freeipmi-tools                     	       1       42        2       39        0
 5802 freeplane                          	       1       28        1       26        0
 5803 freeradius-utils                   	       1       34        0       33        0
 5804 freerdp3-dev                       	       1        1        0        0        0
 5805 freerdp3-sdl                       	       1        1        0        0        0
 5806 freerdp3-shadow-x11                	       1        1        0        0        0
 5807 freetennis                         	       1        2        0        1        0
 5808 freetube                           	       1       41        0        6       34
 5809 frescobaldi                        	       1       15        0       14        0
 5810 fritzing                           	       1       30        0       29        0
 5811 frr-pythontools                    	       1        7        1        5        0
 5812 fte                                	       1        6        0        5        0
 5813 fte-console                        	       1        3        0        2        0
 5814 fte-terminal                       	       1        3        0        2        0
 5815 fte-xwindow                        	       1        5        0        4        0
 5816 ftpsync                            	       1        2        0        1        0
 5817 funcoeszz                          	       1       15        1       13        0
 5818 fuse2fs                            	       1       33        1       31        0
 5819 fusefile                           	       1        3        0        2        0
 5820 g++-mingw-w64-i686-posix           	       1       33        0       32        0
 5821 g++-mingw-w64-i686-win32           	       1       33        0       32        0
 5822 g++-mingw-w64-x86-64-posix         	       1       32        0       31        0
 5823 g++-mingw-w64-x86-64-win32         	       1       32        1       30        0
 5824 gambas3-gb-clipper2                	       1        1        0        0        0
 5825 gambas3-gb-db2                     	       1        1        0        0        0
 5826 gambas3-gb-db2-form                	       1        1        0        0        0
 5827 gambas3-gb-db2-mysql               	       1        1        0        0        0
 5828 gambas3-gb-db2-odbc                	       1        1        0        0        0
 5829 gambas3-gb-db2-postgresql          	       1        1        0        0        0
 5830 gambas3-gb-db2-sqlite3             	       1        1        0        0        0
 5831 gambas3-gb-gtk3-wayland            	       1        4        0        3        0
 5832 gambas3-gb-highlight               	       1        3        0        2        0
 5833 gambas3-gb-inotify                 	       1        3        0        2        0
 5834 gambas3-gb-libxml                  	       1        4        0        3        0
 5835 gambas3-gb-mongodb                 	       1        1        0        0        0
 5836 gambas3-gb-option                  	       1        3        0        2        0
 5837 gambas3-gb-pdf                     	       1        3        0        2        0
 5838 gambas3-gb-qt6                     	       1        1        0        0        0
 5839 gambas3-gb-qt6-ext                 	       1        1        0        0        0
 5840 gambas3-gb-qt6-opengl              	       1        1        0        0        0
 5841 gambas3-gb-qt6-wayland             	       1        1        0        0        0
 5842 gambas3-gb-qt6-webview             	       1        1        0        0        0
 5843 gambas3-gb-qt6-x11                 	       1        1        0        0        0
 5844 gambas3-gb-report                  	       1        3        0        2        0
 5845 gambas3-gb-v4l                     	       1        4        0        3        0
 5846 gambas3-gb-web-form                	       1        4        0        3        0
 5847 gameconqueror                      	       1        8        0        7        0
 5848 gammaray                           	       1        1        0        0        0
 5849 gammaray-dev                       	       1        1        0        0        0
 5850 gammu                              	       1       12        0       11        0
 5851 gbemol                             	       1        5        0        4        0
 5852 gcc-mingw-w64-i686-posix           	       1       39        0       38        0
 5853 gcc-mingw-w64-i686-win32           	       1       39        2       36        0
 5854 gcc-mingw-w64-x86-64-posix         	       1       40        1       38        0
 5855 gcc-mingw-w64-x86-64-win32         	       1       41        2       38        0
 5856 gccrs-14                           	       1        1        0        0        0
 5857 gccrs-14-x86-64-linux-gnu          	       1        1        0        0        0
 5858 gcl                                	       1        9        0        8        0
 5859 gcompris-qt                        	       1       28        0       27        0
 5860 gdbserver                          	       1       89        1       87        0
 5861 gddccontrol                        	       1       14        1       12        0
 5862 gdmap                              	       1       29        0       28        0
 5863 geeqie                             	       1      192        7      184        0
 5864 geotiff-bin                        	       1       20        0       19        0
 5865 getmail6                           	       1       19        1       17        0
 5866 gettext-doc                        	       1       29        0       27        1
 5867 gfortran-10                        	       1      172        3      168        0
 5868 gfortran-12                        	       1      249        5      243        0
 5869 gftp-common                        	       1       54        0       53        0
 5870 gftp-gtk                           	       1       48        0       47        0
 5871 ghc-doc                            	       1       31        1       29        0
 5872 ghdl-common                        	       1       11        2        8        0
 5873 ghdl-mcode                         	       1       11        1        9        0
 5874 ghdl-tools                         	       1        3        1        1        0
 5875 ghostwriter                        	       1       27        3       23        0
 5876 gi-docgen                          	       1        2        0        1        0
 5877 gifshuffle                         	       1       16        1       14        0
 5878 gimp-cbmplugs                      	       1       18        0       17        0
 5879 gimp-gluas                         	       1       24        0       23        0
 5880 gimp-gmic                          	       1       71        1       69        0
 5881 gimp-plugin-registry               	       1       73        1       71        0
 5882 gimp-texturize                     	       1       44        0       43        0
 5883 gir-rust-code-generator            	       1        1        0        0        0
 5884 gir1.2-adw-1                       	       1      408        1        0      406
 5885 gir1.2-gexiv2-0.10                 	       1      123        3      119        0
 5886 gir1.2-girepository-2.0            	       1      251        2       12      236
 5887 gir1.2-gnomekeyring-1.0            	       1       96        1       94        0
 5888 gir1.2-gsound-1.0                  	       1      283        4       15      263
 5889 gir1.2-gst-plugins-base-1.0        	       1     2026        5       51     1969
 5890 gir1.2-handy-1                     	       1     1951        1        3     1946
 5891 gir1.2-javascriptcoregtk-4.0       	       1     1830        3        6     1820
 5892 gir1.2-matedesktop-2.0             	       1       75        3        0       71
 5893 gir1.2-matemenu-2.0                	       1      464        5        9      449
 5894 gir1.2-matepanelapplet-4.0         	       1       80        5        9       65
 5895 gir1.2-vte-2.91                    	       1      909       19       55      834
 5896 gir1.2-webkit2-4.0                 	       1     1813        3        6     1803
 5897 git-build-recipe                   	       1        3        0        2        0
 5898 git-debpush                        	       1        1        0        0        0
 5899 git-debrebase                      	       1        2        0        1        0
 5900 git-delete-merged-branches         	       1        2        0        1        0
 5901 git-delta                          	       1        1        0        0        0
 5902 git-merge-changelog                	       1        2        0        1        0
 5903 git-review                         	       1        7        0        6        0
 5904 gitbatch                           	       1        2        0        1        0
 5905 gitg                               	       1       32        0       31        0
 5906 gitit                              	       1        2        0        1        0
 5907 gitkraken                          	       1        3        0        2        0
 5908 gitleaks                           	       1        1        0        0        0
 5909 glab                               	       1        2        0        1        0
 5910 glade                              	       1       37        1       35        0
 5911 glew-utils                         	       1       30        0       29        0
 5912 glslang-dev                        	       1       14        0       13        0
 5913 glslang-tools                      	       1       25        0       24        0
 5914 glurp                              	       1        5        0        4        0
 5915 gm2                                	       1        4        0        3        0
 5916 gm2-14                             	       1        3        0        2        0
 5917 gm2-14-x86-64-linux-gnu            	       1        3        0        2        0
 5918 gm2-x86-64-linux-gnu               	       1        3        0        2        0
 5919 gman                               	       1       11        0       10        0
 5920 gmic                               	       1       43        1       41        0
 5921 gmic-zart                          	       1        9        1        7        0
 5922 gmpc                               	       1       15        0       14        0
 5923 gmsh                               	       1       11        0       10        0
 5924 gmsh-doc                           	       1       12        0       10        1
 5925 gnat-14                            	       1        2        0        1        0
 5926 gnat-14-x86-64-linux-gnu           	       1        2        0        1        0
 5927 gnome-control-center-data          	       1      423        0        6      416
 5928 gnome-dictionary                   	       1       22        0       21        0
 5929 gnome-orca                         	       1       97        1       56       39
 5930 gnome-paint                        	       1       13        0       12        0
 5931 gnome-usage                        	       1       11        0       10        0
 5932 gnubg-data                         	       1       21        0        0       20
 5933 gnucash                            	       1       97        1       95        0
 5934 gnucash-common                     	       1       97        2       94        0
 5935 gnugo                              	       1       96        2       93        0
 5936 gnumeric-plugins-extra             	       1       27        1       25        0
 5937 gnunet-fuse                        	       1        4        0        3        0
 5938 gnupg                              	       1     4107       36      183     3887
 5939 gnupg-agent                        	       1      645       14      199      431
 5940 gnuplot-qt                         	       1      183        6      176        0
 5941 gocryptfs                          	       1       27        1       25        0
 5942 gojq                               	       1        3        0        2        0
 5943 golang-1.23-go                     	       1       21        1       19        0
 5944 golang-1.23-src                    	       1       21        1       19        0
 5945 golang-golang-x-tools              	       1       15        0       14        0
 5946 google-android-licenses            	       1       12        0       11        0
 5947 gosigndesktop                      	       1        2        0        1        0
 5948 gpa                                	       1       39        0       38        0
 5949 gparted-common                     	       1      821        0        4      816
 5950 gpsd-tools                         	       1       76        3       72        0
 5951 gpsman                             	       1        9        0        8        0
 5952 gpsprune                           	       1       18        0       17        0
 5953 grace                              	       1       26        1       24        0
 5954 granatier                          	       1       56        0       55        0
 5955 grap                               	       1       12        0       11        0
 5956 graphviz-doc                       	       1       37        0       10       26
 5957 grass-core                         	       1       53        2       50        0
 5958 grass-dev                          	       1       10        0        9        0
 5959 grass-gui                          	       1       22        0       21        0
 5960 grc                                	       1       17        0       16        0
 5961 greetd                             	       1        3        1        1        0
 5962 gretl                              	       1        5        0        4        0
 5963 gridcoinresearch-qt                	       1        3        0        2        0
 5964 gridcoinresearchd                  	       1        1        0        0        0
 5965 grml-hwinfo                        	       1        1        0        0        0
 5966 grml-rescueboot                    	       1       15        0       14        0
 5967 groff                              	       1      169        5      163        0
 5968 groovy                             	       1       80        2       77        0
 5969 grub-efi-arm64-bin                 	       1        4        0        3        0
 5970 grub-efi-ia32-bin                  	       1      138        1      136        0
 5971 gsettings-desktop-schemas          	       1     3751        6       24     3720
 5972 gsfonts                            	       1     3256       25      118     3112
 5973 gsimplecal                         	       1       14        1       12        0
 5974 gstreamer1.0-plugins-base          	       1     3233       20       93     3119
 5975 gstreamer1.0-plugins-good          	       1     3143       30       95     3017
 5976 gtick                              	       1       20        0       19        0
 5977 gtk-theme-switch                   	       1       36        0       35        0
 5978 gtk2-engines-cleanice              	       1       23        0       22        0
 5979 gtk2-engines-murrine               	       1      924        4       37      882
 5980 gtkguitune                         	       1        6        0        5        0
 5981 guetzli                            	       1        4        0        3        0
 5982 guile-2.2-dev                      	       1        6        0        5        0
 5983 guile-cairo-dev                    	       1        1        0        0        0
 5984 guile-ssh                          	       1       13        1       11        0
 5985 guitarix-ladspa                    	       1       28        0       27        0
 5986 guvcview                           	       1       90        1       88        0
 5987 gv                                 	       1      143        4      138        0
 5988 gvncviewer                         	       1       31        1       29        0
 5989 gyp                                	       1      202        3      198        0
 5990 handlebars                         	       1      180        1      178        0
 5991 haruna                             	       1        9        0        8        0
 5992 harvid                             	       1       64        1       62        0
 5993 hasktags                           	       1        2        0        1        0
 5994 hcxdumptool                        	       1       24        1       22        0
 5995 hdf4-tools                         	       1       16        0       15        0
 5996 heartbleeder                       	       1       15        1       13        0
 5997 heimdal-clients                    	       1       15        0       14        0
 5998 helm                               	       1        8        0        7        0
 5999 helpman                            	       1        6        0        5        0
 6000 hexcompare                         	       1       20        1       18        0
 6001 hfsprogs                           	       1       40        1       38        0
 6002 hibiscus                           	       1        4        0        3        0
 6003 hlint                              	       1        3        0        2        0
 6004 homebank                           	       1       15        0       14        0
 6005 horst                              	       1       21        1       19        0
 6006 hostapd                            	       1       43        3       39        0
 6007 hovercraft                         	       1        4        0        3        0
 6008 hpijs-ppds                         	       1       53        1       48        3
 6009 hping3                             	       1       46        4       41        0
 6010 hplip-gui                          	       1      112       10      101        0
 6011 hsetroot                           	       1       26        1       24        0
 6012 hsqldb-utils                       	       1        2        1        0        0
 6013 htdig                              	       1       49        1       47        0
 6014 html2text-dbgsym                   	       1        1        0        0        0
 6015 htmldoc                            	       1       24        0       23        0
 6016 hub                                	       1       10        0        9        0
 6017 hugin                              	       1       48        1       46        0
 6018 hugin-tools                        	       1       49        1       47        0
 6019 hugs                               	       1       12        0       11        0
 6020 hunspell                           	       1      109        1      107        0
 6021 hunspell-de-med                    	       1       11        1        9        0
 6022 hunspell-en-med                    	       1       17        1       15        0
 6023 hxtools                            	       1       14        1       12        0
 6024 hydra                              	       1       33        0       32        0
 6025 hydra-gtk                          	       1       15        0       14        0
 6026 hyperfine                          	       1        3        0        2        0
 6027 i2c-tools                          	       1      129        9      119        0
 6028 i2p                                	       1        8        0        7        0
 6029 i2p-router                         	       1        8        0        7        0
 6030 i2pd                               	       1       26        5       20        0
 6031 i3pystatus                         	       1        5        0        4        0
 6032 i7z                                	       1       18        0       17        0
 6033 ibus-gtk3                          	       1      382       53      217      111
 6034 icdiff                             	       1        4        0        3        0
 6035 icewm-experimental                 	       1        7        1        5        0
 6036 icewm-lite                         	       1        6        1        4        0
 6037 idesk                              	       1       14        0       13        0
 6038 idle                               	       1       92        3       88        0
 6039 ifplugd                            	       1       17        3       13        0
 6040 imageindex                         	       1       15        1       13        0
 6041 imagination                        	       1       11        1        9        0
 6042 imlib-base                         	       1       27        0       26        0
 6043 imwheel                            	       1       20        0       19        0
 6044 incus                              	       1        7        1        5        0
 6045 incus-client                       	       1        7        1        5        0
 6046 indi-trinity                       	       1       23        0       22        0
 6047 indicator-sensors                  	       1       11        0       10        0
 6048 inetutils-talk                     	       1        8        0        7        0
 6049 inputattach                        	       1       72        1       70        0
 6050 intef-exe-appimage                 	       1        4        0        3        0
 6051 internetarchive                    	       1        2        0        1        0
 6052 invada-studio-plugins-ladspa       	       1       14        0       13        0
 6053 iodine                             	       1       33        4       28        0
 6054 ioquake3                           	       1       39        0       38        0
 6055 ioquake3-server                    	       1       39        0       38        0
 6056 iperf                              	       1      152        3      148        0
 6057 ipgrab                             	       1       18        1       16        0
 6058 ipmitool                           	       1       95        7       87        0
 6059 ipscan                             	       1       11        1        9        0
 6060 ipset                              	       1      119        8      110        0
 6061 ipv6toolkit                        	       1       24        1       22        0
 6062 ircii                              	       1       18        0       17        0
 6063 iredis                             	       1        2        0        1        0
 6064 irqbalance                         	       1      348       53      294        0
 6065 irqtop                             	       1        8        1        6        0
 6066 irssi-dev                          	       1        2        0        1        0
 6067 irssi-scripts                      	       1       21        1       19        0
 6068 isc-dhcp-common                    	       1     4039        1       57     3980
 6069 isenkram-cli                       	       1       24        3       20        0
 6070 isoimagewriter                     	       1        2        0        1        0
 6071 iwyu                               	       1        3        0        2        0
 6072 j4-dmenu-desktop                   	       1        8        0        7        0
 6073 jabref                             	       1       27        0       26        0
 6074 jack-tools                         	       1       39        0       38        0
 6075 jags                               	       1       10        0        9        0
 6076 jargon                             	       1       14        0       13        0
 6077 javacc                             	       1       13        1       11        0
 6078 javacc-doc                         	       1        5        0        4        0
 6079 jdk-22                             	       1        7        0        6        0
 6080 jdk-23                             	       1        4        0        3        0
 6081 jdupes                             	       1       51        2       48        0
 6082 jftp                               	       1        8        0        7        0
 6083 jgmenu                             	       1        8        0        7        0
 6084 jlex                               	       1        9        0        8        0
 6085 jlha-utils                         	       1       16        0       15        0
 6086 jlink                              	       1        4        0        3        0
 6087 joe                                	       1      188       11      176        0
 6088 josm                               	       1       19        0       18        0
 6089 jsonlint                           	       1       48        3       44        0
 6090 jtreg7                             	       1        1        0        0        0
 6091 juk-trinity                        	       1       24        0       23        0
 6092 jupyter-qtconsole                  	       1        4        1        2        0
 6093 jython                             	       1       25        0       24        0
 6094 k2pdfopt                           	       1       11        1        9        0
 6095 kaboodle-trinity                   	       1       25        0       24        0
 6096 kaddressbook-plugins-trinity       	       1       24        0       23        0
 6097 kaddressbook-trinity               	       1       26        0       25        0
 6098 kalarm                             	       1       57        5       51        0
 6099 kalarm-trinity                     	       1       24        0       23        0
 6100 kalzium                            	       1       54        2       51        0
 6101 kalzium-trinity                    	       1       23        0       22        0
 6102 kamera-trinity                     	       1       33        1       31        0
 6103 kanagram-trinity                   	       1       23        0       22        0
 6104 kandy-trinity                      	       1       23        0       22        0
 6105 kapidox6                           	       1        1        0        0        0
 6106 kappfinder-trinity                 	       1       34        1       32        0
 6107 karm-trinity                       	       1       24        0       23        0
 6108 kasts                              	       1        9        0        8        0
 6109 kasumi                             	       1       65        0       64        0
 6110 kate-plugins-trinity               	       1       26        0       25        0
 6111 kate-trinity                       	       1       33        2       30        0
 6112 katomic                            	       1       58        0       57        0
 6113 kaudiocreator-trinity              	       1       24        0       23        0
 6114 kbibtex                            	       1       15        0       14        0
 6115 kblackbox                          	       1       55        0       54        0
 6116 kbounce                            	       1       57        0       56        0
 6117 kbruch-trinity                     	       1       23        0       22        0
 6118 kbstate-trinity                    	       1       25        0       24        0
 6119 kcalc-trinity                      	       1       30        2       27        0
 6120 kcharselect-trinity                	       1       24        0       23        0
 6121 kcheckers                          	       1        5        0        4        0
 6122 kchmviewer                         	       1       27        0       26        0
 6123 kcolorchooser                      	       1       63        1       61        0
 6124 kcoloredit-trinity                 	       1       25        0       24        0
 6125 kcontrol-trinity                   	       1       34        3       30        0
 6126 kcron-trinity                      	       1       25        0       24        0
 6127 kdat-trinity                       	       1       24        0       23        0
 6128 kde-cli-tools-data                 	       1      627        2        3      621
 6129 kde-config-cron                    	       1       55        1       41       12
 6130 kde-config-fcitx5                  	       1        8        0        5        2
 6131 kde-config-updates                 	       1      428        0        2      425
 6132 kdegraphics-thumbnailers           	       1      490        0        2      487
 6133 kdesktop-trinity                   	       1       35        4       30        0
 6134 kdevelop-dev                       	       1        4        0        3        0
 6135 kdevelop-php                       	       1        8        0        7        0
 6136 kdf-trinity                        	       1       23        0       22        0
 6137 kdiamond                           	       1       58        1       56        0
 6138 kdict-trinity                      	       1       23        0       22        0
 6139 kdvi-trinity                       	       1       22        0       21        0
 6140 kedit-trinity                      	       1       26        0       25        0
 6141 keduca-trinity                     	       1       23        0       22        0
 6142 kexi                               	       1       13        0       12        0
 6143 kfax-trinity                       	       1       22        0       21        0
 6144 kfaxview-trinity                   	       1       22        0       21        0
 6145 kfind-trinity                      	       1       34        1       32        0
 6146 kfloppy-trinity                    	       1       23        0       22        0
 6147 kgamma-trinity                     	       1       25        2       22        0
 6148 kgendesignerplugin-bin             	       1        8        0        7        0
 6149 kgeography-trinity                 	       1       23        0       22        0
 6150 kgeotag                            	       1        2        0        1        0
 6151 kget-trinity                       	       1       26        0       25        0
 6152 kghostview-trinity                 	       1       33        2       30        0
 6153 kgoldrunner                        	       1       54        0       53        0
 6154 kgpg-trinity                       	       1       25        0       24        0
 6155 khal                               	       1       22        0       21        0
 6156 khangman-trinity                   	       1       23        0       22        0
 6157 khard                              	       1       12        0       11        0
 6158 khelpcenter-trinity                	       1       33        2       30        0
 6159 kicker-applets-trinity             	       1       27        0       26        0
 6160 kicker-trinity                     	       1       34        3       30        0
 6161 kid3-cli                           	       1        9        0        8        0
 6162 kig                                	       1       50        0       49        0
 6163 kig-trinity                        	       1       20        2       17        0
 6164 kigo                               	       1       59        0       58        0
 6165 kile                               	       1       25        0       24        0
 6166 killbots                           	       1       56        0       55        0
 6167 kimageformat6-plugins              	       1       25        8       13        3
 6168 kimagemapeditor                    	       1       41        0       40        0
 6169 kimagemapeditor-trinity            	       1       23        0       22        0
 6170 kio-audiocd-dev                    	       1        4        0        3        0
 6171 kirigami2-dev                      	       1        8        0        7        0
 6172 kiriki                             	       1       59        1       57        0
 6173 kiten                              	       1       45        2       42        0
 6174 kiten-trinity                      	       1       23        0       22        0
 6175 kjots-trinity                      	       1       22        0       21        0
 6176 kjumpingcube                       	       1       54        0       53        0
 6177 klaptopdaemon-trinity              	       1       24        2       21        0
 6178 klatin-trinity                     	       1       23        0       22        0
 6179 kleopatra                          	       1       69        0       68        0
 6180 kleopatra-trinity                  	       1       25        0       24        0
 6181 klettres                           	       1       47        1       45        0
 6182 klettres-trinity                   	       1       23        0       22        0
 6183 klines                             	       1       56        0       55        0
 6184 klinkstatus-trinity                	       1       24        0       23        0
 6185 klipper-trinity                    	       1       33        2       30        0
 6186 kmag-trinity                       	       1       24        0       23        0
 6187 kmail-trinity                      	       1       28        2       25        0
 6188 kmailcvt-trinity                   	       1       23        0       22        0
 6189 kmenuedit-trinity                  	       1       32        2       29        0
 6190 kmilo-trinity                      	       1       24        2       21        0
 6191 kmines                             	       1       71        2       68        0
 6192 kmix-trinity                       	       1       31        3       27        0
 6193 kmoon-trinity                      	       1       23        0       22        0
 6194 kmousetool-trinity                 	       1       22        0       21        0
 6195 kmouth-trinity                     	       1       22        0       21        0
 6196 kmplot                             	       1       49        1       47        0
 6197 kmplot-trinity                     	       1       23        0       22        0
 6198 knavalbattle                       	       1       55        0       54        0
 6199 knetwalk                           	       1       58        0       57        0
 6200 knetworkconf-trinity               	       1       24        1       22        0
 6201 knewsticker-trinity                	       1       23        0       22        0
 6202 knewstuff-dialog                   	       1        3        0        2        0
 6203 knode-trinity                      	       1       26        1       24        0
 6204 knotes-trinity                     	       1       29        2       26        0
 6205 kodo-trinity                       	       1       22        0       21        0
 6206 kolf-trinity                       	       1       22        2       19        0
 6207 kollision                          	       1       56        0       55        0
 6208 kolourpaint-trinity                	       1       23        1       21        0
 6209 kommander-trinity                  	       1       23        0       22        0
 6210 kompare-trinity                    	       1       26        0       25        0
 6211 konq-plugins-trinity               	       1       26        1       24        0
 6212 konqueror-nsplugins-trinity        	       1       33        3       29        0
 6213 konqueror-trinity                  	       1       34        2       31        0
 6214 konquest                           	       1       58        0       57        0
 6215 konsole-trinity                    	       1       33        3       29        0
 6216 konsolekalendar                    	       1       42        0       41        0
 6217 konsolekalendar-trinity            	       1       22        0       21        0
 6218 kontact                            	       1       58        1       56        0
 6219 kontact-trinity                    	       1       24        0       23        0
 6220 kooka-trinity                      	       1       26        1       24        0
 6221 kopete-trinity                     	       1       25        2       22        0
 6222 korganizer-trinity                 	       1       28        3       24        0
 6223 korn-trinity                       	       1       23        2       20        0
 6224 kpackage-trinity                   	       1       26        0       25        0
 6225 kpager-trinity                     	       1       33        1       31        0
 6226 kpat                               	       1       92        5       86        0
 6227 kpdf-trinity                       	       1       31        2       28        0
 6228 kpercentage-trinity                	       1       23        0       22        0
 6229 kpersonalizer-trinity              	       1       34        1       32        0
 6230 kpf-trinity                        	       1       23        0       22        0
 6231 kpovmodeler-trinity                	       1       23        0       22        0
 6232 kppp-trinity                       	       1       23        0       22        0
 6233 krb5-auth-dialog                   	       1       15        0       14        0
 6234 krb5-user                          	       1       75        4       70        0
 6235 krdc                               	       1       83        3       79        0
 6236 krdc-trinity                       	       1       24        0       23        0
 6237 krec-trinity                       	       1       24        0       23        0
 6238 kregexpeditor-trinity              	       1       30        1       28        0
 6239 krename                            	       1       38        1       36        0
 6240 kreversi                           	       1       59        0       58        0
 6241 krfb-trinity                       	       1       23        2       20        0
 6242 kruler-trinity                     	       1       25        0       24        0
 6243 ksayit-trinity                     	       1       22        0       21        0
 6244 kscd-trinity                       	       1       23        0       22        0
 6245 kshisen                            	       1       62        1       60        0
 6246 kshutdown                          	       1        7        0        6        0
 6247 ksig-trinity                       	       1       23        0       22        0
 6248 ksim-trinity                       	       1       22        0       21        0
 6249 ksirc-trinity                      	       1       23        0       22        0
 6250 ksirk                              	       1       59        1       57        0
 6251 ksmserver-trinity                  	       1       33        3       29        0
 6252 ksnapshot-trinity                  	       1       29        1       27        0
 6253 kspaceduel                         	       1       55        0       54        0
 6254 ksplash-trinity                    	       1       34        3       30        0
 6255 ksquares                           	       1       56        0       55        0
 6256 kstars                             	       1       32        1       30        0
 6257 kstars-trinity                     	       1       23        0       22        0
 6258 kstart                             	       1       11        2        8        0
 6259 ksudoku                            	       1       76        1       74        0
 6260 ksvg-trinity                       	       1       26        0       25        0
 6261 ksysguard-trinity                  	       1       32        2       29        0
 6262 ksysguardd-trinity                 	       1       32        2       29        0
 6263 ksysv-trinity                      	       1       25        0       24        0
 6264 ktalkd-trinity                     	       1       23        0       22        0
 6265 kteatime-trinity                   	       1       24        1       22        0
 6266 ktechlab                           	       1        8        0        7        0
 6267 ktexteditor-katepart               	       1      677        0        3      673
 6268 ktimer-trinity                     	       1       23        0       22        0
 6269 ktimetracker                       	       1       11        2        8        0
 6270 ktip-trinity                       	       1       32        1       30        0
 6271 ktnef-trinity                      	       1       23        0       22        0
 6272 ktouch                             	       1       61        1       59        0
 6273 ktouch-trinity                     	       1       23        0       22        0
 6274 kttsd-trinity                      	       1       22        0       21        0
 6275 kturtle-trinity                    	       1       23        0       22        0
 6276 ktux-trinity                       	       1       22        0       21        0
 6277 kubrick                            	       1       54        0       53        0
 6278 kuickshow-trinity                  	       1       23        1       21        0
 6279 kuiviewer                          	       1       41        0       40        0
 6280 kuser-trinity                      	       1       27        0       26        0
 6281 kuserfeedback-bin                  	       1        4        0        3        0
 6282 kverbos-trinity                    	       1       23        0       22        0
 6283 kview-trinity                      	       1       24        0       23        0
 6284 kviewshell-trinity                 	       1       23        0       22        0
 6285 kvoctrain-trinity                  	       1       23        0       22        0
 6286 kwalletcli                         	       1       10        1        8        0
 6287 kwaterfoxhelper                    	       1        4        0        3        0
 6288 kwayland-dev                       	       1        1        0        0        0
 6289 kweather-trinity                   	       1       23        0       22        0
 6290 kwifimanager-trinity               	       1       24        1       22        0
 6291 kwin-dev                           	       1        6        0        5        0
 6292 kwordquiz                          	       1       47        1       45        0
 6293 kwordquiz-trinity                  	       1       23        0       22        0
 6294 kworldclock-trinity                	       1       23        0       22        0
 6295 kxsldbg-trinity                    	       1       23        0       22        0
 6296 kylin-burner                       	       1        7        0        6        0
 6297 l3afpad                            	       1       17        0       16        0
 6298 labplot                            	       1        8        0        7        0
 6299 labwc                              	       1        2        0        1        0
 6300 ladspa-sdk                         	       1       64        2       61        0
 6301 laptop-mode-tools                  	       1       30        3       26        0
 6302 largetifftools                     	       1        3        0        2        0
 6303 lazarus-ide-3.8                    	       1        3        0        2        0
 6304 lazarus-ide-qt5-3.8                	       1        1        0        0        0
 6305 lazarus-src-3.8                    	       1        2        0        1        0
 6306 lcl-gtk2-3.8                       	       1        3        0        2        0
 6307 lcl-nogui-3.8                      	       1        3        0        2        0
 6308 lcl-qt5-3.8                        	       1        1        0        0        0
 6309 lcl-units-3.8                      	       1        3        0        2        0
 6310 lcl-utils-3.8                      	       1        3        0        2        0
 6311 ldap-utils                         	       1      153       12      140        0
 6312 ldb-tools                          	       1       17        0       16        0
 6313 leiningen                          	       1        7        0        6        0
 6314 lf                                 	       1       13        3        9        0
 6315 lgrind                             	       1        4        1        2        0
 6316 lhasa                              	       1       59        1       57        0
 6317 liba52-0.7.4-dev                   	       1      116        3      112        0
 6318 libabsl-dev                        	       1       10        0        9        0
 6319 libabw-0.1-1                       	       1     2838        2        6     2829
 6320 libaccounts-qt6-dev                	       1        2        0        1        0
 6321 libacl1-dev                        	       1       70        2       67        0
 6322 libadolc-dev                       	       1        2        0        1        0
 6323 libadwaita-1-dev                   	       1       27        0       26        0
 6324 libaio1                            	       1     1570       52      116     1401
 6325 libairspy0                         	       1       53        2       50        0
 6326 libakode2                          	       1       30        2       27        0
 6327 libalberta-dev                     	       1        3        0        2        0
 6328 libamdhip64-dev                    	       1        5        0        4        0
 6329 libanyevent-riperedis-perl         	       1        1        0        0        0
 6330 libao4                             	       1     2880       22      106     2751
 6331 libapache2-mod-php8.2              	       1      201       33      167        0
 6332 libapi-gitforge-perl               	       1        1        0        0        0
 6333 libappimage1.0abi1t64              	       1       66        0        0       65
 6334 libappstreamqt3                    	       1       27        8       14        4
 6335 libapt-pkg6.0t64                   	       1      277        4       41      231
 6336 libarchive-extract-perl            	       1       98        2       95        0
 6337 libaria2-0                         	       1      137        0        1      135
 6338 libarpack2-dev                     	       1       48        0       46        1
 6339 libarray-iterator-perl             	       1        2        0        1        0
 6340 libart-2.0-2                       	       1      340        4       21      314
 6341 libarts1-akode-trinity             	       1       27        2       24        0
 6342 libarts1-audiofile-trinity         	       1       23        2       20        0
 6343 libarts1-mpeglib-trinity           	       1       24        2       21        0
 6344 libarts1-xine-trinity              	       1       23        2       20        0
 6345 libarts1c2a-trinity                	       1       40        5       34        0
 6346 libasprintf-dev                    	       1      115        1      113        0
 6347 libassuan-dev                      	       1       42        1       40        0
 6348 libastro-dev                       	       1        4        0        3        0
 6349 libasync-mergepoint-perl           	       1       61        0       60        0
 6350 libatrildocument3t64               	       1       84        6        9       68
 6351 libatteanx-serializer-rdfa-perl    	       1        1        0        0        0
 6352 libatteanx-store-dbi-perl          	       1        1        0        0        0
 6353 libatteanx-store-lmdb-perl         	       1        1        0        0        0
 6354 libaudio-scrobbler-perl            	       1      104        3      100        0
 6355 libaudio2                          	       1     2862       12       27     2822
 6356 libaudiofile1                      	       1      249        7       24      217
 6357 libav-tools                        	       1       24        2       20        1
 6358 libavahi-tqt-1                     	       1       41        3       12       25
 6359 libavc1394-0                       	       1     3308       19       58     3230
 6360 libavdevice59                      	       1     1038       10       26     1001
 6361 libavformat58                      	       1      811       17       53      740
 6362 libavkys-dev                       	       1        1        0        0        0
 6363 libavresample-dev                  	       1       18        0       17        0
 6364 libb-keywords-perl                 	       1       31        0       30        0
 6365 libbabeltrace-dev                  	       1       22        2       19        0
 6366 libbabeltrace2-dev                 	       1        1        0        0        0
 6367 libbackuppc-xs-perl                	       1        8        3        4        0
 6368 libbamf3-2                         	       1      108        5       14       88
 6369 libbasicobjects0t64                	       1       14        1       12        0
 6370 libbinutils                        	       1     2697        6       20     2670
 6371 libbladerf2                        	       1       51        2       48        0
 6372 libblosc-dev                       	       1       32        1       30        0
 6373 libbluetooth-dev                   	       1       61        1       59        0
 6374 libboinc7t64                       	       1        2        0        1        0
 6375 libboost-filesystem1.83.0          	       1      146        7       15      123
 6376 libboost1.83-doc                   	       1        1        0        0        0
 6377 libbotan-2-19                      	       1      376       25       82      268
 6378 libbpf1                            	       1     3042       26       45     2970
 6379 libbson-dev                        	       1        3        0        2        0
 6380 libc-ares2                         	       1      805       16       63      725
 6381 libcaca-dev                        	       1      203        5      197        0
 6382 libcaca0                           	       1     3544       24       66     3453
 6383 libcache-cache-perl                	       1       20        0       19        0
 6384 libcallaudio-tools                 	       1        2        0        1        0
 6385 libcamera-dev                      	       1        3        0        2        0
 6386 libcamera-tools                    	       1        5        0        4        0
 6387 libcanberra-dev                    	       1       25        1       23        0
 6388 libcanberra-gtk0                   	       1      178        0        5      172
 6389 libcantor-dev                      	       1        1        0        0        0
 6390 libcapstone4                       	       1      587       33       54      499
 6391 libcatch2-dev                      	       1        7        0        6        0
 6392 libcdio-cdda2                      	       1     2040       27       69     1943
 6393 libcdio-paranoia2                  	       1     2014       26       65     1922
 6394 libcdio-utils                      	       1       22        0       21        0
 6395 libcdio19                          	       1     2768       32       90     2645
 6396 libcdr-0.1-1                       	       1     2843        2        9     2831
 6397 libcgal-qt6-dev                    	       1        1        0        0        0
 6398 libcgif-dev                        	       1        4        0        3        0
 6399 libcharon-extauth-plugins          	       1       67        9       57        0
 6400 libcharon-extra-plugins            	       1       20        3       16        0
 6401 libchm1                            	       1      339        0        0      338
 6402 libcinnamon-desktop4t64            	       1       26        2       10       13
 6403 libclamav-client-perl              	       1        4        1        2        0
 6404 libclamav-dev                      	       1        2        1        0        0
 6405 libclamav11                        	       1      227       48      156       22
 6406 libclang-common-18-dev             	       1       12        0       11        0
 6407 libclang-cpp11                     	       1      135        5      128        1
 6408 libclang-cpp18                     	       1       29        3       25        0
 6409 libclang-rt-18-dev                 	       1       11        0       10        0
 6410 libclang1-15                       	       1       90        0       89        0
 6411 libclass-isa-perl                  	       1      689        8      680        0
 6412 libclass-tiny-perl                 	       1      134        5      128        0
 6413 libclaws-mail-dev                  	       1        2        0        1        0
 6414 libclutter-imcontext-0.1-bin       	       1       69        0       68        0
 6415 libcollection4t64                  	       1       14        1       12        0
 6416 libcolorcorrect6                   	       1       25        7       13        4
 6417 libcolord-dev                      	       1        8        0        7        0
 6418 libcolord-gtk4-1                   	       1      309        0        4      304
 6419 libcompiler-libs-ocaml-dev         	       1       13        0       12        0
 6420 libconfig9                         	       1      346        8       36      301
 6421 libconvert-asn1-perl               	       1       78        7       70        0
 6422 libcowsql0                         	       1        7        0        1        5
 6423 libcpan-meta-perl                  	       1       68        2       65        0
 6424 libcpan-perl-releases-perl         	       1        5        0        4        0
 6425 libcpanplus-perl                   	       1        3        0        2        0
 6426 libcpupower1                       	       1      589        8       29      551
 6427 libcrack2-dev                      	       1        9        0        8        0
 6428 libcu++-dev                        	       1       17        0       16        0
 6429 libcublas-dev-12-6                 	       1        4        1        2        0
 6430 libcudnn9-dev-cuda-12              	       1        1        0        0        0
 6431 libcudnn9-samples                  	       1        1        0        0        0
 6432 libcufft-dev-12-6                  	       1        4        1        2        0
 6433 libcufile-dev-12-6                 	       1        4        1        2        0
 6434 libcupti-dev                       	       1       24        0       23        0
 6435 libcupti-doc                       	       1       22        0       21        0
 6436 libcurand-dev-12-6                 	       1        4        1        2        0
 6437 libcurses-widgets-perl             	       1        4        0        3        0
 6438 libcusolver-dev-12-6               	       1        4        1        2        0
 6439 libcusparse-dev-12-6               	       1        4        1        2        0
 6440 libcvc0t64                         	       1       21        2        8       10
 6441 libcvsservice0-trinity             	       1       25        0       24        0
 6442 libcwidget-dev                     	       1        8        1        6        0
 6443 libd3dadapter9-mesa-dev            	       1        2        0        1        0
 6444 libdar-dev                         	       1        1        0        0        0
 6445 libdata-section-perl               	       1      152        2      149        0
 6446 libdate-tz3                        	       1       89        3       13       72
 6447 libdatetime-format-mail-perl       	       1       33        1       31        0
 6448 libdb4.8++-dev                     	       1        5        0        4        0
 6449 libdb4.8-dev                       	       1        5        0        4        0
 6450 libdbd-csv-perl                    	       1       11        0       10        0
 6451 libdbi-test-perl                   	       1        7        0        6        0
 6452 libdbus-1-tqt                      	       1       42        4       19       18
 6453 libdbus-glib-1-dev                 	       1       79        2       76        0
 6454 libdbus-glib-1-dev-bin             	       1       77        2       74        0
 6455 libdbus-glib2.0-cil-dev            	       1        2        0        1        0
 6456 libdbus2.0-cil-dev                 	       1        2        0        1        0
 6457 libdc1394-25                       	       1     2991       18       55     2917
 6458 libdcmtk-dev                       	       1        7        0        6        0
 6459 libddcutil5                        	       1       28        7       14        6
 6460 libdebian-source-perl              	       1       15        0       14        0
 6461 libdebuginfod-dev                  	       1        2        0        1        0
 6462 libdecoration0                     	       1       50        5        8       36
 6463 libdecoration0t64                  	       1        3        0        0        2
 6464 libdevel-cover-perl                	       1        4        0        3        0
 6465 libdevice-serialport-perl          	       1       32        0       31        0
 6466 libdhash1t64                       	       1       14        1       12        0
 6467 libdisasm-dev                      	       1        1        0        0        0
 6468 libdislocker0-dev                  	       1        1        0        0        0
 6469 libdisplay-info-bin                	       1        3        0        2        0
 6470 libdisplay-info-dev                	       1        3        0        2        0
 6471 libdmtx0t64                        	       1       65        8       26       30
 6472 libdmx-dev                         	       1       51        2       48        0
 6473 libdns-export1110                  	       1     1024       48      132      843
 6474 libdolphinvcs-dev                  	       1        1        0        0        0
 6475 libdolphinvcs6                     	       1       24        2        3       18
 6476 libdpkg-dev                        	       1        5        0        4        0
 6477 libdrumstick-dev                   	       1        2        0        1        0
 6478 libdune-geometry-dev               	       1        3        0        2        0
 6479 libdune-grid-dev                   	       1        3        0        2        0
 6480 libdw-dev                          	       1       94        3       90        0
 6481 libdynarmic-dev                    	       1        1        0        0        0
 6482 libe-book-0.1-1                    	       1     2832        2        6     2823
 6483 libebml-dev                        	       1       14        0       13        0
 6484 libecal-2.0-3                      	       1       33        4        8       20
 6485 libeclipse-core-contenttype-java   	       1        5        0        4        0
 6486 libeclipse-core-databinding-java   	       1        5        0        4        0
 6487 libeclipse-core-databinding-observable-java	       1        5        0        4        0
 6488 libeclipse-core-databinding-property-java	       1        5        0        4        0
 6489 libeclipse-core-expressions-java   	       1        5        0        4        0
 6490 libeclipse-core-filesystem-java    	       1        5        0        4        0
 6491 libeclipse-core-jobs-java          	       1        5        0        4        0
 6492 libeclipse-core-resources-java     	       1        5        0        4        0
 6493 libeclipse-e4-core-commands-java   	       1        5        0        4        0
 6494 libeclipse-e4-core-contexts-java   	       1        5        0        4        0
 6495 libeclipse-e4-core-di-annotations-java	       1        5        0        4        0
 6496 libeclipse-e4-core-di-extensions-java	       1        5        0        4        0
 6497 libeclipse-e4-core-di-extensions-supplier-java	       1        5        0        4        0
 6498 libeclipse-e4-core-di-java         	       1        5        0        4        0
 6499 libeclipse-e4-core-services-java   	       1        5        0        4        0
 6500 libeclipse-e4-emf-xpath-java       	       1        5        0        4        0
 6501 libeclipse-e4-ui-bindings-java     	       1        5        0        4        0
 6502 libeclipse-e4-ui-css-core-java     	       1        5        0        4        0
 6503 libeclipse-e4-ui-css-swt-java      	       1        5        0        4        0
 6504 libeclipse-e4-ui-css-swt-theme-java	       1        5        0        4        0
 6505 libeclipse-e4-ui-di-java           	       1        5        0        4        0
 6506 libeclipse-e4-ui-dialogs-java      	       1        5        0        4        0
 6507 libeclipse-e4-ui-model-workbench-java	       1        5        0        4        0
 6508 libeclipse-e4-ui-services-java     	       1        5        0        4        0
 6509 libeclipse-e4-ui-workbench-addons-swt-java	       1        5        0        4        0
 6510 libeclipse-e4-ui-workbench-java    	       1        5        0        4        0
 6511 libeclipse-e4-ui-workbench-renderers-swt-java	       1        5        0        4        0
 6512 libeclipse-e4-ui-workbench-swt-java	       1        5        0        4        0
 6513 libeclipse-e4-ui-workbench3-java   	       1        5        0        4        0
 6514 libeclipse-emf-common-java         	       1        5        0        4        0
 6515 libeclipse-emf-ecore-java          	       1        5        0        4        0
 6516 libeclipse-emf-ecore-xmi-java      	       1        5        0        4        0
 6517 libeclipse-help-java               	       1        5        0        4        0
 6518 libeclipse-jdt-core-java           	       1       60        5       54        0
 6519 libeclipse-jface-databinding-java  	       1        5        0        4        0
 6520 libeclipse-jface-notifications-java	       1        5        0        4        0
 6521 libeclipse-jface-text-java         	       1        5        0        4        0
 6522 libeclipse-osgi-services-java      	       1        5        0        4        0
 6523 libeclipse-text-java               	       1        5        0        4        0
 6524 libeclipse-ui-workbench-java       	       1        5        0        4        0
 6525 libeclipse-urischeme-java          	       1        5        0        4        0
 6526 libecore-bin                       	       1       39        0       38        0
 6527 libecpg-dev                        	       1        8        0        7        0
 6528 libecryptfs1                       	       1       45        9       32        3
 6529 libedata-cal-2.0-2t64              	       1       21        4        8        8
 6530 libedataserverui-1.2-4t64          	       1       20        4        8        7
 6531 libedit-dev                        	       1       50        3       46        0
 6532 libedje-bin                        	       1       34        1       32        0
 6533 libefreet-bin                      	       1       40        0       39        0
 6534 libeis1                            	       1       43        8       18       16
 6535 libelementary-bin                  	       1       25        1       23        0
 6536 libelogind-dev                     	       1       16        1       14        0
 6537 libembree-dev                      	       1        1        0        0        0
 6538 libembryo-bin                      	       1       35        0       34        0
 6539 libemeraldengine0                  	       1       12        0       11        0
 6540 libepubgen-0.1-1                   	       1     2770        2        6     2761
 6541 libequinox-app-java                	       1        5        0        4        0
 6542 libequinox-bidi-java               	       1        5        0        4        0
 6543 libequinox-preferences-java        	       1        5        0        4        0
 6544 libequinox-registry-java           	       1        5        0        4        0
 6545 libethumb-client-bin               	       1       35        0       34        0
 6546 libetonyek-0.1-1                   	       1     2838        2        7     2828
 6547 libev4                             	       1      498       23       82      392
 6548 libevdocument3-4                   	       1     1092        7       30     1054
 6549 libevent-perl                      	       1       23        0       22        0
 6550 libexiv2-dev                       	       1       36        0       35        0
 6551 libext2fs-dev                      	       1       36        1       34        0
 6552 libfakekey0                        	       1      781       11       27      742
 6553 libfcitx5-qt1                      	       1       25        1        6       17
 6554 libfcitx5config6                   	       1       26        1       14       10
 6555 libfcitx5core7                     	       1       24        1       14        8
 6556 libfcitx5utils2                    	       1       28        1       14       12
 6557 libfdt1                            	       1      705       35       59      610
 6558 libfile-copy-recursive-perl        	       1      293       10      282        0
 6559 libfile-readbackwards-perl         	       1      111        4      106        0
 6560 libfile-remove-perl                	       1       38        1       36        0
 6561 libfilter-perl                     	       1       15        0       14        0
 6562 libfltk1.3-dev                     	       1       51        1       49        0
 6563 libfm-data                         	       1      337        6       26      304
 6564 libfm-extra4                       	       1      526       11       59      455
 6565 libfm-gtk4                         	       1      311       11       59      240
 6566 libfm-modules                      	       1      326       12       62      251
 6567 libfm-qt-dev                       	       1        1        0        0        0
 6568 libfm-qt8                          	       1       59        4       19       35
 6569 libfm4                             	       1      313       11       59      242
 6570 libfmt9                            	       1     1043       14       37      991
 6571 libfontenc-dev                     	       1       83        2       80        0
 6572 libforms-dev                       	       1       89        0       88        0
 6573 libfprint-2-2                      	       1       41        1       39        0
 6574 libfreeaptx0                       	       1     2362       19       64     2278
 6575 libfreecad-python3                 	       1        9        0        8        0
 6576 libfreehand-0.1-1                  	       1     2802        2        5     2794
 6577 libfreexl-dev                      	       1       43        0       42        0
 6578 libfs-dev                          	       1       53        1       51        0
 6579 libftdi-dev                        	       1       29        0       28        0
 6580 libfuse2t64                        	       1       90        2        5       82
 6581 libfwupd-dev                       	       1        3        0        2        0
 6582 libfyba-dev                        	       1       39        0       38        0
 6583 libgc-dev                          	       1       61        1       59        0
 6584 libgck-2-dev                       	       1        1        0        0        0
 6585 libgcr-ui-3-1                      	       1     2918        8       44     2865
 6586 libgcroots0                        	       1       48        3       23       21
 6587 libgd-dev                          	       1      129        0      128        0
 6588 libgd-graph-perl                   	       1       24        1       22        0
 6589 libgd-graph3d-perl                 	       1       11        1        9        0
 6590 libgd-perl                         	       1       78        3       67        7
 6591 libgd3                             	       1     3668       54      154     3459
 6592 libgee-0.8-2                       	       1     1430       10       50     1369
 6593 libgeos++-dev                      	       1        7        0        6        0
 6594 libgettextpo-dev                   	       1      134        1      132        0
 6595 libghc-aeson-dev                   	       1       12        1       10        0
 6596 libghc-agda-dev                    	       1        6        0        5        0
 6597 libghc-alsa-core-dev               	       1        4        0        3        0
 6598 libghc-alsa-mixer-dev              	       1        3        0        2        0
 6599 libghc-ansi-terminal-dev           	       1       11        1        9        0
 6600 libghc-ansi-terminal-types-dev     	       1        3        1        1        0
 6601 libghc-assoc-dev                   	       1       12        1       10        0
 6602 libghc-async-dev                   	       1       16        0       15        0
 6603 libghc-base-compat-batteries-dev   	       1       11        1        9        0
 6604 libghc-base-compat-dev             	       1       14        1       12        0
 6605 libghc-base-orphans-dev            	       1       17        1       15        0
 6606 libghc-bifunctors-dev              	       1       15        1       13        0
 6607 libghc-blaze-builder-dev           	       1       18        2       15        0
 6608 libghc-blaze-html-dev              	       1       16        1       14        0
 6609 libghc-blaze-markup-dev            	       1       16        1       14        0
 6610 libghc-boxes-dev                   	       1        6        0        5        0
 6611 libghc-case-insensitive-dev        	       1       11        1        9        0
 6612 libghc-colour-dev                  	       1       10        1        8        0
 6613 libghc-comonad-dev                 	       1       15        1       13        0
 6614 libghc-contravariant-dev           	       1       15        1       13        0
 6615 libghc-data-default-class-dev      	       1       31        2       28        0
 6616 libghc-data-default-class-doc      	       1       15        0       14        0
 6617 libghc-data-fix-dev                	       1       10        1        8        0
 6618 libghc-data-hash-dev               	       1        6        0        5        0
 6619 libghc-distributive-dev            	       1       15        1       13        0
 6620 libghc-dlist-dev                   	       1       20        1       18        0
 6621 libghc-edit-distance-dev           	       1        6        0        5        0
 6622 libghc-equivalence-dev             	       1        6        0        5        0
 6623 libghc-extensible-exceptions-dev   	       1       10        1        8        0
 6624 libghc-ghc-paths-dev               	       1        5        1        3        0
 6625 libghc-gitrev-dev                  	       1        6        0        5        0
 6626 libghc-hint-dev                    	       1        4        0        3        0
 6627 libghc-hint-doc                    	       1        1        0        0        0
 6628 libghc-indexed-traversable-dev     	       1       12        1       10        0
 6629 libghc-indexed-traversable-instances-dev	       1       11        1        9        0
 6630 libghc-libmpd-dev                  	       1        3        0        2        0
 6631 libghc-libmpd-doc                  	       1        1        0        0        0
 6632 libghc-magic-dev                   	       1        3        0        2        0
 6633 libghc-monad-control-dev           	       1        9        1        7        0
 6634 libghc-murmur-hash-dev             	       1        6        0        5        0
 6635 libghc-network-dev                 	       1       17        1       15        0
 6636 libghc-network-uri-dev             	       1       10        0        9        0
 6637 libghc-onetuple-dev                	       1       11        1        9        0
 6638 libghc-os-string-dev               	       1        4        1        2        0
 6639 libghc-parallel-dev                	       1       11        0       10        0
 6640 libghc-peano-dev                   	       1        2        0        1        0
 6641 libghc-quickcheck2-dev             	       1       12        1       10        0
 6642 libghc-random-doc                  	       1       17        0       16        0
 6643 libghc-regex-posix-dev             	       1        6        1        4        0
 6644 libghc-regex-tdfa-dev              	       1       17        1       15        0
 6645 libghc-safe-exceptions-dev         	       1        2        0        1        0
 6646 libghc-semialign-dev               	       1       10        1        8        0
 6647 libghc-semigroupoids-dev           	       1       15        1       13        0
 6648 libghc-split-dev                   	       1       20        1       18        0
 6649 libghc-splitmix-doc                	       1       12        0       11        0
 6650 libghc-statevar-dev                	       1       16        1       14        0
 6651 libghc-stmonadtrans-dev            	       1        6        0        5        0
 6652 libghc-strict-dev                  	       1       13        1       11        0
 6653 libghc-tagged-dev                  	       1       16        1       14        0
 6654 libghc-temporary-dev               	       1        6        1        4        0
 6655 libghc-text-short-dev              	       1       11        1        9        0
 6656 libghc-th-abstraction-dev          	       1       16        1       14        0
 6657 libghc-th-compat-dev               	       1       10        1        8        0
 6658 libghc-these-dev                   	       1       12        1       10        0
 6659 libghc-time-compat-dev             	       1       11        1        9        0
 6660 libghc-transformers-base-dev       	       1       14        1       12        0
 6661 libghc-transformers-compat-dev     	       1       21        1       19        0
 6662 libghc-unordered-containers-dev    	       1       24        1       22        0
 6663 libghc-uri-encode-dev              	       1        6        0        5        0
 6664 libghc-utf8-string-doc             	       1       12        0       11        0
 6665 libghc-uuid-types-dev              	       1       12        1       10        0
 6666 libghc-vector-dev                  	       1       24        1       22        0
 6667 libghc-vector-hashtables-dev       	       1        2        0        1        0
 6668 libghc-vector-stream-dev           	       1        4        1        2        0
 6669 libghc-witherable-dev              	       1       10        1        8        0
 6670 libghc-x11-dev                     	       1       19        1       17        0
 6671 libghc-x11-xft-dev                 	       1       18        1       16        0
 6672 libghc-xmonad-contrib-dev          	       1       17        0       16        0
 6673 libghc-xmonad-contrib-doc          	       1       16        0       15        0
 6674 libghc-xmonad-dev                  	       1       18        1       16        0
 6675 libghc-xmonad-doc                  	       1       16        0       15        0
 6676 libghc-xmonad-extras-dev           	       1        3        0        2        0
 6677 libghc-xmonad-extras-doc           	       1        2        0        1        0
 6678 libghc-xmonad-wallpaper-dev        	       1        3        0        2        0
 6679 libghc-xmonad-wallpaper-doc        	       1        2        0        1        0
 6680 libghc-zlib-dev                    	       1       20        1       18        0
 6681 libginac-dev                       	       1        2        1        0        0
 6682 libgit2-dev                        	       1       27        1       25        0
 6683 libgit2-glib-1.0-dev               	       1        1        0        0        0
 6684 libglfw3-dev                       	       1       47        0       46        0
 6685 libglib2.0-cil-dev                 	       1       25        0       24        0
 6686 libglib2.0-tests                   	       1        5        0        4        0
 6687 libglibmm-2.4-1t64                 	       1      191        2       10      178
 6688 libglibmm-2.68-dev                 	       1       10        0        9        0
 6689 libglu1-mesa                       	       1     3172       16       53     3102
 6690 libgnome-bluetooth-ui-3.0-13       	       1      325        0        4      320
 6691 libgnome-menu-3-0                  	       1      291        8       36      246
 6692 libgnome-rr-4-2                    	       1      307        0        4      302
 6693 libgnome2-bin                      	       1       75        1       73        0
 6694 libgnomekbd-common                 	       1      571        0        0      570
 6695 libgoa-1.0-dev                     	       1        6        1        4        0
 6696 libgoa-backend-1.0-2               	       1       30        4       11       14
 6697 libgoffice-0.10-10t64              	       1       20        1       18        0
 6698 libgpgme-dev                       	       1       32        1       30        0
 6699 libgpgmepp-dev                     	       1        6        0        5        0
 6700 libgpgmepp6t64                     	       1      224       10       35      178
 6701 libgphoto2-dev                     	       1       67        1       65        0
 6702 libgps28                           	       1      624       10       51      562
 6703 libgraph-perl                      	       1       22        1       20        0
 6704 libgrpc-dev                        	       1        6        0        5        0
 6705 libgsound0                         	       1      505        2       16      486
 6706 libgtk-4-dev                       	       1       50        0       49        0
 6707 libgtk2.0-bin                      	       1     3240        1       47     3191
 6708 libgtk3-webkit2-perl               	       1        5        0        4        0
 6709 libgtkgl2.0-dev                    	       1        3        0        2        0
 6710 libgtkmm-3.0-1t64                  	       1      143        2        8      132
 6711 libgtkmm-4.0-dev                   	       1        7        0        6        0
 6712 libgtkmm-4.0-doc                   	       1        2        0        1        0
 6713 libgtksourceview-5-0               	       1      366        2        2      361
 6714 libgtkspellmm-3.0-dev              	       1        2        0        1        0
 6715 libgumbo-dev                       	       1       11        0       10        0
 6716 libgweather-4-0t64                 	       1       30        3       10       16
 6717 libgweather-4-common               	       1      429        4        3      421
 6718 libhackrf0                         	       1       53        2       50        0
 6719 libhamlib-utils                    	       1       40        1       38        0
 6720 libhamlib4t64                      	       1       14        3       10        0
 6721 libhash-merge-perl                 	       1      127        3      123        0
 6722 libhashkit2                        	       1       99       14       36       48
 6723 libhdf4-alt-dev                    	       1       48        0       47        0
 6724 libhidapi-dev                      	       1       45        0       44        0
 6725 libhiredis0.14                     	       1      210        8       31      170
 6726 libhtml-selector-xpath-perl        	       1      100        0       99        0
 6727 libhtml-tableextract-perl          	       1      131        1      129        0
 6728 libhtml-treebuilder-libxml-perl    	       1       88        0       87        0
 6729 libhtml-treebuilder-xpath-perl     	       1      106        0      105        0
 6730 libhttp-parser-dev                 	       1       28        1       26        0
 6731 libhugs-alut-bundled               	       1       10        0        9        0
 6732 libhugs-base-bundled               	       1       12        0       11        0
 6733 libhugs-cabal-bundled              	       1       10        0        9        0
 6734 libhugs-fgl-bundled                	       1       10        0        9        0
 6735 libhugs-glut-bundled               	       1       10        0        9        0
 6736 libhugs-haskell-src-bundled        	       1       10        0        9        0
 6737 libhugs-haskell98-bundled          	       1       12        0       11        0
 6738 libhugs-haxml-bundled              	       1        9        0        8        0
 6739 libhugs-hgl-bundled                	       1       10        0        9        0
 6740 libhugs-hunit-bundled              	       1       10        0        9        0
 6741 libhugs-mtl-bundled                	       1       10        0        9        0
 6742 libhugs-network-bundled            	       1       10        0        9        0
 6743 libhugs-openal-bundled             	       1       10        0        9        0
 6744 libhugs-opengl-bundled             	       1       10        0        9        0
 6745 libhugs-parsec-bundled             	       1       10        0        9        0
 6746 libhugs-quickcheck-bundled         	       1       10        0        9        0
 6747 libhugs-stm-bundled                	       1       10        0        9        0
 6748 libhugs-time-bundled               	       1       10        0        9        0
 6749 libhugs-unix-bundled               	       1       10        0        9        0
 6750 libhugs-x11-bundled                	       1       10        0        9        0
 6751 libhugs-xhtml-bundled              	       1       10        0        9        0
 6752 libhunspell-dev                    	       1       40        1       38        0
 6753 libhwy-dev                         	       1       49        0       48        0
 6754 libibverbs1                        	       1     1054       36       59      958
 6755 libical3t64                        	       1       98       13       36       48
 6756 libicu63                           	       1      368       12       24      331
 6757 libid3tag0-dev                     	       1       49        0       48        0
 6758 libidn-dev                         	       1       54        0       53        0
 6759 libiec61883-0                      	       1     3281       19       57     3204
 6760 libijs-dev                         	       1        6        1        4        0
 6761 libimage-base-bundle-perl          	       1       32        1       30        0
 6762 libimage-info-perl                 	       1       32        1       30        0
 6763 libimagequant-dev                  	       1       14        0       13        0
 6764 libimath-3-1-29t64                 	       1      248        9       30      208
 6765 libime-bin                         	       1       20        0       19        0
 6766 libime-data-language-model         	       1       21        0        4       16
 6767 libimecore0                        	       1       21        0        6       14
 6768 libimepinyin0                      	       1       21        0        5       15
 6769 libimlib2-dev                      	       1       34        0       33        0
 6770 libinchi-bin                       	       1        1        0        0        0
 6771 libinchi-dev                       	       1        1        0        0        0
 6772 libini-config5t64                  	       1       14        1       12        0
 6773 libinih1                           	       1      552       14       37      500
 6774 libiniparser-dev                   	       1        3        0        2        0
 6775 libio-async-perl                   	       1       62        0       61        0
 6776 libio-pipely-perl                  	       1       14        0       13        0
 6777 libio-socket-ip-perl               	       1      412       56      355        0
 6778 libio-socket-timeout-perl          	       1       18        2       15        0
 6779 libip4tc2                          	       1     2131        9       34     2087
 6780 libipa-hbac0t64                    	       1       14        1       12        0
 6781 libipc-sharedcache-perl            	       1        8        1        6        0
 6782 libipc-signal-perl                 	       1       78       19       58        0
 6783 libisc-export1105                  	       1     1025       48      133      843
 6784 libisl23                           	       1     3391       21       70     3299
 6785 libitpp-dev                        	       1        9        0        8        0
 6786 libiw30                            	       1     2512       21       83     2407
 6787 libjansson-dev                     	       1       83        1       81        0
 6788 libjaylink-dev                     	       1        8        0        7        0
 6789 libjbigi-jni                       	       1        8        1        6        0
 6790 libjcat-dev                        	       1        3        0        2        0
 6791 libjs-codemirror                   	       1      121        4      111        5
 6792 libjs-jquery-ui-docs               	       1        6        0        5        0
 6793 libjsoncpp25                       	       1      730        7       36      686
 6794 libjxl-dev                         	       1       49        1       47        0
 6795 libkaddressbook-dev                	       1        1        0        0        0
 6796 libkcddb1-trinity                  	       1       33        1       31        0
 6797 libkcddb6-dev                      	       1        2        0        1        0
 6798 libkchart-dev                      	       1        2        0        1        0
 6799 libkdecorations2private7           	       1      104        8       38       57
 6800 libkdecorations3-6                 	       1        6        3        0        2
 6801 libkdecorations3-dev               	       1        1        0        0        0
 6802 libkdecorations3private1           	       1        5        2        0        2
 6803 libkeybinder0                      	       1      234       10       53      170
 6804 libkf5akonadinotes5                	       1      496       27       93      375
 6805 libkf5auth-dev                     	       1       33        0       32        0
 6806 libkf5auth-dev-bin                 	       1       35        0       34        0
 6807 libkf5calendarsupport5abi1         	       1      473        8       46      418
 6808 libkf5codecs-dev                   	       1       34        0       33        0
 6809 libkf5configwidgets-dev            	       1       31        0       30        0
 6810 libkf5crash-dev                    	       1       16        0       15        0
 6811 libkf5declarative-data             	       1     1116        0        8     1107
 6812 libkf5doctools-dev                 	       1       18        0       17        0
 6813 libkf5emoticons-dev                	       1        8        0        7        0
 6814 libkf5eventviews5abi1              	       1      473        8       46      418
 6815 libkf5globalaccel-dev              	       1       33        0       32        0
 6816 libkf5guiaddons-dev                	       1       35        0       34        0
 6817 libkf5holidays5                    	       1      574       12       59      502
 6818 libkf5iconthemes-data              	       1     1215        2       11     1201
 6819 libkf5iconthemes-dev               	       1       30        0       29        0
 6820 libkf5imap5                        	       1      496       28       95      372
 6821 libkf5incidenceeditor5abi1         	       1      472        8       44      419
 6822 libkf5itemmodels-dev               	       1       17        0       16        0
 6823 libkf5kio-dev                      	       1       23        0       22        0
 6824 libkf5ldap5abi1                    	       1      491       27       93      370
 6825 libkf5libkdepim5                   	       1      507       27       94      385
 6826 libkf5parts-data                   	       1     1047        0       11     1035
 6827 libkf5pimcommon5abi2               	       1      492       27       93      371
 6828 libkf5pimcommonakonadi5abi1        	       1      479       27       93      358
 6829 libkf5pimtextedit5abi2             	       1      508       29       97      381
 6830 libkf5purpose-bin                  	       1      792        6       34      751
 6831 libkf5screen7                      	       1      173        8       41      123
 6832 libkf5waylandserver5               	       1      122        8       39       74
 6833 libkf5widgetsaddons-dev            	       1       35        0       34        0
 6834 libkf5xmlgui-dev                   	       1       29        0       28        0
 6835 libkf6archive-dev                  	       1        3        0        2        0
 6836 libkf6attica-dev                   	       1        1        0        0        0
 6837 libkf6attica6                      	       1       40        8       16       15
 6838 libkf6auth-dev                     	       1        1        0        0        0
 6839 libkf6auth-dev-bin                 	       1        1        0        0        0
 6840 libkf6baloo-dev                    	       1        1        0        0        0
 6841 libkf6baloo6                       	       1       31        5        7       18
 6842 libkf6balooengine6                 	       1       31        5        8       17
 6843 libkf6baloowidgets6                	       1       19        3        3       12
 6844 libkf6bluezqt-dev                  	       1        1        0        0        0
 6845 libkf6bookmarks-dev                	       1        3        0        2        0
 6846 libkf6breezeicons-dev              	       1        1        0        0        0
 6847 libkf6calendarcore-dev             	       1        1        0        0        0
 6848 libkf6calendarevents6              	       1       26        8       14        3
 6849 libkf6codecs-dev                   	       1        3        0        2        0
 6850 libkf6colorscheme-dev              	       1        3        0        2        0
 6851 libkf6completion-dev               	       1        3        0        2        0
 6852 libkf6config-dev                   	       1        4        0        3        0
 6853 libkf6config-dev-bin               	       1        4        0        3        0
 6854 libkf6configqml6                   	       1       49        8       15       25
 6855 libkf6configwidgets-data           	       1       74        6        7       60
 6856 libkf6configwidgets-dev            	       1        3        0        2        0
 6857 libkf6configwidgets6               	       1       73       11       18       43
 6858 libkf6contacts-dev                 	       1        1        0        0        0
 6859 libkf6coreaddons-dev               	       1        4        0        3        0
 6860 libkf6crash-dev                    	       1        1        0        0        0
 6861 libkf6dav-dev                      	       1        1        0        0        0
 6862 libkf6dbusaddons-dev               	       1        1        0        0        0
 6863 libkf6declarative-dev              	       1        1        0        0        0
 6864 libkf6dnssd-dev                    	       1        1        0        0        0
 6865 libkf6doctools-dev                 	       1        3        0        2        0
 6866 libkf6filemetadata-dev             	       1        1        0        0        0
 6867 libkf6filemetadata3                	       1       39        6       11       21
 6868 libkf6globalaccel-data             	       1       62        7        8       46
 6869 libkf6globalaccel-dev              	       1        2        0        1        0
 6870 libkf6globalaccel6                 	       1       61       11       18       31
 6871 libkf6guiaddons-dev                	       1        3        0        2        0
 6872 libkf6holidays-dev                 	       1        1        0        0        0
 6873 libkf6i18n-data                    	       1       88        6        6       75
 6874 libkf6i18n-dev                     	       1        3        0        2        0
 6875 libkf6i18nlocaledata6              	       1       43        8       15       19
 6876 libkf6i18nqml6                     	       1       35        7        6       21
 6877 libkf6iconthemes-dev               	       1        2        0        1        0
 6878 libkf6idletime-dev                 	       1        1        0        0        0
 6879 libkf6idletime6                    	       1       32        8       18        5
 6880 libkf6itemmodels-dev               	       1        1        0        0        0
 6881 libkf6itemmodels6                  	       1       58        8       15       34
 6882 libkf6itemviews-dev                	       1        3        0        2        0
 6883 libkf6jobwidgets-dev               	       1        3        0        2        0
 6884 libkf6kcmutils-dev                 	       1        1        0        0        0
 6885 libkf6kcmutils6                    	       1       57        6        9       41
 6886 libkf6kcmutilscore6                	       1       71        8       17       45
 6887 libkf6kcmutilsquick6               	       1       71        8       17       45
 6888 libkf6kio-dev                      	       1        3        0        2        0
 6889 libkf6modemmanagerqt-dev           	       1        1        0        0        0
 6890 libkf6networkmanagerqt-dev         	       1        1        0        0        0
 6891 libkf6networkmanagerqt6            	       1       28        8       15        4
 6892 libkf6newstuff-dev                 	       1        1        0        0        0
 6893 libkf6newstuffcore6                	       1       40        8       16       15
 6894 libkf6newstuffwidgets6             	       1       39        8       15       15
 6895 libkf6notifications-dev            	       1        3        0        2        0
 6896 libkf6notifyconfig-dev             	       1        1        0        0        0
 6897 libkf6notifyconfig6                	       1       35        6       14       14
 6898 libkf6package-dev                  	       1        1        0        0        0
 6899 libkf6package6                     	       1       49        9       17       22
 6900 libkf6parts-dev                    	       1        2        0        1        0
 6901 libkf6parts6                       	       1       51        4        9       37
 6902 libkf6people-dev                   	       1        1        0        0        0
 6903 libkf6plotting-dev                 	       1        1        0        0        0
 6904 libkf6prison-dev                   	       1        1        0        0        0
 6905 libkf6prison6                      	       1       52        8       14       29
 6906 libkf6pty-dev                      	       1        1        0        0        0
 6907 libkf6pty6                         	       1       38        8       14       15
 6908 libkf6purpose-dev                  	       1        1        0        0        0
 6909 libkf6qqc2desktopstyle-data        	       1       44        4        6       33
 6910 libkf6runner-dev                   	       1        1        0        0        0
 6911 libkf6runner6                      	       1       57        8       14       34
 6912 libkf6screen8                      	       1       30        7       16        6
 6913 libkf6screendpms8                  	       1       30        7       14        8
 6914 libkf6service-dev                  	       1        3        0        2        0
 6915 libkf6solid-bin                    	       1        1        0        0        0
 6916 libkf6solid-dev                    	       1        3        0        2        0
 6917 libkf6sonnet-data                  	       1       49        6        7       35
 6918 libkf6sonnet-dev                   	       1        1        0        0        0
 6919 libkf6sonnet-dev-bin               	       1        1        0        0        0
 6920 libkf6sonnetcore6                  	       1       49        9       15       24
 6921 libkf6sonnetui6                    	       1       43        9       15       18
 6922 libkf6statusnotifieritem-data      	       1       50        6        7       36
 6923 libkf6statusnotifieritem-dev       	       1        1        0        0        0
 6924 libkf6statusnotifieritem6          	       1       49       10       19       19
 6925 libkf6style-dev                    	       1        1        0        0        0
 6926 libkf6style6                       	       1       28        7       16        4
 6927 libkf6su-dev                       	       1        1        0        0        0
 6928 libkf6svg-dev                      	       1        1        0        0        0
 6929 libkf6svg6                         	       1       45        8       15       21
 6930 libkf6syndication-dev              	       1        1        0        0        0
 6931 libkf6syndication6                 	       1       40        8       16       15
 6932 libkf6syntaxhighlighting-dev       	       1        2        0        1        0
 6933 libkf6syntaxhighlighting-tools     	       1        1        0        0        0
 6934 libkf6texteditor-dev               	       1        2        0        1        0
 6935 libkf6texttemplate-dev             	       1        1        0        0        0
 6936 libkf6textwidgets-dev              	       1        1        0        0        0
 6937 libkf6textwidgets6                 	       1       43        9       15       18
 6938 libkf6threadweaver-dev             	       1        2        0        1        0
 6939 libkf6unitconversion-data          	       1       27        2        3       21
 6940 libkf6unitconversion-dev           	       1        1        0        0        0
 6941 libkf6unitconversion6              	       1       26        5        6       14
 6942 libkf6userfeedback-bin             	       1        1        0        0        0
 6943 libkf6userfeedback-data            	       1       31        5        6       19
 6944 libkf6userfeedback-dev             	       1        1        0        0        0
 6945 libkf6userfeedbackcore6            	       1       30        8       14        7
 6946 libkf6userfeedbackwidgets6         	       1       25        2        3       19
 6947 libkf6wallet-data                  	       1       87        4       10       72
 6948 libkf6wallet-dev                   	       1        1        0        0        0
 6949 libkf6wallet6                      	       1       86        8       21       56
 6950 libkf6walletbackend6               	       1       86        7       19       59
 6951 libkf6widgetsaddons-dev            	       1        3        0        2        0
 6952 libkf6windowsystem-dev             	       1        4        0        3        0
 6953 libkf6xmlgui-data                  	       1       62        5        7       49
 6954 libkf6xmlgui-dev                   	       1        2        0        1        0
 6955 libkf6xmlgui6                      	       1       61       11       18       31
 6956 libkfontinst5                      	       1      545        0        4      540
 6957 libkgantt2                         	       1      483        8       46      428
 6958 libkglobalacceld0                  	       1       45        8       14       22
 6959 libkirigami-data                   	       1       73        5        6       61
 6960 libkirigami6                       	       1       72        8       15       48
 6961 libkirigamidelegates6              	       1       72        8       15       48
 6962 libkirigamidialogs6                	       1       72        8       15       48
 6963 libkirigamilayouts6                	       1       72        8       15       48
 6964 libkirigamiplatform6               	       1       72        8       16       47
 6965 libkirigamiprimitives6             	       1       72        8       15       48
 6966 libkirigamiprivate6                	       1       72        8       15       48
 6967 libklipper6                        	       1       25        7       13        4
 6968 libkmailtransport-dev              	       1        1        0        0        0
 6969 libkmime2-trinity                  	       1       33        1       10       21
 6970 libkml-dev                         	       1       41        0       40        0
 6971 libkmpris6                         	       1       25        8       14        2
 6972 libkomparediff2-dev                	       1        1        0        0        0
 6973 libkonq-dev                        	       1        1        0        0        0
 6974 libkonq4-trinity                   	       1       35        4       30        0
 6975 libkontactinterface-dev            	       1        1        0        0        0
 6976 libkpimexchange1-trinity           	       1       28        1       26        0
 6977 libkpipewire-dev                   	       1        2        0        1        0
 6978 libkpipewire6                      	       1       28        8       14        5
 6979 libkpmcore-dev                     	       1        1        0        0        0
 6980 libkpmcore12                       	       1      473        0        0      472
 6981 libkproperty3-dev                  	       1        1        0        0        0
 6982 libkreport3-dev                    	       1        1        0        0        0
 6983 libksanecore-dev                   	       1        1        0        0        0
 6984 libkscreen-data                    	       1       31        4        8       18
 6985 libkscreen-dev                     	       1        1        0        0        0
 6986 libkscreenlocker6                  	       1       25        8       14        2
 6987 libksieve-dev                      	       1        1        0        0        0
 6988 libksysguard-bin                   	       1        1        0        0        0
 6989 libksysguardformatter2             	       1       26        8       14        3
 6990 libksysguardsensorfaces1           	       1      514        6       25      482
 6991 libksysguardsensors1               	       1      515        6       26      482
 6992 libksysguardsystemstats1           	       1      433        6       23      403
 6993 libktnef-dev                       	       1        1        0        0        0
 6994 libktnef1-trinity                  	       1       33        1       10       21
 6995 libkwaylandclient6                 	       1       28        9       14        4
 6996 libkwaylandserver5                 	       1       89        8       38       42
 6997 libkwin4-effect-builtins1          	       1      108        8       38       61
 6998 libkwin6                           	       1       25        7       14        3
 6999 libkwineffects12a                  	       1       88        8       37       42
 7000 libkwinglutils12                   	       1       89        8       37       43
 7001 libkwinxrenderutils12              	       1       89        8       37       43
 7002 libkworkspace6-6                   	       1       26        8       15        2
 7003 liblayershellqtinterface-dev       	       1        2        0        1        0
 7004 liblayershellqtinterface6          	       1       31        9       18        3
 7005 liblc3-0                           	       1      417       11       44      361
 7006 liblc3-1                           	       1      203        4       13      185
 7007 libldacbt-enc2                     	       1     2393       19       59     2314
 7008 libleveldb-dev                     	       1       18        0       17        0
 7009 liblightdm-gobject-1-0-dbgsym      	       1        1        0        0        0
 7010 liblightdm-gobject-dev             	       1        4        0        3        0
 7011 liblightdm-qt5-3-0-dbgsym          	       1        1        0        0        0
 7012 liblightdm-qt5-3-dev               	       1        1        0        0        0
 7013 liblingua-en-inflect-perl          	       1       55        1       53        0
 7014 liblldb-19                         	       1        5        1        3        0
 7015 liblldb-19-dev                     	       1        2        1        0        0
 7016 liblocale-codes-perl               	       1       58        1       56        0
 7017 liblockfile-simple-perl            	       1       17        1       15        0
 7018 liblog-dispatch-filerotate-perl    	       1        6        0        5        0
 7019 liblog-message-perl                	       1      102        2       99        0
 7020 liblog-message-simple-perl         	       1      102        2       99        0
 7021 liblog4cplus-dev                   	       1        1        0        0        0
 7022 liblrdf0-dev                       	       1       10        0        9        0
 7023 liblua5.4-0                        	       1      674       15       31      627
 7024 libluajit-5.1-2                    	       1      459       15       30      413
 7025 liblxc1                            	       1       90        8       21       60
 7026 liblxqt-globalkeys-ui0             	       1       69        4       21       43
 7027 liblxqt-globalkeys0                	       1       73        4       21       47
 7028 libmaa4                            	       1      111       10       23       77
 7029 libmad0                            	       1     2397       32       58     2306
 7030 libmagic-dev                       	       1       54        3       50        0
 7031 libmagic1                          	       1     3853       21       45     3786
 7032 libmagickcore-7-arch-config        	       1        2        0        1        0
 7033 libmagickcore-7-headers            	       1        2        0        1        0
 7034 libmagickwand-7-headers            	       1        2        0        1        0
 7035 libmail-gnupg-perl                 	       1        5        0        4        0
 7036 libmail-imapclient-perl            	       1       42        3       38        0
 7037 libmail-message-perl               	       1       30        1       28        0
 7038 libmail-transport-perl             	       1       29        1       27        0
 7039 libmalcontent-0-dev                	       1        1        0        0        0
 7040 libmarkdown2                       	       1     1127        4       17     1105
 7041 libmate-desktop-2-17t64            	       1       24        5       11        7
 7042 libmateweather1t64                 	       1       20        4        8        7
 7043 libmath-base-convert-perl          	       1       11        0       10        0
 7044 libmath-calc-units-perl            	       1       35       11       23        0
 7045 libmatio-dev                       	       1        7        0        6        0
 7046 libmbedtls-dev                     	       1       54        3       50        0
 7047 libmbedtls14                       	       1      752       11       32      708
 7048 libmbedx509-1                      	       1      752       11       32      708
 7049 libmcrypt4                         	       1      164        6       14      143
 7050 libmediawiki-api-perl              	       1       41        1       39        0
 7051 libmemcached11                     	       1      152       20       45       86
 7052 libmemcachedutil2                  	       1       57        5       19       32
 7053 libmenu-cache-dev                  	       1        5        0        4        0
 7054 libmetrics-any-perl                	       1       31        0       30        0
 7055 libmicrohttpd-dev                  	       1       28        0       27        0
 7056 libmikmod-dev                      	       1      116        5      110        0
 7057 libmikmod3                         	       1      592       15       20      556
 7058 libminizip-dev                     	       1       61        1       59        0
 7059 libmirisdr4                        	       1       10        0        9        0
 7060 libmnl0                            	       1     4154       88      275     3790
 7061 libmodplug-dev                     	       1      121        1      119        0
 7062 libmodule-build-perl               	       1      153        2      150        0
 7063 libmodule-signature-perl           	       1      142        2      139        0
 7064 libmonitoring-plugin-perl          	       1       29        9       19        0
 7065 libmoox-struct-perl                	       1       38        0       37        0
 7066 libmozjs-115-0t64                  	       1       30        2       10       17
 7067 libmozjs-128-dev                   	       1        1        0        0        0
 7068 libmp3splt                         	       1       26        0       25        0
 7069 libmpdclient2                      	       1      144       14       33       96
 7070 libmpdec3                          	       1      763       11       43      708
 7071 libmpfrc++-dev                     	       1       14        0       13        0
 7072 libmsgraph-dev                     	       1        1        0        0        0
 7073 libmspack0t64                      	       1       94       10       23       60
 7074 libmspub-0.1-1                     	       1     2797        2        5     2789
 7075 libmuffin0t64                      	       1       24        2        7       14
 7076 libmupdf-dev                       	       1        6        0        5        0
 7077 libmwaw-0.3-3                      	       1     2836        2        7     2826
 7078 libncurses6                        	       1     3820       34       80     3705
 7079 libnet-daemon-perl                 	       1       30        1       28        0
 7080 libnet-ifconfig-wrapper-perl       	       1        3        0        2        0
 7081 libnet-irc-perl                    	       1        4        0        3        0
 7082 libnet-oauth-perl                  	       1       22        0       21        0
 7083 libnet-smtp-tls-perl               	       1       17        2       14        0
 7084 libnet-smtpauth-perl               	       1       15        2       12        0
 7085 libnet-telnet-perl                 	       1       25        2       22        0
 7086 libnet1-dev                        	       1        7        0        6        0
 7087 libnet1-doc                        	       1        1        0        0        0
 7088 libnetcdf-c++4-dev                 	       1        3        0        2        0
 7089 libnetfilter-conntrack3            	       1     3739       76      220     3442
 7090 libnetfilter-queue-dev             	       1        7        0        6        0
 7091 libnetwork-ipv4addr-perl           	       1       26        3       22        0
 7092 libnewlib-dev                      	       1       52        0       51        0
 7093 libnewt-dev                        	       1       36        1       34        0
 7094 libnfnetlink-dev                   	       1       12        0       11        0
 7095 libnfnetlink0                      	       1     3789       82      241     3465
 7096 libnfs-utils                       	       1       16        0       15        0
 7097 libnftables1                       	       1     3422       62      193     3166
 7098 libnftnl11                         	       1     3908       62      193     3652
 7099 libngtcp2-crypto-gnutls-dev        	       1       15        0       14        0
 7100 libngtcp2-dev                      	       1       16        1       14        0
 7101 libnitrokey-common                 	       1       10        0        9        0
 7102 libnlopt-dev                       	       1        5        1        3        0
 7103 libnm-dev                          	       1       18        1       16        0
 7104 libnma-common                      	       1     1993        6       42     1944
 7105 libnotmuch-dev                     	       1        5        0        4        0
 7106 libnpp-dev-12-6                    	       1        5        1        3        0
 7107 libnss-sss                         	       1       27        1       22        3
 7108 libntl-dev                         	       1       20        0       19        0
 7109 libnuma-dev                        	       1      182        5      176        0
 7110 libnumber-range-perl               	       1       55        1       53        0
 7111 libnvfatbin-dev-12-6               	       1        4        1        2        0
 7112 libnvidia-cfg1                     	       1      171       11       51      108
 7113 libnvidia-vksc-core                	       1       10        0        9        0
 7114 libnvjitlink-dev-12-6              	       1        4        1        2        0
 7115 libnvjpeg-dev-12-6                 	       1        4        1        2        0
 7116 libnvpair3linux                    	       1       78       14       35       28
 7117 libobject-id-perl                  	       1       41        0       40        0
 7118 libobject-realize-later-perl       	       1       31        1       29        0
 7119 libobs0                            	       1      141        0        3      137
 7120 libodfgen-0.1-1                    	       1     2854        2        7     2844
 7121 libogdi-dev                        	       1       37        0       36        0
 7122 libogg-ocaml-dev                   	       1        4        0        3        0
 7123 libomp-19-dev                      	       1        4        2        1        0
 7124 libomp5-19                         	       1        4        2        1        0
 7125 libomxil-bellagio-bin              	       1       22        0       21        0
 7126 libonig5                           	       1     1397       48      155     1193
 7127 libopenal-dev                      	       1      118        2      115        0
 7128 libopenal1                         	       1     3202       46      134     3021
 7129 libopencolorio-dev                 	       1        7        0        6        0
 7130 libopenconnect5                    	       1      702        5       29      667
 7131 libopencsd-dev                     	       1       17        1       15        0
 7132 libopencv-dev                      	       1       46        1       44        0
 7133 libopencv406-jni                   	       1       28        0       27        0
 7134 libopenexr23                       	       1      216        1        0      214
 7135 libopenslide-dev                   	       1        6        0        5        0
 7136 libopts25-dev                      	       1       55        1       53        0
 7137 libopus-dev                        	       1      160        2      157        0
 7138 liborc-0.4-dev                     	       1       61        2       58        0
 7139 liborc-0.4-dev-bin                 	       1       62        1       60        0
 7140 libosmesa6-dev                     	       1       22        0       21        0
 7141 libosmosdr0                        	       1       50        2       47        0
 7142 libpackage-constants-perl          	       1       93        2       90        0
 7143 libpackagekitqt6-1                 	       1       31        6       11       13
 7144 libpacparser1                      	       1        9        1        7        0
 7145 libpagemaker-0.0-0                 	       1     2789        2        5     2781
 7146 libpam-mount-bin                   	       1       15        1       13        0
 7147 libpam-pwquality                   	       1       59       11       42        5
 7148 libpam-sss                         	       1       27        1       23        2
 7149 libpam-x2go                        	       1        5        0        4        0
 7150 libpano13-bin                      	       1       50        0       49        0
 7151 libpaper-dev                       	       1        9        1        7        0
 7152 libparse-debianchangelog-perl      	       1      239        3      235        0
 7153 libparse-edid-perl                 	       1       13        1       11        0
 7154 libparse-yapp-perl                 	       1       24        0       23        0
 7155 libparted-dev                      	       1       15        1       13        0
 7156 libpath-utils1t64                  	       1       16        1       12        2
 7157 libpcre2-posix3                    	       1      763        4       15      743
 7158 libpdl-filter-perl                 	       1        1        0        0        0
 7159 libpdl-io-dicom-perl               	       1        1        0        0        0
 7160 libpdl-io-envi-perl                	       1        1        0        0        0
 7161 libpdl-io-idl-perl                 	       1        1        0        0        0
 7162 libpdl-opt-simplex-perl            	       1        1        0        0        0
 7163 libperl-critic-perl                	       1       29        0       28        0
 7164 libperlio-via-timeout-perl         	       1       18        2       15        0
 7165 libphonon4qt6-4t64                 	       1       39        6        4       28
 7166 libphonon4qt6-dev                  	       1        1        0        0        0
 7167 libphonon4qt6experimental-dev      	       1        1        0        0        0
 7168 libpipewire-0.3-common             	       1     2339        9       24     2305
 7169 libpipewire-0.3-dev                	       1       48        2       44        1
 7170 libpkcs11-helper1                  	       1      488       41      101      345
 7171 libpkcs11-helper1t64               	       1       40        2        4       33
 7172 libplank1                          	       1       51        5       21       24
 7173 libplasma-dev                      	       1        1        0        0        0
 7174 libplasma-geolocation-interface5   	       1      544       10       53      480
 7175 libplasma5support6                 	       1       25        8       15        1
 7176 libplasma6                         	       1       45        8       15       21
 7177 libplasmaactivities6               	       1       58        8       16       33
 7178 libplasmaactivitiesstats1          	       1       33        8       14       10
 7179 libplasmaquick6                    	       1       44        8       15       20
 7180 libpod-latex-perl                  	       1       81        2       78        0
 7181 libpod-readme-perl                 	       1      143        2      140        0
 7182 libpod-spell-perl                  	       1       29        0       28        0
 7183 libpod2-base-perl                  	       1        8        0        7        0
 7184 libpoe-loop-tk-perl                	       1        7        0        6        0
 7185 libpoe-perl                        	       1       14        0       13        0
 7186 libpolkit-qt6-1-1                  	       1       74        8       18       47
 7187 libpolkit-tqt                      	       1       24        4       12        7
 7188 libpoppler-cpp-dev                 	       1       10        0        9        0
 7189 libpoppler-qt6-dev                 	       1        1        0        0        0
 7190 libpopt-dev                        	       1       61        1       59        0
 7191 libportal-dev                      	       1        3        0        2        0
 7192 libportal-gtk4-dev                 	       1        1        0        0        0
 7193 libportal-tests-gtk4               	       1        1        0        0        0
 7194 libpowerdevilui5                   	       1      536        0        4      531
 7195 libppi-perl                        	       1       33        0       32        0
 7196 libppix-quotelike-perl             	       1       28        0       27        0
 7197 libppix-regexp-perl                	       1       29        0       28        0
 7198 libppix-utilities-perl             	       1       28        0       27        0
 7199 libproc-waitstat-perl              	       1       78       20       57        0
 7200 libprocesscore10                   	       1       26        8       14        3
 7201 libproj-dev                        	       1       55        2       52        0
 7202 libprotobuf-dev                    	       1       81        0       80        0
 7203 libpskc0                           	       1      528        5       23      499
 7204 libpurple-dev                      	       1       20        1       18        0
 7205 libpwquality-tools                 	       1       14        0       13        0
 7206 libpyside2-py3-5.15                	       1      119        1      116        1
 7207 libpyside2-py3-5.15t64             	       1       15        0       14        0
 7208 libpyside6-py3-6.7                 	       1        3        1        1        0
 7209 libpyside6-py3-6.8                 	       1        1        0        0        0
 7210 libpython3.13                      	       1       81        6        3       71
 7211 libpython3.4-minimal               	       1       34        1       32        0
 7212 libpython3.4-stdlib                	       1       34        1       32        0
 7213 libqaccessibilityclient-qt6-0      	       1       25        8       14        2
 7214 libqalculate23                     	       1       55        4       11       39
 7215 libqapt3-runtime                   	       1       42        0       41        0
 7216 libqca-qt6-2                       	       1       87        8       20       58
 7217 libqca-qt6-dev                     	       1        1        0        0        0
 7218 libqca-qt6-plugins                 	       1       83        1        3       78
 7219 libqcoro6dbus0t64                  	       1       27        7       14        5
 7220 libqgpgmeqt6-dev                   	       1        1        0        0        0
 7221 libqhull-dev                       	       1       50        1       48        0
 7222 libqmobipocket6-dev                	       1        1        0        0        0
 7223 libqpdf-dev                        	       1        6        0        5        0
 7224 libqt5test5                        	       1     1379        4       19     1355
 7225 libqt5webengine5                   	       1      944        3       18      922
 7226 libqt5webkit5                      	       1     1183        7       16     1159
 7227 libqt5websockets5-dev              	       1       27        0       26        0
 7228 libqt5webview5                     	       1      422        3        9      409
 7229 libqt6core5compat6                 	       1      166        9       25      131
 7230 libqt6opengl6-dev                  	       1       47        0       46        0
 7231 libqt6positioning6                 	       1      253       10       16      226
 7232 libqt6printsupport6                	       1      375       15       25      334
 7233 libqt6qmlmeta6                     	       1        6        2        0        3
 7234 libqt6quickcontrols2-6             	       1      152        9       18      124
 7235 libqt6quicktemplates2-6            	       1      152        9       18      124
 7236 libqt6quickwidgets6                	       1      298       11       21      265
 7237 libqt6sensors6                     	       1       31        8       14        8
 7238 libqt6shadertools6                 	       1      108        8       16       83
 7239 libqt6sql6                         	       1      380       13       22      344
 7240 libqt6sql6-sqlite                  	       1      354       11       17      325
 7241 libqt6texttospeech6                	       1       64        9       15       39
 7242 libqt6xdg-dev                      	       1        2        0        1        0
 7243 libqt6xdgiconloader-dev            	       1        2        0        1        0
 7244 libquazip1-qt5-dev                 	       1        2        0        1        0
 7245 libquazip1-qt6-dev                 	       1        3        0        2        0
 7246 libquickcharts1                    	       1       26        8       14        3
 7247 libquickchartscontrols1            	       1       26        7       13        5
 7248 libqxp-0.0-0                       	       1     2729        2        5     2721
 7249 libr1                              	       1       24        3       14        6
 7250 libraft0                           	       1        7        1        1        4
 7251 librandom123-dev                   	       1        1        0        0        0
 7252 librandom123-doc                   	       1        1        0        0        0
 7253 libraptor2-dev                     	       1       14        1       12        0
 7254 libraw-bin                         	       1        7        0        6        0
 7255 libraw-dev                         	       1       20        0       19        0
 7256 libraw1394-11                      	       1     3323       19       59     3244
 7257 libraw1394-dev                     	       1       77        1       75        0
 7258 libraw23t64                        	       1      235        9       31      194
 7259 librc1                             	       1      480        1        8      470
 7260 librdmacm1                         	       1      953       34       58      860
 7261 libreadline8t64                    	       1      288        8       21      258
 7262 libredis-perl                      	       1       18        2       15        0
 7263 libref-array1t64                   	       1       14        1       12        0
 7264 libreoffice-dev-common             	       1        4        0        3        0
 7265 libreoffice-dev-doc                	       1        4        0        3        0
 7266 libreoffice-dev-gui                	       1        1        0        0        0
 7267 libreoffice-evolution              	       1       21        1       19        0
 7268 libreoffice-gtk                    	       1       14        1       10        2
 7269 libreoffice-kf6                    	       1       16        5       10        0
 7270 libreoffice-l10n-da                	       1       11        1        9        0
 7271 libreoffice-l10n-el                	       1       23        0       22        0
 7272 libreoffice-l10n-ja                	       1       33        0       32        0
 7273 libreoffice-l10n-nl                	       1       18        1       16        0
 7274 libreoffice-l10n-tr                	       1        9        0        8        0
 7275 libreoffice-l10n-zh-cn             	       1        6        0        5        0
 7276 libreoffice-lightproof-en          	       1       30        1       28        0
 7277 libreoffice-smoketest-data         	       1        2        0        1        0
 7278 libreoffice-style-galaxy           	       1       66        2       62        1
 7279 libreoffice-texmaths               	       1       23        2       20        0
 7280 libreoffice-voikko                 	       1       13        0       12        0
 7281 libreoffice-writer2latex           	       1       31        2       28        0
 7282 librevenge-0.0-0                   	       1     2913        2       12     2898
 7283 librpm-dev                         	       1        2        0        1        0
 7284 librtlsdr0                         	       1       71        6       64        0
 7285 libruby2.5                         	       1      116        9      106        0
 7286 librust-gio-sys-dev                	       1        6        0        5        0
 7287 librust-glib-sys-dev               	       1        7        0        6        0
 7288 librust-gobject-sys-dev            	       1        7        0        6        0
 7289 librust-pango-sys-dev              	       1        6        0        5        0
 7290 libsamplerate0                     	       1     3551       57      187     3306
 7291 libsasl2-dev                       	       1       82        2       79        0
 7292 libsasl2-modules-gssapi-mit        	       1       47        2       27       17
 7293 libsasl2-modules-kdexoauth2        	       1      490       12       26      451
 7294 libsaxon-java                      	       1      109        2      106        0
 7295 libsbuild-perl                     	       1       25        2       22        0
 7296 libsdl-net1.2                      	       1      258        0        0      257
 7297 libsdl-sound1.2                    	       1      214        0        0      213
 7298 libsdl1.2debian                    	       1     1953        6       15     1931
 7299 libsdl2-2.0-0                      	       1     3168       43      109     3015
 7300 libsdl2-ttf-dev                    	       1       58        1       56        0
 7301 libsecret-common                   	       1     3489        0        1     3487
 7302 libsepol1                          	       1     1941        1        5     1934
 7303 libsereal-perl                     	       1       61        0       60        0
 7304 libservice-wrapper-jni             	       1        8        1        6        0
 7305 libsgmls-perl                      	       1      100        1       98        0
 7306 libshiboken2-py3-5.15              	       1      119        2      115        1
 7307 libshiboken2-py3-5.15t64           	       1       15        1       13        0
 7308 libshiboken6-py3-6.7               	       1        3        1        1        0
 7309 libshiboken6-py3-6.8               	       1        1        0        0        0
 7310 libsigc++-2.0-dev                  	       1       84        1       82        0
 7311 libslang2-dev                      	       1      237        4      232        0
 7312 libslirp0                          	       1      617       34       59      523
 7313 libslp1                            	       1       43        3       11       28
 7314 libsmali-java                      	       1       27        0       20        6
 7315 libsmbclient-dev                   	       1       26        0       25        0
 7316 libsmbios-dev                      	       1        1        0        0        0
 7317 libsnapd-glib-2-1                  	       1      330        2        5      322
 7318 libsocket-getaddrinfo-perl         	       1       20        0       19        0
 7319 libsodium-dev                      	       1       90        0       89        0
 7320 libsoftware-copyright-perl         	       1        1        0        0        0
 7321 libsoftware-license-perl           	       1      152        2      149        0
 7322 libsoup-2.4-1                      	       1      182        4       16      161
 7323 libspa-0.2-dev                     	       1       48        2       45        0
 7324 libspatialindex-dev                	       1       39        0       38        0
 7325 libspdlog-dev                      	       1       51        0       50        0
 7326 libspdlog1.10                      	       1      522        3       15      503
 7327 libspeex-ocaml-dev                 	       1        1        0        0        0
 7328 libspice-server1                   	       1      656       25       54      576
 7329 libspng-dev                        	       1        4        0        3        0
 7330 libspreadsheet-xlsx-perl           	       1      100        0       99        0
 7331 libsrt1.4-gnutls                   	       1      643       18       54      570
 7332 libsrt1.5-openssl                  	       1      132        2        2      127
 7333 libsss-certmap0                    	       1       28        1       19        7
 7334 libsss-idmap0                      	       1       27        1       19        6
 7335 libstaroffice-0.0-0                	       1     2775        2        7     2765
 7336 libstartup-notification0-dev       	       1       45        1       43        0
 7337 libstatgrab10                      	       1      258        3       16      238
 7338 libstb-dev                         	       1        9        1        7        0
 7339 libstd-rust-dev-wasm32             	       1        3        0        2        0
 7340 libstdlib-ocaml-dev                	       1       13        0       12        0
 7341 libstoken1                         	       1      653        5       25      622
 7342 libstring-util-perl                	       1       81        0       80        0
 7343 libstrongswan-extra-plugins        	       1       16        3       12        0
 7344 libstruct-dumb-perl                	       1       64        0       63        0
 7345 libsuperlu-dev                     	       1       44        0       43        0
 7346 libsvg-perl                        	       1       44        0       43        0
 7347 libswt-cairo-gtk-4-jni             	       1       51        3       47        0
 7348 libswt-webkit-gtk-4-jni            	       1       13        0       12        0
 7349 libsys-hostip-perl                 	       1        1        0        0        0
 7350 libtaskmanager6                    	       1       51        8       14       28
 7351 libtbb-doc                         	       1        3        0        2        0
 7352 libtdepim1a-trinity                	       1       33        2       30        0
 7353 libtemplate-plugin-gd-perl         	       1        6        0        5        0
 7354 libtemplate-plugin-xml-perl        	       1        4        0        3        0
 7355 libterm-ui-perl                    	       1       99        2       96        0
 7356 libtest-fatal-perl                 	       1      177        2      174        0
 7357 libtest-fixme-perl                 	       1        1        0        0        0
 7358 libtest-output-perl                	       1       57        1       55        0
 7359 libtest-refcount-perl              	       1       60        0       59        0
 7360 libtevent0t64                      	       1      228       19       41      167
 7361 libthrust-dev                      	       1       22        0       21        0
 7362 libtiff-opengl                     	       1       16        1       14        0
 7363 libtime-parsedate-perl             	       1       33        4       28        0
 7364 libtomcrypt1                       	       1      728        5       31      691
 7365 libtoml-tiny-perl                  	       1       19        0       18        0
 7366 libtommath-dev                     	       1        4        1        2        0
 7367 libtommath1                        	       1     1300        8       34     1257
 7368 libtool-bin                        	       1      184        2      181        0
 7369 libtorrent-rasterbar2.0t64         	       1       33        3        1       28
 7370 libtotem-plparser-dev              	       1        2        0        1        0
 7371 libtqt3-integration-trinity        	       1       32        0       31        0
 7372 libtqt3-mt                         	       1       43        4       26       12
 7373 libtqtinterface                    	       1       42        4       27       10
 7374 libtree-sitter-dev                 	       1       13        0       12        0
 7375 libtspi1                           	       1      494       27       85      381
 7376 libtss2-tctildr0                   	       1      757        5       24      727
 7377 libturbojpeg0-dev                  	       1       12        0       11        0
 7378 libudev1                           	       1      689        0        2      686
 7379 libudunits2-dev                    	       1       13        0       12        0
 7380 libuim-custom2                     	       1       38        2       20       15
 7381 libuim-scm0                        	       1       47        3       23       20
 7382 libuim8                            	       1       47        3       23       20
 7383 libunicode-map8-perl               	       1       15        1       13        0
 7384 libunicorn-dev                     	       1        1        0        0        0
 7385 libunittest++-dev                  	       1       17        0       16        0
 7386 libuniversal-require-perl          	       1      127        4      122        0
 7387 liburing1                          	       1      278       24       49      204
 7388 liburiparser-dev                   	       1       61        0       60        0
 7389 libusb-1.0-0-dev                   	       1      233        5      227        0
 7390 libusb-dev                         	       1      122        1      120        0
 7391 libusbredirparser1                 	       1      688       26       55      606
 7392 libuser-identity-perl              	       1       32        1       30        0
 7393 libutempter-dev                    	       1        8        1        6        0
 7394 libuutil3linux                     	       1       79       14       35       29
 7395 libuv1                             	       1     3413       52      145     3215
 7396 libv4l-0                           	       1     2989       19       30     2939
 7397 libv4lconvert0                     	       1     2992       19       30     2942
 7398 libvdeplug-dev                     	       1        9        1        7        0
 7399 libvdeplug2                        	       1      622       33       54      534
 7400 libvips-dev                        	       1        4        0        3        0
 7401 libvips-tools                      	       1        7        0        6        0
 7402 libvirt-dev                        	       1       16        1       14        0
 7403 libvirt-login-shell                	       1        6        0        5        0
 7404 libvisio-0.1-1                     	       1     2845        2        9     2833
 7405 libvisualvm-jni                    	       1       16        0       15        0
 7406 libvlc5                            	       1     1682       14       53     1614
 7407 libvlccore9                        	       1     1665       14       52     1598
 7408 libvpx5                            	       1      237        1        2      233
 7409 libvte-2.91-dev                    	       1       15        1       13        0
 7410 libvte9                            	       1       78        2       20       55
 7411 libvulkan-memory-allocator-dev     	       1        1        0        0        0
 7412 libweb-scraper-perl                	       1       99        0       98        0
 7413 libwebinject-perl                  	       1       16        2       13        0
 7414 libwinpr3-dev                      	       1        1        0        0        0
 7415 libwireplumber-0.4-0               	       1      838       12       52      773
 7416 libwireplumber-0.5-0               	       1      113        6       17       89
 7417 libwireshark-dev                   	       1        3        0        2        0
 7418 libwiretap-dev                     	       1        3        0        2        0
 7419 libwlroots10                       	       1       66        6        8       51
 7420 libwnck-common                     	       1      378        4       19      354
 7421 libwnck22                          	       1      372       11       50      310
 7422 libwpd-0.10-10                     	       1     2894        2       11     2880
 7423 libwpg-0.3-3                       	       1     2892        2       11     2878
 7424 libwps-0.4-4                       	       1     2827        2        6     2818
 7425 libwsutil-dev                      	       1        3        0        2        0
 7426 libwxbase3.2-1t64                  	       1      108        1        4      102
 7427 libwxgtk3.2-1t64                   	       1      106        1        4      100
 7428 libwxsqlite3-3.2-0t64              	       1        5        0        0        4
 7429 libx2go-config-perl                	       1       58        7       50        0
 7430 libx2go-log-perl                   	       1       64        9       54        0
 7431 libx2go-server-db-perl             	       1       64        9       54        0
 7432 libx2go-utils-perl                 	       1       58        7       50        0
 7433 libxapian-dev                      	       1       17        3       13        0
 7434 libxapian22                        	       1      139        1       18      119
 7435 libxaw7                            	       1     3604       45      125     3433
 7436 libxaw7-dev                        	       1       95        5       89        0
 7437 libxcb-cursor-dev                  	       1       43        0       42        0
 7438 libxcb-ewmh-dev                    	       1       22        0       21        0
 7439 libxcb-ewmh2                       	       1      189        8       35      145
 7440 libxcb-icccm4-dev                  	       1       52        1       50        0
 7441 libxcb-image0-dev                  	       1       61        2       58        0
 7442 libxcb-imdkit1                     	       1       30        1       14       14
 7443 libxcb-randr0-dev                  	       1      164        2      161        0
 7444 libxcb-render-util0-dev            	       1       61        1       59        0
 7445 libxcb-res0-dev                    	       1       18        1       16        0
 7446 libxcb-util-dev                    	       1       59        1       57        0
 7447 libxcb-xinerama0-dev               	       1       56        1       54        0
 7448 libxcvt-dev                        	       1       58        2       55        0
 7449 libxdgutilsbasedir1.0.1            	       1      657        0        0      656
 7450 libxdgutilsdesktopentry1.0.1       	       1      657        0        0      656
 7451 libxenmisc4.17                     	       1      268       53      164       50
 7452 libxfce4panel-2.0-dev              	       1       18        1       16        0
 7453 libxfce4ui-2-dev                   	       1       23        1       21        0
 7454 libxfce4util-dev                   	       1       31        1       29        0
 7455 libxfconf-0-dev                    	       1       28        1       26        0
 7456 libxfont-dev                       	       1       80        2       77        0
 7457 libxkbfile-dev                     	       1      106        2      103        0
 7458 libxklavier-dev                    	       1       13        1       11        0
 7459 libxml-dom-perl                    	       1       47        2       44        0
 7460 libxml-perl                        	       1       56        2       53        0
 7461 libxml-rss-perl                    	       1       25        0       24        0
 7462 libxml-xql-perl                    	       1       15        0       14        0
 7463 libxmlb-utils                      	       1        3        0        2        0
 7464 libxmlsec1-openssl                 	       1      649        5       23      620
 7465 libxmu-headers                     	       1      163        6      156        0
 7466 libxnnpack-dev                     	       1        1        0        0        0
 7467 libxnvctrl-dev                     	       1       13        1       11        0
 7468 libxres-dev                        	       1       72        2       69        0
 7469 libxslt1-dev                       	       1      131        2      128        0
 7470 libxv1                             	       1     3540       28       71     3440
 7471 libxvmc-dev                        	       1       71        1       69        0
 7472 libxxhash-dev                      	       1       37        3       33        0
 7473 libyaml-cpp-dev                    	       1       25        1       23        0
 7474 libzfs4linux                       	       1       76       14       35       26
 7475 libzint-dev                        	       1        2        0        1        0
 7476 libzip4                            	       1     1211       36      110     1064
 7477 libzmf-0.0-0                       	       1     2727        2        5     2719
 7478 libzxcvbn0                         	       1      524       21       69      433
 7479 libzxing3                          	       1      216        9       27      179
 7480 lieer                              	       1        2        0        1        0
 7481 lightdm-dbgsym                     	       1        1        0        0        0
 7482 lighttpd-mod-authn-gssapi          	       1        2        0        1        0
 7483 lighttpd-mod-authn-pam             	       1        1        0        0        0
 7484 lighttpd-mod-authn-sasl            	       1        1        0        0        0
 7485 lighttpd-mod-deflate               	       1       52        5       46        0
 7486 lighttpd-mod-maxminddb             	       1        1        0        0        0
 7487 lighttpd-mod-vhostdb-pgsql         	       1        1        0        0        0
 7488 lighttpd-mod-webdav                	       1        5        1        3        0
 7489 lighttpd-modules-dbi               	       1        1        0        0        0
 7490 lighttpd-modules-ldap              	       1        6        0        5        0
 7491 lighttpd-modules-lua               	       1        4        1        2        0
 7492 lighttpd-modules-mysql             	       1        6        0        5        0
 7493 lilo                               	       1       38        4       33        0
 7494 limesuite-udev                     	       1       52        2       49        0
 7495 lincity-ng                         	       1       17        0       16        0
 7496 linssid                            	       1       30        0       29        0
 7497 linux-headers-6.1.0-29-amd64       	       1       42        0       41        0
 7498 linux-headers-6.1.0-29-common      	       1       43        0       42        0
 7499 linux-headers-6.1.0-31-686-pae     	       1        2        1        0        0
 7500 linux-headers-6.1.0-31-arm64       	       1        1        0        0        0
 7501 linux-headers-6.1.0-32-amd64       	       1        1        0        0        0
 7502 linux-headers-6.1.0-32-common      	       1        1        0        0        0
 7503 linux-headers-6.12.13-amd64        	       1        2        0        1        0
 7504 linux-headers-6.12.13-common       	       1        2        0        1        0
 7505 linux-headers-6.12.15-amd64        	       1        1        0        0        0
 7506 linux-headers-6.12.15-common       	       1        1        0        0        0
 7507 linux-headers-6.12.16-amd64        	       1        1        0        0        0
 7508 linux-headers-6.12.16-common       	       1        1        0        0        0
 7509 linux-headers-6.13.6-zabbly+       	       1        1        0        0        0
 7510 linux-image-3.16.0-4-586           	       1        1        0        0        0
 7511 linux-image-4.19.0-14-686          	       1        9        0        8        0
 7512 linux-image-4.19.0-14-amd64        	       1       65        0       63        1
 7513 linux-image-5.10.0-33-686          	       1       10        0        9        0
 7514 linux-image-6.0.0-2-amd64          	       1        4        0        3        0
 7515 linux-image-6.1.0-29-arm64         	       1        1        0        0        0
 7516 linux-image-6.1.0-30-686           	       1       12        0       11        0
 7517 linux-image-6.12.12+bpo-rt-amd64   	       1        1        0        0        0
 7518 linux-image-6.13.2-x64v3-xanmod1   	       1        1        0        0        0
 7519 linux-image-6.13.6-zabbly+         	       1        1        0        0        0
 7520 linuxinfo                          	       1       17        0       16        0
 7521 linuxlogo                          	       1       24        2       21        0
 7522 lios                               	       1       11        0       10        0
 7523 lisa-trinity                       	       1       23        2       20        0
 7524 lisgd                              	       1        2        0        1        0
 7525 litecli                            	       1        3        0        2        0
 7526 lld                                	       1       32        1       30        0
 7527 lld-14                             	       1       26        1       24        0
 7528 lld-19                             	       1       14        0       13        0
 7529 lldb-19                            	       1        5        0        4        0
 7530 llm                                	       1        2        0        1        0
 7531 lltdscan                           	       1       14        1       12        0
 7532 llvm-11                            	       1      101        1       99        0
 7533 llvm-11-dev                        	       1       95        8       86        0
 7534 llvm-11-runtime                    	       1      103        1       99        2
 7535 llvm-11-tools                      	       1       98        1       95        1
 7536 llvm-15                            	       1       56        0       55        0
 7537 llvm-15-linker-tools               	       1       58        0       57        0
 7538 llvm-15-runtime                    	       1       56        0       55        0
 7539 llvm-15-tools                      	       1       54        0       53        0
 7540 llvm-18                            	       1       10        0        9        0
 7541 llvm-18-linker-tools               	       1       11        2        8        0
 7542 llvm-18-runtime                    	       1       10        0        9        0
 7543 llvm-18-tools                      	       1       10        0        9        0
 7544 llvm-19-dev                        	       1       41        7       33        0
 7545 loadlin                            	       1       13        0       12        0
 7546 locales-all                        	       1       64       20       43        0
 7547 locate                             	       1      213       44      168        0
 7548 logcheck                           	       1       64       16       47        0
 7549 logout-manager                     	       1       10        0        9        0
 7550 lollypop                           	       1        7        0        6        0
 7551 loook                              	       1       12        2        9        0
 7552 lrzip                              	       1       69        2       66        0
 7553 lscolors                           	       1        6        0        4        1
 7554 lsd                                	       1       19        0       18        0
 7555 lsdvd                              	       1       62        1       60        0
 7556 lskat                              	       1       55        0       54        0
 7557 lsp-plugins-ladspa                 	       1       26        0       24        1
 7558 lua-posix-dev                      	       1        3        0        2        0
 7559 lua-system-dev                     	       1        1        0        0        0
 7560 lua5.1                             	       1       62        1       60        0
 7561 luanti                             	       1        1        0        0        0
 7562 luanti-server                      	       1        1        0        0        0
 7563 lunzip                             	       1       12        0       11        0
 7564 lutris                             	       1       74        4       69        0
 7565 lv                                 	       1       51        1       49        0
 7566 lxcfs                              	       1       82       18       63        0
 7567 lxpanel-data                       	       1      223        6       23      193
 7568 lxsession-data                     	       1      238        7       31      199
 7569 lyx                                	       1       97        4       92        0
 7570 lzd                                	       1        8        0        7        0
 7571 lziprecover                        	       1       17        1       15        0
 7572 maildir-utils                      	       1       18        3       14        0
 7573 makebootfat                        	       1       15        0       14        0
 7574 mako-notifier                      	       1        9        0        8        0
 7575 man2html                           	       1       23        1       21        0
 7576 man2html-base                      	       1       28        1       26        0
 7577 mandoc                             	       1       12        1       10        0
 7578 marble-qt                          	       1       12        0       11        0
 7579 mariadb-backup                     	       1       15        1       13        0
 7580 mariadb-plugin-connect             	       1        4        2        1        0
 7581 mariadb-plugin-cracklib-password-check	       1        1        0        0        0
 7582 mariadb-test                       	       1       11        0       10        0
 7583 mariadb-test-data                  	       1       12        0       11        0
 7584 mate-calc-common                   	       1      511        1        0      509
 7585 mate-netbook                       	       1        8        1        6        0
 7586 mate-sntray-plugin                 	       1        6        0        5        0
 7587 mate-utils-common                  	       1      517        0        1      515
 7588 matekbd-keyboard-display           	       1        1        0        0        0
 7589 maven                              	       1       97        7       89        0
 7590 mblaze                             	       1       20        2       17        0
 7591 mboxgrep                           	       1       20        1       18        0
 7592 mbuffer                            	       1       27        0       26        0
 7593 mcomix                             	       1       40        0       39        0
 7594 mdbtools                           	       1       29        1       27        0
 7595 mdm                                	       1        7        0        6        0
 7596 mdns-scan                          	       1       34        1       32        0
 7597 mecab                              	       1        6        0        5        0
 7598 mecab-utils                        	       1       13        0       12        0
 7599 media-downloader                   	       1        4        1        2        0
 7600 mediainfo                          	       1      132        5      126        0
 7601 megapixels                         	       1        5        0        4        0
 7602 meli                               	       1        1        0        0        0
 7603 membernator                        	       1       14        1       12        0
 7604 memcached                          	       1       52       10       41        0
 7605 memstat                            	       1       30        1       28        0
 7606 memtest86+                         	       1      148        1      146        0
 7607 menulibre                          	       1       70        7       62        0
 7608 mercurial-git                      	       1        5        1        3        0
 7609 mesa-amdgpu-omx-drivers            	       1        8        0        7        0
 7610 mhddfs                             	       1        5        0        4        0
 7611 mhwaveedit                         	       1       21        0       20        0
 7612 milou                              	       1      560       13       41      505
 7613 mime-construct                     	       1       76       18       57        0
 7614 min                                	       1        5        1        3        0
 7615 minder                             	       1       13        0       12        0
 7616 minetest                           	       1       54        0       53        0
 7617 minidisc-utils                     	       1        7        0        6        0
 7618 minigalaxy                         	       1       13        0       12        0
 7619 minilzip                           	       1        6        0        5        0
 7620 minisat                            	       1       96        5       90        0
 7621 minizip                            	       1       22        1       20        0
 7622 mintmenu                           	       1        4        3        0        0
 7623 mirage                             	       1       57        1       55        0
 7624 mksh                               	       1       78        7       70        0
 7625 mmsd-tng                           	       1        4        0        3        0
 7626 modem-manager-gui                  	       1        9        0        8        0
 7627 monitoring-plugins-basic           	       1       97       29       67        0
 7628 monitoring-plugins-common          	       1       98       10       87        0
 7629 monitoring-plugins-contrib         	       1       30        5       24        0
 7630 mopidy                             	       1       12        0       11        0
 7631 morse                              	       1       16        0       15        0
 7632 most                               	       1       61        5       55        0
 7633 mousetweaks                        	       1       46        0       45        0
 7634 mozc-server                        	       1       57        4       52        0
 7635 mozc-utils-gui                     	       1       57        0       56        0
 7636 mp3cd                              	       1       10        0        9        0
 7637 mp3info                            	       1       64        0       63        0
 7638 mp3rename                          	       1       19        0       18        0
 7639 mp3splt-gtk                        	       1       13        0       12        0
 7640 mp4h                               	       1        7        0        6        0
 7641 mpack                              	       1      105        3      101        0
 7642 mpeglib-trinity                    	       1       24        0       23        0
 7643 mpg321                             	       1      106        4      101        0
 7644 mugshot                            	       1       22        1       20        0
 7645 mullvad-browser                    	       1        5        0        4        0
 7646 munin-async                        	       1       11        0       10        0
 7647 musepack-tools                     	       1       35        0       34        0
 7648 musescore                          	       1       48        0       47        0
 7649 musl                               	       1       26        1       24        0
 7650 musl-dev                           	       1       19        0       18        0
 7651 musl-tools                         	       1       16        1       14        0
 7652 mutter                             	       1       30        0       29        0
 7653 mutter-common-bin                  	       1       17        0        3       13
 7654 mx-boot-options                    	       1        2        0        1        0
 7655 mycli                              	       1       14        0       13        0
 7656 mypaint                            	       1       34        1       32        0
 7657 nasm                               	       1      169        3      165        0
 7658 nast                               	       1       21        1       19        0
 7659 natpmpc                            	       1        5        0        4        0
 7660 nautilus-data                      	       1      227        1       10      215
 7661 ncmpc                              	       1       27        0       26        0
 7662 ndiff                              	       1      292        4      287        0
 7663 neomutt                            	       1       37        7       29        0
 7664 netavark                           	       1       62        2       59        0
 7665 netcdf-bin                         	       1       12        0       11        0
 7666 netdiag                            	       1       41        2       38        0
 7667 netgen                             	       1        6        0        5        0
 7668 netsurf-gtk                        	       1       47        2       44        0
 7669 nettle-bin                         	       1        7        0        6        0
 7670 network-manager-dbgsym             	       1       21        0       20        0
 7671 network-manager-fortisslvpn        	       1       42        4       37        0
 7672 network-manager-fortisslvpn-gnome  	       1       35        1       33        0
 7673 network-manager-iodine             	       1       23        5       17        0
 7674 network-manager-iodine-gnome       	       1       22        0       19        2
 7675 network-manager-l2tp               	       1       38        8       29        0
 7676 network-manager-l2tp-gnome         	       1       31        0       26        4
 7677 network-manager-ssh                	       1       38        8       29        0
 7678 network-manager-ssh-gnome          	       1       37        0       32        4
 7679 network-manager-sstp               	       1       20        4       15        0
 7680 network-manager-sstp-gnome         	       1       20        0       18        1
 7681 network-manager-strongswan         	       1       27        5       21        0
 7682 neuron                             	       1        2        0        1        0
 7683 neverputt                          	       1       17        0       16        0
 7684 nfstrace                           	       1       14        0       13        0
 7685 nfswatch                           	       1       20        0       19        0
 7686 nginx                              	       1      138       30       72       35
 7687 ngrep                              	       1       38        1       36        0
 7688 nheko                              	       1       27        1       25        0
 7689 nicotine                           	       1       13        0       12        0
 7690 nis                                	       1       12        0        3        8
 7691 nitrokey-app                       	       1        7        0        6        0
 7692 nkf                                	       1       39        0       38        0
 7693 nmh                                	       1       17        2       14        0
 7694 nnn                                	       1       48        1       46        0
 7695 noatun-plugins-trinity             	       1       23        0       22        0
 7696 noatun-trinity                     	       1       23        2       20        0
 7697 node-ajv                           	       1      212        2      209        0
 7698 node-babel-helper-define-polyfill-provider	       1      180        1      178        0
 7699 node-cacache                       	       1      191        4      186        0
 7700 node-colors                        	       1      201        1      199        0
 7701 node-coveralls                     	       1      153        0      152        0
 7702 node-css-selector-tokenizer        	       1      162        1      160        0
 7703 node-depd                          	       1      186        1      184        0
 7704 node-diff                          	       1      179        1      177        0
 7705 node-enhanced-resolve              	       1      169        1      167        0
 7706 node-escodegen                     	       1      180        1      178        0
 7707 node-esprima                       	       1      185        1      183        0
 7708 node-istanbul                      	       1      180        1      178        0
 7709 node-js-yaml                       	       1      185        1      183        0
 7710 node-jsesc                         	       1      181        1      179        0
 7711 node-json5                         	       1      183        1      181        0
 7712 node-lcov-parse                    	       1      153        0      152        0
 7713 node-less                          	       1       18        0       17        0
 7714 node-lodash                        	       1      184        1      181        1
 7715 node-mathjax-full                  	       1        1        0        0        0
 7716 node-memfs                         	       1      170        1      168        0
 7717 node-mime                          	       1      205        1      203        0
 7718 node-nopt                          	       1      216        2      213        0
 7719 node-opener                        	       1      188        2      185        0
 7720 node-postcss                       	       1      181        1      179        0
 7721 node-readable-stream               	       1      216        4      211        0
 7722 node-regjsparser                   	       1      180        1      178        0
 7723 node-requirejs                     	       1        7        0        6        0
 7724 node-rimraf                        	       1      215        2      212        0
 7725 node-semver                        	       1      217        2      214        0
 7726 node-speech-rule-engine            	       1        1        0        0        0
 7727 node-tap-mocha-reporter            	       1      153        0      152        0
 7728 node-tap-parser                    	       1      153        0      152        0
 7729 node-tape                          	       1      180        1      178        0
 7730 node-terser                        	       1      169        1      167        0
 7731 node-typescript                    	       1        8        0        7        0
 7732 node-webassemblyjs                 	       1      170        1      168        0
 7733 node-webpack-sources               	       1      169        1      167        0
 7734 node-which                         	       1      215        2      212        0
 7735 node-ws                            	       1      156        0      155        0
 7736 nomarch                            	       1       43        3       39        0
 7737 notepadqq                          	       1       20        2       17        0
 7738 notion                             	       1        6        1        4        0
 7739 npm                                	       1      190        4      185        0
 7740 nscd                               	       1       76       19       56        0
 7741 nsight-compute-2024.3.2            	       1        3        0        2        0
 7742 nsight-systems-2024.5.1            	       1        3        0        2        0
 7743 nslcd-utils                        	       1       19        3       15        0
 7744 nss-tlsd                           	       1        3        0        2        0
 7745 nstreams                           	       1       18        1       16        0
 7746 nted                               	       1       17        1       15        0
 7747 ntpdate                            	       1      685       15      229      440
 7748 ntpstat                            	       1       81        1       79        0
 7749 numactl                            	       1       29        1       27        0
 7750 numad                              	       1        3        2        0        0
 7751 nut-cgi                            	       1       14        0       13        0
 7752 nut-server                         	       1       64       15       48        0
 7753 nvi                                	       1       52        1       50        0
 7754 nvidia-cuda-mps                    	       1       16        0       15        0
 7755 nvidia-fs-dkms                     	       1        2        0        1        0
 7756 nvidia-tesla-smi                   	       1        1        0        0        0
 7757 nyx                                	       1       34        2       31        0
 7758 obfs4proxy                         	       1       45        1       43        0
 7759 obs-build                          	       1        6        1        4        0
 7760 obs-plugins                        	       1      152        2        3      146
 7761 ocaml                              	       1       62        0       61        0
 7762 ocaml-base                         	       1       77        0       75        1
 7763 ocaml-base-nox                     	       1       31        2       19        9
 7764 ocaml-compiler-libs                	       1       64        1       60        2
 7765 ocaml-findlib                      	       1       42        0       41        0
 7766 ocaml-nox                          	       1       40        1       15       23
 7767 ocrad                              	       1       57        1       55        0
 7768 ocrmypdf                           	       1       62        8       53        0
 7769 octave-dev                         	       1       10        0        9        0
 7770 odbcinst                           	       1      264        1      262        0
 7771 oddjob                             	       1       14        1       12        0
 7772 ods2tsv                            	       1        1        0        0        0
 7773 ogdi-bin                           	       1       14        0       13        0
 7774 okteta                             	       1       71        2       68        0
 7775 okular-dev                         	       1        3        0        2        0
 7776 okular-mobile                      	       1       11        0       10        0
 7777 onedriver                          	       1        1        0        0        0
 7778 onlyoffice-desktopeditors          	       1       13        0       12        0
 7779 oorexx                             	       1        1        0        0        0
 7780 openarena                          	       1       32        0       31        0
 7781 openarena-oacmp1                   	       1       31        0       30        0
 7782 openbox-kde-session                	       1        2        0        1        0
 7783 opencc                             	       1       11        0       10        0
 7784 openclipart-libreoffice            	       1       51        1       49        0
 7785 opencubicplayer                    	       1       27        0       26        0
 7786 openjdk-11-dbg                     	       1        5        0        4        0
 7787 openjdk-11-jdk                     	       1      129        0        8      120
 7788 openjdk-11-source                  	       1        9        0        8        0
 7789 openjdk-17-dbg                     	       1        8        0        7        0
 7790 openjdk-24-dbg                     	       1        1        0        0        0
 7791 openjdk-24-jdk                     	       1        3        0        0        2
 7792 openjdk-24-source                  	       1        1        0        0        0
 7793 openjdk-7-jre-headless             	       1       16        1       14        0
 7794 openlp                             	       1        5        0        4        0
 7795 openmp-extras-runtime              	       1       14        0       13        0
 7796 openpace                           	       1       14        1       12        0
 7797 openpaperwork-core                 	       1       11        0       10        0
 7798 openpaperwork-gtk                  	       1       11        0       10        0
 7799 openrct2                           	       1        4        0        3        0
 7800 openresolv                         	       1       55        6       48        0
 7801 openshot-qt                        	       1       83        1       81        0
 7802 openssh-tests                      	       1        1        0        0        0
 7803 openvpn-auth-ldap                  	       1        4        0        3        0
 7804 openvpn-auth-radius                	       1        6        0        5        0
 7805 openvpn-dco-dkms                   	       1       10        0        9        0
 7806 opera-beta                         	       1        4        0        3        0
 7807 orage                              	       1       73        2       70        0
 7808 osc                                	       1        7        1        5        0
 7809 osdlyrics                          	       1        6        1        4        0
 7810 osm2pgrouting                      	       1        3        0        2        0
 7811 osm2pgsql                          	       1        8        0        7        0
 7812 osmid                              	       1        4        0        3        0
 7813 osspd-alsa                         	       1        3        0        2        0
 7814 p7zip-rar                          	       1      105        4       86       14
 7815 packagesearch                      	       1       11        0       10        0
 7816 packit                             	       1       18        1       16        0
 7817 pacman                             	       1       23        0       22        0
 7818 paconvert                          	       1        1        0        0        0
 7819 page-crunch                        	       1       10        1        8        0
 7820 pageedit                           	       1        7        0        6        0
 7821 pahole                             	       1       62        4       57        0
 7822 palapeli                           	       1       62        0       61        0
 7823 pamu2fcfg                          	       1        8        0        7        0
 7824 paperwork-backend                  	       1       13        0       12        0
 7825 paperwork-gtk                      	       1       13        0       12        0
 7826 paprefs                            	       1       55        1       53        0
 7827 parley                             	       1       50        1       48        0
 7828 partclone                          	       1       59        0       58        0
 7829 partimage                          	       1       45        0       44        0
 7830 passwdqc                           	       1        6        0        5        0
 7831 pastebinit                         	       1       90        3       86        0
 7832 pax                                	       1       74        1       72        0
 7833 pcapfix                            	       1       19        1       17        0
 7834 pcaputils                          	       1       23        1       21        0
 7835 pcmciautils                        	       1       55        1       53        0
 7836 pcsc-tools                         	       1       51        0       50        0
 7837 pcsxr                              	       1       15        0       14        0
 7838 pdfcrack                           	       1       49        2       46        0
 7839 pdfposter                          	       1       29        0       28        0
 7840 pdfsam                             	       1       61        2       58        0
 7841 pdlzip                             	       1       10        1        8        0
 7842 pecomato                           	       1       16        1       14        0
 7843 perl-modules                       	       1       60        1       58        0
 7844 perltidy                           	       1       42        0       41        0
 7845 pev                                	       1       17        1       14        1
 7846 pgadmin4-desktop                   	       1       12        0       11        0
 7847 pgcli                              	       1        4        0        3        0
 7848 pgdbf                              	       1        8        0        7        0
 7849 php-composer-class-map-generator   	       1       38        3       34        0
 7850 php-composer-pcre                  	       1       38        3       34        0
 7851 php-composer-semver                	       1       48        3       44        0
 7852 php-composer-spdx-licenses         	       1       48        3       44        0
 7853 php-composer-xdebug-handler        	       1       46        3       42        0
 7854 php-date                           	       1        7        2        4        0
 7855 php-db                             	       1       14        1       12        0
 7856 php-doctrine-event-manager         	       1        3        0        2        0
 7857 php-doctrine-lexer                 	       1        6        0        5        0
 7858 php-doctrine-persistence           	       1        3        0        2        0
 7859 php-email-validator                	       1        6        0        5        0
 7860 php-fig-http-message-util          	       1       35        3       31        0
 7861 php-image-text                     	       1        2        0        1        0
 7862 php-jshrink                        	       1        1        0        0        0
 7863 php-json-schema                    	       1       46        3       42        0
 7864 php-mail                           	       1       13        1       11        0
 7865 php-mail-mime                      	       1       30        3       26        0
 7866 php-masterminds-html5              	       1       13        1       11        0
 7867 php-mdb2                           	       1        8        2        5        0
 7868 php-memcache                       	       1       24        2       21        0
 7869 php-monolog                        	       1        4        0        3        0
 7870 php-net-ldap2                      	       1        4        0        3        0
 7871 php-net-ldap3                      	       1        4        0        3        0
 7872 php-net-nntp                       	       1        1        0        0        0
 7873 php-net-sieve                      	       1       19        2       16        0
 7874 php-net-smtp                       	       1       31        3       27        0
 7875 php-net-url                        	       1        7        1        5        0
 7876 php-nikic-fast-route               	       1       36        3       32        0
 7877 php-phpmyadmin-motranslator        	       1       53        5       47        0
 7878 php-phpmyadmin-shapefile           	       1       51        5       45        0
 7879 php-phpmyadmin-sql-parser          	       1       50        5       44        0
 7880 php-psr-clock                      	       1        1        0        0        0
 7881 php-psr-container                  	       1       92        7       84        0
 7882 php-psr-event-dispatcher           	       1        5        0        4        0
 7883 php-psr-http-factory               	       1       40        3       36        0
 7884 php-psr-link                       	       1        2        0        1        0
 7885 php-psr-log                        	       1       98        7       90        0
 7886 php-seld-signal-handler            	       1       38        3       34        0
 7887 php-sql-formatter                  	       1        1        0        0        0
 7888 php-symfony-console                	       1       49        3       45        0
 7889 php-symfony-css-selector           	       1        3        0        2        0
 7890 php-symfony-finder                 	       1       51        3       47        0
 7891 php-symfony-mime                   	       1        4        0        3        0
 7892 php-symfony-polyfill-php80         	       1       41        3       37        0
 7893 php-symfony-polyfill-php84         	       1        1        0        0        0
 7894 php-symfony-process                	       1       50        3       46        0
 7895 php-symfony-string                 	       1       40        3       36        0
 7896 php-tcpdf                          	       1       62        4       57        0
 7897 php-tijsverkoyen-css-to-inline-styles	       1        2        0        1        0
 7898 php-twig-cache-extra               	       1        1        0        0        0
 7899 php-twig-cssinliner-extra          	       1        1        0        0        0
 7900 php-twig-html-extra                	       1        1        0        0        0
 7901 php-twig-i18n-extension            	       1       50        5       44        0
 7902 php-xml-svg                        	       1        3        0        2        0
 7903 php-zend-code                      	       1        3        0        2        0
 7904 php8.2-bcmath                      	       1       50       10       39        0
 7905 php8.2-bz2                         	       1       63       18       44        0
 7906 php8.2-common                      	       1      316       72      243        0
 7907 php8.2-curl                        	       1      147       39      107        0
 7908 php8.2-dev                         	       1       24        0       23        0
 7909 php8.2-gd                          	       1      149       37      111        0
 7910 php8.2-ldap                        	       1       47       13       33        0
 7911 php8.2-mbstring                    	       1      177       41      135        0
 7912 php8.2-mcrypt                      	       1       15        7        7        0
 7913 php8.2-mysql                       	       1      157       38      118        0
 7914 php8.2-opcache                     	       1      315       72      242        0
 7915 php8.2-readline                    	       1      315       72      242        0
 7916 php8.2-soap                        	       1       43       12       30        0
 7917 php8.2-xml                         	       1      198       46      151        0
 7918 php8.2-zip                         	       1      118       32       85        0
 7919 php8.4-cgi                         	       1        2        0        1        0
 7920 phpmyadmin                         	       1       64        6       57        0
 7921 picard                             	       1       46        1       44        0
 7922 picmi                              	       1       55        0       54        0
 7923 picocom                            	       1       47        2       44        0
 7924 pinentry-qt                        	       1      180        2      177        0
 7925 pipewire-audio-client-libraries    	       1       17        0        2       14
 7926 pipsi                              	       1        3        0        2        0
 7927 pixiewps                           	       1       37        1       35        0
 7928 planets                            	       1        9        1        7        0
 7929 plasma-dataengines-addons          	       1      500        3       15      481
 7930 plasma-desktoptheme                	       1       44        8       15       20
 7931 plasma-discover-backend-flatpak    	       1       50        5       24       20
 7932 plasma-discover-backend-fwupd      	       1      422        3       10      408
 7933 plasma-sdk                         	       1        7        0        6        0
 7934 plasma-wallpapers-addons           	       1      494        3       12      478
 7935 plasma-workspace-dev               	       1        9        0        8        0
 7936 playerctl                          	       1       20        3       16        0
 7937 playit                             	       1        2        0        1        0
 7938 plplot-tcl-bin                     	       1        4        0        3        0
 7939 plplot-tcl-dev                     	       1        2        0        1        0
 7940 plptools                           	       1        3        0        2        0
 7941 pmount                             	       1      119        3      115        0
 7942 pnc                                	       1        2        0        1        0
 7943 pngcheck                           	       1       32        1       30        0
 7944 pnmixer                            	       1       26        3       22        0
 7945 polkit-agent-tde                   	       1       23        3       19        0
 7946 pong2                              	       1        7        0        6        0
 7947 poster                             	       1       52        0       51        0
 7948 postfix-cdb                        	       1        6        0        5        0
 7949 postfix-pcre                       	       1       29        4       24        0
 7950 postfix-sqlite                     	       1      134        4      129        0
 7951 postgresql-11                      	       1       60       14       45        0
 7952 postgresql-13-snakeoil             	       1        4        1        2        0
 7953 postgresql-17                      	       1       17        7        9        0
 7954 postgresql-17-postgis-3            	       1        2        0        1        0
 7955 postgresql-client-11               	       1       75        6       68        0
 7956 postgresql-pltcl-17                	       1        1        0        0        0
 7957 powerline                          	       1       17        1       15        0
 7958 powerline-go                       	       1        1        0        0        0
 7959 powershell                         	       1       10        1        8        0
 7960 powershell-preview                 	       1        1        0        0        0
 7961 ppp-dev                            	       1        7        0        6        0
 7962 pptp-linux                         	       1       62        4       57        0
 7963 pragha                             	       1        9        0        8        0
 7964 procinfo                           	       1       44        3       40        0
 7965 proftpd-mod-clamav                 	       1        1        0        0        0
 7966 progress                           	       1       35        1       33        0
 7967 prometheus-node-exporter-collectors	       1        4        0        3        0
 7968 protobuf-compiler                  	       1       68        0       67        0
 7969 proton-vpn-gtk-app                 	       1       13        1       11        0
 7970 protonmail-bridge                  	       1        6        0        5        0
 7971 pspp                               	       1       10        0        9        0
 7972 psrip                              	       1       17        1       15        0
 7973 pst-utils                          	       1       19        1       17        0
 7974 pulseaudio-module-x11              	       1       15        1       13        0
 7975 pulseeffects                       	       1       34        2       31        0
 7976 puma                               	       1        8        0        7        0
 7977 putty                              	       1       60        0       59        0
 7978 pycodestyle                        	       1       51        0       50        0
 7979 pyhoca-cli                         	       1       17        5       11        0
 7980 pypy3-dev                          	       1        3        0        2        0
 7981 pypy3-tk                           	       1        4        0        3        0
 7982 pyqt6-dev                          	       1        2        0        1        0
 7983 python-apt                         	       1      176        6      169        0
 7984 python-cairo                       	       1      225       13      211        0
 7985 python-cddb                        	       1       16        1       14        0
 7986 python-chardet                     	       1      276        3      272        0
 7987 python-cups                        	       1       20        1       18        0
 7988 python-cupshelpers                 	       1       13        1       11        0
 7989 python-dbus-dev                    	       1       67        2       64        0
 7990 python-debian                      	       1      128        3      124        0
 7991 python-defusedxml                  	       1       72        2       69        0
 7992 python-docutils                    	       1       77        3       73        0
 7993 python-feedparser                  	       1       59        1       56        1
 7994 python-gi-cairo                    	       1      107        1      105        0
 7995 python-libxml2                     	       1       89        1       87        0
 7996 python-mate-menu                   	       1       69        0       68        0
 7997 python-musicbrainz2                	       1       10        1        8        0
 7998 python-mutagen                     	       1       48        1       46        0
 7999 python-numpy                       	       1      224        2      221        0
 8000 python-odf-tools                   	       1      107        1      105        0
 8001 python-pil                         	       1      163        4      158        0
 8002 python-pycurl                      	       1      107        5      101        0
 8003 python-pygments                    	       1      106        3      102        0
 8004 python-pyinotify                   	       1       78        4       73        0
 8005 python-pyopencl-doc                	       1        7        0        5        1
 8006 python-pyparsing-doc               	       1        7        0        4        2
 8007 python-reportbug                   	       1      111        3      105        2
 8008 python-roman                       	       1       81        3       77        0
 8009 python-samba                       	       1       95        4       90        0
 8010 python-six                         	       1      371        5      363        2
 8011 python-smbc                        	       1       15        1       13        0
 8012 python-soappy                      	       1       68        2       65        0
 8013 python-support                     	       1      125       11      113        0
 8014 python-urwid                       	       1       25        4       20        0
 8015 python-utidylib                    	       1       56        1       54        0
 8016 python-wicd                        	       1      139        3      135        0
 8017 python-wstools                     	       1       70        2       67        0
 8018 python3-access2base                	       1        5        0        4        0
 8019 python3-acme                       	       1      183        7      175        0
 8020 python3-aiodns                     	       1       45        0       44        0
 8021 python3-aiorpcx                    	       1       17        0       16        0
 8022 python3-aiostream                  	       1       13        0       12        0
 8023 python3-alabaster                  	       1      155        1      153        0
 8024 python3-alembic                    	       1       15        0       14        0
 8025 python3-apparmor                   	       1       93        0       92        0
 8026 python3-astropy                    	       1       34        0       33        0
 8027 python3-astropy-iers-data          	       1        6        0        5        0
 8028 python3-async-generator            	       1       59        2       56        0
 8029 python3-asyncssh                   	       1        1        0        0        0
 8030 python3-augeas                     	       1       88       22       65        0
 8031 python3-av                         	       1        6        0        5        0
 8032 python3-beaker                     	       1        7        0        6        0
 8033 python3-bibtexparser               	       1        6        0        5        0
 8034 python3-binaryornot                	       1       26        0       25        0
 8035 python3-bitarray                   	       1        9        1        7        0
 8036 python3-bitmath                    	       1        1        0        0        0
 8037 python3-bitstring                  	       1       19        0       18        0
 8038 python3-bleach                     	       1       92        1       90        0
 8039 python3-blosc                      	       1       20        0       19        0
 8040 python3-bottle                     	       1       48        0       47        0
 8041 python3-bottleneck                 	       1       89        2       86        0
 8042 python3-breezy                     	       1       48        2       45        0
 8043 python3-brotlicffi                 	       1       27        4       22        0
 8044 python3-btrfs                      	       1       24        0       23        0
 8045 python3-bytecode                   	       1       66        0       65        0
 8046 python3-canmatrix                  	       1        3        0        2        0
 8047 python3-capstone                   	       1        7        0        6        0
 8048 python3-cbor                       	       1       23        0       22        0
 8049 python3-certbot                    	       1      183       14      168        0
 8050 python3-cftime                     	       1       11        0       10        0
 8051 python3-cheroot                    	       1       20        1       18        0
 8052 python3-cherrypy3                  	       1       14        0       13        0
 8053 python3-click-log                  	       1       26        0       25        0
 8054 python3-cmd2                       	       1        6        0        5        0
 8055 python3-coloredlogs                	       1       69       11       57        0
 8056 python3-colorlog                   	       1       27        0       26        0
 8057 python3-colour                     	       1       11        0       10        0
 8058 python3-compyle                    	       1        2        0        1        0
 8059 python3-configargparse             	       1      190        5      184        0
 8060 python3-cookiecutter               	       1       25        0       24        0
 8061 python3-cpuinfo                    	       1       73        1       71        0
 8062 python3-cracklib                   	       1        4        0        3        0
 8063 python3-crc32c                     	       1        1        0        0        0
 8064 python3-croniter                   	       1       10        0        9        0
 8065 python3-cryptography-vectors       	       1        9        0        8        0
 8066 python3-cssselect2                 	       1       46        0       45        0
 8067 python3-cwcwidth                   	       1       14        0       13        0
 8068 python3-cyarray                    	       1        2        0        1        0
 8069 python3-cysignals-bare             	       1        2        0        1        0
 8070 python3-dacite                     	       1        9        0        8        0
 8071 python3-dask                       	       1       20        0       19        0
 8072 python3-dbus-fast                  	       1        7        0        6        0
 8073 python3-deap                       	       1        2        0        1        0
 8074 python3-debtcollector              	       1       12        0       11        0
 8075 python3-debugpy                    	       1       69        1       67        0
 8076 python3-distlib                    	       1      147        1      145        0
 8077 python3-django                     	       1       18        1       16        0
 8078 python3-docstring-to-markdown      	       1       35        0       34        0
 8079 python3-dropbox                    	       1       17        0       16        0
 8080 python3-dulwich                    	       1       83        3       79        0
 8081 python3-entrypoints                	       1      117        5      111        0
 8082 python3-erfa                       	       1       35        0       34        0
 8083 python3-etcd                       	       1        5        0        4        0
 8084 python3-exceptiongroup             	       1       93        3       89        0
 8085 python3-fastbencode                	       1       41        0       40        0
 8086 python3-fastimport                 	       1       78        1       76        0
 8087 python3-fastjsonschema             	       1       97        1       95        0
 8088 python3-fitz                       	       1       18        0       17        0
 8089 python3-fixtures                   	       1       14        0       13        0
 8090 python3-flask-cors                 	       1        5        0        4        0
 8091 python3-fontforge                  	       1       16        0       15        0
 8092 python3-fritzconnection            	       1        1        0        0        0
 8093 python3-frozendict                 	       1       16        0       15        0
 8094 python3-fsspec                     	       1       19        0       18        0
 8095 python3-gdbm-dbg                   	       1        3        0        2        0
 8096 python3-genshi                     	       1       13        0       12        0
 8097 python3-git-delete-merged-branches 	       1        3        0        2        0
 8098 python3-github                     	       1       44        0       43        0
 8099 python3-gmpy2                      	       1       18        1       16        0
 8100 python3-gnucash                    	       1       81        1       79        0
 8101 python3-googleapi                  	       1       14        0       13        0
 8102 python3-gssapi                     	       1      144        4      139        0
 8103 python3-guiqwt                     	       1        3        0        2        0
 8104 python3-h5py                       	       1       19        0        2       16
 8105 python3-h5py-serial                	       1       20        0       19        0
 8106 python3-hid                        	       1       10        0        9        0
 8107 python3-hiredis                    	       1       31        0       30        0
 8108 python3-humanfriendly              	       1       76        1       74        0
 8109 python3-humanize                   	       1       31        1       29        0
 8110 python3-icalendar                  	       1       32        1       30        0
 8111 python3-imagesize                  	       1      156        1      154        0
 8112 python3-inflection                 	       1       23        0       22        0
 8113 python3-internetarchive            	       1        2        0        1        0
 8114 python3-intervaltree               	       1       30        0       29        0
 8115 python3-ipaclient                  	       1       17        0       16        0
 8116 python3-ipalib                     	       1       17        0       16        0
 8117 python3-ipykernel                  	       1       81        1       79        0
 8118 python3-ipython-genutils           	       1      118        5      112        0
 8119 python3-ipywidgets                 	       1       54        1       52        0
 8120 python3-jaraco.collections         	       1       13        0       12        0
 8121 python3-jinja2-time                	       1       22        0       21        0
 8122 python3-josepy                     	       1      183        5      177        0
 8123 python3-json5                      	       1        9        1        7        0
 8124 python3-jupyter-console            	       1       26        1       24        0
 8125 python3-jupyter-core               	       1      123        1      121        0
 8126 python3-jupyterlab-pygments        	       1       74        1       72        0
 8127 python3-kerberos                   	       1      139        4      134        0
 8128 python3-launchpadlib               	       1       54        1       52        0
 8129 python3-ldap                       	       1       29        2       26        0
 8130 python3-legacycrypt                	       1        1        0        0        0
 8131 python3-libapparmor                	       1       94        1       92        0
 8132 python3-libcamera                  	       1        1        0        0        0
 8133 python3-libdiscid                  	       1       60        1       58        0
 8134 python3-libipa-hbac                	       1       17        0       16        0
 8135 python3-libsmbios                  	       1       17        0       16        0
 8136 python3-libvoikko                  	       1       13        0       12        0
 8137 python3-linux-procfs               	       1       10        0        9        0
 8138 python3-lxml-html-clean            	       1       28        1       26        0
 8139 python3-ly                         	       1       15        0       14        0
 8140 python3-mariadb-connector          	       1        1        0        0        0
 8141 python3-mock                       	       1       93        2       90        0
 8142 python3-mpd                        	       1       18        0       17        0
 8143 python3-multibootusb               	       1        8        1        6        0
 8144 python3-multivolumefile            	       1       27        1       25        0
 8145 python3-mupdf                      	       1        2        0        1        0
 8146 python3-mysqldb                    	       1       67        2       64        0
 8147 python3-natsort                    	       1       89        2       86        0
 8148 python3-nbclient                   	       1       73        1       71        0
 8149 python3-nbformat                   	       1      117        1      115        0
 8150 python3-nest-asyncio               	       1       73        1       71        0
 8151 python3-netcdf4                    	       1       10        0        9        0
 8152 python3-networkx                   	       1       92        0       91        0
 8153 python3-notebook                   	       1       56        1       54        0
 8154 python3-notify2                    	       1      191       12      178        0
 8155 python3-notmuch2                   	       1        8        0        7        0
 8156 python3-ntlm-auth                  	       1       63        0       62        0
 8157 python3-numcodecs                  	       1        7        0        6        0
 8158 python3-numexpr                    	       1       95        2       92        0
 8159 python3-numpydoc                   	       1       33        1       31        0
 8160 python3-openai                     	       1        2        0        1        0
 8161 python3-opencamlib                 	       1       12        0       11        0
 8162 python3-opencv                     	       1       58        0       57        0
 8163 python3-openshot                   	       1       84        1       82        0
 8164 python3-openstep-plist             	       1        2        0        1        0
 8165 python3-orjson                     	       1       15        3       11        0
 8166 python3-oscrypto                   	       1       17        0       16        0
 8167 python3-oslo.utils                 	       1       12        0       11        0
 8168 python3-osmapi                     	       1        2        0        1        0
 8169 python3-outcome                    	       1       71        2       68        0
 8170 python3-pandas                     	       1      103        1      101        0
 8171 python3-pandas-lib                 	       1      103        1      101        0
 8172 python3-pandocfilters              	       1       91        4       86        0
 8173 python3-parsedatetime              	       1      201        4      196        0
 8174 python3-passlib                    	       1       76        0       75        0
 8175 python3-paste                      	       1       15        0       14        0
 8176 python3-pastedeploy                	       1       13        0       12        0
 8177 python3-pathspec                   	       1       78        1       76        0
 8178 python3-pathtools                  	       1        3        0        2        0
 8179 python3-patiencediff               	       1       48        1       46        0
 8180 python3-pcre2                      	       1        3        0        2        0
 8181 python3-pefile                     	       1       35        2       32        0
 8182 python3-pep8                       	       1       53        0       52        0
 8183 python3-pkginfo                    	       1       23        0       22        0
 8184 python3-portend                    	       1       14        0       13        0
 8185 python3-powerline                  	       1       16        0       15        0
 8186 python3-ppl                        	       1        9        0        8        0
 8187 python3-prometheus-client          	       1       67        1       65        0
 8188 python3-proto-plus                 	       1        1        0        0        0
 8189 python3-proton-core                	       1       14        1       12        0
 8190 python3-proton-keyring-linux       	       1       14        1       12        0
 8191 python3-proton-vpn-api-core        	       1       13        1       11        0
 8192 python3-proton-vpn-local-agent     	       1       12        1       10        0
 8193 python3-proton-vpn-network-manager 	       1       13        1       11        0
 8194 python3-psycopg                    	       1        5        0        4        0
 8195 python3-pychromecast               	       1       17        0       16        0
 8196 python3-pyclamd                    	       1        6        1        4        0
 8197 python3-pyclipper                  	       1       10        0        9        0
 8198 python3-pycountry                  	       1       73        3       69        0
 8199 python3-pydantic-core              	       1       10        2        7        0
 8200 python3-pydata-sphinx-theme        	       1        6        0        5        0
 8201 python3-pydevd                     	       1       65        0       64        0
 8202 python3-pydocstyle                 	       1       31        0       30        0
 8203 python3-pydot                      	       1       95        0       94        0
 8204 python3-pygit2                     	       1       19        0       18        0
 8205 python3-pygraphviz                 	       1       90        0       89        0
 8206 python3-pykka                      	       1       13        1       11        0
 8207 python3-pylint-venv                	       1       23        0       22        0
 8208 python3-pyls-spyder                	       1       25        0       24        0
 8209 python3-pylsp                      	       1       35        0       34        0
 8210 python3-pylsp-black                	       1       24        0       23        0
 8211 python3-pylsp-jsonrpc              	       1       35        0       34        0
 8212 python3-pymediainfo                	       1       18        0       17        0
 8213 python3-pymssql                    	       1        6        0        5        0
 8214 python3-pymupdf                    	       1        2        0        1        0
 8215 python3-pymysql                    	       1       40        0       39        0
 8216 python3-pyocr                      	       1       16        0       15        0
 8217 python3-pyopencl                   	       1        6        0        5        0
 8218 python3-pyosmium                   	       1        6        0        5        0
 8219 python3-pyperclip                  	       1       57        0       56        0
 8220 python3-pypillowfight              	       1       13        0       12        0
 8221 python3-pyqt6.qsci                 	       1        9        0        8        0
 8222 python3-pyqt6.qtnfc                	       1        2        0        1        0
 8223 python3-pyscard                    	       1       38        0       37        0
 8224 python3-pyside2.qtnetwork          	       1       94        2       91        0
 8225 python3-pyside2.qtopengl           	       1      115        0      114        0
 8226 python3-pyside2.qtprintsupport     	       1       81        2       78        0
 8227 python3-pyside2.qtsvg              	       1      115        0      114        0
 8228 python3-pyside2.qtuitools          	       1      116        0      115        0
 8229 python3-pyside2.qtwebchannel       	       1       81        2       78        0
 8230 python3-pyside2.qtwebengine        	       1       77        0       76        0
 8231 python3-pyside2.qtwebenginecore    	       1       81        2       78        0
 8232 python3-pyside2.qtwebenginewidgets 	       1       81        2       78        0
 8233 python3-pyside2.qtxml              	       1      116        0      115        0
 8234 python3-pyside6.qtbluetooth        	       1        1        0        0        0
 8235 python3-pyside6.qtgui              	       1        3        1        1        0
 8236 python3-pyside6.qthelp             	       1        2        1        0        0
 8237 python3-pyside6.qtprintsupport     	       1        2        1        0        0
 8238 python3-pyside6.qtwidgets          	       1        3        1        1        0
 8239 python3-pyspnego                   	       1        1        0        0        0
 8240 python3-pysword                    	       1        5        0        4        0
 8241 python3-pytest-doctestplus         	       1       37        0       36        0
 8242 python3-pywayland                  	       1        1        0        0        0
 8243 python3-qpageview                  	       1       12        0       11        0
 8244 python3-qrtools                    	       1       41        3       37        0
 8245 python3-qstylizer                  	       1       23        0       22        0
 8246 python3-qt-material                	       1        1        0        0        0
 8247 python3-random2                    	       1       20        0       19        0
 8248 python3-recoll                     	       1       31        1       29        0
 8249 python3-redis                      	       1       64        1       62        0
 8250 python3-repoze.lru                 	       1      223       41      181        0
 8251 python3-requests-kerberos          	       1       63        0       62        0
 8252 python3-responses                  	       1        5        0        4        0
 8253 python3-rfc3339                    	       1      183        4      178        0
 8254 python3-rpy2                       	       1       15        0       14        0
 8255 python3-s3transfer                 	       1       22        0       21        0
 8256 python3-scriptforge                	       1        3        0        2        0
 8257 python3-selenium                   	       1       36        3       32        0
 8258 python3-selinux                    	       1       73        5       67        0
 8259 python3-semver                     	       1       12        0       11        0
 8260 python3-setuptools-scm             	       1       62        1       60        0
 8261 python3-shapely                    	       1       44        1       42        0
 8262 python3-sip-dev                    	       1       13        0       12        0
 8263 python3-sklearn                    	       1       31        0       30        0
 8264 python3-slimmer                    	       1        4        0        3        0
 8265 python3-smartypants                	       1       15        1       13        0
 8266 python3-snowballstemmer            	       1      153        1      151        0
 8267 python3-socks                      	       1      204        6      197        0
 8268 python3-spyder                     	       1       25        0       24        0
 8269 python3-spyder-kernels             	       1       25        0       24        0
 8270 python3-sqlglot                    	       1       11        0       10        0
 8271 python3-sqlite-fts4                	       1        9        0        8        0
 8272 python3-sss                        	       1       27        0       26        0
 8273 python3-stem                       	       1       49        5       43        0
 8274 python3-stemmer                    	       1       11        0       10        0
 8275 python3-stone                      	       1       17        0       16        0
 8276 python3-streamlink                 	       1       23        0       22        0
 8277 python3-tables                     	       1       93        1       91        0
 8278 python3-tables-lib                 	       1       93        1       91        0
 8279 python3-tabulate                   	       1       50        2       47        0
 8280 python3-tagpy                      	       1       13        0       12        0
 8281 python3-tblib                      	       1       16        0       15        0
 8282 python3-tempita                    	       1       17        0       16        0
 8283 python3-tempora                    	       1       14        0       13        0
 8284 python3-terminaltables3            	       1        1        0        0        0
 8285 python3-terminaltexteffects        	       1        1        0        0        0
 8286 python3-tesserocr                  	       1        5        0        4        0
 8287 python3-testtools                  	       1       15        0       14        0
 8288 python3-textdistance               	       1       25        0       24        0
 8289 python3-threadpoolctl              	       1       32        0       31        0
 8290 python3-three-merge                	       1       25        0       24        0
 8291 python3-tk-dbg                     	       1        7        0        6        0
 8292 python3-translate                  	       1       46        0       45        0
 8293 python3-transliterate              	       1        1        0        0        0
 8294 python3-trio                       	       1       71        2       68        0
 8295 python3-trove-classifiers          	       1       22        0       21        0
 8296 python3-twisted-bin                	       1       39        0       38        0
 8297 python3-typogrify                  	       1        5        0        4        0
 8298 python3-urwidtrees                 	       1        9        0        8        0
 8299 python3-usb                        	       1       65        1       63        0
 8300 python3-vlc                        	       1        8        0        7        0
 8301 python3-vobject                    	       1       86        0       85        0
 8302 python3-volatile                   	       1        1        0        0        0
 8303 python3-waitress                   	       1       14        0       13        0
 8304 python3-wand                       	       1        4        0        3        0
 8305 python3-whoosh                     	       1       19        0       18        0
 8306 python3-winrm                      	       1       63        0       62        0
 8307 python3-wsproto                    	       1       65        2       62        0
 8308 python3-wurlitzer                  	       1       25        0       24        0
 8309 python3-x2go                       	       1       19        0       18        0
 8310 python3-xattr                      	       1       19        0       18        0
 8311 python3-xcffib                     	       1       50        0       49        0
 8312 python3-yubico                     	       1       24        0       23        0
 8313 python3-zarr                       	       1        7        0        6        0
 8314 python3-zfpy                       	       1        5        0        4        0
 8315 python3-zope.event                 	       1      110       28       81        0
 8316 python3-zstandard                  	       1       15        2       12        0
 8317 python3.12-gdbm                    	       1        7        0        6        0
 8318 python3.13-gdbm                    	       1        8        0        7        0
 8319 python3.4                          	       1       34        1       32        0
 8320 python3.4-minimal                  	       1       34        1       32        0
 8321 python3.9-venv                     	       1       46        0       45        0
 8322 pythonpy                           	       1        1        0        0        0
 8323 pyzo                               	       1        4        1        2        0
 8324 qalculate-gtk                      	       1       65        2       62        0
 8325 qapt-batch                         	       1        9        0        8        0
 8326 qca-qt6-utils                      	       1        1        0        0        0
 8327 qcoro-qt6-dev                      	       1        1        0        0        0
 8328 qdbm-util                          	       1        3        0        2        0
 8329 qdirstat                           	       1       37        0       36        0
 8330 qemu-user-static                   	       1       86        5       80        0
 8331 qhull-bin                          	       1       63        1       61        0
 8332 qml-module-org-kde-activities      	       1      532        2        9      520
 8333 qml-module-org-kde-ksysguard       	       1      511        6       26      478
 8334 qml6-module-org-kde-coreaddons     	       1       44        8       14       21
 8335 qml6-module-org-kde-desktop        	       1       43        8       14       20
 8336 qml6-module-org-kde-draganddrop    	       1       25        8       14        2
 8337 qml6-module-org-kde-kcmutils       	       1       61        8       14       38
 8338 qml6-module-org-kde-kdeconnect     	       1       39        8       11       19
 8339 qml6-module-org-kde-kirigami       	       1       72        8       15       48
 8340 qml6-module-org-kde-kirigamiaddons-components	       1       43        3       10       29
 8341 qml6-module-org-kde-kitemmodels    	       1       42        8       14       19
 8342 qml6-module-org-kde-kquickcontrolsaddons	       1       56        8       14       33
 8343 qml6-module-org-kde-ksvg           	       1       44        8       15       20
 8344 qml6-module-org-kde-kwindowsystem  	       1       26        8       14        3
 8345 qml6-module-org-kde-networkmanager 	       1       23        7       12        3
 8346 qml6-module-org-kde-notifications  	       1       26        7       14        4
 8347 qml6-module-org-kde-pipewire       	       1       25        8       14        2
 8348 qml6-module-org-kde-plasma-plasma5support	       1       25        8       15        1
 8349 qml6-module-org-kde-quickcharts    	       1       26        8       14        3
 8350 qml6-module-org-kde-sonnet         	       1       43        8       14       20
 8351 qml6-module-qt-labs-platform       	       1       81        8       14       58
 8352 qml6-module-qt-labs-qmlmodels      	       1       68        8       13       46
 8353 qml6-module-qt5compat-graphicaleffects	       1       64        8       16       39
 8354 qml6-module-qtcore                 	       1       59        8       12       38
 8355 qml6-module-qtqml-workerscript     	       1      140        7       17      115
 8356 qml6-module-qtquick-controls       	       1      146        8       17      120
 8357 qml6-module-qtquick-dialogs        	       1       87        8       14       64
 8358 qml6-module-qtquick-effects        	       1       31        8       14        8
 8359 qml6-module-qtquick-layouts        	       1      146        8       17      120
 8360 qml6-module-qtquick-templates      	       1      114        8       17       88
 8361 qml6-module-qtquick-window         	       1      105        8       17       79
 8362 qmlscene-qt6                       	       1        2        0        1        0
 8363 qt5-style-plugin-plastique         	       1      311        2        4      304
 8364 qt6-3d-dev                         	       1        1        0        0        0
 8365 qt6-base-private-dev               	       1       16        0       15        0
 8366 qt6-connectivity-dev               	       1        1        0        0        0
 8367 qt6-datavis3d-dev                  	       1        1        0        0        0
 8368 qt6-datavisualization-dev          	       1        1        0        0        0
 8369 qt6-declarative-private-dev        	       1        1        0        0        0
 8370 qt6-graphs-dev                     	       1        1        0        0        0
 8371 qt6-grpc-dev                       	       1        1        0        0        0
 8372 qt6-grpc-tools                     	       1        1        0        0        0
 8373 qt6-languageserver-dev             	       1        1        0        0        0
 8374 qt6-languageserver-private-dev     	       1        1        0        0        0
 8375 qt6-location-dev                   	       1        1        0        0        0
 8376 qt6-multimedia-dev                 	       1       13        0       12        0
 8377 qt6-pdf-dev                        	       1        8        0        7        0
 8378 qt6-positioning-dev                	       1        8        0        7        0
 8379 qt6-positioning-private-dev        	       1        1        0        0        0
 8380 qt6-quick3d-dev                    	       1        1        0        0        0
 8381 qt6-quick3d-dev-tools              	       1        1        0        0        0
 8382 qt6-quick3d-private-dev            	       1        1        0        0        0
 8383 qt6-quick3dphysics-dev             	       1        1        0        0        0
 8384 qt6-quick3dphysics-dev-tools       	       1        1        0        0        0
 8385 qt6-remoteobjects-dev              	       1        1        0        0        0
 8386 qt6-scxml-dev                      	       1        1        0        0        0
 8387 qt6-speech-dev                     	       1        2        0        1        0
 8388 qt6-svg-private-dev                	       1        1        0        0        0
 8389 qt6-tools-private-dev              	       1        1        0        0        0
 8390 qt6-virtualkeyboard-dev            	       1        1        0        0        0
 8391 qt6-wayland                        	       1      286        2       13      270
 8392 qt6-wayland-dev                    	       1        7        0        6        0
 8393 qt6-wayland-dev-tools              	       1        9        0        8        0
 8394 qt6-wayland-private-dev            	       1        1        0        0        0
 8395 qt6-webengine-dev                  	       1        8        0        7        0
 8396 qt6-webengine-dev-tools            	       1        8        0        7        0
 8397 qt6-webengine-private-dev          	       1        1        0        0        0
 8398 qt6-websockets-private-dev         	       1        1        0        0        0
 8399 qt6-webview-dev                    	       1        1        0        0        0
 8400 qt6ct                              	       1       30        2       27        0
 8401 qtbase5-private-dev                	       1       42        1       40        0
 8402 qtdeclarative5-dev                 	       1      127        1      125        0
 8403 qtdeclarative5-dev-tools           	       1      132        0      129        2
 8404 qtkeychain-qt5-dev                 	       1       20        0       19        0
 8405 qtmultimedia5-dev                  	       1       52        1       50        0
 8406 qtqr                               	       1       44        1       42        0
 8407 qtxdg-tools                        	       1        7        1        5        0
 8408 quanta-data-trinity                	       1       23        0       22        0
 8409 quanta-trinity                     	       1       23        0       22        0
 8410 quassel-client                     	       1        8        1        6        0
 8411 quickemu                           	       1        5        0        4        0
 8412 quota                              	       1       57        7       49        0
 8413 qwinff                             	       1       15        0       14        0
 8414 r-cran-acepack                     	       1       37        1       35        0
 8415 r-cran-argparse                    	       1        2        0        1        0
 8416 r-cran-argparser                   	       1        1        0        0        0
 8417 r-cran-bit64                       	       1       39        1       37        0
 8418 r-cran-bslib                       	       1       37        1       35        0
 8419 r-cran-cli                         	       1       44        2       41        0
 8420 r-cran-clock                       	       1       35        1       33        0
 8421 r-cran-cpp11                       	       1       38        1       36        0
 8422 r-cran-curl                        	       1       39        2       36        0
 8423 r-cran-data.table                  	       1       40        2       37        0
 8424 r-cran-fastcluster                 	       1        2        0        1        0
 8425 r-cran-geosphere                   	       1       34        1       32        0
 8426 r-cran-jsonlite                    	       1       42        2       39        0
 8427 r-cran-littler                     	       1       45        1       43        0
 8428 r-cran-lme4                        	       1       36        1       34        0
 8429 r-cran-openssl                     	       1       42        2       39        0
 8430 r-cran-pander                      	       1        8        0        7        0
 8431 r-cran-pdftools                    	       1        8        0        7        0
 8432 r-cran-processx                    	       1       38        1       36        0
 8433 r-cran-ps                          	       1       38        1       36        0
 8434 r-cran-purrr                       	       1       40        2       37        0
 8435 r-cran-r.utils                     	       1       36        1       34        0
 8436 r-cran-r6                          	       1       46        3       42        0
 8437 r-cran-rbibutils                   	       1       35        1       33        0
 8438 r-cran-rdpack                      	       1       35        1       33        0
 8439 r-cran-readxl                      	       1       35        2       32        0
 8440 r-cran-reformulas                  	       1        1        0        0        0
 8441 r-cran-sp                          	       1       40        1       38        0
 8442 r-cran-stars                       	       1       33        1       31        0
 8443 r-cran-tinytex                     	       1       41        1       39        0
 8444 r-cran-waldo                       	       1       37        1       35        0
 8445 r-cran-xfun                        	       1       42        1       40        0
 8446 r-cran-zoo                         	       1       37        2       34        0
 8447 racc                               	       1       83        2       80        0
 8448 radare2                            	       1       10        0        9        0
 8449 radeontop                          	       1       84        1       82        0
 8450 rakarrack                          	       1       11        0       10        0
 8451 ranger                             	       1       71        3       67        0
 8452 raptor2-utils                      	       1       14        0       13        0
 8453 rarcrack                           	       1       40        1       38        0
 8454 rarian-compat                      	       1       96        1       94        0
 8455 rbenv                              	       1        9        1        7        0
 8456 rclone-browser                     	       1       20        0       19        0
 8457 rcs                                	       1      128        9      118        0
 8458 rdate                              	       1       53        5       47        0
 8459 rdtool                             	       1        4        0        3        0
 8460 read-edid                          	       1      148        3      144        0
 8461 readpe                             	       1        2        0        1        0
 8462 reaver                             	       1       39        1       37        0
 8463 rebar3                             	       1        2        0        1        0
 8464 recollcmd                          	       1       38        3       34        0
 8465 recollgui                          	       1       37        1       35        0
 8466 redis-server                       	       1       86       11       74        0
 8467 redis-tools                        	       1       87       28       58        0
 8468 ree                                	       1       32        1       30        0
 8469 refracta2usb                       	       1        4        0        3        0
 8470 registry-tools                     	       1        6        0        5        0
 8471 reiser4progs                       	       1       35        0       34        0
 8472 repo                               	       1       17        2       14        0
 8473 resolvconf                         	       1      206       29      176        0
 8474 restic                             	       1       24        0       23        0
 8475 retext                             	       1       22        0       21        0
 8476 rev-plugins                        	       1       20        0       19        0
 8477 rhino                              	       1       48        1       46        0
 8478 rhythmbox-dev                      	       1        1        0        0        0
 8479 rhythmbox-plugin-alternative-toolbar	       1        9        0        8        0
 8480 rkhunter                           	       1      165       12      152        0
 8481 rocm-core                          	       1       16        0       15        0
 8482 rocm-opencl                        	       1       15        1       13        0
 8483 rocminfo                           	       1       19        1       17        0
 8484 rocprofiler-register               	       1       10        1        8        0
 8485 rocs                               	       1       43        0       42        0
 8486 rpi-imager                         	       1       50        0       49        0
 8487 rr                                 	       1        6        0        5        0
 8488 rsgain                             	       1        2        0        1        0
 8489 rsh-server                         	       1       11        1        9        0
 8490 rss-glx                            	       1       22        0       21        0
 8491 rstudio                            	       1       29        0       28        0
 8492 rtl-433                            	       1       17        1       15        0
 8493 rtw89-dkms                         	       1        2        0        1        0
 8494 ruby-actioncable                   	       1       11        0       10        0
 8495 ruby-actionmailbox                 	       1       10        0        9        0
 8496 ruby-actionmailer                  	       1       14        0       13        0
 8497 ruby-actionpack                    	       1       14        0       13        0
 8498 ruby-actionpack-xml-parser         	       1        6        0        5        0
 8499 ruby-actiontext                    	       1       10        0        9        0
 8500 ruby-actionview                    	       1       14        0       13        0
 8501 ruby-activejob                     	       1       14        0       13        0
 8502 ruby-activemodel                   	       1       17        0       16        0
 8503 ruby-activerecord                  	       1       16        0       15        0
 8504 ruby-activestorage                 	       1       11        0       10        0
 8505 ruby-acts-as-taggable-on           	       1        1        0        0        0
 8506 ruby-afm                           	       1        6        0        5        0
 8507 ruby-ahoy-email                    	       1        1        0        0        0
 8508 ruby-all-dev                       	       1       12        0       11        0
 8509 ruby-ascii85                       	       1        6        0        5        0
 8510 ruby-asciidoctor                   	       1       63        1       61        0
 8511 ruby-asciidoctor-pdf               	       1        2        0        1        0
 8512 ruby-aubio                         	       1        4        0        3        0
 8513 ruby-bootsnap                      	       1        7        0        6        0
 8514 ruby-builder                       	       1       42        1       40        0
 8515 ruby-bundler                       	       1       47        3       43        0
 8516 ruby-byebug                        	       1       11        0       10        0
 8517 ruby-cairo                         	       1       35        0       34        0
 8518 ruby-cairo-gobject                 	       1       35        0       34        0
 8519 ruby-childprocess                  	       1       35        1       33        0
 8520 ruby-css-parser                    	       1        8        0        7        0
 8521 ruby-curses                        	       1       10        1        8        0
 8522 ruby-debian                        	       1       91        7       83        0
 8523 ruby-diffy                         	       1       22        1       20        0
 8524 ruby-erubi                         	       1       55        1       53        0
 8525 ruby-eventmachine                  	       1       20        4       15        0
 8526 ruby-excon                         	       1       30        1       28        0
 8527 ruby-faraday                       	       1       33        1       31        0
 8528 ruby-fog-core                      	       1       26        1       24        0
 8529 ruby-fog-libvirt                   	       1       26        1       24        0
 8530 ruby-formatador                    	       1       26        1       24        0
 8531 ruby-gio2                          	       1       37        1       35        0
 8532 ruby-glib2                         	       1       38        1       36        0
 8533 ruby-globalid                      	       1       14        0       13        0
 8534 ruby-gobject-introspection         	       1       37        1       35        0
 8535 ruby-google-protobuf               	       1       24        1       22        0
 8536 ruby-googleapis-common-protos-types	       1       24        1       22        0
 8537 ruby-googleauth                    	       1       24        1       22        0
 8538 ruby-gtk3                          	       1       25        0       24        0
 8539 ruby-hamster                       	       1        3        0        2        0
 8540 ruby-hashery                       	       1        5        0        4        0
 8541 ruby-hivex                         	       1        1        0        0        0
 8542 ruby-http-parser.rb                	       1       11        1        9        0
 8543 ruby-ipaddress                     	       1       17        1       15        0
 8544 ruby-jwt                           	       1       25        1       23        0
 8545 ruby-kramdown                      	       1       22        1       20        0
 8546 ruby-libvirt                       	       1       26        1       24        0
 8547 ruby-listen                        	       1       58        1       56        0
 8548 ruby-little-plugger                	       1       24        1       22        0
 8549 ruby-logging                       	       1       24        1       22        0
 8550 ruby-method-source                 	       1       15        1       13        0
 8551 ruby-mime-types                    	       1       66        1       64        0
 8552 ruby-mime-types-data               	       1       62        1       60        0
 8553 ruby-mini-portile2                 	       1       79        1       77        0
 8554 ruby-mongo                         	       1        2        0        1        0
 8555 ruby-multipart-post                	       1       31        1       29        0
 8556 ruby-ncurses                       	       1        6        0        5        0
 8557 ruby-net-scp                       	       1       30        1       28        0
 8558 ruby-net-sftp                      	       1       30        1       28        0
 8559 ruby-net-ssh                       	       1       32        1       30        0
 8560 ruby-os                            	       1       24        1       22        0
 8561 ruby-pango                         	       1       35        0       34        0
 8562 ruby-pdf-core                      	       1        6        0        5        0
 8563 ruby-pdf-reader                    	       1        5        0        4        0
 8564 ruby-pkg-config                    	       1      117        3      113        0
 8565 ruby-posix-spawn                   	       1        6        0        5        0
 8566 ruby-prawn                         	       1        4        0        3        0
 8567 ruby-prawn-icon                    	       1        2        0        1        0
 8568 ruby-prawn-svg                     	       1        2        0        1        0
 8569 ruby-prawn-table                   	       1        3        0        2        0
 8570 ruby-prawn-templates               	       1        2        0        1        0
 8571 ruby-rack                          	       1       39        2       36        0
 8572 ruby-rack-livereload               	       1        1        0        0        0
 8573 ruby-rack-session                  	       1        1        0        0        0
 8574 ruby-rails-html-sanitizer          	       1       14        0       13        0
 8575 ruby-railties                      	       1       14        0       13        0
 8576 ruby-rb-inotify                    	       1       58        1       56        0
 8577 ruby-rc4                           	       1        5        0        4        0
 8578 ruby-rchardet                      	       1       17        1       15        0
 8579 ruby-rd                            	       1        5        0        4        0
 8580 ruby-rmagick                       	       1       25        0       24        0
 8581 ruby-rouge                         	       1       22        1       20        0
 8582 ruby-rubame                        	       1        4        0        3        0
 8583 ruby-signet                        	       1       24        1       22        0
 8584 ruby-sys-proctable                 	       1       12        0       11        0
 8585 ruby-thor                          	       1       57        2       54        0
 8586 ruby-ttfunk                        	       1        7        0        6        0
 8587 ruby-tzinfo                        	       1       27        1       25        0
 8588 ruby-unicode                       	       1       71        4       66        0
 8589 ruby-useragent                     	       1        1        0        0        0
 8590 ruby-vagrant-cloud                 	       1       29        1       27        0
 8591 ruby-wavefile                      	       1        4        0        3        0
 8592 ruby-websocket                     	       1       10        0        9        0
 8593 ruby-websocket-driver              	       1       11        0       10        0
 8594 ruby-zeitwerk                      	       1       19        0       18        0
 8595 ruby-zip                           	       1       61        1       59        0
 8596 ruby2.7-dev                        	       1       14        0       13        0
 8597 ruby2.7-doc                        	       1       12        0       11        0
 8598 ruby3.1-dev                        	       1       74        2       71        0
 8599 ruby3.3-dev                        	       1        3        0        2        0
 8600 rust                               	       1        1        0        0        0
 8601 rust-analyzer                      	       1        2        0        1        0
 8602 rust-clippy                        	       1       14        0       13        0
 8603 rust-gdb                           	       1       29        0       28        0
 8604 rust-llvm                          	       1       12        0       11        0
 8605 rust-src                           	       1        8        0        7        0
 8606 rustfmt                            	       1       18        0       17        0
 8607 rwho                               	       1       13        0       12        0
 8608 rzip                               	       1       42        1       40        0
 8609 s-tui                              	       1       29        0       28        0
 8610 s3fs                               	       1        3        0        2        0
 8611 samba-ad-dc                        	       1       18        2       11        4
 8612 samba-testsuite                    	       1        7        0        6        0
 8613 sambamba                           	       1        1        0        0        0
 8614 sane                               	       1      145        4      140        0
 8615 sbcl-source                        	       1       11        0       10        0
 8616 sbuild                             	       1       25        2       22        0
 8617 sc3-plugins-server                 	       1        5        0        4        0
 8618 scanmem                            	       1       17        0       16        0
 8619 scantv                             	       1       27        1       25        0
 8620 scilab-include                     	       1       17        0       16        0
 8621 scilab-minimal-bin                 	       1       17        0       16        0
 8622 sciteproj                          	       1       10        0        9        0
 8623 scratch                            	       1       22        0       21        0
 8624 screenie                           	       1       21        0       20        0
 8625 scrypt                             	       1        3        0        2        0
 8626 seamonkey-mozilla-build            	       1       10        1        8        0
 8627 secure-delete                      	       1       40        1       38        0
 8628 seergdb                            	       1        2        0        1        0
 8629 selinux-utils                      	       1      106        1      104        0
 8630 sen                                	       1        4        0        3        0
 8631 serdi                              	       1        5        0        4        0
 8632 service-wrapper                    	       1        8        1        6        0
 8633 setserial                          	       1       66        2       63        0
 8634 sgmlspl                            	       1       84        0       83        0
 8635 shfmt                              	       1        8        0        7        0
 8636 shiboken2                          	       1      121        0      120        0
 8637 shim-helpers-arm64-signed          	       1        3        0        2        0
 8638 shntool                            	       1       48        0       47        0
 8639 shorewall                          	       1       20        3       16        0
 8640 shorewall-core                     	       1       20        3       13        3
 8641 shorewall-init                     	       1        5        0        4        0
 8642 shorewall-lite                     	       1        1        0        0        0
 8643 shorewall6                         	       1        6        1        4        0
 8644 shorewall6-lite                    	       1        1        0        0        0
 8645 shotcut                            	       1       59        2       56        0
 8646 showfoto                           	       1        8        0        7        0
 8647 shtool                             	       1       58        1       56        0
 8648 shutter                            	       1       16        0       15        0
 8649 sidplay-base                       	       1       10        0        9        0
 8650 sidplayfp                          	       1       16        0       15        0
 8651 signal-cli-jre                     	       1        1        0        0        0
 8652 signon-ui-qt                       	       1        2        0        1        0
 8653 signon-ui-x11                      	       1       26        0       25        0
 8654 signond                            	       1       29        0       28        0
 8655 silicon-sword                      	       1        2        0        1        0
 8656 silverjuke                         	       1       10        0        9        0
 8657 simplyhtml                         	       1       33        0       32        0
 8658 singular-ui                        	       1       15        1       13        0
 8659 singular-ui-emacs                  	       1        2        1        0        0
 8660 sip-dev                            	       1       15        0       14        0
 8661 sipcrack                           	       1       17        1       15        0
 8662 sipgrep                            	       1       16        1       14        0
 8663 sipvicious                         	       1       16        1       14        0
 8664 skanpage                           	       1       11        0       10        0
 8665 slack-desktop                      	       1       45        4       40        0
 8666 slime                              	       1       24        1       22        0
 8667 slimjet                            	       1        7        1        4        1
 8668 sloccount                          	       1       25        0       24        0
 8669 smart-notifier                     	       1       58        5       52        0
 8670 smb-nat                            	       1        9        1        7        0
 8671 smb4k                              	       1       26        1       24        0
 8672 smbios-utils                       	       1       17        0       16        0
 8673 smbldap-tools                      	       1       10        1        8        0
 8674 smp-utils                          	       1        4        0        3        0
 8675 snap                               	       1       29        4       24        0
 8676 snapper                            	       1       18        2       15        0
 8677 snd-common                         	       1       12        0        9        2
 8678 sndio-tools                        	       1       22        0       21        0
 8679 sngrep                             	       1       21        1       19        0
 8680 sniffnet                           	       1        2        0        1        0
 8681 solfege                            	       1       19        1       17        0
 8682 sonata                             	       1       14        0       13        0
 8683 songrec                            	       1        2        0        1        0
 8684 sonic-pi                           	       1        3        0        2        0
 8685 sonic-pi-server                    	       1        3        0        2        0
 8686 sordi                              	       1        8        0        7        0
 8687 soundkonverter                     	       1       28        0       27        0
 8688 source-extractor                   	       1       26        0       25        0
 8689 sparse                             	       1        5        0        4        0
 8690 spawn-fcgi                         	       1       80        3       76        0
 8691 spectre-meltdown-checker           	       1       33        1       31        0
 8692 speedtest                          	       1        8        1        6        0
 8693 speex                              	       1       62        0       61        0
 8694 spyder                             	       1       23        1       21        0
 8695 sqlcipher                          	       1        7        0        6        0
 8696 sqlite-utils                       	       1        9        0        8        0
 8697 sqlitebrowser                      	       1      128        3      124        0
 8698 sqopv                              	       1        1        0        0        0
 8699 squashfs-tools-ng                  	       1       27        3       23        0
 8700 squeak-vm                          	       1       23        0       22        0
 8701 ssh-audit                          	       1       29        2       26        0
 8702 sshuttle                           	       1       16        2       13        0
 8703 sssd-ad-common                     	       1       27        1       25        0
 8704 sssd-common                        	       1       27        1       25        0
 8705 sssd-ipa                           	       1       27        1       14       11
 8706 step                               	       1       44        0       43        0
 8707 stepic                             	       1       15        1       13        0
 8708 storm-lang                         	       1        1        0        0        0
 8709 storm-lang-crypto                  	       1        1        0        0        0
 8710 storm-lang-sql                     	       1        1        0        0        0
 8711 storm-lang-ui                      	       1        1        0        0        0
 8712 stow                               	       1       29        0       28        0
 8713 streamlink                         	       1       23        0       22        0
 8714 stress-ng                          	       1       40        1       38        0
 8715 stressant                          	       1        6        0        5        0
 8716 stretchplayer                      	       1        7        0        6        0
 8717 strip-nondeterminism               	       1        2        0        1        0
 8718 strongswan-charon                  	       1       71       10       60        0
 8719 strongswan-libcharon               	       1       73       10       62        0
 8720 strongswan-starter                 	       1       71       11       59        0
 8721 stterm                             	       1       72        4       67        0
 8722 supercollider-server               	       1        7        0        6        0
 8723 superkaramba-trinity               	       1       23        0       22        0
 8724 supertuxkart                       	       1       67        0       66        0
 8725 supertuxkart-data                  	       1       68        0       55       12
 8726 supervisor                         	       1       56        8       47        0
 8727 svgtune                            	       1        5        0        4        0
 8728 swaks                              	       1       49        4       44        0
 8729 swami                              	       1        7        0        6        0
 8730 sway-notification-center           	       1       12        3        8        0
 8731 sweep                              	       1        9        0        8        0
 8732 swig                               	       1       92        1       90        0
 8733 swig4.0                            	       1       78        1       76        0
 8734 sxmo-utils                         	       1        2        0        1        0
 8735 syncthing-relaysrv                 	       1        5        0        4        0
 8736 syslinux-utils                     	       1       52        0       51        0
 8737 systemctl                          	       1       45        3       41        0
 8738 systemctl-service-shim             	       1       27        3       23        0
 8739 systemd-boot-efi                   	       1        1        0        0        0
 8740 systemd-repart                     	       1        1        0        0        0
 8741 systemd-ukify                      	       1        2        0        1        0
 8742 sysvinit                           	       1     3121        1       53     3066
 8743 tailscale                          	       1       12        3        8        0
 8744 tap                                	       1        2        0        1        0
 8745 tap-plugins                        	       1       80        5       74        0
 8746 tasksh                             	       1        4        1        2        0
 8747 tcl-itcl4-dev                      	       1        1        0        0        0
 8748 tcl-memchan-dev                    	       1        1        0        0        0
 8749 tcl-thread                         	       1        4        0        3        0
 8750 tcllib                             	       1      112        1      110        0
 8751 tclx8.4                            	       1        9        0        8        0
 8752 tclx8.4-dev                        	       1        1        0        0        0
 8753 tcpflow                            	       1       24        1       22        0
 8754 tcplay                             	       1       12        2        9        0
 8755 tcpreplay                          	       1       19        1       17        0
 8756 tcptrace                           	       1       33        1       31        0
 8757 tcptraceroute                      	       1       46        2       43        0
 8758 tcpxtract                          	       1       21        1       19        0
 8759 tdeaddons-tdefile-plugins-trinity  	       1       24        1       22        0
 8760 tdeadmin-tdefile-plugins-trinity   	       1       25        0       24        0
 8761 tdeartwork-style-trinity           	       1       25        0       24        0
 8762 tdebase-tdeio-plugins-trinity      	       1       35        4       30        0
 8763 tdebase-tdeio-smb-trinity          	       1       31        2       28        0
 8764 tdebase-trinity-bin                	       1       36        4       31        0
 8765 tdednssd-trinity                   	       1       24        3       20        0
 8766 tdefilereplace-trinity             	       1       24        0       23        0
 8767 tdegraphics-tdefile-plugins-trinity	       1       28        4       23        0
 8768 tdeiconedit-trinity                	       1       26        1       24        0
 8769 tdelibs-data-trinity               	       1       40        4       35        0
 8770 tdelibs14-trinity                  	       1       39        5       33        0
 8771 tdelirc-trinity                    	       1       22        0       21        0
 8772 tdemid-trinity                     	       1       22        0       21        0
 8773 tdemultimedia-tdefile-plugins-trinity	       1       25        0       24        0
 8774 tdemultimedia-tdeio-plugins-trinity	       1       32        1       30        0
 8775 tdenetwork-filesharing-trinity     	       1       24        0       23        0
 8776 tdenetwork-tdefile-plugins-trinity 	       1       25        0       24        0
 8777 tdepasswd-trinity                  	       1       34        1       32        0
 8778 tdepim-tdefile-plugins-trinity     	       1       25        0       24        0
 8779 tdepim-tdeio-plugins-trinity       	       1       28        1       26        0
 8780 tdepim-tderesources-trinity        	       1       29        1       27        0
 8781 tdepim-wizards-trinity             	       1       23        0       22        0
 8782 tdepowersave-trinity               	       1       26        3       22        0
 8783 tdeprint-trinity                   	       1       33        1       31        0
 8784 tdescreensaver-trinity             	       1       26        0       25        0
 8785 tdescreensaver-xsavers-trinity     	       1       26        0       25        0
 8786 tdessh-trinity                     	       1       23        0       22        0
 8787 tdewalletmanager-trinity           	       1       29        1       27        0
 8788 tdm-trinity                        	       1       32        3       28        0
 8789 tdom-dev                           	       1        1        0        0        0
 8790 tecla                              	       1       19        0       18        0
 8791 temurin-21-jre                     	       1        3        0        2        0
 8792 tennix                             	       1        1        0        0        0
 8793 terminology                        	       1       17        0       16        0
 8794 terser                             	       1      169        1      167        0
 8795 tesseract-ocr                      	       1      251        2      248        0
 8796 texmaker                           	       1       41        0       40        0
 8797 texworks-scripting-lua             	       1        4        0        3        0
 8798 texworks-scripting-python          	       1        4        0        3        0
 8799 tftp-hpa                           	       1       55        1       53        0
 8800 thonny                             	       1       28        2       25        0
 8801 threema                            	       1        4        0        3        0
 8802 thunderbird-l10n-en-ca             	       1        4        0        3        0
 8803 thunderbird-l10n-es-ar             	       1        5        1        3        0
 8804 thunderbird-l10n-fr                	       1       34        6       27        0
 8805 thunderbird-l10n-it                	       1       26        3       22        0
 8806 thunderbird-l10n-nl                	       1        8        0        7        0
 8807 thunderbird-l10n-ru                	       1       11        1        9        0
 8808 thunderbolt-tools                  	       1        6        0        5        0
 8809 tidy                               	       1      110        1      108        0
 8810 tiger                              	       1       24        7       16        0
 8811 tigervnc-common                    	       1      135        4      130        0
 8812 tigervnc-standalone-server         	       1      105        8       96        0
 8813 tightvncpasswd                     	       1      140        4      135        0
 8814 tightvncserver                     	       1      126        5      120        0
 8815 tilda                              	       1       22        4       17        0
 8816 tilde                              	       1        4        0        3        0
 8817 tint                               	       1       23        0       22        0
 8818 tinysparql                         	       1        1        0        0        0
 8819 tipp10                             	       1       22        1       20        0
 8820 tk-itk4-dev                        	       1        1        0        0        0
 8821 tk8.6-dev                          	       1       96        2       93        0
 8822 tkblt-dev                          	       1        6        0        5        0
 8823 tkcon                              	       1       21        0       20        0
 8824 tkinfo                             	       1       17        0       16        0
 8825 tklib                              	       1       33        0       32        0
 8826 tldr-hs                            	       1        5        0        4        0
 8827 tlp                                	       1       93       15       77        0
 8828 tmispell-voikko                    	       1       10        0        9        0
 8829 tofrodos                           	       1       77        2       74        0
 8830 tomb                               	       1       16        1       14        0
 8831 tomcat9                            	       1       16        3       12        0
 8832 tomcat9-common                     	       1       17        4       12        0
 8833 tor                                	       1      250       51      198        0
 8834 torbrowser-launcher                	       1      108       11       96        0
 8835 torsocks                           	       1      263       25      237        0
 8836 tp-smapi-dkms                      	       1       45        2       42        0
 8837 tpm-tools                          	       1        9        0        8        0
 8838 tpm-tools-pkcs11                   	       1        2        0        1        0
 8839 translate-toolkit                  	       1       46        1       44        0
 8840 translatelocally                   	       1        2        0        1        0
 8841 traverso                           	       1        2        0        1        0
 8842 treeline                           	       1        8        2        5        0
 8843 trivy                              	       1        3        0        2        0
 8844 tty-record                         	       1        2        0        1        0
 8845 tty-share                          	       1        1        0        0        0
 8846 tua                                	       1        1        0        0        0
 8847 tudu                               	       1        3        0        2        0
 8848 tuned                              	       1       10        0        9        0
 8849 tutka                              	       1        7        0        6        0
 8850 tuxguitar-fluidsynth               	       1        6        0        5        0
 8851 tuxguitar-synth-lv2                	       1        4        0        3        0
 8852 tuxmath                            	       1       31        0       30        0
 8853 tuxpaint-config                    	       1       41        0       40        0
 8854 tuxpaint-dev                       	       1        2        0        1        0
 8855 twin-trinity                       	       1       34        3       30        0
 8856 twm                                	       1       44        1       42        0
 8857 typora                             	       1        6        0        5        0
 8858 u-boot-qemu                        	       1        9        1        7        0
 8859 ubertooth                          	       1        5        0        4        0
 8860 ublock-origin-combined             	       1       10        0        9        0
 8861 udev                               	       1     1979        3       56     1919
 8862 udevil                             	       1       35        1       33        0
 8863 udfclient                          	       1       16        0       15        0
 8864 udftools                           	       1       62        2       59        0
 8865 udiskie                            	       1       31        4       26        0
 8866 ueberzug                           	       1       25        3       21        0
 8867 ufoai                              	       1       18        0       17        0
 8868 uget                               	       1       32        0       31        0
 8869 ugrep                              	       1       23        1       21        0
 8870 uil                                	       1       33        0       32        0
 8871 uim                                	       1       36        3       32        0
 8872 uim-data                           	       1       37        1       19       16
 8873 uim-fep                            	       1       34        0       33        0
 8874 uim-gtk2.0                         	       1       33        0       32        0
 8875 uim-gtk3                           	       1       33        2       30        0
 8876 uim-mozc                           	       1       29        1       17       10
 8877 uim-plugins                        	       1       36        2       18       15
 8878 uim-qt5                            	       1       34        0       33        0
 8879 uim-qt5-immodule                   	       1       34        1        7       25
 8880 uim-xim                            	       1       36        3       32        0
 8881 umbrello                           	       1       49        1       47        0
 8882 umbrello-data                      	       1       40        0       39        0
 8883 uml-utilities                      	       1       59       13       45        0
 8884 unadf                              	       1       18        0       17        0
 8885 unhide                             	       1      155        9      145        0
 8886 unhide.rb                          	       1      138        2      135        0
 8887 unicode                            	       1       23        0       22        0
 8888 unison-2.52                        	       1       68        2       65        0
 8889 unison-2.53                        	       1        8        1        6        0
 8890 units                              	       1       74        3       70        0
 8891 unityhub                           	       1        6        0        5        0
 8892 unixodbc-dev                       	       1      104        1      102        0
 8893 unp                                	       1       49        2       46        0
 8894 unpaper                            	       1      139        1      137        0
 8895 urlview                            	       1       41        0       40        0
 8896 usbredirect                        	       1       18        1       16        0
 8897 user-mode-linux                    	       1        8        0        7        0
 8898 user-session-migration             	       1       10        0        9        0
 8899 utalk                              	       1        5        0        4        0
 8900 uthash-dev                         	       1       35        0       34        0
 8901 uucp                               	       1       12        3        8        0
 8902 uvcdynctrl                         	       1       76        3       72        0
 8903 v4l-conf                           	       1       43        1       41        0
 8904 v4l-utils                          	       1      111        6      104        0
 8905 v4l2loopback-dkms                  	       1       60        3       56        0
 8906 v4l2loopback-utils                 	       1       38        1       36        0
 8907 vagrant                            	       1       37        1       35        0
 8908 vagrant-libvirt                    	       1       26        1       24        0
 8909 vagrant-sshfs                      	       1        6        0        5        0
 8910 valgrind                           	       1      205        5      199        0
 8911 vamp-plugin-sdk                    	       1       80        2       77        0
 8912 vapoursynth                        	       1       34        2       31        0
 8913 vbindiff                           	       1       19        0       18        0
 8914 vde-switch                         	       1       64        2       61        0
 8915 vde-wirefilter                     	       1       64        1       62        0
 8916 vde2                               	       1       75        2       72        0
 8917 vde2-cryptcab                      	       1       13        0       12        0
 8918 vdeplug                            	       1       64        1       62        0
 8919 vdpauinfo                          	       1       92        1       90        0
 8920 veracrypt                          	       1       24        2       21        0
 8921 vim-addon-manager                  	       1      134        1      132        0
 8922 vim-scripts                        	       1      102        1      100        0
 8923 virt-what                          	       1       48        2       45        0
 8924 virtiofsd                          	       1        4        0        3        0
 8925 virtualbox-7.1                     	       1       42       10       31        0
 8926 visualvm                           	       1       16        0       15        0
 8927 vitables                           	       1        5        0        4        0
 8928 vkroots-headers                    	       1        1        0        0        0
 8929 vlan                               	       1      115        3      111        0
 8930 vlc                                	       1     1190        1       50     1138
 8931 vlc-data                           	       1     1650        1       15     1633
 8932 vlc-nox                            	       1       18        1       11        5
 8933 vlc-plugin-notify                  	       1     1103        1       13     1088
 8934 vlc-plugin-samba                   	       1     1060        1       11     1047
 8935 voikko-fi                          	       1       23        0       22        0
 8936 volumeicon-alsa                    	       1       86        6       79        0
 8937 vorbisgain                         	       1       84        1       82        0
 8938 vpx-tools                          	       1       11        0       10        0
 8939 vtun                               	       1       27        2       24        0
 8940 vvmd                               	       1        2        0        1        0
 8941 vvmplayer                          	       1        2        1        0        0
 8942 wabt                               	       1       26        3       22        0
 8943 wamerican-huge                     	       1       23        1       21        0
 8944 wamerican-insane                   	       1       26        1       24        0
 8945 wamerican-large                    	       1       21        1       19        0
 8946 wamerican-small                    	       1       21        1       19        0
 8947 warmux                             	       1       24        0       23        0
 8948 warzone2100                        	       1       17        0       16        0
 8949 wasi-libc                          	       1       13        0       12        0
 8950 watchdog                           	       1       16        6        9        0
 8951 waterfox                           	       1        8        1        6        0
 8952 waydroid                           	       1        7        1        5        0
 8953 wayfire                            	       1        8        0        7        0
 8954 wayout                             	       1        2        0        1        0
 8955 waypipe                            	       1        8        0        7        0
 8956 wbritish-huge                      	       1       26        2       23        0
 8957 wbritish-insane                    	       1       31        2       28        0
 8958 wbritish-large                     	       1       20        2       17        0
 8959 wbritish-small                     	       1       20        2       17        0
 8960 wbulgarian                         	       1       21        1       19        0
 8961 wcanadian                          	       1       17        1       15        0
 8962 wcanadian-huge                     	       1       17        1       15        0
 8963 wcanadian-insane                   	       1       18        1       16        0
 8964 wcanadian-large                    	       1       17        1       15        0
 8965 wcanadian-small                    	       1       17        1       15        0
 8966 wcatalan                           	       1       22        1       20        0
 8967 webkit2gtk-driver                  	       1        3        0        2        0
 8968 webmin                             	       1       65        9       55        0
 8969 webpack                            	       1      169        1      167        0
 8970 weplab                             	       1       18        1       16        0
 8971 wesnoth-1.16-core                  	       1       28        0       27        0
 8972 wfaroese                           	       1       14        1       12        0
 8973 wgaelic                            	       1       14        1       12        0
 8974 wgerman-medical                    	       1       27        1       25        0
 8975 wget2                              	       1       19        1       17        0
 8976 whatsapp-for-linux                 	       1        2        0        1        0
 8977 whatweb                            	       1       16        1       14        0
 8978 whitakers-words                    	       1        2        0        1        0
 8979 wicd-curses                        	       1       13        2       10        0
 8980 wicd-daemon                        	       1      134       16      117        0
 8981 wifi-qr                            	       1        3        0        2        0
 8982 winbind                            	       1      160       38      121        0
 8983 wine-devel-dbg                     	       1        2        0        1        0
 8984 wine-devel-dev                     	       1        1        0        0        0
 8985 wings3d                            	       1       16        0       15        0
 8986 winpr-utils                        	       1       12        0       11        0
 8987 winpr3-utils                       	       1        3        0        2        0
 8988 wireshark                          	       1      301        1       23      276
 8989 wirish                             	       1       17        1       15        0
 8990 wmaker                             	       1       68        8       59        0
 8991 wmaker-utils                       	       1       26        0       25        0
 8992 wmanx                              	       1       14        1       12        0
 8993 wmctrl                             	       1      160        6      153        0
 8994 wmmoonclock                        	       1       20        0       19        0
 8995 wmnd                               	       1        8        1        6        0
 8996 wmnet                              	       1       14        0       13        0
 8997 wob                                	       1        4        0        3        0
 8998 wordgrinder-ncurses                	       1       17        1       15        0
 8999 wp2latex                           	       1        7        0        6        0
 9000 wpagui                             	       1       51        1       49        0
 9001 wportuguese                        	       1       19        1       17        0
 9002 wswedish                           	       1       31        1       29        0
 9003 wswiss                             	       1       23        1       21        0
 9004 wtype                              	       1        6        0        5        0
 9005 wukrainian                         	       1       21        2       18        0
 9006 wvkbd                              	       1        3        0        2        0
 9007 wx-common                          	       1      154        3      150        0
 9008 wxedid                             	       1        4        0        3        0
 9009 wzip                               	       1       16        1       14        0
 9010 x265                               	       1       40        0       39        0
 9011 x2goclient                         	       1       74        5       68        0
 9012 x2goserver                         	       1       64       11       52        0
 9013 x2goserver-desktopsharing          	       1        5        0        4        0
 9014 x2x                                	       1       19        0       18        0
 9015 x86dis                             	       1        3        0        2        0
 9016 xattr                              	       1       15        0       14        0
 9017 xautomation                        	       1       19        0       18        0
 9018 xawtv                              	       1       25        1       23        0
 9019 xball                              	       1       12        0       11        0
 9020 xc3sprog                           	       1        2        0        1        0
 9021 xcfa                               	       1       14        0       13        0
 9022 xdgmenumaker                       	       1       10        0        9        0
 9023 xdo                                	       1       29        0       28        0
 9024 xfce4-clipman-plugin               	       1     1660        7       26     1626
 9025 xfce4-cpufreq-plugin               	       1     1661        6       36     1618
 9026 xfce4-cpugraph-plugin              	       1     1667       16      100     1550
 9027 xfce4-docklike-plugin              	       1        1        0        0        0
 9028 xfce4-mixer                        	       1       11        1        9        0
 9029 xfce4-verve-plugin                 	       1     1656        2       34     1619
 9030 xfce4-volumed                      	       1       17        1       15        0
 9031 xfce4-xkb-plugin                   	       1     1663       17      120     1525
 9032 xfe                                	       1       73        1       71        0
 9033 xfig                               	       1       79        0       78        0
 9034 xfsdump                            	       1       53        2       50        0
 9035 xindy                              	       1       19        0       18        0
 9036 xine-ui                            	       1       57        0       56        0
 9037 xinetd                             	       1      111       26       84        0
 9038 xinput-calibrator                  	       1       15        0       14        0
 9039 xjadeo                             	       1       67        3       63        0
 9040 xkeycaps                           	       1       30        0       29        0
 9041 xl2tpd                             	       1       60       10       49        0
 9042 xlunzip                            	       1        5        0        4        0
 9043 xmacro                             	       1        7        0        6        0
 9044 xmahjongg                          	       1       32        0       31        0
 9045 xmonad                             	       1       23        1       21        0
 9046 xonsh                              	       1        4        0        3        0
 9047 xorriso-tcltk                      	       1        9        0        8        0
 9048 xournalpp                          	       1       40        1       38        0
 9049 xpaint                             	       1       55        1       53        0
 9050 xpdf                               	       1      236       16      219        0
 9051 xpenguins                          	       1       11        0       10        0
 9052 xplot-xplot.org                    	       1       31        1       29        0
 9053 xscreensaver-screensaver-webcollage	       1       39        0       38        0
 9054 xsensors                           	       1       64        1       62        0
 9055 xserver-xorg                       	       1     3179        1       16     3161
 9056 xserver-xorg-core-dbgsym           	       1        2        0        1        0
 9057 xserver-xorg-input-kbd             	       1       57        1       55        0
 9058 xserver-xorg-input-libinput-dev    	       1        9        1        7        0
 9059 xserver-xorg-input-vmmouse         	       1       17        1       15        0
 9060 xserver-xorg-video-cirrus          	       1      145        1      143        0
 9061 xserver-xorg-video-dummy           	       1      122        2      119        0
 9062 xserver-xorg-video-geode           	       1       13        1       11        0
 9063 xserver-xorg-video-mach64          	       1      145        1      143        0
 9064 xserver-xorg-video-mga             	       1      150        2      147        0
 9065 xserver-xorg-video-modesetting     	       1       18        1       16        0
 9066 xserver-xorg-video-neomagic        	       1      145        1      143        0
 9067 xserver-xorg-video-nvidia-tesla    	       1        1        0        0        0
 9068 xserver-xorg-video-openchrome      	       1      144        2      141        0
 9069 xserver-xorg-video-r128            	       1      149        1      147        0
 9070 xserver-xorg-video-savage          	       1      144        1      142        0
 9071 xserver-xorg-video-siliconmotion   	       1      136        1      134        0
 9072 xserver-xorg-video-sisusb          	       1      143        1      141        0
 9073 xserver-xorg-video-tdfx            	       1      141        1      139        0
 9074 xserver-xorg-video-trident         	       1      139        1      137        0
 9075 xtables-addons-common              	       1       10        0        9        0
 9076 xtables-addons-dkms                	       1       10        0        9        0
 9077 xtermcontrol                       	       1       17        0       16        0
 9078 xtightvncviewer                    	       1      153        4      148        0
 9079 xtrlock                            	       1       25        2       22        0
 9080 xtron                              	       1        4        0        3        0
 9081 xttitle                            	       1       10        0        9        0
 9082 xva-img                            	       1       14        1       12        0
 9083 xwallpaper                         	       1       15        1       13        0
 9084 xwaylandvideobridge                	       1       16        3       12        0
 9085 xzgv                               	       1       41        4       36        0
 9086 yabasic                            	       1        6        0        5        0
 9087 yandex-browser-stable              	       1       27        1       25        0
 9088 yaze                               	       1        1        0        0        0
 9089 ycmd                               	       1       15        1       13        0
 9090 ynew                               	       1        1        0        0        0
 9091 yorick-z                           	       1        6        0        5        0
 9092 yoshimi                            	       1       18        2       15        0
 9093 yq                                 	       1        8        0        7        0
 9094 yudit                              	       1       15        0       14        0
 9095 zathura                            	       1      124        9      114        0
 9096 zeitgeist-core                     	       1       92        9       82        0
 9097 zfs-auto-snapshot                  	       1        7        1        5        0
 9098 zfs-zed                            	       1       63       17       45        0
 9099 zhcon                              	       1       18        0       17        0
 9100 zint-qt                            	       1        7        0        6        0
 9101 zoxide                             	       1        5        0        4        0
 9102 zpaq                               	       1       31        1       29        0
 9103 zsh-static                         	       1        5        0        4        0
 9104 zynaddsubfx-dssi                   	       1       13        0       12        0
 9105 zynaddsubfx-lv2                    	       1        6        0        5        0
 9106 zynaddsubfx-vst                    	       1        5        0        4        0
 9107 0ad-data                           	       0       61        0        0       61
 9108 0ad-data-common                    	       0       63        0        0       63
 9109 0install                           	       0       12        0       12        0
 9110 0install-core                      	       0       33        0       33        0
 9111 0xffff                             	       0        3        2        1        0
 9112 1c-enterprise-8.3.18.1208-common   	       0        1        0        0        1
 9113 1c-enterprise-8.3.18.1208-common-nls	       0        1        0        0        1
 9114 1c-enterprise-8.3.18.1208-crs      	       0        1        0        0        1
 9115 1c-enterprise-8.3.18.1208-server   	       0        1        0        0        1
 9116 1c-enterprise-8.3.18.1208-server-nls	       0        1        0        0        1
 9117 1c-enterprise-8.3.18.1208-ws       	       0        1        0        0        1
 9118 1c-enterprise-8.3.18.1208-ws-nls   	       0        1        0        0        1
 9119 1c-enterprise-8.3.18.1563-common   	       0        1        0        0        1
 9120 1c-enterprise-8.3.18.1563-common-nls	       0        1        0        0        1
 9121 1c-enterprise-8.3.18.1563-crs      	       0        1        0        0        1
 9122 1c-enterprise-8.3.18.1563-server   	       0        1        0        0        1
 9123 1c-enterprise-8.3.18.1563-server-nls	       0        1        0        0        1
 9124 1c-enterprise-8.3.18.1563-ws       	       0        1        0        0        1
 9125 1c-enterprise-8.3.18.1563-ws-nls   	       0        1        0        0        1
 9126 1c-enterprise-8.3.19.1665-common   	       0        1        0        0        1
 9127 1c-enterprise-8.3.19.1665-common-nls	       0        1        0        0        1
 9128 1c-enterprise-8.3.19.1665-crs      	       0        1        0        0        1
 9129 1c-enterprise-8.3.19.1665-server   	       0        1        0        0        1
 9130 1c-enterprise-8.3.19.1665-server-nls	       0        1        0        0        1
 9131 1c-enterprise-8.3.19.1665-ws       	       0        1        0        0        1
 9132 1c-enterprise-8.3.19.1665-ws-nls   	       0        1        0        0        1
 9133 1c-enterprise83-common             	       0        1        0        0        1
 9134 1c-enterprise83-common-nls         	       0        1        0        0        1
 9135 1c-enterprise83-crs                	       0        1        0        0        1
 9136 1c-enterprise83-server             	       0        1        0        0        1
 9137 1c-enterprise83-server-nls         	       0        1        0        0        1
 9138 1c-enterprise83-ws                 	       0        1        0        0        1
 9139 1c-enterprise83-ws-nls             	       0        1        0        0        1
 9140 1oom                               	       0        1        0        1        0
 9141 1password                          	       0        8        2        1        5
 9142 1password-cli                      	       0        3        0        3        0
 9143 2048                               	       0       14        0       14        0
 9144 2048-qt                            	       0       19        1       18        0
 9145 2ping                              	       0        7        0        7        0
 9146 2vcard                             	       0        6        0        6        0
 9147 3270-common                        	       0       12        0       12        0
 9148 389-ds-base-libs                   	       0        1        0        0        1
 9149 3dchess                            	       0        4        1        3        0
 9150 3depict                            	       0        1        0        1        0
 9151 4digits                            	       0        3        0        3        0
 9152 4g8                                	       0        4        0        4        0
 9153 4kimagecompressor                  	       0        1        0        1        0
 9154 4kslideshowmaker                   	       0        1        0        1        0
 9155 4kstogram                          	       0        1        0        1        0
 9156 4ktokkit                           	       0        2        0        2        0
 9157 4kvideodownloader                  	       0        6        0        5        1
 9158 4kvideodownloaderplus              	       0        2        0        2        0
 9159 4kvideotomp3                       	       0        1        0        1        0
 9160 4kyoutubetomp3                     	       0        1        0        1        0
 9161 4l                                 	       0        1        0        1        0
 9162 4pane                              	       0        4        1        3        0
 9163 4ti2                               	       0       13        2       11        0
 9164 6tunnel                            	       0        3        0        3        0
 9165 7kaa                               	       0       16        0       16        0
 9166 7kaa-data                          	       0       16        0        0       16
 9167 7w                                 	       0        1        0        1        0
 9168 8814au                             	       0        1        0        0        1
 9169 915resolution                      	       0        1        0        1        0
 9170 9base                              	       0        9        0        9        0
 9171 9menu                              	       0       17        0       17        0
 9172 9mount                             	       0        7        1        6        0
 9173 9wm                                	       0        7        0        7        0
 9174 a2d                                	       0        2        0        2        0
 9175 a2jmidid                           	       0       31        1       30        0
 9176 a2ps                               	       0       71        2       69        0
 9177 a56                                	       0        2        0        2        0
 9178 a7xpg                              	       0        9        0        9        0
 9179 a7xpg-data                         	       0        9        0        0        9
 9180 aa3d                               	       0        6        0        6        0
 9181 aac-enc                            	       0        7        0        7        0
 9182 aacgain                            	       0        2        0        2        0
 9183 aacplusenc                         	       0        5        0        5        0
 9184 aacskeys                           	       0       10        0       10        0
 9185 aad                                	       0        1        0        1        0
 9186 aajm                               	       0        3        0        3        0
 9187 aasvg                              	       0        2        0        2        0
 9188 abacas                             	       0        1        0        1        0
 9189 abakus-trinity                     	       0        1        0        1        0
 9190 abbtr                              	       0        2        0        2        0
 9191 abby                               	       0        1        0        1        0
 9192 abcl                               	       0        3        0        3        0
 9193 abcmidi                            	       0       10        0       10        0
 9194 abe-data                           	       0        9        0        0        9
 9195 abgate                             	       0        4        0        4        0
 9196 abi-compliance-checker             	       0       10        0       10        0
 9197 abi-dumper                         	       0        5        0        5        0
 9198 abi-monitor                        	       0        4        0        4        0
 9199 abi-tracker                        	       0        4        0        4        0
 9200 abicheck                           	       0        6        0        6        0
 9201 abigail-doc                        	       0        2        0        0        2
 9202 abigail-tools                      	       0        3        1        2        0
 9203 abinit                             	       0        1        0        1        0
 9204 abinit-data                        	       0        1        0        0        1
 9205 abinit-doc                         	       0        1        0        0        1
 9206 abiword-common                     	       0       99        0        0       99
 9207 abiword-help                       	       0        2        0        0        2
 9208 abiword-plugin-grammar             	       0       88        0        1       87
 9209 abiword-plugin-mathview            	       0        1        0        0        1
 9210 abiword-plugins-gnome              	       0        1        0        0        1
 9211 ableton-link-utils                 	       0        2        0        2        0
 9212 ableton-link-utils-gui             	       0        1        0        1        0
 9213 abntex                             	       0        1        0        0        1
 9214 abr2gbr                            	       0        5        0        5        0
 9215 abraca                             	       0        1        0        1        0
 9216 abricotine                         	       0        1        0        0        1
 9217 abrowser                           	       0        4        1        3        0
 9218 abrowser-locale-es                 	       0        1        1        0        0
 9219 abs-guide                          	       0       14        0        0       14
 9220 abuse                              	       0        2        0        2        0
 9221 abuse-lib                          	       0        2        0        0        2
 9222 abuse-sfx                          	       0        1        0        0        1
 9223 abw2epub                           	       0       11        0       11        0
 9224 abw2odt                            	       0       12        0       12        0
 9225 abx                                	       0        3        0        3        0
 9226 abyss                              	       0        1        0        1        0
 9227 acarsdec                           	       0        1        0        1        0
 9228 acccheck                           	       0        1        0        1        0
 9229 accel-ppp                          	       0        1        0        1        0
 9230 accerciser                         	       0        1        0        1        0
 9231 accounts-qml-module-doc            	       0        1        0        0        1
 9232 ace-gperf                          	       0        3        0        3        0
 9233 ace-of-penguins                    	       0       53        2       51        0
 9234 acedb-other                        	       0        2        0        1        1
 9235 acedb-other-belvu                  	       0        1        0        0        1
 9236 acedb-other-dotter                 	       0        1        0        0        1
 9237 acetoneiso                         	       0       19        0       19        0
 9238 acfax                              	       0        1        0        1        0
 9239 acheck                             	       0        1        0        1        0
 9240 acheck-rules                       	       0        1        0        0        1
 9241 achilles                           	       0        1        0        1        0
 9242 ack                                	       0       29        1       28        0
 9243 ack-grep                           	       0        3        0        0        3
 9244 acl2                               	       0        5        0        5        0
 9245 acl2-books                         	       0        5        0        5        0
 9246 acl2-books-certs                   	       0        5        0        5        0
 9247 acl2-books-source                  	       0        5        0        5        0
 9248 acl2-doc                           	       0        3        0        3        0
 9249 acl2-emacs                         	       0        1        0        1        0
 9250 acl2-infix                         	       0        2        0        2        0
 9251 acl2-infix-source                  	       0        2        0        2        0
 9252 acl2-source                        	       0        5        0        0        5
 9253 aclock.app                         	       0        2        0        2        0
 9254 acm                                	       0        5        0        5        0
 9255 acme                               	       0        5        0        5        0
 9256 acme-tiny                          	       0        5        0        5        0
 9257 acnhscale                          	       0        1        0        1        0
 9258 aconnectgui                        	       0       13        2       11        0
 9259 acorn-fdisk                        	       0        3        0        3        0
 9260 acpi-call-dkms                     	       0       34        0        0       34
 9261 acpi-call-tools                    	       0        1        0        0        1
 9262 acpi-ec                            	       0        1        0        0        1
 9263 acpi-override-initramfs            	       0        1        0        0        1
 9264 acpi-support-base                  	       0      812        0        0      812
 9265 acpica-tools                       	       0       86        2       84        0
 9266 acpidump                           	       0        5        0        0        5
 9267 acpitail                           	       0       17        0       17        0
 9268 acpitool-dbg                       	       0        1        0        1        0
 9269 acroread                           	       0        9        0        9        0
 9270 acroread-data                      	       0       10        0       10        0
 9271 acroread-debian-files              	       0        9        0        9        0
 9272 acroread-dictionary-en             	       0        9        0        9        0
 9273 acroread-doc-de                    	       0        1        0        1        0
 9274 acroread-escript                   	       0        2        0        2        0
 9275 acroread-fonts-jpn                 	       0        2        0        2        0
 9276 acroread-l10n-en                   	       0       10        0       10        0
 9277 acroread-plugin-speech             	       0        1        0        1        0
 9278 acroread-plugins                   	       0        2        0        2        0
 9279 actiona                            	       0        4        0        4        0
 9280 activitywatch                      	       0        2        1        1        0
 9281 ada-reference-manual-2005          	       0        4        0        0        4
 9282 ada-reference-manual-2012          	       0       14        0        0       14
 9283 ada-reference-manual-2020          	       0        7        0        0        7
 9284 adabrowse                          	       0        1        0        1        0
 9285 adanaxisgpl                        	       0        1        0        1        0
 9286 adanaxisgpl-data                   	       0        1        0        0        1
 9287 adapta-colorpack                   	       0        1        0        0        1
 9288 adapta-gtk-theme                   	       0       16        0        1       15
 9289 adapta-kde                         	       0        9        0        0        9
 9290 adapterremoval                     	       0        1        0        1        0
 9291 adcli                              	       0        9        0        9        0
 9292 add-apt-key                        	       0        1        0        1        0
 9293 addressmanager.app                 	       0        2        0        2        0
 9294 adduser-ng                         	       0        1        0        1        0
 9295 adduser-ng-doc                     	       0        1        0        0        1
 9296 adduser-ng-doc-devel               	       0        1        0        1        0
 9297 adduser-plugin-quota               	       0        1        0        1        0
 9298 adequate                           	       0       27        5       22        0
 9299 adjtimex                           	       0       11        0       11        0
 9300 adlint                             	       0        1        0        1        0
 9301 admesh                             	       0        4        0        4        0
 9302 adminer                            	       0        6        0        6        0
 9303 adms                               	       0        3        0        3        0
 9304 adns-tools                         	       0       11        0       11        0
 9305 adobe-flash-player                 	       0        1        0        0        1
 9306 adobe-flash-player-browserplugin   	       0        1        0        1        0
 9307 adobe-flashplugin                  	       0        1        0        1        0
 9308 adobeair                           	       0        1        0        0        1
 9309 adobereader-enu                    	       0        8        0        8        0
 9310 adonthell                          	       0        7        0        7        0
 9311 adonthell-data                     	       0        7        0        7        0
 9312 adoptium-ca-certificates           	       0       18        0        0       18
 9313 adoptopenjdk-11-hotspot            	       0        2        1        1        0
 9314 adoptopenjdk-11-hotspot-jre        	       0        1        1        0        0
 9315 adoptopenjdk-8-hotspot             	       0        7        1        6        0
 9316 adoptopenjdk-8-hotspot-jre         	       0        3        1        2        0
 9317 adoptopenjdk-8-openj9              	       0        1        0        1        0
 9318 adplay                             	       0        4        0        4        0
 9319 adplug-utils                       	       0       10        0       10        0
 9320 adql-java                          	       0        2        0        0        2
 9321 advancecomp                        	       0       57        3       54        0
 9322 advanced-rest-client               	       0        1        0        0        1
 9323 advi                               	       0        6        0        6        0
 9324 advi-examples                      	       0        2        0        0        2
 9325 adw-gtk3                           	       0        1        0        0        1
 9326 adwaita-icon-theme                 	       0     3795        0        0     3795
 9327 adwaita-qt                         	       0      107        3        7       97
 9328 adwaita-qt-data                    	       0        1        0        0        1
 9329 adwaita-qt4                        	       0        1        0        1        0
 9330 adwaita-qt6                        	       0       17        0        0       17
 9331 adzapper                           	       0        1        0        1        0
 9332 aegis-virus-scanner                	       0        1        0        1        0
 9333 aegisub                            	       0       15        0       15        0
 9334 aegisub-l10n                       	       0        5        0        0        5
 9335 aeolus                             	       0       12        0       12        0
 9336 aephea                             	       0        2        0        0        2
 9337 aerc                               	       0        7        2        5        0
 9338 aes2501-wy                         	       0        1        0        1        0
 9339 aesfix                             	       0       24        0       24        0
 9340 aeskeyfind                         	       0       25        0       25        0
 9341 aeskulap                           	       0       21        1       20        0
 9342 aeson-pretty                       	       0        7        0        7        0
 9343 aespipe                            	       0        9        0        9        0
 9344 aether                             	       0        1        0        0        1
 9345 aevol                              	       0        1        0        1        0
 9346 aewan                              	       0        5        0        5        0
 9347 aewm                               	       0        2        0        2        0
 9348 aewm++                             	       0        9        0        9        0
 9349 aewm++-goodies                     	       0        9        0        9        0
 9350 afdko                              	       0        2        0        2        0
 9351 afdko-bin                          	       0        5        0        0        5
 9352 afdko-doc                          	       0        1        0        0        1
 9353 affiche.app                        	       0        2        0        2        0
 9354 afflib-tools                       	       0       25        0       25        0
 9355 afick-doc                          	       0        1        0        0        1
 9356 afick-gui                          	       0        1        0        1        0
 9357 afio                               	       0       11        0       11        0
 9358 afl                                	       0        1        0        0        1
 9359 afl++                              	       0        8        0        8        0
 9360 afl++-clang                        	       0        3        0        2        1
 9361 afl++-doc                          	       0        7        0        7        0
 9362 aft                                	       0        2        0        2        0
 9363 aften                              	       0        2        0        2        0
 9364 aftershot2x64                      	       0        1        0        1        0
 9365 aftershot3x64                      	       0        1        0        1        0
 9366 afterstep                          	       0       10        0       10        0
 9367 afterstep-data                     	       0       11        0        0       11
 9368 afuse                              	       0        7        0        7        0
 9369 agda                               	       0        2        0        0        2
 9370 agda-stdlib                        	       0        2        0        0        2
 9371 agda-stdlib-doc                    	       0        2        0        0        2
 9372 agedu                              	       0       10        1        9        0
 9373 agenda.app                         	       0        2        0        2        0
 9374 aggregate                          	       0       10        1        9        0
 9375 aglfn                              	       0      422        0        0      422
 9376 agrep                              	       0        6        0        6        0
 9377 agress                             	       0        1        0        1        0
 9378 ags                                	       0        1        0        1        0
 9379 ahcpd                              	       0        2        1        1        0
 9380 aide-common                        	       0       13        5        8        0
 9381 aide-dynamic                       	       0        1        0        1        0
 9382 aidl                               	       0       30        0       30        0
 9383 aiksaurus                          	       0        5        1        4        0
 9384 ain-imager                         	       0        1        0        1        0
 9385 airdroid                           	       0        4        0        0        4
 9386 airgraph-ng                        	       0       15        0       15        0
 9387 airport-utils                      	       0        2        0        2        0
 9388 airsnort                           	       0        1        0        1        0
 9389 airspy                             	       0        8        0        8        0
 9390 airspyhf                           	       0        5        0        5        0
 9391 airstrike-common                   	       0        6        0        0        6
 9392 aish                               	       0        1        0        1        0
 9393 aj-snapshot                        	       0       13        0       13        0
 9394 akira                              	       0        2        0        2        0
 9395 akonadi-backend-mysql              	       0      503        1       25      477
 9396 akonadi-backend-postgresql         	       0        3        0        0        3
 9397 akonadi-backend-sqlite             	       0       26        1        3       22
 9398 akonadi-contacts-data              	       0      540        0        1      539
 9399 akonadi-mime-data                  	       0      512       15       37      460
 9400 akqml                              	       0        9        0        0        9
 9401 alacconvert                        	       0        1        0        1        0
 9402 aladin                             	       0        2        0        2        0
 9403 alarm-clock                        	       0        1        0        1        0
 9404 alarm-clock-applet                 	       0        8        0        8        0
 9405 alarm-clock-applet-gconf-migration 	       0        5        0        5        0
 9406 albatross-gtk-theme                	       0       83        0        0       83
 9407 album                              	       0        6        0        6        0
 9408 album-data                         	       0        5        0        5        0
 9409 aldo                               	       0       16        0       16        0
 9410 ale                                	       0        3        0        3        0
 9411 alembic                            	       0        7        0        6        1
 9412 alevt                              	       0        2        1        1        0
 9413 alevtd                             	       0        1        0        1        0
 9414 alex                               	       0        3        1        2        0
 9415 alex4                              	       0        7        1        6        0
 9416 alex4-data                         	       0        7        0        0        7
 9417 alexandria                         	       0        1        0        1        0
 9418 alfa                               	       0        2        0        2        0
 9419 alfaview                           	       0        1        0        1        0
 9420 algobox                            	       0        6        0        6        0
 9421 algotutor                          	       0        2        0        2        0
 9422 alice                              	       0        3        0        3        0
 9423 alien-arena                        	       0        3        0        3        0
 9424 alien-arena-data                   	       0        3        0        0        3
 9425 alien-arena-server                 	       0        1        0        1        0
 9426 alienblaster                       	       0        5        0        5        0
 9427 alienblaster-data                  	       0        5        0        0        5
 9428 aliki                              	       0        3        0        3        0
 9429 alire                              	       0        4        0        4        0
 9430 alkimia-bin                        	       0        4        0        4        0
 9431 alkimia-data                       	       0        5        0        0        5
 9432 all-knowing-dns                    	       0        1        0        1        0
 9433 allegro-demo                       	       0        1        0        1        0
 9434 allegro-demo-data                  	       0        1        0        0        1
 9435 allegro4-doc                       	       0        4        0        0        4
 9436 allegro5-build-deps                	       0        1        0        0        1
 9437 alleyoop                           	       0        3        0        3        0
 9438 alliance                           	       0        1        0        1        0
 9439 alltray                            	       0        2        0        2        0
 9440 allure                             	       0        2        0        2        0
 9441 almanah                            	       0        2        0        2        0
 9442 alot                               	       0        6        0        6        0
 9443 alot-doc                           	       0        1        0        0        1
 9444 alpine-chroot-install              	       0        4        0        4        0
 9445 alpine-doc                         	       0       69        0        0       69
 9446 alsa-base                          	       0       51        0        0       51
 9447 alsa-firmware                      	       0        1        0        1        0
 9448 alsa-plugins-build-deps            	       0        1        0        0        1
 9449 alsa-scarlett-gui                  	       0        3        1        2        0
 9450 alsa-sndio                         	       0        1        0        0        1
 9451 alsa-source                        	       0        1        0        0        1
 9452 alsa-ucm-conf                      	       0     3214        0        0     3214
 9453 alsa-ucm-conf-yogabook             	       0        1        0        0        1
 9454 alsa-utils-dbgsym                  	       0        1        0        1        0
 9455 alsaplayer-alsa                    	       0       78        0        1       77
 9456 alsaplayer-common                  	       0       77        4       73        0
 9457 alsaplayer-daemon                  	       0        8        0        0        8
 9458 alsaplayer-gtk                     	       0       71        0        3       68
 9459 alsaplayer-jack                    	       0       16        0        0       16
 9460 alsaplayer-nas                     	       0        4        0        0        4
 9461 alsaplayer-oss                     	       0       10        0        0       10
 9462 alsaplayer-text                    	       0       16        0        0       16
 9463 alsaplayer-xosd                    	       0        7        0        0        7
 9464 alsoft-conf                        	       0        1        0        1        0
 9465 alter-sequence-alignment           	       0        1        0        1        0
 9466 altermime                          	       0       25        0       25        0
 9467 altree                             	       0        1        0        1        0
 9468 alure-doc                          	       0        1        0        0        1
 9469 alure-utils                        	       0        1        0        1        0
 9470 amanda-client                      	       0       15        3       12        0
 9471 amanda-common                      	       0       15        3       12        0
 9472 amanda-server                      	       0        4        0        4        0
 9473 amap-align                         	       0        4        0        4        0
 9474 amarok-common                      	       0        8        0        1        7
 9475 amarok-common-trinity              	       0        6        0        6        0
 9476 amarok-doc                         	       0        3        0        0        3
 9477 amarok-engine-akode-trinity        	       0        2        0        2        0
 9478 amarok-engine-xine-trinity         	       0        6        2        4        0
 9479 amarok-engine-yauap-trinity        	       0        1        0        1        0
 9480 amarok-trinity                     	       0        6        2        4        0
 9481 amarok-trinity-dbg                 	       0        1        0        1        0
 9482 amavisd-milter                     	       0        1        0        1        0
 9483 amavisd-new                        	       0       27        6       21        0
 9484 amazon-ecr-credential-helper       	       0        1        0        1        0
 9485 amb-plugins                        	       0       19        1       18        0
 9486 ambdec                             	       0       12        0       12        0
 9487 amber                              	       0        2        0        2        0
 9488 amd-clinfo                         	       0        1        0        1        0
 9489 amd-libopencl1                     	       0        1        0        1        0
 9490 amd-opencl-dev                     	       0        2        0        0        2
 9491 amd-opencl-icd                     	       0        4        0        0        4
 9492 amd-opencl-icd-legacy              	       0        1        0        0        1
 9493 amd-smi-lib                        	       0        3        0        3        0
 9494 amdgcn-tools                       	       0        5        0        5        0
 9495 amdgpu                             	       0        2        0        0        2
 9496 amdgpu-core                        	       0       21        0        0       21
 9497 amdgpu-dkms-headers                	       0        2        0        2        0
 9498 amdgpu-doc                         	       0        2        0        0        2
 9499 amdgpu-lib                         	       0        6        0        0        6
 9500 amdgpu-lib32                       	       0        3        0        0        3
 9501 amdgpu-pin                         	       0        2        0        0        2
 9502 amdgpu-pro-core                    	       0        3        0        0        3
 9503 amdgpu-pro-pin                     	       0        1        0        0        1
 9504 ament-cmake                        	       0        1        0        0        1
 9505 ament-cmake-core                   	       0        2        0        0        2
 9506 ament-cmake-python                 	       0        2        0        0        2
 9507 ament-cmake-xmllint                	       0        1        0        0        1
 9508 amf-codec-headers                  	       0        1        0        1        0
 9509 amfora                             	       0       10        0       10        0
 9510 amide                              	       0       10        0       10        0
 9511 amideco                            	       0        5        0        5        0
 9512 amiga-fdisk-cross                  	       0        5        0        5        0
 9513 amiwm                              	       0        5        0        5        0
 9514 amixer-gtk                         	       0        2        0        2        0
 9515 amoebax                            	       0       11        0       11        0
 9516 amoebax-data                       	       0       11        0        0       11
 9517 amora-applet                       	       0        1        0        1        0
 9518 ampache-themes                     	       0        1        0        0        1
 9519 amphetamine                        	       0        5        0        5        0
 9520 amphetamine-data                   	       0        5        0        0        5
 9521 ampliconnoise                      	       0        1        0        1        0
 9522 amqp-specs                         	       0        3        0        0        3
 9523 amqp-tools                         	       0        2        0        2        0
 9524 amrenc                             	       0        3        0        3        0
 9525 amrnb                              	       0        1        0        1        0
 9526 amrwb                              	       0        1        0        1        0
 9527 ams                                	       0       11        0       11        0
 9528 ams.lv2                            	       0        1        0        1        0
 9529 amsynth                            	       0       13        0       13        0
 9530 amtterm                            	       0        3        0        3        0
 9531 amule-emc                          	       0        3        0        3        0
 9532 amule-gnome-support                	       0        3        0        0        3
 9533 an                                 	       0       23        0       23        0
 9534 anacrolix-dms                      	       0        3        0        3        0
 9535 analitza-common                    	       0       52        0        0       52
 9536 analizo                            	       0        1        0        1        0
 9537 anarchism                          	       0        8        0        0        8
 9538 anbox                              	       0       11        0       11        0
 9539 and                                	       0        8        3        5        0
 9540 andi                               	       0        1        0        1        0
 9541 android-file-transfer              	       0       46        3       43        0
 9542 android-framework-res              	       0       27        0        0       27
 9543 android-libaapt                    	       0       60        0        0       60
 9544 android-libadb                     	       0       61        0        1       60
 9545 android-libandroidfw               	       0       61        0        0       61
 9546 android-libandroidfw-dev           	       0        2        0        2        0
 9547 android-libart                     	       0       26        1       24        1
 9548 android-libbacktrace               	       0       79        0        0       79
 9549 android-libbacktrace-dev           	       0        2        0        0        2
 9550 android-libbase                    	       0      335        1       12      322
 9551 android-libbase-dev                	       0        3        0        3        0
 9552 android-libboringssl               	       0      307        1       12      294
 9553 android-libboringssl-dev           	       0        3        0        3        0
 9554 android-libcrypto-utils            	       0       70        0        1       69
 9555 android-libcrypto-utils-dev        	       0        1        0        1        0
 9556 android-libcutils                  	       0      334        1       12      321
 9557 android-libcutils-dev              	       0        3        0        3        0
 9558 android-libetc1                    	       0       24        0        0       24
 9559 android-libetc1-dev                	       0        1        0        1        0
 9560 android-libext4-utils              	       0       41        0        0       41
 9561 android-libext4-utils-dev          	       0        3        0        3        0
 9562 android-libf2fs-utils              	       0       14        0        0       14
 9563 android-libf2fs-utils-dev          	       0        1        0        1        0
 9564 android-libfec                     	       0        3        0        0        3
 9565 android-libfec-dev                 	       0        1        0        1        0
 9566 android-liblog                     	       0      335        1       12      322
 9567 android-liblog-dev                 	       0        4        0        4        0
 9568 android-libnativebridge            	       0       27        0        0       27
 9569 android-libnativehelper            	       0        4        0        0        4
 9570 android-libnativehelper-dev        	       0        2        0        2        0
 9571 android-libnativeloader            	       0       27        0        0       27
 9572 android-libselinux                 	       0        5        0        0        5
 9573 android-libselinux-dev             	       0        2        0        2        0
 9574 android-libsepol                   	       0        3        0        0        3
 9575 android-libsepol-dev               	       0        2        0        2        0
 9576 android-libsparse                  	       0      187        0        0      187
 9577 android-libsparse-dev              	       0        2        0        2        0
 9578 android-libunwind                  	       0       56        0        0       56
 9579 android-libunwind-dev              	       0        1        0        1        0
 9580 android-libutils                   	       0       78        0        0       78
 9581 android-libutils-dev               	       0        2        0        2        0
 9582 android-libziparchive              	       0      233        0        0      233
 9583 android-libziparchive-dev          	       0        3        0        3        0
 9584 android-logtags-tools              	       0        1        0        1        0
 9585 android-platform-frameworks-native-headers	       0        2        0        2        0
 9586 android-platform-libcore-headers   	       0        1        0        1        0
 9587 android-platform-system-core-headers	       0        3        0        3        0
 9588 android-platform-tools-base        	       0        4        0        4        0
 9589 android-sdk                        	       0       27        2       25        0
 9590 android-sdk-build-tools            	       0       29        0        0       29
 9591 android-sdk-build-tools-common     	       0       31        0        0       31
 9592 android-sdk-common                 	       0       31        0       31        0
 9593 android-sdk-ext4-utils             	       0        1        0        1        0
 9594 android-sdk-helper                 	       0        1        0        0        1
 9595 android-sdk-libsparse-utils        	       0        7        0        7        0
 9596 android-sdk-platform-23            	       0        6        0        0        6
 9597 android-sdk-platform-tools         	       0       78        0        0       78
 9598 android-tools-adb                  	       0       32        1        1       30
 9599 android-tools-adbd                 	       0        5        0        5        0
 9600 android-tools-fastboot             	       0       16        0        0       16
 9601 angband                            	       0       16        0       16        0
 9602 angband-data                       	       0       16        0        0       16
 9603 angband-doc                        	       0        2        0        0        2
 9604 angelfish                          	       0        3        1        2        0
 9605 angrydd                            	       0       14        0       14        0
 9606 anica-deb-source-using-tor-alpha   	       0        1        0        0        1
 9607 anica-deb-source-using-tor-beta    	       0        1        0        0        1
 9608 anica-deb-source-using-tor-stable  	       0        1        0        0        1
 9609 animals                            	       0       10        0       10        0
 9610 anjuta                             	       0        5        0        5        0
 9611 anjuta-common                      	       0       16        0       16        0
 9612 anjuta-extras                      	       0        3        0        0        3
 9613 anki                               	       0       14        0       14        0
 9614 anomaly                            	       0        2        0        2        0
 9615 anope                              	       0        1        0        1        0
 9616 anorack                            	       0        1        0        1        0
 9617 ansible-doc                        	       0        3        0        0        3
 9618 ansible-lint                       	       0       10        0       10        0
 9619 ansible-mitogen                    	       0        2        0        2        0
 9620 ansifilter-gui                     	       0        9        0        9        0
 9621 ansilove                           	       0        2        0        2        0
 9622 ant-contrib                        	       0      142        0        0      142
 9623 ant-contrib-cpptasks               	       0        1        0        0        1
 9624 ant-doc                            	       0       18        0        0       18
 9625 ant-optional                       	       0      837        0        0      837
 9626 anteater                           	       0        1        0        1        0
 9627 antennavis                         	       0        9        0        9        0
 9628 anthy-common                       	       0       71        0        8       63
 9629 anthy-el                           	       0        1        0        1        0
 9630 antigravitaattori                  	       0        5        0        5        0
 9631 antimicro                          	       0       11        0       11        0
 9632 antimicrox                         	       0        1        0        1        0
 9633 antimony                           	       0        3        0        3        0
 9634 antiword                           	       0       82        3       79        0
 9635 antix-archive-keyring              	       0        2        0        0        2
 9636 antix-libs                         	       0        1        0        1        0
 9637 antlr-doc                          	       0        2        0        2        0
 9638 antlr3-gunit-maven-plugin          	       0        1        0        0        1
 9639 antlr3-maven-plugin                	       0        1        0        0        1
 9640 antlr3.2                           	       0        8        0        8        0
 9641 antlr3.2-gunit-maven-plugin        	       0        1        0        0        1
 9642 antlr3.2-maven-plugin              	       0        1        0        0        1
 9643 antlr4-doc                         	       0        2        0        0        2
 9644 antlr4-maven-plugin                	       0        4        0        0        4
 9645 antofox-keyrings                   	       0        1        0        0        1
 9646 antpm                              	       0        2        0        2        0
 9647 anydesk                            	       0       53       11       42        0
 9648 anymeal                            	       0        2        0        2        0
 9649 anypaper                           	       0        1        0        1        0
 9650 anyremote                          	       0        8        0        8        0
 9651 anyremote-data                     	       0        8        0        0        8
 9652 anyremote-doc                      	       0        3        0        0        3
 9653 anytun                             	       0        1        0        1        0
 9654 anytype                            	       0        1        0        1        0
 9655 aobook                             	       0        1        0        1        0
 9656 aocc-compiler-4.2.0                	       0        1        0        1        0
 9657 aocl-linux-aocc-4.2.0              	       0        1        0        1        0
 9658 aodh-common                        	       0        1        0        1        0
 9659 aodh-evaluator                     	       0        1        0        1        0
 9660 aodh-notifier                      	       0        1        0        1        0
 9661 aoetools                           	       0        2        0        2        0
 9662 aoflagger                          	       0        2        0        2        0
 9663 aoflagger-dev                      	       0        2        0        2        0
 9664 aom-tools                          	       0        7        0        7        0
 9665 aosd-cat                           	       0        5        0        5        0
 9666 aot-client-gl                      	       0        1        0        1        0
 9667 aot-client-sdl                     	       0        1        0        1        0
 9668 ap-utils                           	       0        4        0        4        0
 9669 apache2-data                       	       0      790        0        0      790
 9670 apache2-dev                        	       0       19        0       19        0
 9671 apache2-doc                        	       0      364        0        0      364
 9672 apache2-mpm-prefork                	       0        2        0        0        2
 9673 apache2-mpm-worker                 	       0        2        0        1        1
 9674 apache2-ssl-dev                    	       0        2        0        2        0
 9675 apache2-suexec-custom              	       0        6        2        4        0
 9676 apache2-suexec-pristine            	       0       30        3       27        0
 9677 apache2.2-bin                      	       0        3        0        2        1
 9678 apache2.2-common                   	       0        2        0        1        1
 9679 apbs                               	       0        6        0        6        0
 9680 apbs-data                          	       0        6        0        0        6
 9681 apcalc                             	       0     1013        0       55      958
 9682 apcalc-common                      	       0       73        0        0       73
 9683 apcalc-dev                         	       0        2        0        1        1
 9684 apcupsd                            	       0       59       12       47        0
 9685 apcupsd-cgi                        	       0       14        1       13        0
 9686 apcupsd-doc                        	       0       48        2       46        0
 9687 apel                               	       0       14        2       12        0
 9688 apertium                           	       0        7        1        6        0
 9689 apertium-afr-nld                   	       0        1        0        0        1
 9690 apertium-anaphora                  	       0        2        0        2        0
 9691 apertium-arg-cat                   	       0        1        0        0        1
 9692 apertium-bel-rus                   	       0        1        0        0        1
 9693 apertium-br-fr                     	       0        1        0        0        1
 9694 apertium-cat-ita                   	       0        1        0        0        1
 9695 apertium-cat-srd                   	       0        1        0        0        1
 9696 apertium-crh-tur                   	       0        1        0        0        1
 9697 apertium-cy-en                     	       0        1        0        0        1
 9698 apertium-dan-nor                   	       0        1        0        0        1
 9699 apertium-dev                       	       0        3        0        3        0
 9700 apertium-en-es                     	       0        4        0        0        4
 9701 apertium-en-gl                     	       0        1        0        0        1
 9702 apertium-eng-cat                   	       0        1        0        0        1
 9703 apertium-eng-spa                   	       0        2        0        0        2
 9704 apertium-eo-ca                     	       0        1        0        0        1
 9705 apertium-eo-en                     	       0        2        0        0        2
 9706 apertium-eo-es                     	       0        2        0        0        2
 9707 apertium-eo-fr                     	       0        2        0        0        2
 9708 apertium-es-ast                    	       0        1        0        0        1
 9709 apertium-es-gl                     	       0        1        0        0        1
 9710 apertium-es-pt                     	       0        3        0        0        3
 9711 apertium-es-ro                     	       0        2        0        0        2
 9712 apertium-eu-en                     	       0        1        0        0        1
 9713 apertium-eu-es                     	       0        1        0        0        1
 9714 apertium-eval-translator           	       0        3        0        3        0
 9715 apertium-fr-es                     	       0        2        0        0        2
 9716 apertium-fra                       	       0        1        0        0        1
 9717 apertium-fra-cat                   	       0        1        0        0        1
 9718 apertium-get                       	       0        3        0        3        0
 9719 apertium-hbs-eng                   	       0        1        0        0        1
 9720 apertium-hbs-mkd                   	       0        1        0        0        1
 9721 apertium-hbs-slv                   	       0        1        0        0        1
 9722 apertium-ind-zlm                   	       0        1        0        0        1
 9723 apertium-is-sv                     	       0        1        0        0        1
 9724 apertium-isl-eng                   	       0        1        0        0        1
 9725 apertium-isl-swe                   	       0        1        0        0        1
 9726 apertium-kaz-tat                   	       0        1        0        0        1
 9727 apertium-lex-tools                 	       0        5        0        5        0
 9728 apertium-mk-bg                     	       0        1        0        0        1
 9729 apertium-mk-en                     	       0        1        0        0        1
 9730 apertium-mkd-bul                   	       0        1        0        0        1
 9731 apertium-mkd-eng                   	       0        1        0        0        1
 9732 apertium-mlt-ara                   	       0        1        0        0        1
 9733 apertium-nno-nob                   	       0        1        0        0        1
 9734 apertium-oc-ca                     	       0        1        0        0        1
 9735 apertium-oc-es                     	       0        1        0        0        1
 9736 apertium-oci-fra                   	       0        1        0        0        1
 9737 apertium-pol-szl                   	       0        1        0        0        1
 9738 apertium-por-cat                   	       0        1        0        0        1
 9739 apertium-pt-ca                     	       0        1        0        0        1
 9740 apertium-pt-gl                     	       0        1        0        0        1
 9741 apertium-recursive                 	       0        2        0        2        0
 9742 apertium-rus-ukr                   	       0        1        0        0        1
 9743 apertium-separable                 	       0        2        0        2        0
 9744 apertium-simpleton                 	       0        1        0        0        1
 9745 apertium-sme-nob                   	       0        1        0        0        1
 9746 apertium-spa                       	       0        2        0        0        2
 9747 apertium-spa-arg                   	       0        1        0        0        1
 9748 apertium-spa-ast                   	       0        1        0        0        1
 9749 apertium-spa-cat                   	       0        1        0        0        1
 9750 apertium-spa-ita                   	       0        1        0        0        1
 9751 apertium-srd-ita                   	       0        1        0        0        1
 9752 apertium-swe-dan                   	       0        1        0        0        1
 9753 apertium-swe-nor                   	       0        1        0        0        1
 9754 apertium-urd-hin                   	       0        1        0        0        1
 9755 apf-firewall                       	       0        1        0        1        0
 9756 apfs-dkms                          	       0        2        0        2        0
 9757 apfsprogs                          	       0        4        0        4        0
 9758 apgdiff                            	       0        4        0        4        0
 9759 api-sanity-checker                 	       0        5        0        5        0
 9760 apidog                             	       0        1        0        1        0
 9761 apitrace                           	       0        7        0        7        0
 9762 apitrace-gui                       	       0        3        0        3        0
 9763 apitrace-tracers                   	       0        7        0        0        7
 9764 apkinfo                            	       0        6        0        6        0
 9765 apkverifier                        	       0        4        0        4        0
 9766 apl                                	       0        2        0        2        0
 9767 aplus-fsf                          	       0        2        0        2        0
 9768 aplus-fsf-doc                      	       0        1        0        0        1
 9769 apmd                               	       0        7        0        7        0
 9770 apmplanner2                        	       0        1        0        1        0
 9771 apng2gif                           	       0        6        1        5        0
 9772 apngasm                            	       0        7        1        6        0
 9773 apngdis                            	       0        7        1        6        0
 9774 apngopt                            	       0        6        0        6        0
 9775 apophenia-bin                      	       0        1        0        1        0
 9776 apostrophe                         	       0        5        0        5        0
 9777 app-install-data                   	       0       13        0        0       13
 9778 apparix                            	       0        2        0        2        0
 9779 apparmor-easyprof                  	       0        3        0        3        0
 9780 apparmor-notify                    	       0       19        1       18        0
 9781 apparmor-profiles                  	       0       41        0        0       41
 9782 apparmor-profiles-extra            	       0       38        0        0       38
 9783 apper-data                         	       0       93        0        5       88
 9784 appflowy                           	       0        2        0        2        0
 9785 appimagelauncher                   	       0        2        1        1        0
 9786 appmenu-gtk2-module                	       0       59        0        6       53
 9787 appmenu-gtk3-module                	       0       63        8       19       36
 9788 appmenu-qt                         	       0        4        0        0        4
 9789 appmenu-registrar                  	       0       59        0        1       58
 9790 apprise                            	       0        1        0        1        0
 9791 approx                             	       0        1        0        1        0
 9792 appstream-compose                  	       0        3        0        0        3
 9793 appstream-doc                      	       0        2        0        0        2
 9794 appstream-generator                	       0        2        0        2        0
 9795 appstream-glib-doc                 	       0        1        0        0        1
 9796 appstream-index                    	       0        2        1        0        1
 9797 appstream-util                     	       0       13        0       13        0
 9798 apriltag                           	       0        1        0        1        0
 9799 aprs-symbols                       	       0        2        0        0        2
 9800 aprsdigi                           	       0        4        0        4        0
 9801 aprx                               	       0        6        1        5        0
 9802 apsfilter                          	       0        7        1        6        0
 9803 apt-build                          	       0        9        0        9        0
 9804 apt-build-deps-depends             	       0        1        0        0        1
 9805 apt-cacher                         	       0        7        3        4        0
 9806 apt-cacher-ng                      	       0       21        6       15        0
 9807 apt-clone                          	       0       11        0       11        0
 9808 apt-config-auto-update             	       0       15        0        0       15
 9809 apt-config-icons                   	       0      859        0        0      859
 9810 apt-config-icons-hidpi             	       0      513        0        0      513
 9811 apt-config-icons-large             	       0      525        0        0      525
 9812 apt-config-icons-large-hidpi       	       0      506        0        0      506
 9813 apt-cudf                           	       0        4        0        4        0
 9814 apt-dater                          	       0        6        0        6        0
 9815 apt-dater-host                     	       0       28        6       22        0
 9816 apt-dbgsym                         	       0        3        0        3        0
 9817 apt-doc                            	       0       48        0        0       48
 9818 apt-dpkg-ref                       	       0        8        0        0        8
 9819 apt-dpkg-ref-build-deps            	       0        1        0        0        1
 9820 apt-forktracer                     	       0       20        0       20        0
 9821 apt-howto-common                   	       0        1        0        1        0
 9822 apt-howto-de                       	       0        1        0        0        1
 9823 apt-listbugs                       	       0       70        5       65        0
 9824 apt-listdifferences                	       0        2        0        2        0
 9825 apt-move                           	       0        4        0        4        0
 9826 apt-ntop-stable                    	       0        3        0        0        3
 9827 apt-offline                        	       0        8        0        8        0
 9828 apt-offline-gui                    	       0        3        0        3        0
 9829 apt-rdepends                       	       0       67        3       64        0
 9830 apt-show-source                    	       0       16        0       16        0
 9831 apt-transport-debtorrent           	       0        1        0        1        0
 9832 apt-transport-https                	       0      709        4       51      654
 9833 apt-transport-in-toto              	       0        1        0        1        0
 9834 apt-transport-s3                   	       0        4        0        4        0
 9835 apt-transport-tor                  	       0       43        7       36        0
 9836 apt-utils-dbgsym                   	       0        3        0        3        0
 9837 apt-venv                           	       0        8        0        8        0
 9838 aptconf                            	       0        1        0        1        0
 9839 aptdaemon                          	       0        8        0        8        0
 9840 aptdaemon-data                     	       0       14        0        0       14
 9841 aptfs                              	       0        1        0        1        0
 9842 aptitude-build-deps-depends        	       0        1        0        0        1
 9843 aptitude-dbgsym                    	       0        1        0        1        0
 9844 aptitude-doc-cs                    	       0        7        0        0        7
 9845 aptitude-doc-en                    	       0      225        0        0      225
 9846 aptitude-doc-es                    	       0        4        0        0        4
 9847 aptitude-doc-fi                    	       0        1        0        0        1
 9848 aptitude-doc-fr                    	       0        5        0        0        5
 9849 aptitude-doc-it                    	       0        2        0        0        2
 9850 aptitude-doc-ja                    	       0        1        0        0        1
 9851 aptitude-doc-nl                    	       0        2        0        0        2
 9852 aptitude-doc-ru                    	       0        3        0        0        3
 9853 aptitude-robot                     	       0        2        0        2        0
 9854 aptlinex                           	       0        1        0        1        0
 9855 aptly                              	       0        6        0        6        0
 9856 aptly-publisher                    	       0        1        0        1        0
 9857 apvlv                              	       0       10        1        9        0
 9858 apwal                              	       0        1        0        1        0
 9859 aqbanking-tool                     	       0        1        0        0        1
 9860 aqbanking-tools                    	       0       12        0       12        0
 9861 ara                                	       0        3        0        3        0
 9862 arachne-pnr                        	       0        6        0        6        0
 9863 arachne-pnr-chipdb                 	       0        8        0        0        8
 9864 aragorn                            	       0        1        0        1        0
 9865 aranym                             	       0        2        0        2        0
 9866 arb                                	       0        2        0        2        0
 9867 arb-common                         	       0        2        0        2        0
 9868 arb-doc                            	       0        2        0        0        2
 9869 arbtt                              	       0        3        0        3        0
 9870 arc-icon-theme                     	       0        1        0        0        1
 9871 arc-kde                            	       0        3        0        0        3
 9872 arch-install-scripts               	       0       11        0       11        0
 9873 architecture-properties            	       0        2        0        0        2
 9874 archive-files                      	       0        1        0        1        0
 9875 archlinux-keyring                  	       0        4        0        0        4
 9876 archmage                           	       0       12        0       12        0
 9877 arctica-greeter-guest-session      	       0        6        0        6        0
 9878 arctica-greeter-remote-logon       	       0        2        0        0        2
 9879 arctican-plugins-lv2               	       0        1        0        1        0
 9880 ardentryst                         	       0        5        0        5        0
 9881 ardour-data                        	       0       68        0        0       68
 9882 ardour-medias                      	       0        1        0        0        1
 9883 arduino-core                       	       0       11        0       11        0
 9884 arduino-mighty-1284p               	       0        3        0        3        0
 9885 arduino-mk                         	       0       25        0       25        0
 9886 arename                            	       0        1        0        1        0
 9887 ares                               	       0        5        0        5        0
 9888 argentum-age-build-deps            	       0        1        0        0        1
 9889 argon2                             	       0       10        0       10        0
 9890 argos                              	       0        1        0        1        0
 9891 argyll                             	       0       32        0       32        0
 9892 argyll-doc                         	       0        5        0        0        5
 9893 argyll-ref                         	       0       32        0        0       32
 9894 aria                               	       0        1        0        1        0
 9895 ario-common                        	       0       11        0        0       11
 9896 arkhart                            	       0        1        0        1        0
 9897 arkrpg                             	       0        1        0        1        0
 9898 arm-trusted-firmware               	       0        2        0        0        2
 9899 arm-trusted-firmware-tools         	       0        2        0        2        0
 9900 armagetronad                       	       0       14        0       14        0
 9901 armagetronad-common                	       0       14        0        0       14
 9902 armagetronad-dedicated             	       0        1        0        1        0
 9903 armbian-bsp-cli-orangepizero2w-current	       0        1        0        1        0
 9904 armbian-config                     	       0        1        0        1        0
 9905 armbian-firmware                   	       0        2        0        2        0
 9906 armbian-zsh                        	       0        1        0        0        1
 9907 armcord                            	       0        1        0        1        0
 9908 armory                             	       0        1        0        1        0
 9909 arno-iptables-firewall             	       0        9        2        7        0
 9910 aroarfw-dev                        	       0        1        0        1        0
 9911 arp-scan                           	       0       99        2       97        0
 9912 arpage                             	       0        1        0        1        0
 9913 arpalert                           	       0        9        2        7        0
 9914 arping                             	       0       68        1       67        0
 9915 arpon                              	       0        1        0        1        0
 9916 arptables                          	       0       12        0       12        0
 9917 arpwatch                           	       0       22        2       20        0
 9918 array-info                         	       0        4        0        4        0
 9919 arronax                            	       0        1        0        1        0
 9920 arronax-thunar                     	       0        1        0        0        1
 9921 artefetcher                        	       0        1        0        1        0
 9922 artfastqgenerator                  	       0        1        0        1        0
 9923 artha                              	       0        8        0        8        0
 9924 arts                               	       0        1        0        0        1
 9925 arts-trinity                       	       0       28        0        0       28
 9926 artyfx                             	       0        1        0        1        0
 9927 arubasign                          	       0        1        0        1        0
 9928 as31                               	       0        4        0        4        0
 9929 asc                                	       0        8        0        8        0
 9930 asc-data                           	       0        8        0        0        8
 9931 asc-music                          	       0        8        0        0        8
 9932 ascd                               	       0        2        0        2        0
 9933 ascdc                              	       0        1        0        1        0
 9934 ascii-image-converter              	       0        1        0        1        0
 9935 ascii2binary                       	       0       10        1        9        0
 9936 asciiart                           	       0       12        0       12        0
 9937 asciidoc                           	       0       67        0        0       67
 9938 asciidoc-common                    	       0       78        0        0       78
 9939 asciidoc-dblatex                   	       0       47        0        0       47
 9940 asciidoc-doc                       	       0       14        0        0       14
 9941 asciidoc-fop                       	       0        4        0        0        4
 9942 asciidoc-tests                     	       0        4        0        4        0
 9943 asciidoctor-doc                    	       0        7        0        0        7
 9944 asciinema                          	       0       16        0       16        0
 9945 asciio                             	       0        1        0        1        0
 9946 asclock                            	       0       12        1       11        0
 9947 asclock-themes                     	       0       13        0        0       13
 9948 asdftool                           	       0        1        0        1        0
 9949 aseprite                           	       0        3        0        3        0
 9950 ash                                	       0       13        5        8        0
 9951 asiya24-vfont                      	       0        1        0        0        1
 9952 asl-apt-repos                      	       0        1        0        1        0
 9953 asmail                             	       0        3        0        3        0
 9954 asmixer                            	       0        3        0        3        0
 9955 asmon                              	       0        2        0        2        0
 9956 asn                                	       0        1        0        1        0
 9957 asn1c                              	       0        3        0        3        0
 9958 asn1c-doc                          	       0        2        0        0        2
 9959 asoundconf-gtk                     	       0        1        0        1        0
 9960 asp.net-examples                   	       0        1        0        1        0
 9961 aspcud                             	       0        6        0        6        0
 9962 aspectc++                          	       0        2        0        2        0
 9963 aspectj                            	       0       12        1       11        0
 9964 aspell-am                          	       0        3        0        3        0
 9965 aspell-ar                          	       0        5        0        5        0
 9966 aspell-ar-large                    	       0        5        0        5        0
 9967 aspell-bg                          	       0        6        0        6        0
 9968 aspell-bn                          	       0        3        0        3        0
 9969 aspell-br                          	       0        4        0        4        0
 9970 aspell-ca                          	       0       10        0       10        0
 9971 aspell-cy                          	       0        3        0        3        0
 9972 aspell-da                          	       0        7        0        7        0
 9973 aspell-de-1901                     	       0       71        2       69        0
 9974 aspell-de-alt                      	       0       17        0        1       16
 9975 aspell-doc                         	       0       20        0        0       20
 9976 aspell-el                          	       0       25        0       25        0
 9977 aspell-eo                          	       0       11        0       11        0
 9978 aspell-eo-cx7                      	       0        1        0        1        0
 9979 aspell-et                          	       0        4        0        4        0
 9980 aspell-eu                          	       0        4        0        4        0
 9981 aspell-eu-es                       	       0        2        0        0        2
 9982 aspell-fa                          	       0        4        0        4        0
 9983 aspell-fi                          	       0        1        1        0        0
 9984 aspell-fo                          	       0        1        0        1        0
 9985 aspell-ga                          	       0        7        0        7        0
 9986 aspell-gl-minimos                  	       0        5        0        5        0
 9987 aspell-gu                          	       0        3        0        3        0
 9988 aspell-he                          	       0        4        0        4        0
 9989 aspell-hi                          	       0        3        0        3        0
 9990 aspell-hr                          	       0        4        0        4        0
 9991 aspell-hsb                         	       0        7        0        7        0
 9992 aspell-hu                          	       0       18        0       18        0
 9993 aspell-hy                          	       0        2        0        2        0
 9994 aspell-is                          	       0        4        0        4        0
 9995 aspell-kk                          	       0        3        0        3        0
 9996 aspell-kn                          	       0        1        0        1        0
 9997 aspell-ku                          	       0        3        0        3        0
 9998 aspell-lt                          	       0        3        0        3        0
 9999 aspell-lv                          	       0        3        0        3        0
10000 aspell-ml                          	       0        3        0        3        0
10001 aspell-mr                          	       0        3        0        3        0
10002 aspell-nl                          	       0       25        0       25        0
10003 aspell-or                          	       0        1        0        1        0
10004 aspell-pa                          	       0        3        0        3        0
10005 aspell-pt                          	       0        3        0        0        3
10006 aspell-pt-pt                       	       0        7        2        5        0
10007 aspell-ro                          	       0       13        0       13        0
10008 aspell-sk                          	       0       12        0       12        0
10009 aspell-sl                          	       0        3        0        3        0
10010 aspell-sv                          	       0       21        0       21        0
10011 aspell-ta                          	       0        4        0        4        0
10012 aspell-te                          	       0        3        0        3        0
10013 aspell-tl                          	       0        3        0        3        0
10014 aspell-uk                          	       0       26        1       25        0
10015 aspell-uz                          	       0        1        0        1        0
10016 aspera-scp-client                  	       0        1        0        1        0
10017 aspia-client                       	       0        1        0        1        0
10018 aspia-console                      	       0        1        0        1        0
10019 aspia-relay                        	       0        1        0        1        0
10020 aspic                              	       0        5        2        3        0
10021 aspnetcore-runtime-2.1             	       0        1        0        0        1
10022 aspnetcore-runtime-2.2             	       0        1        0        0        1
10023 aspnetcore-runtime-3.1             	       0        1        0        0        1
10024 aspnetcore-runtime-5.0             	       0        4        0        0        4
10025 aspnetcore-runtime-6.0             	       0        9        0        0        9
10026 aspnetcore-runtime-7.0             	       0       11        0        1       10
10027 aspnetcore-runtime-8.0             	       0       14        0        0       14
10028 aspnetcore-runtime-9.0             	       0        2        0        0        2
10029 aspnetcore-targeting-pack-3.1      	       0        2        0        0        2
10030 aspnetcore-targeting-pack-5.0      	       0        3        0        0        3
10031 aspnetcore-targeting-pack-6.0      	       0        9        0        0        9
10032 aspnetcore-targeting-pack-7.0      	       0        9        0        0        9
10033 aspnetcore-targeting-pack-8.0      	       0       14        0        0       14
10034 aspnetcore-targeting-pack-9.0      	       0        2        0        0        2
10035 asql                               	       0        2        0        2        0
10036 asr-manpages                       	       0        5        0        0        5
10037 assaultcube                        	       0        5        0        5        0
10038 assetfinder                        	       0        1        0        1        0
10039 assimp-utils                       	       0        3        0        3        0
10040 assogiate                          	       0        1        0        1        0
10041 assword                            	       0        1        0        1        0
10042 astcenc                            	       0        1        0        1        0
10043 asterisk                           	       0       11        4        7        0
10044 asterisk-config                    	       0       11        0        0       11
10045 asterisk-core-sounds-en            	       0       11        0        0       11
10046 asterisk-core-sounds-en-gsm        	       0       11        0        0       11
10047 asterisk-core-sounds-en-wav        	       0        1        0        0        1
10048 asterisk-dahdi                     	       0        2        1        1        0
10049 asterisk-doc                       	       0        3        0        0        3
10050 asterisk-mobile                    	       0        1        0        1        0
10051 asterisk-modules                   	       0       11        4        7        0
10052 asterisk-moh-opsound-gsm           	       0       11        0        0       11
10053 asterisk-mp3                       	       0        1        0        1        0
10054 asterisk-mysql                     	       0        1        0        1        0
10055 asterisk-ooh323                    	       0        2        2        0        0
10056 asterisk-opus                      	       0        3        1        2        0
10057 asterisk-prompt-de                 	       0        2        0        0        2
10058 asterisk-voicemail                 	       0       10        3        7        0
10059 asterisk-vpb                       	       0        1        1        0        0
10060 astro-catalogs                     	       0        2        0        0        2
10061 astro-datareduction                	       0        2        0        0        2
10062 astro-development                  	       0        2        0        0        2
10063 astro-education                    	       0        1        0        0        1
10064 astro-frameworks                   	       0        2        0        0        2
10065 astro-gdl                          	       0        2        0        0        2
10066 astro-java                         	       0        1        0        0        1
10067 astro-publication                  	       0        1        0        0        1
10068 astro-python3                      	       0        1        0        0        1
10069 astro-radioastronomy               	       0        1        0        0        1
10070 astro-simulation                   	       0        1        0        0        1
10071 astro-tasks                        	       0       12        0        0       12
10072 astro-tcltk                        	       0        2        0        0        2
10073 astro-telescopecontrol             	       0        1        0        0        1
10074 astro-tools                        	       0        2        0        0        2
10075 astro-viewers                      	       0        1        0        0        1
10076 astro-virtual-observatory          	       0        1        0        0        1
10077 astroid                            	       0        1        0        1        0
10078 astromatic                         	       0        2        0        0        2
10079 astromenace                        	       0        6        0        6        0
10080 astromenace-data-src               	       0        6        0        0        6
10081 astrometry-data-tycho2             	       0        2        0        0        2
10082 astrometry-data-tycho2-07          	       0        2        0        0        2
10083 astrometry-data-tycho2-07-littleendian	       0        2        0        0        2
10084 astrometry-data-tycho2-08          	       0        2        0        0        2
10085 astrometry-data-tycho2-08-littleendian	       0        2        0        0        2
10086 astrometry-data-tycho2-09          	       0        2        0        0        2
10087 astrometry-data-tycho2-09-littleendian	       0        2        0        0        2
10088 astrometry-data-tycho2-10-19       	       0        2        0        0        2
10089 astrometry-data-tycho2-10-19-littleendian	       0        2        0        0        2
10090 astrometry.net                     	       0       27        1       26        0
10091 astronciaiptv                      	       0        1        0        1        0
10092 astronomical-almanac               	       0       14        0       14        0
10093 astropy-utils                      	       0        7        0        7        0
10094 astyle                             	       0       24        0       24        0
10095 asused                             	       0        3        0        3        0
10096 asylum                             	       0        5        0        5        0
10097 asylum-data                        	       0        5        0        0        5
10098 asymptote-doc                      	       0      109        0        0      109
10099 at-spi2-doc                        	       0        2        0        0        2
10100 atac                               	       0        1        0        1        0
10101 atanks-data                        	       0       10        0        0       10
10102 atari-fdisk-cross                  	       0        1        0        1        0
10103 atari800                           	       0        3        0        3        0
10104 atdts                              	       0        1        0        1        0
10105 aterm                              	       0        3        0        3        0
10106 aterm-ml                           	       0        2        0        2        0
10107 atf-sh                             	       0        1        0        1        0
10108 atfs                               	       0        3        0        3        0
10109 atftp                              	       0       16        0       16        0
10110 atftpd                             	       0       21        2       19        0
10111 athcool                            	       0        1        0        1        0
10112 athena-jot                         	       0        1        0        1        0
10113 atitvout                           	       0        1        0        1        0
10114 atlasvpn-release                   	       0        1        0        0        1
10115 atlc                               	       0        3        0        3        0
10116 atlc-examples                      	       0        1        0        0        1
10117 atm-tools                          	       0        1        0        1        0
10118 atom                               	       0       13        0       13        0
10119 atom4                              	       0        8        0        8        0
10120 atomic                             	       0        5        0        0        5
10121 atomicparsley                      	       0       35        1       34        0
10122 atomix                             	       0       24        2       22        0
10123 atomix-data                        	       0       24        0        0       24
10124 atool                              	       0       22        2       20        0
10125 atop                               	       0      117       24       93        0
10126 atril-common                       	       0     1998        1       11     1986
10127 atrinik-client                     	       0        1        0        1        0
10128 atris                              	       0        1        0        1        0
10129 atsar                              	       0        2        0        2        0
10130 attal                              	       0        1        0        1        0
10131 attal-themes-cyberpunk             	       0        1        0        0        1
10132 attal-themes-medieval              	       0        1        0        0        1
10133 attract                            	       0        1        0        1        0
10134 atuin                              	       0        2        0        2        0
10135 aubio-tools                        	       0        7        0        7        0
10136 auctex                             	       0       32        4       28        0
10137 audacious-dev                      	       0        3        0        3        0
10138 audacious-dumb                     	       0        1        0        0        1
10139 audacious-plugins                  	       0      549        6       15      528
10140 audacious-plugins-data             	       0      552        2        5      545
10141 audacity-data                      	       0      514        0       41      473
10142 audiofile-tools                    	       0        8        1        7        0
10143 audiorelay                         	       0        1        0        1        0
10144 audiotools                         	       0       14        1       13        0
10145 auditd                             	       0       52       12       40        0
10146 audmes                             	       0        3        0        3        0
10147 audtty                             	       0        2        0        2        0
10148 aufs-dev                           	       0        2        0        2        0
10149 aufs-dkms                          	       0       20        0       19        1
10150 aufs-modules-2.6.26-486-voyage     	       0        1        0        0        1
10151 aufs-source                        	       0        1        0        0        1
10152 aufs-tools                         	       0       28        0       28        0
10153 aufs-util                          	       0        2        0        2        0
10154 augeas-doc                         	       0        4        0        0        4
10155 augeas-lenses                      	       0      401        0        0      401
10156 augustus-data                      	       0        1        0        0        1
10157 aumix                              	       0       42        0       42        0
10158 aumix-common                       	       0       50        0       50        0
10159 aumix-gtk                          	       0        7        0        7        0
10160 auralquiz                          	       0        6        0        6        0
10161 austin                             	       0        2        0        2        0
10162 ausweisapp2                        	       0        6        0        6        0
10163 authbind                           	       0       13        1       12        0
10164 authprogs                          	       0        2        0        2        0
10165 auto-07p                           	       0        1        0        1        0
10166 auto-apt                           	       0        5        1        4        0
10167 auto-apt-proxy                     	       0        3        0        3        0
10168 auto-complete-el                   	       0        3        0        0        3
10169 auto-install-el                    	       0        2        0        2        0
10170 auto-multiple-choice-common        	       0        4        0        4        0
10171 auto-multiple-choice-doc           	       0        4        0        0        4
10172 auto-multiple-choice-doc-pdf       	       0        2        0        0        2
10173 autobook                           	       0        2        0        2        0
10174 autoclass                          	       0        3        0        3        0
10175 autoconf-archive                   	       0      105        0        0      105
10176 autoconf-build-deps                	       0        1        0        0        1
10177 autoconf-dickey                    	       0        4        0        4        0
10178 autoconf-dickey-build-deps         	       0        1        0        0        1
10179 autoconf-doc                       	       0       47        0        0       47
10180 autoconf2.13                       	       0       26        1       25        0
10181 autoconf2.59                       	       0        4        0        4        0
10182 autoconf2.64                       	       0       15        1       14        0
10183 autoconf2.69                       	       0       14        1       13        0
10184 autoconf2.69-build-deps            	       0        1        0        0        1
10185 autocutsel                         	       0       16        3       13        0
10186 autodep8                           	       0       31        2       29        0
10187 autodia                            	       0       10        0       10        0
10188 autodir                            	       0        1        0        1        0
10189 autodock                           	       0        1        0        1        0
10190 autodock-vina                      	       0        1        0        1        0
10191 autofdo                            	       0        1        0        1        0
10192 autoflake                          	       0        2        0        2        0
10193 autofs                             	       0      122       24       98        0
10194 autofs-ldap                        	       0        2        0        0        2
10195 autogen-doc                        	       0       52        0        0       52
10196 autogrid                           	       0        1        0        1        0
10197 autoimport                         	       0        1        0        1        0
10198 autokey-common                     	       0       20        0       20        0
10199 autokey-gtk                        	       0       17        0       17        0
10200 autokey-qt                         	       0        5        0        5        0
10201 autolog                            	       0        1        0        1        0
10202 automake-1.15                      	       0        7        0        7        0
10203 automake1.10                       	       0        3        0        3        0
10204 automake1.11                       	       0       18        1       17        0
10205 automake1.4                        	       0        4        0        4        0
10206 automake1.9                        	       0        6        0        6        0
10207 automake1.9-doc                    	       0        2        0        0        2
10208 automoc                            	       0        1        0        1        0
10209 automysqlbackup                    	       0        3        0        3        0
10210 autopano-sift-c                    	       0        1        0        1        0
10211 autopostgresqlbackup               	       0        4        2        2        0
10212 autoproject                        	       0        7        2        5        0
10213 autopsy                            	       0       16        0       16        0
10214 autoradio                          	       0        1        0        1        0
10215 autorandr                          	       0       13        3       10        0
10216 autorenamer                        	       0        1        1        0        0
10217 autorevision                       	       0        3        0        3        0
10218 autossh                            	       0       50        5       45        0
10219 autosuspend                        	       0        1        0        1        0
10220 autotalent                         	       0       14        1       13        0
10221 autotrace                          	       0        3        0        3        0
10222 auxilium                           	       0        1        0        1        0
10223 ava                                	       0        1        0        1        0
10224 avahi-autoipd-dbgsym               	       0        3        0        3        0
10225 avahi-daemon-dbgsym                	       0        3        0        3        0
10226 avahi-discover                     	       0       32        1       31        0
10227 avahi-dnsconfd                     	       0        9        1        8        0
10228 avahi-dnsconfd-dbgsym              	       0        3        0        3        0
10229 avahi-ui-utils                     	       0       13        0       13        0
10230 avahi-ui-utils-dbgsym              	       0        2        0        2        0
10231 avahi-utils-dbgsym                 	       0        3        0        3        0
10232 avarice                            	       0        9        0        9        0
10233 avce00                             	       0       11        0       11        0
10234 avidemux                           	       0       28        0        3       25
10235 avidemux3-cli-dev                  	       0        1        0        1        0
10236 avidemux3-cli-runtime              	       0        3        0        3        0
10237 avidemux3-core-dev                 	       0        1        0        1        0
10238 avidemux3-core-runtime             	       0        3        0        0        3
10239 avidemux3-plugins-cli-plugins      	       0        3        0        3        0
10240 avidemux3-plugins-common-plugins   	       0        3        0        3        0
10241 avidemux3-plugins-qt5-plugins      	       0        3        0        3        0
10242 avidemux3-qt5-dev                  	       0        1        0        1        0
10243 avidemux3-qt5-runtime              	       0        3        0        3        0
10244 avidemux3-settings-settings        	       0        3        0        3        0
10245 aview                              	       0       13        1       12        0
10246 avinfo                             	       0        1        0        1        0
10247 avisynthplus-yuuki                 	       0        1        0        0        1
10248 avldrums.lv2                       	       0        7        1        6        0
10249 avldrums.lv2-data                  	       0        2        0        2        0
10250 avldrums.lv2-soundfont             	       0        6        0        6        0
10251 avm-firmware                       	       0        1        0        1        0
10252 avm-source                         	       0        1        0        0        1
10253 avogadro-data                      	       0        4        0        0        4
10254 avra                               	       0       23        0       23        0
10255 avrdude-doc                        	       0       23        0        0       23
10256 avrprog                            	       0        1        0        1        0
10257 avw.lv2                            	       0        1        0        0        1
10258 awardeco                           	       0        5        0        5        0
10259 aweather                           	       0        1        0        1        0
10260 awesfx                             	       0        9        1        8        0
10261 awesome-dbgsym                     	       0        1        0        1        0
10262 awesome-doc                        	       0       12        0        0       12
10263 awf-gtk3                           	       0        2        0        2        0
10264 awf-gtk4                           	       0        1        0        1        0
10265 awffull                            	       0        1        0        1        0
10266 awscli                             	       0       22        1       21        0
10267 awstats                            	       0       19        3       16        0
10268 ax25-apps                          	       0        7        0        7        0
10269 ax25-node                          	       0        1        0        1        0
10270 ax25-tools                         	       0       10        0       10        0
10271 ax25-xtools                        	       0        8        0        8        0
10272 ax25mail-utils                     	       0        7        0        7        0
10273 ax25spyd                           	       0        1        0        1        0
10274 axe                                	       0        3        0        3        0
10275 axe-demultiplexer                  	       0        1        0        1        0
10276 axiom-doc                          	       0        2        0        0        2
10277 axiom-hypertex-data                	       0        2        0        0        2
10278 axiom-source                       	       0        3        0        0        3
10279 axis-archive-keyring               	       0        1        0        0        1
10280 axmail                             	       0        2        0        2        0
10281 axoloti                            	       0        1        0        1        0
10282 axprotector                        	       0        1        0        0        1
10283 ayatana-indicator-bluetooth        	       0        6        2        4        0
10284 ayatana-indicator-datetime         	       0        1        0        1        0
10285 ayatana-indicator-keyboard         	       0        1        0        1        0
10286 ayatana-indicator-messages         	       0        1        0        1        0
10287 ayatana-indicator-notifications    	       0        1        0        1        0
10288 ayatana-indicator-printers         	       0        2        0        2        0
10289 ayatana-indicator-sound            	       0        1        0        1        0
10290 ayatana-settings                   	       0        1        0        1        0
10291 ayatana-webmail                    	       0        1        0        1        0
10292 ayttm                              	       0        1        0        1        0
10293 ayu-theme                          	       0        1        0        0        1
10294 azuredatastudio                    	       0        1        0        1        0
10295 b3sum                              	       0        6        0        6        0
10296 babeld                             	       0        1        0        1        0
10297 babeltrace                         	       0        1        0        1        0
10298 backblaze-b2                       	       0        1        0        1        0
10299 backdown                           	       0        1        0        1        0
10300 backintime-qt4                     	       0        2        0        1        1
10301 backup-common-2pir                 	       0        1        0        0        1
10302 backup-manager                     	       0        5        0        5        0
10303 backup-manager-doc                 	       0        2        0        0        2
10304 backup2l                           	       0        8        4        4        0
10305 backupninja                        	       0        8        2        6        0
10306 backuppc-rsync                     	       0        8        0        0        8
10307 bacon                              	       0        1        0        1        0
10308 bacula                             	       0        1        0        0        1
10309 bacula-bscan                       	       0        3        0        3        0
10310 bacula-client                      	       0        5        0        1        4
10311 bacula-common                      	       0        8        2        6        0
10312 bacula-common-mysql                	       0        1        0        1        0
10313 bacula-common-pgsql                	       0        2        1        1        0
10314 bacula-console                     	       0        5        0        5        0
10315 bacula-console-qt                  	       0        2        0        2        0
10316 bacula-director                    	       0        3        1        2        0
10317 bacula-director-common             	       0        1        0        0        1
10318 bacula-director-mysql              	       0        1        0        0        1
10319 bacula-director-pgsql              	       0        2        0        0        2
10320 bacula-fd                          	       0        7        2        5        0
10321 bacula-sd                          	       0        3        1        2        0
10322 bacula-server                      	       0        1        0        0        1
10323 baidunetdisk                       	       0        3        0        3        0
10324 bake                               	       0        1        0        1        0
10325 balance                            	       0        1        0        1        0
10326 balazar                            	       0        1        0        1        0
10327 balazar3                           	       0        2        0        0        2
10328 balazar3-2d                        	       0        1        0        0        1
10329 balazar3-3d                        	       0        2        0        0        2
10330 balazar3-common                    	       0        2        0        2        0
10331 balazarbrothers                    	       0        1        0        1        0
10332 balder2d                           	       0        1        0        1        0
10333 balder2d-data                      	       0        1        0        0        1
10334 balena-etcher-electron             	       0        6        0        6        0
10335 ballerburg                         	       0        4        0        4        0
10336 ballview                           	       0        1        0        1        0
10337 ballz                              	       0        5        0        5        0
10338 ballz-data                         	       0        5        0        0        5
10339 baloo-kf5-dev                      	       0        4        0        4        0
10340 balsa                              	       0        2        0        2        0
10341 balsa-data                         	       0        2        0        0        2
10342 bam                                	       0        4        0        4        0
10343 bambam                             	       0       14        1       13        0
10344 bambootracker                      	       0        4        1        3        0
10345 bandit                             	       0        2        0        0        2
10346 bandwidthd                         	       0        1        0        1        0
10347 banshee                            	       0        5        0        5        0
10348 banshee-community-extensions       	       0        1        0        0        1
10349 banshee-extension-alarm            	       0        1        0        1        0
10350 banshee-extension-albumartwriter   	       0        1        0        1        0
10351 banshee-extension-ampache          	       0        1        0        1        0
10352 banshee-extension-awn              	       0        1        0        1        0
10353 banshee-extension-coverwallpaper   	       0        1        0        1        0
10354 banshee-extension-duplicatesongdetector	       0        1        0        1        0
10355 banshee-extension-foldersync       	       0        1        0        1        0
10356 banshee-extension-jamendo          	       0        1        0        1        0
10357 banshee-extension-lastfmfingerprint	       0        1        0        1        0
10358 banshee-extension-lcd              	       0        1        0        1        0
10359 banshee-extension-lirc             	       0        1        0        1        0
10360 banshee-extension-liveradio        	       0        1        0        1        0
10361 banshee-extension-lyrics           	       0        1        0        1        0
10362 banshee-extension-magnatune        	       0        1        0        1        0
10363 banshee-extension-mirage           	       0        2        0        2        0
10364 banshee-extension-openvp           	       0        1        0        1        0
10365 banshee-extension-radiostationfetcher	       0        1        0        1        0
10366 banshee-extension-randombylastfm   	       0        1        0        1        0
10367 banshee-extension-telepathy        	       0        1        0        1        0
10368 banshee-extension-zeitgeistdataprovider	       0        1        0        1        0
10369 banshee-extensions-common          	       0        2        0        0        2
10370 banyanapp                          	       0        1        0        0        1
10371 bar                                	       0        3        0        3        0
10372 barcode                            	       0       52        0       52        0
10373 bareftp                            	       0        1        0        1        0
10374 bareos-bconsole                    	       0        5        0        5        0
10375 bareos-client                      	       0        4        0        0        4
10376 bareos-common                      	       0        9        1        8        0
10377 bareos-filedaemon                  	       0        9        1        8        0
10378 bareos-filedaemon-python-plugins-common	       0        2        0        2        0
10379 bareos-filedaemon-python3-plugin   	       0        2        0        2        0
10380 bareos-traymonitor                 	       0        3        0        3        0
10381 bareos-webui                       	       0        1        0        1        0
10382 baresip                            	       0        8        0        0        8
10383 baresip-core                       	       0       15        0       15        0
10384 baresip-ffmpeg                     	       0       10        0       10        0
10385 baresip-gstreamer                  	       0       11        0       11        0
10386 baresip-gtk                        	       0       11        0       11        0
10387 baresip-x11                        	       0        9        0        9        0
10388 barman                             	       0        3        2        1        0
10389 barman-cli                         	       0        4        1        3        0
10390 barman-cli-cloud                   	       0        1        0        1        0
10391 barnowl                            	       0        1        0        1        0
10392 barrage                            	       0        3        0        3        0
10393 barrier                            	       0       34        7       27        0
10394 bart                               	       0        2        0        2        0
10395 bart-view                          	       0        2        0        2        0
10396 base-files                         	       0     4162        0        0     4162
10397 base58                             	       0       17        0       17        0
10398 basex                              	       0        4        0        4        0
10399 basez                              	       0       16        0       16        0
10400 bash-argsparse                     	       0        1        0        0        1
10401 bash-charmap                       	       0        1        0        1        0
10402 bash-deb-build                     	       0        1        0        1        0
10403 bash-doc                           	       0       43        0        0       43
10404 bash-optimg                        	       0        1        0        1        0
10405 bash-static                        	       0       10        0       10        0
10406 bashacks                           	       0        3        0        3        0
10407 bashacks-doc                       	       0        3        0        0        3
10408 bashburn                           	       0        5        0        5        0
10409 bashdb                             	       0        1        0        1        0
10410 bashtop                            	       0        8        0        8        0
10411 basilisk2                          	       0        6        1        5        0
10412 basix-doc                          	       0        1        0        0        1
10413 basket                             	       0       10        1        9        0
10414 basket-data                        	       0       10        0        0       10
10415 basket-kontact-integration-trinity 	       0        1        0        1        0
10416 basket-trinity                     	       0        1        0        1        0
10417 bastion                            	       0        1        0        0        1
10418 batmon.app                         	       0        3        0        3        0
10419 bats                               	       0        4        0        4        0
10420 bats-assert                        	       0        2        0        2        0
10421 bats-file                          	       0        2        0        2        0
10422 bats-support                       	       0        2        0        2        0
10423 battery-stats                      	       0        9        2        7        0
10424 bazaar-doc                         	       0        1        0        0        1
10425 bazel-6.1.0                        	       0        1        0        1        0
10426 bazel-bootstrap                    	       0        2        0        2        0
10427 bazel-bootstrap-data               	       0        2        0        2        0
10428 bazel-platforms                    	       0        1        0        0        1
10429 bazel-rules-cc                     	       0        1        0        1        0
10430 bazel-rules-java                   	       0        1        0        0        1
10431 bazel-rules-proto                  	       0        1        0        1        0
10432 bazel-skylib                       	       0        1        0        0        1
10433 bb                                 	       0       24        0       24        0
10434 bbdb                               	       0        2        0        0        2
10435 bbdb3                              	       0        4        0        4        0
10436 bbmail                             	       0        1        0        1        0
10437 bbpager                            	       0        6        0        6        0
10438 bbrun                              	       0        5        0        5        0
10439 bbswitch-dkms                      	       0       21        0        0       21
10440 bbswitch-source                    	       0        2        0        0        2
10441 bbtime                             	       0        3        0        3        0
10442 bcache-tools                       	       0        9        0        9        0
10443 bcachefs-tools                     	       0        2        0        2        0
10444 bcachefs-tools-dbgsym              	       0        1        0        1        0
10445 bcal                               	       0        3        0        3        0
10446 bcc                                	       0        8        0        8        0
10447 bcftools                           	       0        1        0        1        0
10448 bchunk                             	       0       38        1       37        0
10449 bcm5700-source                     	       0        1        0        0        1
10450 bcnc                               	       0        3        0        3        0
10451 bcpp                               	       0        9        0        9        0
10452 bcrelay                            	       0        6        0        6        0
10453 bcron                              	       0        1        0        1        0
10454 bcrypt                             	       0        5        0        5        0
10455 bda-monitoring-plugins-bridge-interface	       0        1        0        1        0
10456 bda-monitoring-plugins-broken-symlinks	       0        1        0        1        0
10457 bda-monitoring-plugins-cert-local  	       0        1        0        1        0
10458 bda-monitoring-plugins-count-files 	       0        1        0        1        0
10459 bda-monitoring-plugins-file-age-redux	       0        1        0        1        0
10460 bda-monitoring-plugins-file-md5sum 	       0        1        0        1        0
10461 bda-monitoring-plugins-imap-login  	       0        1        0        1        0
10462 bda-monitoring-plugins-letsencrypt-expiry-1.0	       0        1        0        1        0
10463 bda-monitoring-plugins-mdadm-status	       0        1        0        1        0
10464 bda-monitoring-plugins-pjsip-registration	       0        1        0        1        0
10465 bda-monitoring-plugins-sync-krb    	       0        1        0        1        0
10466 bda-monitoring-plugins-unknown-mac 	       0        1        0        1        0
10467 bdbvu                              	       0        1        0        1        0
10468 bdebstrap                          	       0        3        0        3        0
10469 bdf2sfd                            	       0        2        0        2        0
10470 bdfresize                          	       0        6        0        6        0
10471 bdsup2sub                          	       0        3        0        3        0
10472 bdvmdbg                            	       0        4        0        4        0
10473 beagle                             	       0        1        0        1        0
10474 beamium                            	       0        2        0        2        0
10475 beancount                          	       0        1        0        1        0
10476 beancounter                        	       0        2        0        2        0
10477 bear                               	       0       12        0       12        0
10478 beast-mcmc                         	       0        1        0        1        0
10479 beast2-mcmc                        	       0        1        0        1        0
10480 beav                               	       0        6        0        6        0
10481 bedops                             	       0        1        0        1        0
10482 bedtools                           	       0        5        0        5        0
10483 beebeep                            	       0        1        0        1        0
10484 beef                               	       0        2        0        2        0
10485 beegfs-client                      	       0        1        0        1        0
10486 beegfs-common                      	       0        1        0        0        1
10487 beegfs-helperd                     	       0        1        0        1        0
10488 beegfs-utils                       	       0        1        0        1        0
10489 beekeeper-studio                   	       0        4        0        2        2
10490 beep-media-player                  	       0        1        0        1        0
10491 beep-media-player-wma              	       0        1        0        1        0
10492 beets                              	       0       12        0       12        0
10493 beets-doc                          	       0        5        0        0        5
10494 beid-mozilla-extension             	       0        8        0        0        8
10495 beid-mozilla-webext                	       0        8        1        1        6
10496 beignet                            	       0        3        0        0        3
10497 beignet-opencl-icd                 	       0        9        0        0        9
10498 bellsoft-java11-full               	       0        1        0        1        0
10499 bellsoft-java13-full               	       0        1        0        1        0
10500 bellsoft-java14-full               	       0        1        0        1        0
10501 bellsoft-java15-full               	       0        1        0        1        0
10502 bellsoft-java16-full               	       0        1        0        1        0
10503 bellsoft-java21-full               	       0        1        0        1        0
10504 bellsoft-java8                     	       0        1        0        1        0
10505 bellsoft-java8-full                	       0        1        0        1        0
10506 belvu                              	       0        2        0        2        0
10507 beneath-a-steel-sky                	       0       20        0       20        0
10508 bento4                             	       0        1        0        1        0
10509 bepasty                            	       0        1        0        1        0
10510 berkeley-abc                       	       0       10        1        9        0
10511 berusky                            	       0       16        0       16        0
10512 berusky-data                       	       0       16        0        0       16
10513 berusky2                           	       0        8        0        8        0
10514 berusky2-data                      	       0        8        0        0        8
10515 besagent                           	       0        9        1        8        0
10516 bettercap                          	       0        4        0        4        0
10517 between                            	       0       11        0       11        0
10518 bf-utf-source                      	       0        8        0        0        8
10519 bfgminer                           	       0        1        0        1        0
10520 bforartists                        	       0        2        0        2        0
10521 bfs                                	       0        3        0        3        0
10522 bgcode                             	       0        1        0        1        0
10523 bgpdump                            	       0        1        0        1        0
10524 bgpq3                              	       0        4        1        3        0
10525 bgpq4                              	       0        4        0        4        0
10526 biabam                             	       0        2        0        2        0
10527 bibata-cursor-theme                	       0       15        0        0       15
10528 bibclean                           	       0       10        0       10        0
10529 bibcursed                          	       0        4        0        4        0
10530 bible-kjv                          	       0       10        1        9        0
10531 bible-kjv-text                     	       0       11        0        0       11
10532 bibledit                           	       0        3        0        3        0
10533 bibledit-bibletime                 	       0        1        0        1        0
10534 bibledit-cloud                     	       0        1        0        1        0
10535 bibledit-cloud-data                	       0        1        0        1        0
10536 bibledit-data                      	       0        3        0        3        0
10537 bibledit-gtk                       	       0        1        0        1        0
10538 bibledit-gtk-data                  	       0        1        0        1        0
10539 bibledit-xiphos                    	       0        1        0        1        0
10540 bibletime                          	       0       20        0       20        0
10541 bibletime-data                     	       0       20        0        0       20
10542 biblioteq                          	       0        1        0        0        1
10543 biboumi                            	       0        1        0        1        0
10544 bibtex2html                        	       0        6        1        5        0
10545 bibtexconv                         	       0        5        0        5        0
10546 bibtool                            	       0       13        0       13        0
10547 bibus-doc-en                       	       0        1        0        0        1
10548 bibutils                           	       0        9        0        9        0
10549 bidentd                            	       0        1        0        1        0
10550 bidiv                              	       0        7        0        7        0
10551 biew                               	       0        1        0        1        0
10552 big-cursor                         	       0       30        0        0       30
10553 biglybt                            	       0        4        0        4        0
10554 bijiben                            	       0        6        0        6        0
10555 billard-gl                         	       0       13        0       13        0
10556 billard-gl-data                    	       0       13        0        0       13
10557 biloba                             	       0        4        0        4        0
10558 biloba-data                        	       0        4        0        0        4
10559 bin86                              	       0       27        1       26        0
10560 binance                            	       0        1        0        1        0
10561 binclock                           	       0        3        0        3        0
10562 bind-dnsutils                      	       0        1        0        1        0
10563 bind-host                          	       0        1        0        1        0
10564 bind-libs                          	       0        1        0        0        1
10565 bind9-doc                          	       0       38        0        0       38
10566 bind9utils                         	       0      116        4       29       83
10567 bindechexascii                     	       0        6        0        6        0
10568 bindfs                             	       0       14        0       14        0
10569 bing                               	       0       12        0       12        0
10570 biniax2                            	       0       15        0       15        0
10571 biniax2-data                       	       0       15        0        0       15
10572 binkd                              	       0        3        0        3        0
10573 bino                               	       0        3        0        3        0
10574 binpac                             	       0        1        0        1        0
10575 binstats                           	       0        6        0        6        0
10576 binutils-aarch64-linux-gnu         	       0       52        3       49        0
10577 binutils-aarch64-linux-gnu-dbg     	       0        1        0        1        0
10578 binutils-alpha-linux-gnu           	       0        3        0        3        0
10579 binutils-arm-elf                   	       0        1        0        1        0
10580 binutils-arm-linux-gnueabi         	       0       30        0       30        0
10581 binutils-arm-linux-gnueabi-dbg     	       0        1        0        1        0
10582 binutils-arm-linux-gnueabihf       	       0       37        1       36        0
10583 binutils-arm-none-eabi             	       0       59        1       58        0
10584 binutils-common                    	       0     2697        0        0     2697
10585 binutils-djgpp                     	       0        1        0        1        0
10586 binutils-doc                       	       0       55        0        0       55
10587 binutils-for-build                 	       0        3        0        0        3
10588 binutils-for-host                  	       0        2        0        0        2
10589 binutils-gold-powerpc64le-linux-gnu	       0        1        0        1        0
10590 binutils-hppa-linux-gnu            	       0        4        0        4        0
10591 binutils-hppa-linux-gnu-dbg        	       0        1        0        1        0
10592 binutils-hppa64-linux-gnu          	       0        5        0        5        0
10593 binutils-hppa64-linux-gnu-dbg      	       0        1        0        1        0
10594 binutils-i686-gnu                  	       0        1        0        1        0
10595 binutils-ia16-elf                  	       0        1        0        1        0
10596 binutils-m68hc1x                   	       0        1        0        1        0
10597 binutils-m68k-linux-gnu            	       0        1        0        1        0
10598 binutils-mingw-w64                 	       0        9        0        0        9
10599 binutils-mingw-w64-i686            	       0       43        2       41        0
10600 binutils-mingw-w64-ucrt64          	       0        3        0        3        0
10601 binutils-mingw-w64-x86-64          	       0       47        2       45        0
10602 binutils-mips-linux-gnu            	       0        7        0        7        0
10603 binutils-mips64-linux-gnuabi64     	       0        1        0        1        0
10604 binutils-mips64el-linux-gnuabi64   	       0        4        0        4        0
10605 binutils-mipsel-linux-gnu          	       0        7        0        7        0
10606 binutils-msp430                    	       0        2        0        2        0
10607 binutils-multiarch-dbg             	       0        1        0        1        0
10608 binutils-multiarch-dev             	       0        4        0        0        4
10609 binutils-or1k-elf                  	       0        1        0        1        0
10610 binutils-powerpc-linux-gnu         	       0        5        0        5        0
10611 binutils-powerpc64-linux-gnu       	       0        6        0        6        0
10612 binutils-powerpc64le-linux-gnu     	       0        4        1        3        0
10613 binutils-riscv64-linux-gnu         	       0       11        1       10        0
10614 binutils-riscv64-unknown-elf       	       0        3        0        3        0
10615 binutils-s390x-linux-gnu           	       0        4        0        4        0
10616 binutils-source                    	       0        2        0        0        2
10617 binutils-sparc64-linux-gnu         	       0        3        0        3        0
10618 binutils-x86-64-linux-gnux32       	       0        6        0        6        0
10619 binutils-xtensa-lx106              	       0        2        0        2        0
10620 binutils-z80                       	       0        6        0        6        0
10621 binwalk                            	       0       41        1       40        0
10622 bioperl                            	       0        3        0        3        0
10623 bioperl-run                        	       0        3        0        3        0
10624 biosdisk                           	       0        1        0        1        0
10625 biosig-tools                       	       0        2        0        2        0
10626 bird                               	       0        4        1        3        0
10627 bird-bgp                           	       0        1        0        0        1
10628 bird-doc                           	       0        2        0        0        2
10629 bird2                              	       0        5        2        3        0
10630 birdfont                           	       0       10        0       10        0
10631 birdfont-common                    	       0        8        0        0        8
10632 birthday                           	       0        4        0        4        0
10633 bison++                            	       0        1        0        1        0
10634 bisonc++                           	       0        5        0        5        0
10635 bisonc++-doc                       	       0        4        0        4        0
10636 bisq                               	       0        8        0        8        0
10637 bit4id-ipki                        	       0        1        0        1        0
10638 bitcoin-cpuminer                   	       0        1        0        1        0
10639 bitcoin-qt                         	       0        7        0        7        0
10640 bitcoin-tx                         	       0        2        0        2        0
10641 bitcoind                           	       0        4        0        4        0
10642 bitdefender-security-tools         	       0        1        0        1        0
10643 bitlbee                            	       0        9        2        7        0
10644 bitlbee-common                     	       0       12        0        0       12
10645 bitlbee-dev                        	       0        2        0        1        1
10646 bitlbee-facebook                   	       0        1        0        1        0
10647 bitlbee-libpurple                  	       0        3        1        2        0
10648 bitlbee-libpurple-dbgsym           	       0        1        0        1        0
10649 bitlbee-plugin-facebook            	       0        1        0        1        0
10650 bitlbee-plugin-mastodon            	       0        2        0        2        0
10651 bitlbee-plugin-otr                 	       0        2        0        2        0
10652 bitlbee-steam                      	       0        1        0        1        0
10653 bitmap-mule                        	       0        1        0        1        0
10654 bitmeter                           	       0        2        0        2        0
10655 bitpim-lib                         	       0        1        0        1        0
10656 bitscope-dso                       	       0        1        0        1        0
10657 bitshuffle                         	       0        1        0        1        0
10658 bitsnpicas                         	       0        1        0        1        0
10659 bitsquare                          	       0        1        0        1        0
10660 bitstormlite                       	       0        2        0        2        0
10661 bittornado                         	       0       64        1       63        0
10662 bittorrent                         	       0        5        0        5        0
10663 bittorrent-gui                     	       0        3        0        3        0
10664 bitwarden                          	       0        4        0        1        3
10665 bitwig-studio                      	       0        5        0        5        0
10666 bitwise                            	       0        2        0        2        0
10667 bjeps2xx                           	       0        1        0        1        0
10668 bkchem                             	       0        1        0        1        0
10669 blabel                             	       0        1        0        1        0
10670 black-box                          	       0       12        0       12        0
10671 blackbird-gtk-theme                	       0      169        0        0      169
10672 blackbox                           	       0       15        1       14        0
10673 blackbox-terminal                  	       0        1        0        1        0
10674 blackbox-themes                    	       0        4        0        0        4
10675 blackdev-plymouth-theme            	       0        1        0        0        1
10676 blacs-mpi-test                     	       0        1        0        1        0
10677 blacs-test-common                  	       0        1        0        1        0
10678 blahtexml                          	       0        6        2        4        0
10679 blanket                            	       0        1        0        1        0
10680 blast                              	       0        1        0        1        0
10681 blast2                             	       0        3        0        0        3
10682 blastem                            	       0        9        0        9        0
10683 blcr-util                          	       0        1        0        1        0
10684 blender-dbg                        	       0        1        0        1        0
10685 blender-doc                        	       0        2        0        0        2
10686 blends-common                      	       0       25        1       24        0
10687 blends-doc                         	       0        3        0        0        3
10688 blends-tasks                       	       0        3        0        0        3
10689 blepvco                            	       0        8        1        7        0
10690 bless                              	       0       20        0       20        0
10691 blhc                               	       0        1        0        1        0
10692 blink                              	       0        1        0        1        0
10693 blinkd                             	       0        1        0        1        0
10694 bliss                              	       0        5        0        5        0
10695 blktrace                           	       0        8        0        8        0
10696 blobandconquer                     	       0        3        0        3        0
10697 blobandconquer-data                	       0        4        0        4        0
10698 blobby-build-deps                  	       0        1        0        0        1
10699 blobby-data                        	       0        8        0        0        8
10700 blobby-dbgsym                      	       0        1        0        1        0
10701 bloboats                           	       0        5        0        5        0
10702 blobwars                           	       0       12        0       12        0
10703 blobwars-data                      	       0       12        0       12        0
10704 blockade                           	       0        1        0        1        0
10705 blockattack                        	       0       18        0       18        0
10706 blockbench                         	       0        2        0        0        2
10707 blockfinder                        	       0        1        0        1        0
10708 blocks-of-the-undead               	       0       10        0       10        0
10709 blocks-of-the-undead-data          	       0       10        0        0       10
10710 blogc                              	       0        1        0        1        0
10711 blogc-make                         	       0        1        0        1        0
10712 blogc-runserver                    	       0        1        0        1        0
10713 blogilo                            	       0        1        0        1        0
10714 blosxom                            	       0        1        0        1        0
10715 bls-standalone                     	       0        2        0        2        0
10716 blt                                	       0      898        0        0      898
10717 blt-demo                           	       0       16        0        0       16
10718 bluebrain-hpc-coding-conventions   	       0        1        0        0        1
10719 bluefish-data                      	       0       63        0        1       62
10720 bluefish-doc-pdf                   	       0        1        0        0        1
10721 bluefish-doc-ps                    	       0        1        0        0        1
10722 bluefish-plugins                   	       0       62        0        1       61
10723 bluegriffon                        	       0        4        0        4        0
10724 bluej                              	       0        5        1        4        0
10725 bluejeans-v2                       	       0        3        0        0        3
10726 bluemindo                          	       0        1        0        1        0
10727 bluemon                            	       0       19        0       19        0
10728 blueprint                          	       0        1        0        1        0
10729 blueprint-compiler                 	       0        1        0        1        0
10730 blueprint-tools                    	       0        2        0        2        0
10731 bluetooth                          	       0     1553        0        0     1553
10732 bluewho                            	       0        2        0        2        0
10733 bluez-alsa                         	       0        2        0        0        2
10734 bluez-compat                       	       0        3        0        3        0
10735 bluez-gstreamer                    	       0        2        0        0        2
10736 bluez-source                       	       0       18        0        0       18
10737 bluez-test-scripts                 	       0       35        0        0       35
10738 bluez-tools-dbgsym                 	       0        1        0        1        0
10739 bluez-utils                        	       0        3        0        0        3
10740 blupimania                         	       0        2        0        2        0
10741 blupimania-common                  	       0        2        0        0        2
10742 blur-effect                        	       0        3        0        3        0
10743 bluraybackup                       	       0        4        0        4        0
10744 bmagic                             	       0        1        0        1        0
10745 bmap-tools                         	       0       12        1       11        0
10746 bmon                               	       0       89        3       86        0
10747 bmt                                	       0        1        0        1        0
10748 bnd                                	       0       53        2       51        0
10749 bnfc                               	       0        2        0        2        0
10750 boca.amd64                         	       0        1        0        1        0
10751 bochs                              	       0       14        0       14        0
10752 bochs-doc                          	       0        6        0        0        6
10753 bochs-sdl                          	       0        7        0        1        6
10754 bochs-term                         	       0        5        0        2        3
10755 bochs-wx                           	       0       12        0        3        9
10756 bochs-x                            	       0        4        0        2        2
10757 bochsbios                          	       0       16        0        0       16
10758 bodr                               	       0        9        0        0        9
10759 bogl-bterm                         	       0        1        0        1        0
10760 bogl-utils                         	       0        3        0        3        0
10761 bogofilter                         	       0      295        0        0      295
10762 bogofilter-common                  	       0      297        0        0      297
10763 bogofilter-sqlite                  	       0        4        1        3        0
10764 boinc                              	       0       15        0        0       15
10765 boinc-app-seti                     	       0        1        0        0        1
10766 boinc-client-opencl                	       0        1        0        0        1
10767 boinc-screensaver                  	       0        2        0        2        0
10768 boinc-virtualbox                   	       0        2        0        0        2
10769 boinctui                           	       0        6        1        5        0
10770 bolt-16                            	       0        1        0        1        0
10771 bolt-tests                         	       0        1        0        0        1
10772 bombadillo                         	       0        1        0        1        0
10773 bomberclone                        	       0       14        0       14        0
10774 bomberclone-data                   	       0       14        0        0       14
10775 bombermaze                         	       0        1        0        1        0
10776 bombono-dvd                        	       0        1        0        1        0
10777 bombono-dvd-data                   	       0        3        0        0        3
10778 bomstrip                           	       0        1        0        1        0
10779 bongosurfer                        	       0        1        0        1        0
10780 boogie                             	       0        1        0        1        0
10781 boohu                              	       0        4        0        4        0
10782 bookletimposer                     	       0       15        1       14        0
10783 booksorg                           	       0        1        0        1        0
10784 boolector                          	       0        1        0        1        0
10785 boomaga                            	       0       15        0       15        0
10786 boost-defaults-build-deps          	       0        1        0        0        1
10787 boost1.71-build-deps               	       0        1        0        0        1
10788 boot-info                          	       0        1        0        1        0
10789 boot-info-script                   	       0        7        0        7        0
10790 boot-repair                        	       0        3        0        3        0
10791 boot-sav                           	       0        3        0        0        3
10792 boot-sav-extra                     	       0        3        0        0        3
10793 bootcd                             	       0        2        0        2        0
10794 bootchart                          	       0        2        0        2        0
10795 bootchart-view                     	       0        1        0        1        0
10796 bootchart2                         	       0        2        0        2        0
10797 bootiso                            	       0        1        0        1        0
10798 bootlogd-dbgsym                    	       0        2        0        2        0
10799 bootp                              	       0        5        0        5        0
10800 bootparamd                         	       0        3        1        2        0
10801 bootpc                             	       0        2        0        2        0
10802 bootscript-odroidc4                	       0        1        0        0        1
10803 bootscript-odroidm1                	       0        1        0        0        1
10804 bootstrap-icons                    	       0        2        0        0        2
10805 bootterm                           	       0        2        0        2        0
10806 borgbackup                         	       0       68        0       68        0
10807 borgbackup-doc                     	       0       10        0        0       10
10808 borgbackup2                        	       0        1        0        1        0
10809 borgcalendar                       	       0        2        0        2        0
10810 borgmatic                          	       0       16        0       16        0
10811 bos                                	       0        1        0        1        0
10812 bosh                               	       0        5        0        5        0
10813 boson                              	       0        1        0        1        0
10814 boson-data                         	       0        1        0        0        1
10815 boson-music                        	       0        1        0        0        1
10816 bossa                              	       0        4        0        4        0
10817 bossa-cli                          	       0        5        0        5        0
10818 boswars                            	       0       12        0       12        0
10819 boswars-data                       	       0       12        0        0       12
10820 botan                              	       0        6        0        6        0
10821 botch                              	       0        1        0        1        0
10822 botch-doc                          	       0        2        0        0        2
10823 bottlerocket                       	       0        3        1        2        0
10824 bottom                             	       0        3        0        3        0
10825 bouncy                             	       0       10        0       10        0
10826 bowtie                             	       0        3        0        3        0
10827 bowtie2                            	       0        2        0        2        0
10828 boxer                              	       0        1        0        1        0
10829 boxer-data                         	       0        1        0        0        1
10830 boxes                              	       0        9        0        9        0
10831 boxshade                           	       0        2        0        2        0
10832 bpfcc-tools                        	       0        3        0        3        0
10833 bpfmon                             	       0       27        1       26        0
10834 bpftool                            	       0        7        0        7        0
10835 bpftrace                           	       0        5        0        5        0
10836 bplay                              	       0       10        1        9        0
10837 bpm-tools                          	       0        3        0        3        0
10838 bpta                               	       0        1        0        0        1
10839 bpython                            	       0        8        0        8        0
10840 bpython3                           	       0        1        0        1        0
10841 bpytop                             	       0       36        2       34        0
10842 br2684ctl                          	       0        1        0        1        0
10843 braa                               	       0       26        0       26        0
10844 brag                               	       0        2        0        2        0
10845 braillefont                        	       0        1        0        1        0
10846 braindump                          	       0        1        0        1        0
10847 brainparty                         	       0       15        0       15        0
10848 brainparty-data                    	       0       15        0        0       15
10849 brandy                             	       0        6        0        6        0
10850 brasero-cdrkit                     	       0      373        0        0      373
10851 brasero-common                     	       0      601        0        0      601
10852 brave-browser-beta                 	       0        6        1        5        0
10853 brave-browser-nightly              	       0        5        1        4        0
10854 brave-keyring                      	       0      202        0        0      202
10855 brazilian-conjugate                	       0        2        0        2        0
10856 breathe-doc                        	       0        1        0        0        1
10857 breeze-cursor-theme                	       0      651        0        0      651
10858 breeze-dev                         	       0        3        0        0        3
10859 breeze-gtk-theme                   	       0      585        0        0      585
10860 breeze-icon-theme                  	       0      692        0        0      692
10861 breeze-icon-theme-rcc              	       0       16        0        0       16
10862 breeze-wallpaper                   	       0       29        0        0       29
10863 breitbandmessung                   	       0        1        0        0        1
10864 brewtarget                         	       0        2        0        2        0
10865 brgenml1cupswrapper                	       0        5        0        0        5
10866 brgenml1lpr                        	       0        3        0        0        3
10867 brhl2040lpr                        	       0        1        0        1        0
10868 brhl2140lpr                        	       0        2        0        2        0
10869 briar-desktop                      	       0        3        0        3        0
10870 brick-flash                        	       0        1        0        1        0
10871 brickos-doc                        	       0        1        0        0        1
10872 brickv                             	       0        1        0        1        0
10873 bricscadv20                        	       0        1        0        1        0
10874 bricscadv22                        	       0        1        0        1        0
10875 bricscadv23                        	       0        1        0        1        0
10876 bricscadv24                        	       0        1        0        1        0
10877 bricscadv25                        	       0        1        0        1        0
10878 brig                               	       0        1        0        1        0
10879 brightd                            	       0        5        1        4        0
10880 brightnessctl-dbgsym               	       0        1        0        1        0
10881 brightnesspicker                   	       0        1        0        1        0
10882 briquolo                           	       0       10        0       10        0
10883 briquolo-data                      	       0       10        0        0       10
10884 bristol                            	       0        4        0        4        0
10885 bristol-data                       	       0        4        0        0        4
10886 brlcad                             	       0        1        0        1        0
10887 brltty-build-deps                  	       0        1        0        0        1
10888 brltty-speechd                     	       0        1        0        1        0
10889 brmfc7320lpr                       	       0        1        0        1        0
10890 brmfc7820nlpr                      	       0        1        0        1        0
10891 brmfcfaxcups                       	       0        3        0        3        0
10892 brmfcfaxdrv                        	       0        1        0        0        1
10893 brmfcfaxlpd                        	       0        1        0        0        1
10894 bro-aux                            	       0        1        0        1        0
10895 bro-common                         	       0        1        0        0        1
10896 broadcom-sta-common                	       0        7        0        0        7
10897 broadcom-sta-source                	       0        6        0        0        6
10898 broot                              	       0        3        0        3        0
10899 brother-udev-rule-type1            	       0        8        0        0        8
10900 browser-plugin-evince              	       0        4        0        4        0
10901 browser-plugin-freshplayer-nacl    	       0        1        0        1        0
10902 browser-plugin-freshplayer-pepperflash	       0        6        0        0        6
10903 browser-plugin-gnash               	       0       13        1       12        0
10904 browser-plugin-lightspark          	       0        2        0        2        0
10905 browser-plugin-vlc                 	       0        1        0        1        0
10906 browsh                             	       0        8        0        8        0
10907 brp-pacu                           	       0        3        0        3        0
10908 brscan-skey                        	       0       67        0        3       64
10909 brscan2                            	       0        7        0        7        0
10910 brscan3                            	       0        8        0        8        0
10911 brscan4                            	       0       67        1       66        0
10912 brscan5                            	       0        7        0        1        6
10913 bruno                              	       0        3        0        0        3
10914 brutalchess                        	       0       16        0       16        0
10915 brutefir                           	       0        1        0        1        0
10916 bruteforce-salted-openssl          	       0       25        0       25        0
10917 bruteforce-wallet                  	       0       21        0       21        0
10918 brutespray                         	       0       22        0       22        0
10919 brz-debian                         	       0        4        0        4        0
10920 brz-doc                            	       0       12        0        0       12
10921 brz-loom                           	       0        1        0        1        0
10922 bs1770gain                         	       0        7        0        7        0
10923 bs2b-ladspa                        	       0        6        1        5        0
10924 bsc                                	       0        1        0        1        0
10925 bsdcpio                            	       0        4        0        0        4
10926 bsdextrautils-dbgsym               	       0        1        0        1        0
10927 bsdiff                             	       0       18        0       18        0
10928 bsdiff-dbgsym                      	       0        1        0        1        0
10929 bsdowl                             	       0        1        0        1        0
10930 bsdtar                             	       0       20        0        0       20
10931 bsdutils-dbgsym                    	       0        2        0        2        0
10932 bsfilter                           	       0       22        1       21        0
10933 bsh                                	       0       35        1       34        0
10934 bsh-doc                            	       0       13        0        0       13
10935 bsh-gcj                            	       0        1        0        1        0
10936 bsh-src                            	       0        1        0        0        1
10937 bspwm                              	       0       24        3       21        0
10938 btag                               	       0        5        0        5        0
10939 btanks                             	       0       11        0       11        0
10940 btanks-data                        	       0       11        0        0       11
10941 btcheck                            	       0        6        1        5        0
10942 btest                              	       0        1        0        1        0
10943 btfs                               	       0        4        0        4        0
10944 btoa                               	       0        1        0        1        0
10945 btrbk                              	       0        5        0        5        0
10946 btrfs-compsize                     	       0       24        0       24        0
10947 btrfs-heatmap                      	       0       23        0       23        0
10948 btrfs-tools                        	       0       46        0        1       45
10949 btrustbiss                         	       0        1        0        1        0
10950 btscanner                          	       0       50        0       50        0
10951 btyacc                             	       0        1        0        1        0
10952 bubblefishymon                     	       0        3        0        3        0
10953 bubbros                            	       0        1        0        1        0
10954 bucardo                            	       0        1        0        1        0
10955 buckap                             	       0        2        0        2        0
10956 bucklespring                       	       0        7        0        7        0
10957 bucklespring-data                  	       0        7        0        0        7
10958 budgie-app-launcher-applet         	       0        1        0        0        1
10959 budgie-applications-menu-applet    	       0        3        0        0        3
10960 budgie-appmenu-applet              	       0        1        0        0        1
10961 budgie-backgrounds                 	       0        1        0        0        1
10962 budgie-brightness-controller-applet	       0        4        0        0        4
10963 budgie-clockworks-applet           	       0        1        0        0        1
10964 budgie-control-center              	       0        5        0        5        0
10965 budgie-control-center-data         	       0        5        0        0        5
10966 budgie-core                        	       0        7        1        6        0
10967 budgie-core-dev                    	       0        1        0        1        0
10968 budgie-countdown-applet            	       0        1        0        0        1
10969 budgie-desktop                     	       0        7        0        0        7
10970 budgie-desktop-doc                 	       0        2        0        0        2
10971 budgie-desktop-view                	       0        8        1        7        0
10972 budgie-dropby-applet               	       0        1        0        0        1
10973 budgie-extras-common               	       0        7        0        0        7
10974 budgie-extras-daemon               	       0        2        0        2        0
10975 budgie-hotcorners-applet           	       0        1        0        1        0
10976 budgie-indicator-applet            	       0        1        0        0        1
10977 budgie-kangaroo-applet             	       0        1        0        0        1
10978 budgie-keyboard-autoswitch-applet  	       0        2        0        0        2
10979 budgie-network-manager-applet      	       0        1        0        0        1
10980 budgie-previews                    	       0        1        0        1        0
10981 budgie-previews-applet             	       0        1        0        0        1
10982 budgie-quickchar                   	       0        1        0        1        0
10983 budgie-quicknote-applet            	       0        1        0        0        1
10984 budgie-recentlyused-applet         	       0        1        0        0        1
10985 budgie-rotation-lock-applet        	       0        1        0        0        1
10986 budgie-showtime-applet             	       0        1        0        0        1
10987 budgie-sntray-plugin               	       0        2        0        0        2
10988 budgie-takeabreak-applet           	       0        1        0        0        1
10989 budgie-trash-applet                	       0        1        0        0        1
10990 budgie-visualspace-applet          	       0        1        0        0        1
10991 budgie-wallstreet                  	       0        2        0        2        0
10992 budgie-weathershow-applet          	       0        2        0        0        2
10993 budgie-window-mover-applet         	       0        1        0        0        1
10994 budgie-window-shuffler             	       0        2        0        2        0
10995 budgie-workspace-overview-applet   	       0        1        0        0        1
10996 budgie-workspace-stopwatch-applet  	       0        1        0        0        1
10997 budgie-workspace-wallpaper-applet  	       0        1        0        0        1
10998 buffy                              	       0        1        0        1        0
10999 bugsquish                          	       0        4        0        4        0
11000 bugz                               	       0        2        0        2        0
11001 bugzilla-cli                       	       0        2        0        2        0
11002 buici-clock                        	       0        8        1        7        0
11003 build                              	       0        2        0        2        0
11004 build-essential                    	       0     2226        0        0     2226
11005 buildapp                           	       0        2        0        2        0
11006 buildbot-doc                       	       0        2        0        0        2
11007 buildtorrent                       	       0        4        0        4        0
11008 buku                               	       0       14        1       13        0
11009 bulky                              	       0        3        1        2        0
11010 bully                              	       0       22        0       22        0
11011 bum                                	       0        1        0        1        0
11012 bumblebee                          	       0       20        4       16        0
11013 bumblebee-nvidia                   	       0        6        0        0        6
11014 bumprace                           	       0        4        0        4        0
11015 bumprace-data                      	       0        4        0        0        4
11016 bumpversion                        	       0        2        0        2        0
11017 bundler                            	       0       30        0        0       30
11018 bundlewrap                         	       0        1        0        1        0
11019 bunsen-thunar                      	       0        1        0        0        1
11020 bup-doc                            	       0      505        0        0      505
11021 burgerspace                        	       0       10        0       10        0
11022 burn                               	       0        1        0        1        0
11023 burner-cdrkit                      	       0       12        0        0       12
11024 burner-common                      	       0       14        0        0       14
11025 burp                               	       0        3        1        2        0
11026 bustle                             	       0        7        0        7        0
11027 bustle-pcap                        	       0        7        0        7        0
11028 busybox-static                     	       0       82        7       75        0
11029 busybox-syslogd                    	       0        1        0        1        0
11030 buthead                            	       0        3        0        3        0
11031 butt                               	       0        2        0        2        0
11032 butteraugli                        	       0        2        0        2        0
11033 buzztrax                           	       0        5        0        5        0
11034 bwa                                	       0        5        0        5        0
11035 bwbar                              	       0        1        0        1        0
11036 bwbasic                            	       0       11        0       11        0
11037 bwidget                            	       0       54        0        0       54
11038 bwm-ng                             	       0       32        1       31        0
11039 bximage                            	       0       13        0       13        0
11040 byacc-dbgsym                       	       0        1        0        1        0
11041 byedpi                             	       0        1        0        1        0
11042 bygfoot                            	       0        2        0        2        0
11043 bygfoot-data                       	       0        2        0        0        2
11044 bytedance-feishu-stable            	       0        1        0        1        0
11045 bytes-circle                       	       0        1        0        1        0
11046 byzanz                             	       0       11        0       11        0
11047 bzflag                             	       0       11        0        0       11
11048 bzflag-client                      	       0       19        0       19        0
11049 bzflag-data                        	       0       19        0        0       19
11050 bzflag-server                      	       0       13        0       13        0
11051 bzip2-doc                          	       0      400        0        0      400
11052 bzip3                              	       0       17        0       17        0
11053 bzr                                	       0       45        1        9       35
11054 bzr-builddeb                       	       0        4        0        1        3
11055 bzr-doc                            	       0        7        0        0        7
11056 bzrtools                           	       0       11        1        3        7
11057 c++-annotations                    	       0        5        0        5        0
11058 c++-annotations-contrib            	       0        6        0        0        6
11059 c++-annotations-dvi                	       0        5        0        0        5
11060 c++-annotations-html               	       0        7        0        0        7
11061 c++-annotations-latex              	       0        5        0        0        5
11062 c++-annotations-pdf                	       0        9        0        0        9
11063 c++-annotations-ps                 	       0        5        0        0        5
11064 c++-annotations-txt                	       0        5        0        0        5
11065 c-cpp-reference                    	       0        1        0        1        0
11066 c2hs                               	       0        1        0        1        0
11067 c2hs-doc                           	       0        1        0        1        0
11068 c3270                              	       0        6        0        6        0
11069 c44-freeimage                      	       0        1        0        1        0
11070 ca-cacert                          	       0       11        0        0       11
11071 ca-certificates-java               	       0     1633        0        0     1633
11072 ca-installer                       	       0        1        0        1        0
11073 ca-misc-dev                        	       0        1        0        1        0
11074 ca-netif                           	       0        1        0        1        0
11075 cabal-debian                       	       0        6        0        6        0
11076 cabal-install                      	       0       16        0       16        0
11077 cabot                              	       0        1        0        1        0
11078 cachefilesd                        	       0        3        0        3        0
11079 cackey                             	       0        1        0        1        0
11080 cacti                              	       0        3        0        3        0
11081 cacti-spine                        	       0        1        0        1        0
11082 cadabra                            	       0        1        0        1        0
11083 cadaver                            	       0       15        1       14        0
11084 caddy                              	       0        2        0        2        0
11085 cadence                            	       0        3        0        3        0
11086 cadence-data                       	       0        5        0        0        5
11087 cadence-tools                      	       0        4        0        4        0
11088 cadzinho                           	       0        1        0        1        0
11089 cafeobj                            	       0        3        0        3        0
11090 cafeobj-mode                       	       0        1        0        1        0
11091 caffe-tools-cpu                    	       0        1        0        1        0
11092 cage                               	       0        5        0        5        0
11093 cairo-5c                           	       0        6        0        0        6
11094 cairo-clock                        	       0        1        0        1        0
11095 cairo-dock                         	       0        3        0        0        3
11096 cairo-dock-alsamixer-plug-in       	       0        7        0        2        5
11097 cairo-dock-animated-icons-plug-in  	       0        6        0        2        4
11098 cairo-dock-cairo-penguin-plug-in   	       0        5        0        2        3
11099 cairo-dock-clipper-plug-in         	       0        7        0        2        5
11100 cairo-dock-clock-plug-in           	       0        6        0        2        4
11101 cairo-dock-core                    	       0       10        0       10        0
11102 cairo-dock-dbus-plug-in            	       0        5        0        5        0
11103 cairo-dock-desklet-rendering-plug-in	       0        5        0        2        3
11104 cairo-dock-dev                     	       0        2        0        2        0
11105 cairo-dock-dialog-rendering-plug-in	       0        5        0        2        3
11106 cairo-dock-dnd2share-plug-in       	       0        5        0        2        3
11107 cairo-dock-drop-indicator-plug-in  	       0        5        0        2        3
11108 cairo-dock-dustbin-plug-in         	       0        5        0        2        3
11109 cairo-dock-folders-plug-in         	       0        5        0        2        3
11110 cairo-dock-gmenu-plug-in           	       0        5        0        2        3
11111 cairo-dock-gnome-integration-plug-in	       0        4        0        2        2
11112 cairo-dock-icon-effect-plug-in     	       0        5        0        2        3
11113 cairo-dock-illusion-plug-in        	       0        5        0        2        3
11114 cairo-dock-impulse-plug-in         	       0        4        0        2        2
11115 cairo-dock-kde-integration-plug-in 	       0        3        0        0        3
11116 cairo-dock-keyboard-indicator-plug-in	       0        5        0        2        3
11117 cairo-dock-logout-plug-in          	       0        5        0        2        3
11118 cairo-dock-mail-plug-in            	       0        5        0        2        3
11119 cairo-dock-messaging-menu-plug-in  	       0        5        0        2        3
11120 cairo-dock-motion-blur-plug-in     	       0        5        0        2        3
11121 cairo-dock-musicplayer-plug-in     	       0        5        0        2        3
11122 cairo-dock-netspeed-plug-in        	       0        5        0        2        3
11123 cairo-dock-plug-in-data            	       0       19        0        2       17
11124 cairo-dock-plug-ins                	       0        3        0        0        3
11125 cairo-dock-powermanager-plug-in    	       0        6        0        2        4
11126 cairo-dock-quick-browser-plug-in   	       0        5        0        2        3
11127 cairo-dock-recent-events-plug-in   	       0        5        0        2        3
11128 cairo-dock-remote-control-plug-in  	       0        5        0        2        3
11129 cairo-dock-rendering-plug-in       	       0        5        0        2        3
11130 cairo-dock-rssreader-plug-in       	       0        5        0        2        3
11131 cairo-dock-shortcuts-plug-in       	       0        5        0        2        3
11132 cairo-dock-showdesktop-plug-in     	       0        5        0        2        3
11133 cairo-dock-showmouse-plug-in       	       0        5        0        2        3
11134 cairo-dock-slider-plug-in          	       0        5        0        2        3
11135 cairo-dock-stack-plug-in           	       0        5        0        2        3
11136 cairo-dock-switcher-plug-in        	       0        5        0        2        3
11137 cairo-dock-system-monitor-plug-in  	       0        5        0        2        3
11138 cairo-dock-systray-plug-in         	       0        5        0        2        3
11139 cairo-dock-terminal-plug-in        	       0        5        0        2        3
11140 cairo-dock-tomboy-plug-in          	       0        5        0        2        3
11141 cairo-dock-toons-plug-in           	       0        5        0        2        3
11142 cairo-dock-weather-plug-in         	       0        6        0        2        4
11143 cairo-dock-wifi-plug-in            	       0        5        0        2        3
11144 cairo-dock-xfce-integration-plug-in	       0        6        0        0        6
11145 cairo-dock-xgamma-plug-in          	       0        5        0        2        3
11146 cairosvg                           	       0       10        0       10        0
11147 caja-actions                       	       0       20        5       15        0
11148 caja-actions-common                	       0       21        0        0       21
11149 caja-admin                         	       0       73        0        0       73
11150 caja-dropbox                       	       0        8        1        7        0
11151 caja-extension-fma                 	       0        1        0        0        1
11152 caja-mediainfo                     	       0       14        0        0       14
11153 caja-nextcloud                     	       0        6        0        0        6
11154 caja-share                         	       0       19        2       10        7
11155 caja-xattr-tags                    	       0       10        3        4        3
11156 cakephp                            	       0        1        0        1        0
11157 cakephp-scripts                    	       0        1        0        1        0
11158 calamares-extensions               	       0        1        0        0        1
11159 calamares-extensions-data          	       0        2        0        0        2
11160 calamares-settings-debian          	       0        6        3        3        0
11161 calamaris                          	       0        3        1        2        0
11162 calc-common                        	       0     1005        0        0     1005
11163 calc-dev                           	       0        3        0        3        0
11164 calcoo                             	       0        8        0        8        0
11165 calculix-ccx                       	       0      102        0      102        0
11166 calculix-ccx-doc                   	       0        3        0        0        3
11167 calculix-ccx-test                  	       0        1        0        0        1
11168 calculix-cgx                       	       0        6        0        6        0
11169 calculix-cgx-examples              	       0        2        0        0        2
11170 calcurse-dbgsym                    	       0        1        0        1        0
11171 calendar                           	       0      125        3      122        0
11172 calf-ladspa                        	       0       13        0       12        1
11173 calligra-data                      	       0       56        0        0       56
11174 calligra-gemini-data               	       0        6        0        0        6
11175 calligra-l10n-de                   	       0        2        0        0        2
11176 calligra-l10n-es                   	       0        1        0        0        1
11177 calligra-l10n-it                   	       0        1        0        0        1
11178 calligra-l10n-pl                   	       0        1        0        0        1
11179 calligra-l10n-ru                   	       0        1        0        0        1
11180 calligra-l10n-zhcn                 	       0        1        0        0        1
11181 calligra-semanticitems             	       0        1        0        1        0
11182 calligraauthor                     	       0        1        0        1        0
11183 calligraflow                       	       0        1        0        1        0
11184 calligraflow-data                  	       0        1        0        0        1
11185 calligraplan                       	       0       11        0       11        0
11186 calligrasheets-data                	       0       23        0        0       23
11187 calligrastage-data                 	       0       23        0        0       23
11188 calligrawords-data                 	       0       41        0        0       41
11189 calypso                            	       0        1        0        1        0
11190 cam                                	       0        1        0        1        0
11191 cambiainit-trinity                 	       0        4        0        0        4
11192 camera.app                         	       0        4        0        4        0
11193 camitk-config                      	       0        1        0        1        0
11194 camitk-imp                         	       0        1        0        1        0
11195 caml2html                          	       0        1        0        1        0
11196 camlp4                             	       0       16        0       16        0
11197 camlp5                             	       0        2        0        2        0
11198 camorama                           	       0       10        0       10        0
11199 camotics                           	       0        1        0        1        0
11200 camping                            	       0        1        0        1        0
11201 camstream                          	       0        2        0        2        0
11202 camstream-doc                      	       0        3        0        0        3
11203 camv-rnd                           	       0        3        0        0        3
11204 camv-rnd-core                      	       0        3        0        3        0
11205 camv-rnd-doc                       	       0        3        0        0        3
11206 camv-rnd-export-extra              	       0        1        0        1        0
11207 camv-rnd-export-gd                 	       0        3        0        3        0
11208 camv-rnd-export-vector             	       0        3        0        3        0
11209 camv-rnd-import                    	       0        3        0        3        0
11210 camv-rnd-lib-gui                   	       0        3        0        3        0
11211 can-utils                          	       0       12        0       12        0
11212 canadian-ham-exam                  	       0        3        0        3        0
11213 canberra-gtk-play                  	       0        1        0        1        0
11214 candevstudio                       	       0        1        0        0        1
11215 caneda                             	       0        8        0        8        0
11216 canna                              	       0        2        0        2        0
11217 canna-utils                        	       0        3        0        3        0
11218 cantata                            	       0       23        0       23        0
11219 cantor-backend-kalgebra            	       0        6        0        0        6
11220 cantor-backend-lua                 	       0        2        0        0        2
11221 cantor-backend-maxima              	       0        4        0        0        4
11222 cantor-backend-octave              	       0        2        0        0        2
11223 cantor-backend-qalculate           	       0       45        0        0       45
11224 cantor-backend-sage                	       0        1        0        0        1
11225 cantor-backend-scilab              	       0        3        0        0        3
11226 capi4hylafax                       	       0        1        0        1        0
11227 capistrano                         	       0        1        0        1        0
11228 capiutils                          	       0        3        0        3        0
11229 capnproto                          	       0        5        0        5        0
11230 capplets-data                      	       0        2        0        0        2
11231 cappuccino                         	       0        1        0        1        0
11232 caprine                            	       0        1        0        1        0
11233 caps-lv2                           	       0        2        0        0        2
11234 caps-lv2-data                      	       0        2        0        0        2
11235 capstats                           	       0        3        0        3        0
11236 capstone-tool                      	       0       23        0       23        0
11237 capsule-nextflow                   	       0        1        0        1        0
11238 carapace-bin                       	       0        1        0        1        0
11239 cardinal                           	       0        1        0        1        0
11240 cardinal-data                      	       0        1        0        0        1
11241 cardpeek-data                      	       0       10        0        0       10
11242 cargo-binutils                     	       0        1        0        1        0
11243 cargo-doc                          	       0       17        0        0       17
11244 cargo-mozilla                      	       0        3        0        3        0
11245 cargo-web                          	       0        1        0        1        0
11246 caribou                            	       0       36        0       36        0
11247 caribou-antler                     	       0        6        0        6        0
11248 carla                              	       0        7        0        7        0
11249 carla-bridge-linux32               	       0        2        0        2        0
11250 carla-bridge-linux64               	       0        2        0        2        0
11251 carla-bridge-win32                 	       0        3        0        3        0
11252 carla-bridge-win64                 	       0        4        0        4        0
11253 carla-bridge-wine32                	       0        2        0        0        2
11254 carla-bridge-wine64                	       0        1        0        0        1
11255 carla-data                         	       0        7        0        0        7
11256 carla-git                          	       0        2        0        2        0
11257 carla-git-data                     	       0        2        0        0        2
11258 carla-lv2                          	       0        3        0        1        2
11259 carla-vst                          	       0        3        0        1        2
11260 carla-vst-wine                     	       0        1        0        1        0
11261 carmetal                           	       0       11        1       10        0
11262 carton                             	       0        3        0        3        0
11263 casacore-data                      	       0        5        0        0        5
11264 casacore-data-igrf                 	       0        5        0        0        5
11265 casacore-data-jpl-de200            	       0        5        0        0        5
11266 casacore-data-jpl-de405            	       0        5        0        0        5
11267 casacore-data-lines                	       0        5        0        0        5
11268 casacore-data-observatories        	       0        5        0        0        5
11269 casacore-data-sources              	       0        5        0        0        5
11270 casacore-data-tai-utc              	       0        5        0        5        0
11271 casacore-dev                       	       0        1        0        1        0
11272 casacore-tools                     	       0        1        0        1        0
11273 caspar                             	       0        3        0        3        0
11274 cassbeam                           	       0        3        0        3        0
11275 cassiopee                          	       0        1        0        1        0
11276 castle-combat                      	       0        1        0        1        0
11277 castle-game-engine-doc             	       0        2        0        2        0
11278 cataclysm-dda-build-deps           	       0        1        0        0        1
11279 cataclysm-dda-curses               	       0        9        1        8        0
11280 cataclysm-dda-curses-dbgsym        	       0        1        0        1        0
11281 cataclysm-dda-data                 	       0       16        1        0       15
11282 cataclysm-dda-sdl                  	       0       11        0       11        0
11283 cataclysm-dda-sdl-dbgsym           	       0        1        0        1        0
11284 catarina                           	       0        1        0        1        0
11285 catch                              	       0        2        0        0        2
11286 catch2                             	       0       51        0       45        6
11287 catcodec                           	       0        3        1        2        0
11288 catdvi                             	       0       27        1       26        0
11289 catgirl                            	       0        1        0        1        0
11290 catia                              	       0        4        0        4        0
11291 catimg                             	       0       26        0       26        0
11292 catkin                             	       0        2        0        2        0
11293 causeway-dosx                      	       0        1        0        1        0
11294 cava                               	       0       18        3       15        0
11295 caveconverter                      	       0        1        0        1        0
11296 caveexpress                        	       0        7        0        7        0
11297 caveexpress-data                   	       0        7        0        0        7
11298 cavepacker                         	       0        7        0        7        0
11299 cavepacker-data                    	       0        7        0        0        7
11300 cb2bib                             	       0        8        2        6        0
11301 cba                                	       0        2        0        2        0
11302 cbatticon                          	       0        6        0        6        0
11303 cbflib-bin                         	       0        1        0        1        0
11304 cbindgen                           	       0        7        0        7        0
11305 cbios                              	       0       13        0        0       13
11306 cbm                                	       0       13        0       13        0
11307 cbmc                               	       0        1        0        1        0
11308 cbmconvert                         	       0        2        0        2        0
11309 cbonsai                            	       0        7        0        7        0
11310 cbp2make                           	       0        3        0        3        0
11311 cbrowser                           	       0        1        0        1        0
11312 cc-tool                            	       0        2        0        2        0
11313 cc1541                             	       0        3        0        3        0
11314 cc65                               	       0        9        0        9        0
11315 cc65-doc                           	       0        5        0        0        5
11316 ccache-dbgsym                      	       0        2        0        2        0
11317 ccal                               	       0       19        2       17        0
11318 ccbuild                            	       0        3        0        3        0
11319 cccc                               	       0        7        0        7        0
11320 cccd                               	       0        3        0        3        0
11321 ccd2iso                            	       0       17        1       16        0
11322 ccdiff                             	       0        6        0        6        0
11323 ccextractor                        	       0        5        0        5        0
11324 ccfe                               	       0        1        0        1        0
11325 cciss-vol-status                   	       0        1        0        1        0
11326 cclib                              	       0       38        1       37        0
11327 cclive                             	       0       14        0       14        0
11328 ccls                               	       0        6        0        6        0
11329 ccmalloc                           	       0        1        0        1        0
11330 cconv                              	       0        1        0        1        0
11331 cct                                	       0        1        0        1        0
11332 cct-examples                       	       0        1        0        1        0
11333 ccze                               	       0       38        2       36        0
11334 cd-circleprint                     	       0        2        0        2        0
11335 cd-hit                             	       0        1        0        1        0
11336 cd-paranoia                        	       0       17        0       17        0
11337 cd5                                	       0        3        0        3        0
11338 cdargs                             	       0        6        0        6        0
11339 cdbackup                           	       0        3        0        3        0
11340 cdbfasta                           	       0        2        0        2        0
11341 cdbs-build-deps                    	       0        1        0        0        1
11342 cdcd                               	       0        5        0        5        0
11343 cdck                               	       0       14        0       14        0
11344 cdcover                            	       0        4        0        4        0
11345 cdda2wav                           	       0        2        0        2        0
11346 cddb                               	       0        2        0        2        0
11347 cdde                               	       0        2        0        2        0
11348 cdebconf                           	       0        9        0        9        0
11349 cdebconf-gtk                       	       0        5        0        5        0
11350 cdebootstrap                       	       0       16        0       16        0
11351 cdebootstrap-static                	       0        2        0        2        0
11352 cdecl                              	       0        5        0        5        0
11353 cdemu-client                       	       0        1        0        1        0
11354 cdemu-daemon                       	       0        2        0        2        0
11355 cdfs-src                           	       0        1        0        0        1
11356 cdftools                           	       0        1        0        1        0
11357 cdist                              	       0        3        1        2        0
11358 cdist-doc                          	       0        3        0        0        3
11359 cdlabelgen                         	       0        7        0        7        0
11360 cdo                                	       0        3        0        3        0
11361 cdogs-sdl                          	       0        1        0        1        0
11362 cdogs-sdl-build-deps               	       0        1        0        0        1
11363 cdogs-sdl-data                     	       0        1        0        0        1
11364 cdogs-sdl-dbgsym                   	       0        1        0        1        0
11365 cdpr                               	       0        2        0        2        0
11366 cdr2odg                            	       0        3        0        3        0
11367 cdrecord                           	       0        2        0        2        0
11368 cdrkit-doc                         	       0       22        0        0       22
11369 cdrtools                           	       0        1        0        1        0
11370 cdrtools-doc                       	       0        1        0        0        1
11371 cdw                                	       0       15        0       15        0
11372 cec-utils                          	       0        3        0        3        0
11373 cecilia                            	       0        5        0        5        0
11374 cedar-backup3                      	       0        1        0        1        0
11375 cedar-backup3-doc                  	       0        1        0        0        1
11376 cedilla                            	       0        1        0        1        0
11377 ceferino                           	       0        3        0        3        0
11378 ceferino-data                      	       0        3        0        0        3
11379 ceilometer-alarm-evaluator         	       0        1        0        0        1
11380 ceilometer-alarm-notifier          	       0        1        0        0        1
11381 celery                             	       0        2        0        2        0
11382 celestia                           	       0       10        0        0       10
11383 celestia-common                    	       0       12        0        0       12
11384 celestia-common-nonfree            	       0        4        0        0        4
11385 celestia-data                      	       0        1        0        0        1
11386 celestia-glut                      	       0        3        0        3        0
11387 celestia-gnome                     	       0        6        0        6        0
11388 celestia-gtk                       	       0        4        0        4        0
11389 celestia-hold                      	       0        1        0        0        1
11390 celestia-kde                       	       0        1        0        1        0
11391 celestia-qt6                       	       0        1        1        0        0
11392 celestia-textures-hires            	       0        1        0        0        1
11393 celestia-tools                     	       0        1        1        0        0
11394 cellwriter                         	       0        2        0        2        0
11395 celt                               	       0        1        0        1        0
11396 celt-doc                           	       0        1        0        0        1
11397 cen64                              	       0        4        0        4        0
11398 ceni                               	       0        8        0        8        0
11399 cenon.app                          	       0        3        0        3        0
11400 cenon.app-common                   	       0        3        0        3        0
11401 centerim                           	       0        3        0        3        0
11402 centerim-common                    	       0        3        0        3        0
11403 centerim-utf8                      	       0        1        0        1        0
11404 ceph                               	       0        4        0        0        4
11405 ceph-base                          	       0        4        0        4        0
11406 ceph-common                        	       0        7        1        6        0
11407 ceph-fs-common                     	       0        2        0        2        0
11408 ceph-fuse                          	       0        4        0        4        0
11409 ceph-mds                           	       0        3        0        3        0
11410 ceph-mgr                           	       0        2        0        2        0
11411 ceph-mgr-modules-core              	       0        2        0        0        2
11412 ceph-mon                           	       0        4        0        4        0
11413 ceph-osd                           	       0        4        1        3        0
11414 cephfs-shell                       	       0        2        0        2        0
11415 cephfs-top                         	       0        1        0        1        0
11416 cereal                             	       0        1        0        1        0
11417 ceres-solver-doc                   	       0        1        0        1        0
11418 ceres-theme-gtk                    	       0        2        0        1        1
11419 cernlib-base                       	       0        1        0        0        1
11420 certspotter                        	       0        5        0        5        0
11421 cervisia                           	       0       43        0       43        0
11422 ceve                               	       0        1        0        0        1
11423 cewl                               	       0       24        0       24        0
11424 cfengine2                          	       0        1        0        1        0
11425 cfengine3                          	       0        8        2        6        0
11426 cfi-en                             	       0        6        0        0        6
11427 cfi-sv                             	       0        1        0        0        1
11428 cfingerd                           	       0        2        0        2        0
11429 cflow                              	       0       13        0       13        0
11430 cflow-doc                          	       0        3        0        0        3
11431 cflow-l10n                         	       0        2        0        0        2
11432 cfourcc                            	       0        1        0        1        0
11433 cfv                                	       0        1        0        1        0
11434 cg3                                	       0        6        0        6        0
11435 cg3-dev                            	       0        1        0        0        1
11436 cgdb                               	       0       14        0       14        0
11437 cgi-mapserver                      	       0        5        1        4        0
11438 cgilib                             	       0        1        0        1        0
11439 cgit                               	       0        6        0        6        0
11440 cgmanager                          	       0       10        0       10        0
11441 cgminer                            	       0        4        0        4        0
11442 cgns-convert                       	       0        2        0        2        0
11443 cgoban                             	       0       25        1       24        0
11444 cgpt                               	       0       14        0       14        0
11445 cgroup-bin                         	       0        6        0        0        6
11446 cgroup-tools                       	       0       42        0       42        0
11447 cgvg                               	       0        3        0        3        0
11448 cgview                             	       0        3        0        3        0
11449 ch5m3d                             	       0        2        0        2        0
11450 chai                               	       0        2        0        2        0
11451 chake                              	       0        1        0        1        0
11452 chalk-data-trinity                 	       0        4        0        0        4
11453 chalk-trinity                      	       0        4        0        4        0
11454 chameleon-cursor-theme             	       0       15        0        0       15
11455 changeme                           	       0        6        1        5        0
11456 changetrack                        	       0        1        0        1        0
11457 chaos-strikes-back-data-csb        	       0        1        0        1        0
11458 chaos-strikes-back-data-dm         	       0        1        0        1        0
11459 chaos-strikes-back-game            	       0        1        0        0        1
11460 chaosreader                        	       0       25        0       25        0
11461 chaplin                            	       0        1        0        1        0
11462 charmap.app                        	       0        6        0        6        0
11463 charmap.app-common                 	       0        6        0        0        6
11464 charmtimetracker                   	       0        3        0        3        0
11465 charon-cmd                         	       0        1        0        1        0
11466 chartgeany                         	       0        1        0        0        1
11467 charybdis                          	       0        1        0        1        0
11468 chase                              	       0        7        0        7        0
11469 chat-gpt                           	       0        1        0        1        0
11470 chats                              	       0        1        0        0        1
11471 chatty                             	       0        1        0        1        0
11472 chdrvfont                          	       0        1        0        0        1
11473 check                              	       0       31        1       30        0
11474 check-all-the-things               	       0        1        0        1        0
11475 check-mk-agent                     	       0        7        0        7        0
11476 check-mk-agent-logwatch            	       0        1        0        1        0
11477 check-pgactivity                   	       0        1        0        1        0
11478 check-postgres                     	       0        3        2        1        0
11479 checkinstall                       	       0       92        3       89        0
11480 checkit-tiff                       	       0        1        0        1        0
11481 checkmp3                           	       0        1        0        1        0
11482 checkpolicy                        	       0       12        1       11        0
11483 checkra1n                          	       0        3        0        3        0
11484 checksec                           	       0        4        0        4        0
11485 checkstyle                         	       0        4        0        4        0
11486 checkstyle-doc                     	       0        1        0        0        1
11487 cheese-common                      	       0      563        0        0      563
11488 cheesecutter                       	       0        6        0        6        0
11489 chef-workstation                   	       0        1        0        1        0
11490 chemeq                             	       0        2        0        2        0
11491 chemical-mime-data                 	       0       31        0        0       31
11492 chemical-structures                	       0        3        0        3        0
11493 chemical-structures-data           	       0        3        0        0        3
11494 chemtool                           	       0       13        2       11        0
11495 cherokee                           	       0        2        0        2        0
11496 cherokee-admin                     	       0        2        0        2        0
11497 cherrytree                         	       0       18        2       16        0
11498 chess.app                          	       0        3        0        3        0
11499 chessx                             	       0       10        0       10        0
11500 chexquest-data                     	       0        1        0        0        1
11501 chexquest2-data                    	       0        1        0        0        1
11502 chezmoi                            	       0        2        0        2        0
11503 chezscheme                         	       0        7        0        7        0
11504 chezscheme-dev                     	       0        2        0        2        0
11505 chezscheme-doc                     	       0        1        0        0        1
11506 chia-blockchain                    	       0        4        0        0        4
11507 chia-blockchain-cli                	       0        1        0        1        0
11508 chiaki                             	       0        1        0        1        0
11509 chiark-really                      	       0        4        0        4        0
11510 chiark-rwbuffer                    	       0        1        0        1        0
11511 chiark-scripts                     	       0        3        0        3        0
11512 chiark-tcl-applet                  	       0        1        0        1        0
11513 chiark-utils-bin                   	       0        5        0        5        0
11514 chibicc                            	       0        1        0        1        0
11515 chicago95-theme-all                	       0        1        0        0        1
11516 chicago95-theme-backgrounds        	       0        1        0        0        1
11517 chicago95-theme-cursors            	       0        1        0        0        1
11518 chicago95-theme-doc                	       0        1        0        0        1
11519 chicago95-theme-fonts              	       0        1        0        0        1
11520 chicago95-theme-gtk                	       0        2        0        0        2
11521 chicago95-theme-icons              	       0        1        0        0        1
11522 chicago95-theme-login-sound        	       0        1        0        0        1
11523 chicago95-theme-plus               	       0        1        0        1        0
11524 chicago95-theme-plymouth           	       0        1        0        0        1
11525 chicago95-theme-sounds             	       0        1        0        0        1
11526 chicken-bin                        	       0        7        0        7        0
11527 childsplay                         	       0        4        0        4        0
11528 childsplay-alphabet-sounds-ca      	       0        1        0        0        1
11529 childsplay-alphabet-sounds-ru      	       0        1        0        0        1
11530 chimera2                           	       0        1        0        1        0
11531 chimeraslayer                      	       0        1        0        1        0
11532 chipmunk-dev                       	       0        1        0        0        1
11533 chirp                              	       0       22        0       22        0
11534 chirpstack                         	       0        1        0        1        0
11535 chirpstack-gateway-bridge          	       0        1        0        1        0
11536 chkboot                            	       0        8        2        6        0
11537 chkconfig                          	       0       15        0       15        0
11538 chm2pdf                            	       0        2        0        2        0
11539 chmsee                             	       0        1        0        1        0
11540 chocolate-doom                     	       0       19        0       19        0
11541 chocolate-doom-build-deps          	       0        1        0        0        1
11542 chocolate-doom-dbgsym              	       0        1        0        1        0
11543 choosewm                           	       0        3        0        3        0
11544 choqok                             	       0        2        0        2        0
11545 chordii                            	       0        1        0        1        0
11546 chowmatrix                         	       0        2        0        2        0
11547 chr                                	       0        1        0        1        0
11548 chroma                             	       0        3        0        3        0
11549 chroma-curses                      	       0        2        0        2        0
11550 chroma-data                        	       0        4        0        0        4
11551 chrome-gnome-shell                 	       0      159        2       30      127
11552 chrome-remote-desktop              	       0        9        2        7        0
11553 chromedriver                       	       0        2        0        0        2
11554 chromium-browser                   	       0        2        0        2        0
11555 chromium-browser-l10n              	       0        1        0        1        0
11556 chromium-bsu-data                  	       0       20        0        0       20
11557 chromium-codecs-ffmpeg-extra       	       0        3        0        3        0
11558 chromium-gost-stable               	       0        2        0        2        0
11559 chromium-inspector                 	       0       13        0        0       13
11560 chromium-lwn4chrome                	       0        6        0        0        6
11561 chromium-tt-rss-notifier           	       0        2        0        0        2
11562 chromium-ublock-origin             	       0       10        0        0       10
11563 chromium-widevine                  	       0        1        0        0        1
11564 chromono                           	       0        3        0        3        0
11565 chronicle                          	       0        1        0        1        0
11566 chrootuid                          	       0        2        0        2        0
11567 cht.sh                             	       0        2        0        2        0
11568 chuck                              	       0        5        0        5        0
11569 chuck-data                         	       0        3        0        0        3
11570 chwala                             	       0        1        0        1        0
11571 ciderwebmail                       	       0        1        1        0        0
11572 cie-middleware                     	       0        1        0        0        1
11573 cil                                	       0        1        0        1        0
11574 cim-schema                         	       0        1        0        0        1
11575 cimg-dev                           	       0        3        0        3        0
11576 cimg-doc                           	       0        2        0        0        2
11577 cimg-examples                      	       0        3        0        3        0
11578 cin                                	       0        4        0        4        0
11579 cinc-workstation                   	       0        2        0        2        0
11580 cinder-api                         	       0        1        0        1        0
11581 cinder-backup                      	       0        1        0        1        0
11582 cinder-common                      	       0        1        0        1        0
11583 cinder-scheduler                   	       0        1        0        1        0
11584 cinder-volume                      	       0        1        0        1        0
11585 cinelerra                          	       0        2        0        2        0
11586 cinelerra-data                     	       0        2        0        2        0
11587 cinelerra-doc                      	       0        2        0        0        2
11588 cinelerra-gg                       	       0        1        0        1        0
11589 cinnabar-icon-theme                	       0      194        0        0      194
11590 cinnamon-control-center-data       	       0      288        0        0      288
11591 cinnamon-core                      	       0      278        0        0      278
11592 cinnamon-desktop-environment       	       0      251        0        0      251
11593 cinnamon-doc                       	       0        7        0        0        7
11594 cinnamon-screensaver-x-plugin      	       0       13        0        0       13
11595 cinnamon-session-common            	       0      291        0        0      291
11596 cinnamon-settings-daemon-dev       	       0        1        0        1        0
11597 cinny                              	       0        2        0        2        0
11598 circle-flags-svg                   	       0        3        0        0        3
11599 circos                             	       0        1        0        1        0
11600 circos-tools                       	       0        1        0        1        0
11601 circuit-macros                     	       0        1        0        0        1
11602 circuslinux                        	       0       12        0       12        0
11603 circuslinux-data                   	       0       12        0        0       12
11604 cisco-anyconnect-dart              	       0        1        0        1        0
11605 cisco7crack                        	       0       17        0       17        0
11606 citadel-client                     	       0        3        0        3        0
11607 citadel-doc                        	       0        1        0        0        1
11608 citation-style-language-styles     	       0        4        0        0        4
11609 civetweb                           	       0        1        0        1        0
11610 ckan                               	       0        3        0        3        0
11611 ckb-next                           	       0        1        1        0        0
11612 ckbuilder                          	       0        2        0        2        0
11613 ckeditor                           	       0        5        0        4        1
11614 ckeditor3                          	       0        1        0        0        1
11615 ckermit                            	       0       25        0       25        0
11616 ckport                             	       0        1        0        1        0
11617 ckport-database                    	       0        1        0        1        0
11618 cksfv                              	       0        8        0        8        0
11619 cl-abnf                            	       0        1        0        0        1
11620 cl-acl-compat                      	       0        3        0        0        3
11621 cl-actionlib                       	       0        2        0        0        2
11622 cl-actionlib-msgs                  	       0        2        0        0        2
11623 cl-agnostic-lizard                 	       0        2        0        0        2
11624 cl-alexandria                      	       0       21        0        0       21
11625 cl-anaphora                        	       0        3        0        0        3
11626 cl-asdf                            	       0       33        0        0       33
11627 cl-asdf-finalizers                 	       0        2        0        0        2
11628 cl-asdf-flv                        	       0        5        0        0        5
11629 cl-asdf-system-connections         	       0        1        0        0        1
11630 cl-aserve                          	       0        1        0        0        1
11631 cl-babel                           	       0        5        0        0        5
11632 cl-base64                          	       0        3        0        0        3
11633 cl-bond                            	       0        2        0        0        2
11634 cl-bordeaux-threads                	       0        3        0        0        3
11635 cl-cffi                            	       0        5        0        5        0
11636 cl-chipz                           	       0        2        0        0        2
11637 cl-chunga                          	       0        1        0        0        1
11638 cl-closer-mop                      	       0        1        0        0        1
11639 cl-closure-common                  	       0        2        0        0        2
11640 cl-clx-sbcl                        	       0       21        0        0       21
11641 cl-consfigurator                   	       0        1        0        1        0
11642 cl-containers                      	       0        3        0        0        3
11643 cl-contextl                        	       0        1        0        0        1
11644 cl-csv                             	       0        3        0        0        3
11645 cl-cxml                            	       0        1        0        0        1
11646 cl-db3                             	       0        1        0        0        1
11647 cl-diagnostic-msgs                 	       0        1        0        0        1
11648 cl-drakma                          	       0        1        0        0        1
11649 cl-dynamic-classes                 	       0        3        0        0        3
11650 cl-dynamic-reconfigure             	       0        1        0        0        1
11651 cl-esrap                           	       0        2        0        0        2
11652 cl-f2cl                            	       0        1        0        0        1
11653 cl-fad                             	       0        3        0        0        3
11654 cl-fiveam                          	       0        4        0        0        4
11655 cl-flexi-streams                   	       0       24        0        0       24
11656 cl-geometry-msgs                   	       0        2        0        0        2
11657 cl-getopt                          	       0        1        0        0        1
11658 cl-global-vars                     	       0        2        0        0        2
11659 cl-heredoc                         	       0        2        0        0        2
11660 cl-htmlgen                         	       0        1        0        0        1
11661 cl-hyperobject                     	       0        1        0        0        1
11662 cl-interpol                        	       0        3        0        0        3
11663 cl-ironclad                        	       0        3        0        0        3
11664 cl-iterate                         	       0        4        0        0        4
11665 cl-kmrcl                           	       0        3        0        0        3
11666 cl-launch                          	       0        6        0        6        0
11667 cl-lml                             	       0        1        0        0        1
11668 cl-lml2                            	       0        1        0        0        1
11669 cl-local-time                      	       0        1        0        0        1
11670 cl-log                             	       0        1        0        0        1
11671 cl-lparallel                       	       0        1        0        0        1
11672 cl-lw-compat                       	       0        2        0        0        2
11673 cl-map-msgs                        	       0        2        0        0        2
11674 cl-markdown                        	       0        3        0        0        3
11675 cl-md5                             	       0        2        0        0        2
11676 cl-metabang-bind                   	       0        3        0        0        3
11677 cl-metatilities-base               	       0        3        0        0        3
11678 cl-modlisp                         	       0        1        0        0        1
11679 cl-move-base-msgs                  	       0        1        0        0        1
11680 cl-mustache                        	       0        1        0        0        1
11681 cl-named-readtables                	       0        3        0        0        3
11682 cl-nav-msgs                        	       0        2        0        0        2
11683 cl-nibbles                         	       0        3        0        0        3
11684 cl-nodelet                         	       0        2        0        0        2
11685 cl-opencv-apps                     	       0        1        0        0        1
11686 cl-osicat                          	       0        1        0        0        1
11687 cl-parse-number                    	       0        2        0        0        2
11688 cl-pcl-msgs                        	       0        1        0        0        1
11689 cl-pg                              	       0        2        0        0        2
11690 cl-photo                           	       0        2        0        0        2
11691 cl-pipes                           	       0        1        0        0        1
11692 cl-plus-ssl                        	       0        1        0        0        1
11693 cl-polled-camera                   	       0        1        0        0        1
11694 cl-postgres                        	       0        1        0        0        1
11695 cl-ppcre                           	       0       24        0        0       24
11696 cl-ptester                         	       0        3        0        0        3
11697 cl-puri                            	       0        3        0        0        3
11698 cl-qmynd                           	       0        1        0        0        1
11699 cl-quicklisp                       	       0       10        0        0       10
11700 cl-regex                           	       0        2        0        0        2
11701 cl-reversi                         	       0        4        0        0        4
11702 cl-rfc2388                         	       0        1        0        0        1
11703 cl-roscpp-msg                      	       0        1        0        0        1
11704 cl-rosgraph-msgs                   	       0        2        0        0        2
11705 cl-rss                             	       0        1        0        0        1
11706 cl-rt                              	       0       22        0        0       22
11707 cl-s-sql                           	       0        1        0        0        1
11708 cl-sensor-msgs                     	       0        2        0        0        2
11709 cl-shape-msgs                      	       0        2        0        0        2
11710 cl-simple-date                     	       0        1        0        0        1
11711 cl-split-sequence                  	       0        2        0        0        2
11712 cl-sql                             	       0        3        0        0        3
11713 cl-sql-mysql                       	       0        1        0        1        0
11714 cl-sql-oracle                      	       0        1        0        0        1
11715 cl-sql-sqlite3                     	       0        1        0        0        1
11716 cl-sql-uffi                        	       0        2        0        2        0
11717 cl-sqlite                          	       0        2        0        0        2
11718 cl-std-msgs                        	       0        2        0        0        2
11719 cl-std-srvs                        	       0        2        0        0        2
11720 cl-stereo-msgs                     	       0        1        0        0        1
11721 cl-swank                           	       0       23        0        0       23
11722 cl-tf                              	       0        1        0        0        1
11723 cl-tf2-msgs                        	       0        1        0        0        1
11724 cl-tf2-srvs                        	       0        1        0        0        1
11725 cl-topic-tools                     	       0        1        0        0        1
11726 cl-trajectory-msgs                 	       0        1        0        0        1
11727 cl-trivial-backtrace               	       0        4        0        0        4
11728 cl-trivial-features                	       0        5        0        0        5
11729 cl-trivial-garbage                 	       0        1        0        0        1
11730 cl-trivial-gray-streams            	       0       25        0        0       25
11731 cl-trivial-utf-8                   	       0        1        0        0        1
11732 cl-uax-15                          	       0        1        0        0        1
11733 cl-uffi                            	       0        4        0        0        4
11734 cl-uffi-tests                      	       0        2        0        2        0
11735 cl-umlisp                          	       0        1        0        0        1
11736 cl-umlisp-orf                      	       0        1        0        0        1
11737 cl-unicode                         	       0        5        0        0        5
11738 cl-usocket                         	       0        1        0        0        1
11739 cl-utilities                       	       0        1        0        0        1
11740 cl-uuid                            	       0        1        0        0        1
11741 cl-visualization-msgs              	       0        1        0        0        1
11742 cl-who                             	       0        1        0        0        1
11743 cl-xmls                            	       0        1        0        0        1
11744 cl-zip                             	       0        1        0        0        1
11745 cl-zpb-ttf                         	       0        2        0        0        2
11746 cl-zs3                             	       0        1        0        0        1
11747 clamav-base                        	       0      280        0        0      280
11748 clamav-cvdupdate                   	       0        5        0        5        0
11749 clamav-doc                         	       0        4        0        0        4
11750 clamav-docs                        	       0       48        0        0       48
11751 clamav-milter                      	       0       11        4        7        0
11752 clamav-testfiles                   	       0        9        0        0        9
11753 clamav-testfiles-rar               	       0        3        0        0        3
11754 clamsmtp                           	       0        6        3        3        0
11755 clamtk-gnome                       	       0       10        0        0       10
11756 clamz                              	       0        4        0        4        0
11757 clanbomber                         	       0        1        0        1        0
11758 clanbomber-data                    	       0        1        0        0        1
11759 clang-10                           	       0        5        0        5        0
11760 clang-11                           	       0      105        1      104        0
11761 clang-11-doc                       	       0        6        0        6        0
11762 clang-11-examples                  	       0        2        0        0        2
11763 clang-13                           	       0       26        1       25        0
11764 clang-13-doc                       	       0        3        0        3        0
11765 clang-13-examples                  	       0        3        0        0        3
11766 clang-14-doc                       	       0        5        0        5        0
11767 clang-15-doc                       	       0        2        0        2        0
11768 clang-16                           	       0       49        1       48        0
11769 clang-16-doc                       	       0        5        0        5        0
11770 clang-16-examples                  	       0        4        0        0        4
11771 clang-18-doc                       	       0        1        0        1        0
11772 clang-19-doc                       	       0        5        0        0        5
11773 clang-19-examples                  	       0        3        0        0        3
11774 clang-20                           	       0        2        0        2        0
11775 clang-3.5                          	       0        9        0        9        0
11776 clang-3.5-doc                      	       0        1        0        1        0
11777 clang-3.8                          	       0        5        0        5        0
11778 clang-3.8-doc                      	       0        1        0        0        1
11779 clang-3.9-doc                      	       0        1        0        0        1
11780 clang-6.0                          	       0        7        0        7        0
11781 clang-7                            	       0       15        0       15        0
11782 clang-8                            	       0        2        0        2        0
11783 clang-9                            	       0       33        1       32        0
11784 clang-9-doc                        	       0        4        0        0        4
11785 clang-9-examples                   	       0        2        0        0        2
11786 clang-format-11                    	       0        5        0        5        0
11787 clang-format-13                    	       0        5        0        5        0
11788 clang-format-16                    	       0        4        1        3        0
11789 clang-format-18                    	       0        2        0        2        0
11790 clang-format-20                    	       0        1        0        1        0
11791 clang-format-7                     	       0        3        0        3        0
11792 clang-format-9                     	       0        2        0        2        0
11793 clang-tidy-11                      	       0        7        0        6        1
11794 clang-tidy-13                      	       0        3        0        3        0
11795 clang-tidy-16                      	       0        4        0        4        0
11796 clang-tidy-18                      	       0        2        0        2        0
11797 clang-tidy-20                      	       0        1        0        1        0
11798 clang-tidy-6.0                     	       0        1        0        1        0
11799 clang-tidy-7                       	       0        2        0        2        0
11800 clang-tidy-9                       	       0        1        0        1        0
11801 clang-tools                        	       0       19        0       19        0
11802 clang-tools-10                     	       0        2        0        2        0
11803 clang-tools-11                     	       0       11        1       10        0
11804 clang-tools-13                     	       0        7        1        6        0
11805 clang-tools-15                     	       0        5        0        5        0
11806 clang-tools-16                     	       0        7        1        6        0
11807 clang-tools-18                     	       0        2        0        2        0
11808 clang-tools-20                     	       0        1        0        1        0
11809 clang-tools-6.0                    	       0        1        0        1        0
11810 clang-tools-7                      	       0        3        0        3        0
11811 clang-tools-9                      	       0        3        1        2        0
11812 clangd-11                          	       0        1        0        1        0
11813 clangd-13                          	       0        2        0        2        0
11814 clangd-14                          	       0       51        1       50        0
11815 clangd-16                          	       0        7        0        7        0
11816 clangd-18                          	       0        2        0        2        0
11817 clangd-20                          	       0        1        0        1        0
11818 clangd-9                           	       0        1        0        1        0
11819 clapper                            	       0        3        1        2        0
11820 clara                              	       0        1        0        1        0
11821 clash-verge                        	       0        1        0        1        0
11822 clasp                              	       0        9        0        9        0
11823 classpath-common                   	       0        1        0        1        0
11824 classpath-doc                      	       0        1        0        1        0
11825 classpath-gtkpeer                  	       0        1        0        1        0
11826 classpath-tools                    	       0        1        0        1        0
11827 claudia                            	       0        3        0        3        0
11828 claws-mail-acpi-notifier           	       0       34        0        1       33
11829 claws-mail-address-keeper          	       0       48        1        5       42
11830 claws-mail-archiver-plugin         	       0       40        0        0       40
11831 claws-mail-attach-remover          	       0       42        0        3       39
11832 claws-mail-attach-warner           	       0       40        1        5       34
11833 claws-mail-bogofilter              	       0       49        2        7       40
11834 claws-mail-bogofilter-dbgsym       	       0        1        0        1        0
11835 claws-mail-bsfilter-plugin         	       0       18        0        0       18
11836 claws-mail-clamd-plugin            	       0       41        0        0       41
11837 claws-mail-dbgsym                  	       0        1        0        1        0
11838 claws-mail-dillo-viewer            	       0       41        1        2       38
11839 claws-mail-doc                     	       0       25        0        0       25
11840 claws-mail-extra-plugins           	       0       25        0        0       25
11841 claws-mail-fancy-plugin            	       0       25        0        1       24
11842 claws-mail-feeds-reader            	       0       37        0        3       34
11843 claws-mail-fetchinfo-plugin        	       0       34        0        1       33
11844 claws-mail-gdata-plugin            	       0       28        0        0       28
11845 claws-mail-i18n                    	       0      164        3       14      147
11846 claws-mail-keyword-warner          	       0       15        0        1       14
11847 claws-mail-libravatar              	       0       32        0        0       32
11848 claws-mail-litehtml-viewer         	       0       35        0        4       31
11849 claws-mail-mailmbox-plugin         	       0       39        1        5       33
11850 claws-mail-managesieve             	       0       31        0        2       29
11851 claws-mail-multi-notifier          	       0       41        0        7       34
11852 claws-mail-newmail-plugin          	       0       31        0        2       29
11853 claws-mail-pdf-viewer              	       0       48        2        8       38
11854 claws-mail-pgpinline               	       0       49        1        5       43
11855 claws-mail-pgpinline-dbgsym        	       0        1        0        1        0
11856 claws-mail-pgpmime                 	       0       55        2        7       46
11857 claws-mail-pgpmime-dbgsym          	       0        1        0        1        0
11858 claws-mail-plugins                 	       0       30        0        0       30
11859 claws-mail-python-plugin           	       0       17        0        0       17
11860 claws-mail-smime-plugin            	       0       41        2        4       35
11861 claws-mail-spam-report             	       0       36        0        1       35
11862 claws-mail-spamassassin            	       0       36        1        1       34
11863 claws-mail-themes                  	       0       38        0        0       38
11864 claws-mail-tnef-parser             	       0       35        0        2       33
11865 claws-mail-tools                   	       0       41        0        0       41
11866 claws-mail-vcalendar-plugin        	       0       44        1        5       38
11867 clazy                              	       0       14        0       14        0
11868 clblast-utils                      	       0        1        0        1        0
11869 cleancss                           	       0        7        0        7        0
11870 clearlooks-phenix-cinnabar-theme   	       0      207        0        0      207
11871 clearlooks-phenix-darkpurpy-theme  	       0      130        0        0      130
11872 clearlooks-phenix-deepsea-theme    	       0      533        0        0      533
11873 clearlooks-phenix-lightpurpy-theme 	       0       20        0        0       20
11874 clearlooks-phenix-purpy-theme      	       0       26        0        0       26
11875 clearlooks-phenix-sapphire-theme   	       0     2295        0        0     2295
11876 clearlooks-phenix-theme            	       0       19        0        0       19
11877 cleo                               	       0        1        0        1        0
11878 clevis                             	       0        8        0        8        0
11879 clevis-initramfs                   	       0        6        0        0        6
11880 clevis-luks                        	       0        8        0        8        0
11881 clevis-tpm1                        	       0        3        0        3        0
11882 clevis-tpm2                        	       0        2        0        2        0
11883 clevis-udisks2                     	       0        2        1        1        0
11884 clfswm                             	       0        3        0        3        0
11885 cli                                	       0        1        0        1        0
11886 cli-common                         	       0      320        0        0      320
11887 cli-shell-utils                    	       0        4        0        0        4
11888 cli-spinner                        	       0        2        0        2        0
11889 clif                               	       0        1        0        1        0
11890 cliff-tablib                       	       0        1        0        1        0
11891 clinfo-amdgpu-pro                  	       0        1        0        0        1
11892 clipf                              	       0        1        0        1        0
11893 clipgrab                           	       0        4        0        4        0
11894 clips                              	       0        5        0        5        0
11895 clips-common                       	       0        5        0        0        5
11896 clips-doc                          	       0        6        0        0        6
11897 cliq                               	       0        1        0        1        0
11898 cliquer                            	       0        9        0        9        0
11899 clirr                              	       0        1        0        1        0
11900 clisp-doc                          	       0       22        0        0       22
11901 clisp-module-berkeley-db           	       0        7        0        7        0
11902 clisp-module-clx                   	       0       12        0       12        0
11903 clisp-module-dbus                  	       0        7        0        7        0
11904 clisp-module-fastcgi               	       0        2        0        2        0
11905 clisp-module-gdbm                  	       0        7        0        7        0
11906 clisp-module-libsvm                	       0        2        0        2        0
11907 clisp-module-pari                  	       0        2        0        2        0
11908 clisp-module-pcre                  	       0        8        0        8        0
11909 clisp-module-postgresql            	       0        8        0        8        0
11910 clisp-module-zlib                  	       0        8        0        8        0
11911 clive                              	       0        1        0        1        0
11912 cloc                               	       0       38        1       37        0
11913 clog                               	       0        1        0        1        0
11914 clojure1.4                         	       0        1        0        1        0
11915 clojure1.6                         	       0        1        0        1        0
11916 clonalframe                        	       0        1        0        1        0
11917 clonezilla                         	       0       31        0       31        0
11918 cloog-ppl                          	       0        1        0        1        0
11919 cloop-src                          	       0        2        0        0        2
11920 cloop-utils                        	       0        2        0        2        0
11921 closure-compiler                   	       0        3        0        3        0
11922 cloud-image-utils                  	       0       65        2       63        0
11923 cloud-init                         	       0        5        0        5        0
11924 cloud-initramfs-growroot           	       0        1        0        0        1
11925 cloud-sptheme-common               	       0        1        0        0        1
11926 cloud-utils                        	       0        7        0        0        7
11927 cloudcompare                       	       0        1        0        1        0
11928 cloudflare-ddns                    	       0        1        0        1        0
11929 cloudflare-dynamic-dns             	       0        1        0        1        0
11930 cloudflare-warp                    	       0        1        0        1        0
11931 cloudprint                         	       0        1        0        1        0
11932 clpeak                             	       0        1        0        1        0
11933 clsparse-doc                       	       0        1        0        0        1
11934 clsync                             	       0        2        0        2        0
11935 cltl                               	       0        4        0        0        4
11936 clustalo                           	       0        7        0        7        0
11937 clustalw                           	       0        6        0        6        0
11938 clustalx                           	       0        2        0        2        0
11939 cluster-glue                       	       0        8        0        8        0
11940 clusterssh                         	       0       18        0       18        0
11941 cm-super                           	       0      157        0        1      156
11942 cm-super-build-deps                	       0        1        0        0        1
11943 cm-super-minimal                   	       0      170        1        1      168
11944 cm-super-x11                       	       0       13        0        0       13
11945 cm3-linuxlibc6-5.8.6               	       0        1        0        1        0
11946 cmake-dbgsym                       	       0        1        0        1        0
11947 cmake-doc                          	       0       34        0        0       34
11948 cmake-fedora                       	       0        6        0        0        6
11949 cmake-format                       	       0       12        0       12        0
11950 cmake-qt-gui                       	       0       31        0       31        0
11951 cmake-vala                         	       0        6        0        0        6
11952 cmark                              	       0       14        0       14        0
11953 cmark-gfm                          	       0        2        0        2        0
11954 cmatrix-xfont                      	       0       21        0        0       21
11955 cme                                	       0       24        1       23        0
11956 cmigemo                            	       0        2        0        2        0
11957 cmigemo-common                     	       0        3        0        3        0
11958 cminpack-doc                       	       0        1        0        0        1
11959 cmis-client                        	       0        1        0        1        0
11960 cmocka-doc                         	       0       20        0        0       20
11961 cmor-tables                        	       0        1        0        0        1
11962 cmtk                               	       0        1        0        1        0
11963 cmucl-docs                         	       0        2        0        0        2
11964 cmucl-source                       	       0        2        0        0        2
11965 cn.com.10jqka                      	       0        1        0        1        0
11966 cncnet                             	       0        2        0        2        0
11967 cncupslbp161zs                     	       0        1        0        0        1
11968 cncupslbp214zs                     	       0        1        0        0        1
11969 cncupslbp215zs                     	       0        1        0        0        1
11970 cncupsmf110zs                      	       0        1        0        0        1
11971 cncupsmf260zs                      	       0        1        0        0        1
11972 cncupsmf420zs                      	       0        1        0        0        1
11973 cncupsmf520zs                      	       0        1        0        0        1
11974 cndrvcups-capt                     	       0        6        0        6        0
11975 cndrvcups-common                   	       0        7        0        7        0
11976 cndrvcups-ufr2-uk                  	       0        1        0        1        0
11977 cndrvcups-ufr2-us                  	       0        1        0        1        0
11978 cndrvcups-utility                  	       0        1        0        1        0
11979 cndrvsane-drc225                   	       0        1        0        1        0
11980 cnee                               	       0       10        0       10        0
11981 cnews                              	       0        1        0        1        0
11982 cnijfilter-common                  	       0        8        0        8        0
11983 cnijfilter-ix6800series            	       0        2        0        2        0
11984 cnijfilter-mg2500series            	       0        2        0        2        0
11985 cnijfilter-mg3500series            	       0        3        0        3        0
11986 cnijfilter2                        	       0       15        1       14        0
11987 cnrcupsiprc170zs                   	       0        1        0        0        1
11988 cnrcupsiprc710zk                   	       0        1        0        0        1
11989 cnrcupsir1643i2zs                  	       0        1        0        0        1
11990 cnrcupsir2425zk                    	       0        1        0        0        1
11991 cnrcupsir2625zk                    	       0        1        0        0        1
11992 cnrcupsir2635zk                    	       0        1        0        0        1
11993 cnrcupsiradv4725zk                 	       0        1        0        0        1
11994 cnrcupsiradv4745zk                 	       0        1        0        0        1
11995 cnrcupsiradv527zk                  	       0        1        0        0        1
11996 cnrcupsiradv527zs                  	       0        1        0        0        1
11997 cnrcupsiradv6000zk                 	       0        1        0        0        1
11998 cnrcupsiradv617zk                  	       0        1        0        0        1
11999 cnrcupsiradv617zs                  	       0        1        0        0        1
12000 cnrcupsiradv6755zk                 	       0        1        0        0        1
12001 cnrcupsiradv6780zk                 	       0        1        0        0        1
12002 cnrcupsiradv6860zs                 	       0        1        0        0        1
12003 cnrcupsiradv717zk                  	       0        1        0        0        1
12004 cnrcupsiradv717zs                  	       0        1        0        0        1
12005 cnrcupsiradv8705zk                 	       0        1        0        0        1
12006 cnrcupsiradv8786zk                 	       0        1        0        0        1
12007 cnrcupsiradvc257zk                 	       0        1        0        0        1
12008 cnrcupsiradvc257zs                 	       0        1        0        0        1
12009 cnrcupsiradvc3720zk                	       0        1        0        0        1
12010 cnrcupsiradvc3725zk                	       0        1        0        0        1
12011 cnrcupsiradvc3826zs                	       0        1        0        0        1
12012 cnrcupsiradvc3830zs                	       0        1        0        0        1
12013 cnrcupsiradvc3835zs                	       0        1        0        0        1
12014 cnrcupsiradvc477zk                 	       0        1        0        0        1
12015 cnrcupsiradvc477zs                 	       0        1        0        0        1
12016 cnrcupsiradvc568zs                 	       0        1        0        0        1
12017 cnrcupsiradvc5735zk                	       0        1        0        0        1
12018 cnrcupsiradvc5750zk                	       0        1        0        0        1
12019 cnrcupsiradvc5840zs                	       0        2        0        0        2
12020 cnrcupsiradvc5860zs                	       0        2        0        0        2
12021 cnrcupsiradvc7765zk                	       0        1        0        0        1
12022 cnrcupsiradvc7780zk                	       0        1        0        0        1
12023 cnrcupsirc3120lzk                  	       0        1        0        0        1
12024 cnrcupsirc3120zk                   	       0        1        0        0        1
12025 cnrcupsirc3125zk                   	       0        1        0        0        1
12026 cnrcupsirc3226zs                   	       0        1        0        0        1
12027 cnrcupslbp1127czk                  	       0        1        0        0        1
12028 cnrcupslbp1127czs                  	       0        1        0        0        1
12029 cnrcupslbp12382zs                  	       0        1        0        0        1
12030 cnrcupslbp1238zk                   	       0        1        0        0        1
12031 cnrcupslbp1238zs                   	       0        1        0        0        1
12032 cnrcupslbp1538czs                  	       0        1        0        0        1
12033 cnrcupslbp222zk                    	       0        1        0        0        1
12034 cnrcupslbp223zk                    	       0        1        0        0        1
12035 cnrcupslbp225zk                    	       0        1        0        0        1
12036 cnrcupslbp226zk                    	       0        1        0        0        1
12037 cnrcupslbp227zk                    	       0        1        0        0        1
12038 cnrcupslbp228zk                    	       0        1        0        0        1
12039 cnrcupslbp236zs                    	       0        1        0        0        1
12040 cnrcupslbp237zs                    	       0        1        0        0        1
12041 cnrcupsmf1127czk                   	       0        1        0        0        1
12042 cnrcupsmf1127czs                   	       0        1        0        0        1
12043 cnrcupsmf12382zs                   	       0        1        0        0        1
12044 cnrcupsmf1238zk                    	       0        1        0        0        1
12045 cnrcupsmf1238zs                    	       0        1        0        0        1
12046 cnrcupsmf1538czs                   	       0        1        0        0        1
12047 cnrcupsmf1643izs                   	       0        1        0        0        1
12048 cnrcupsmf450zs                     	       0        1        0        0        1
12049 cnrcupsx1643pzk                    	       0        1        0        0        1
12050 cnrdrvcups-ufr2-uk                 	       0        6        1        5        0
12051 cnrdrvcups-ufr2-us                 	       0        7        0        7        0
12052 cntlm                              	       0        2        0        2        0
12053 cobang                             	       0        1        0        1        0
12054 coccinella                         	       0        1        0        1        0
12055 coccinelle                         	       0       10        0       10        0
12056 coccinelle-doc                     	       0        4        0        4        0
12057 cockatrice                         	       0        1        0        1        0
12058 cockpit                            	       0        2        0        0        2
12059 cockpit-bridge                     	       0        5        0        5        0
12060 cockpit-doc                        	       0        2        0        0        2
12061 cockpit-machines                   	       0        1        0        0        1
12062 cockpit-networkmanager             	       0        1        0        0        1
12063 cockpit-packagekit                 	       0        3        0        0        3
12064 cockpit-pcp                        	       0        1        0        1        0
12065 cockpit-storaged                   	       0        4        0        0        4
12066 cockpit-system                     	       0        3        0        0        3
12067 cockpit-tests                      	       0        1        0        1        0
12068 cockpit-ws                         	       0        2        0        2        0
12069 coda                               	       0        4        0        4        0
12070 code-aster-gui                     	       0        1        0        1        0
12071 code-brand                         	       0        1        0        0        1
12072 code-exploration                   	       0        1        0        1        0
12073 code-saturne                       	       0        1        0        0        1
12074 code-saturne-bin                   	       0        2        0        2        0
12075 code-saturne-data                  	       0        2        0        2        0
12076 code-saturne-doc                   	       0        2        0        0        2
12077 code-saturne-include               	       0        1        0        1        0
12078 code-server                        	       0        2        0        2        0
12079 code2html                          	       0        2        0        2        0
12080 codeblocks-contrib                 	       0       18        1       17        0
12081 codeblocks-contrib-common          	       0        2        0        0        2
12082 codeblocks-dbg                     	       0        1        0        1        0
12083 codeblocks-dev                     	       0        7        1        6        0
12084 codeblocks-headers                 	       0        1        0        1        0
12085 codeblocks-libwxcontrib0           	       0        2        0        1        1
12086 codeblocks-libwxcontrib0-dbgsym    	       0        1        0        1        0
12087 codeblocks-wxcontrib-dev           	       0        1        0        0        1
12088 codeblocks-wxcontrib-headers       	       0        1        0        0        1
12089 codebreaker                        	       0        1        0        1        0
12090 codec2                             	       0        5        0        3        2
12091 codec2-dev                         	       0        1        0        1        0
12092 codecgraph                         	       0        4        0        4        0
12093 codecrypt                          	       0        4        0        4        0
12094 codecs-antix                       	       0        1        0        1        0
12095 codecserver                        	       0        1        0        1        0
12096 codecserver-driver-all             	       0        1        0        0        1
12097 codecserver-driver-ambe3k          	       0        1        0        0        1
12098 codegroup                          	       0        4        0        4        0
12099 codemeter                          	       0        1        0        1        0
12100 coderay                            	       0        2        0        2        0
12101 codesearch                         	       0        2        0        2        0
12102 codespell                          	       0        6        1        5        0
12103 codium-insiders                    	       0        1        0        1        0
12104 codonw                             	       0        1        0        1        0
12105 coffeescript-doc                   	       0        5        0        0        5
12106 cog                                	       0        2        0        2        0
12107 coinor-cbc                         	       0       10        0       10        0
12108 coinor-clp                         	       0        8        0        8        0
12109 coinor-csdp                        	       0        2        0        2        0
12110 coinor-csdp-doc                    	       0        2        0        0        2
12111 coinor-libbonmin4                  	       0        3        0        0        3
12112 coinor-libcbc-dev                  	       0        3        0        3        0
12113 coinor-libcbc3                     	       0     2630        0        0     2630
12114 coinor-libcbc3.1                   	       0      190        0        0      190
12115 coinor-libcgl-dev                  	       0        3        0        3        0
12116 coinor-libcgl1                     	       0     2819        0        0     2819
12117 coinor-libclp-dev                  	       0        6        0        6        0
12118 coinor-libclp1                     	       0     2819        0        0     2819
12119 coinor-libcoinmp-dev               	       0        3        0        3        0
12120 coinor-libcoinmp0                  	       0       97        0        0       97
12121 coinor-libcoinmp1                  	       0       12        0        0       12
12122 coinor-libcoinmp1v5                	       0     2718        0        0     2718
12123 coinor-libcoinutils-doc            	       0        1        0        0        1
12124 coinor-libcoinutils3               	       0       13        0        0       13
12125 coinor-libcoinutils3v5             	       0     2802        0        0     2802
12126 coinor-libipopt-dev                	       0        1        0        1        0
12127 coinor-libipopt1v5                 	       0        5        0        0        5
12128 coinor-libosi1                     	       0       12        0        0       12
12129 coinor-libosi1v5                   	       0     2808        0        0     2808
12130 coinor-libsymphony3                	       0        7        0        0        7
12131 coinor-symphony                    	       0        6        0        6        0
12132 coinst                             	       0        2        0        2        0
12133 coinst-viewer                      	       0        1        0        1        0
12134 coldfire                           	       0        1        0        1        0
12135 collaboraoffice                    	       0        3        0        0        3
12136 collaboraoffice-dict-de            	       0        1        0        0        1
12137 collaboraoffice-dict-en            	       0        1        0        0        1
12138 collaboraoffice-dict-fr            	       0        2        0        0        2
12139 collaboraoffice-ure                	       0        3        0        0        3
12140 collaboraofficebasis-base          	       0        1        0        0        1
12141 collaboraofficebasis-calc          	       0        3        0        0        3
12142 collaboraofficebasis-core          	       0        3        0        0        3
12143 collaboraofficebasis-de            	       0        1        0        0        1
12144 collaboraofficebasis-draw          	       0        3        0        0        3
12145 collaboraofficebasis-en-us         	       0        3        0        0        3
12146 collaboraofficebasis-extension-pdf-import	       0        3        0        0        3
12147 collaboraofficebasis-fr            	       0        1        0        0        1
12148 collaboraofficebasis-graphicfilter 	       0        3        0        0        3
12149 collaboraofficebasis-images        	       0        3        0        0        3
12150 collaboraofficebasis-impress       	       0        3        0        0        3
12151 collaboraofficebasis-math          	       0        3        0        0        3
12152 collaboraofficebasis-ooofonts      	       0        3        0        0        3
12153 collaboraofficebasis-ooolinguistic 	       0        3        0        0        3
12154 collaboraofficebasis-writer        	       0        3        0        0        3
12155 collaboraofficebasis-xsltfilter    	       0        1        0        0        1
12156 collada-dom-2.4.0                  	       0        1        0        1        0
12157 collatinus                         	       0        2        0        2        0
12158 collectd                           	       0       26        0        0       26
12159 collectd-core                      	       0       30        4       26        0
12160 collectd-dev                       	       0        1        0        1        0
12161 collectd-utils                     	       0        3        0        3        0
12162 collectd-web                       	       0        1        0        1        0
12163 collectl                           	       0        3        1        2        0
12164 colmap                             	       0        1        0        1        0
12165 colobot                            	       0       17        1       16        0
12166 colobot-common                     	       0       17        0        0       17
12167 colobot-common-sounds              	       0       17        0        0       17
12168 colobot-common-textures            	       0       17        0        0       17
12169 colobot-dev-doc                    	       0        1        0        0        1
12170 colorcode                          	       0        6        0        6        0
12171 colord-data                        	       0     2862        0        0     2862
12172 colord-gtk-utils                   	       0        6        0        6        0
12173 colord-kde                         	       0        4        0        4        0
12174 colord-sensor-argyll               	       0        1        0        0        1
12175 colorgcc                           	       0        3        0        3        0
12176 colorize                           	       0        7        0        7        0
12177 colorized-logs                     	       0       14        1       13        0
12178 colormake                          	       0       10        0       10        0
12179 colortail                          	       0        7        0        7        0
12180 colortest                          	       0       12        0       12        0
12181 colortest-python                   	       0        1        0        1        0
12182 colossal-cave-adventure            	       0       12        0       12        0
12183 colplot                            	       0        3        1        2        0
12184 com.360.browser-stable             	       0        1        0        1        0
12185 com.alibabainc.dingtalk            	       0        1        0        1        0
12186 com.eastmoney.emapp                	       0        1        0        0        1
12187 com.github.johnfactotum.foliate    	       0        4        0        4        0
12188 com.kylart.anikki                  	       0        1        0        0        1
12189 com.tdx.yhzq                       	       0        1        0        1        0
12190 com.zwsoft.zwcad2025               	       0        1        0        1        0
12191 comcom32                           	       0        1        0        0        1
12192 comcom64                           	       0        1        0        0        1
12193 comex-base                         	       0        1        0        1        0
12194 comgt                              	       0        3        0        3        0
12195 comix                              	       0        2        0        2        0
12196 comixcursors-lefthanded            	       0        5        0        0        5
12197 comixcursors-lefthanded-opaque     	       0        2        0        0        2
12198 comixcursors-righthanded           	       0        9        0        0        9
12199 comixcursors-righthanded-opaque    	       0        5        0        0        5
12200 commandbox                         	       0        1        0        1        0
12201 commit-patch                       	       0        1        0        1        0
12202 common-lisp-controller             	       0        7        0        7        0
12203 comparepdf                         	       0       12        1       11        0
12204 compartment                        	       0        3        0        3        0
12205 compat-libstdc++-296               	       0        1        0        0        1
12206 compface                           	       0       11        0       11        0
12207 compiz                             	       0       33        0        0       33
12208 compiz-core-trinity                	       0        2        0        2        0
12209 compiz-fusion-bcop                 	       0        1        0        1        0
12210 compiz-fusion-extras               	       0        1        0        0        1
12211 compiz-fusion-plugins-extra-trinity	       0        2        0        2        0
12212 compiz-fusion-plugins-main-trinity 	       0        2        0        2        0
12213 compiz-plugins-default             	       0       13        0        0       13
12214 compiz-plugins-main-default        	       0        1        0        0        1
12215 compiz-plugins-trinity             	       0        2        0        2        0
12216 compiz-tde-trinity                 	       0        1        0        1        0
12217 compiz-trinity                     	       0        2        0        0        2
12218 compizconfig-backend-tdeconfig-trinity	       0        1        0        1        0
12219 compizconfig-python                	       0        1        0        1        0
12220 complexity                         	       0        8        1        7        0
12221 complexity-doc                     	       0        1        0        0        1
12222 composablekernel-dev               	       0        2        0        2        0
12223 compositekey                       	       0        1        1        0        0
12224 compositekey-bin                   	       0        1        1        0        0
12225 comptext                           	       0        2        0        2        0
12226 compton-conf                       	       0        6        0        6        0
12227 compton-conf-l10n                  	       0        7        0        0        7
12228 comptty                            	       0        2        0        2        0
12229 comskip                            	       0        1        0        1        0
12230 comskip-gui                        	       0        2        0        2        0
12231 conan                              	       0        1        0        1        0
12232 concalc                            	       0       10        0       10        0
12233 concordance                        	       0        3        0        3        0
12234 concordance-common                 	       0        3        0        3        0
12235 conda                              	       0        7        0        7        0
12236 conda-package-handling             	       0        3        0        3        0
12237 condor-doc                         	       0        1        0        0        1
12238 confget                            	       0        7        1        6        0
12239 config-package-dev                 	       0        4        0        4        0
12240 configconsola                      	       0        1        0        0        1
12241 configuradorfnmt                   	       0       10        0        9        1
12242 configure-debian                   	       0       13        1       12        0
12243 congruity                          	       0        3        0        3        0
12244 conkeror                           	       0        1        0        1        0
12245 conkeror-spawn-process-helper      	       0        1        0        1        0
12246 conky                              	       0       93        0        0       93
12247 conky-all                          	       0       39        6       33        0
12248 conky-cli                          	       0        1        0        1        0
12249 connectagram                       	       0       11        0       11        0
12250 connectagram-data                  	       0       11        0        0       11
12251 connman-doc                        	       0        9        0        0        9
12252 connman-gtk-xdg-autostart          	       0        2        0        0        2
12253 connman-ui                         	       0       17        0       17        0
12254 conntrack                          	       0       40        4       36        0
12255 conntrackd                         	       0        7        4        3        0
12256 conquest-common                    	       0        1        0        0        1
12257 conquest-data                      	       0        1        0        0        1
12258 conquest-dbase                     	       0        1        0        1        0
12259 conquest-gl                        	       0        1        0        1        0
12260 conquest-libs                      	       0        1        0        1        0
12261 cons                               	       0        1        0        1        0
12262 conservation-code                  	       0        1        0        1        0
12263 consola                            	       0        1        0        1        0
12264 consolation                        	       0        1        1        0        0
12265 console-braille                    	       0        3        0        3        0
12266 console-data                       	       0      131        0        0      131
12267 console-log                        	       0        3        0        0        3
12268 consolefonts-base                  	       0        2        0        0        2
12269 conspy                             	       0        6        1        5        0
12270 consul                             	       0        1        0        1        0
12271 containerd.io                      	       0       99       25       74        0
12272 containers-storage                 	       0       16        0       16        0
12273 context-doc-nonfree                	       0        1        0        0        1
12274 context-modules                    	       0       92        0        0       92
12275 context-nonfree                    	       0        2        0        1        1
12276 contextfree                        	       0        2        0        2        0
12277 conv-tools                         	       0        4        0        4        0
12278 converse-desktop                   	       0        1        0        0        1
12279 convert-pgn                        	       0        1        0        1        0
12280 convertall                         	       0       13        0       13        0
12281 convertfs                          	       0        1        0        1        0
12282 convlit                            	       0       27        1       26        0
12283 convmv                             	       0       50        1       49        0
12284 cookiecutter                       	       0        2        0        2        0
12285 cookietool                         	       0        6        1        5        0
12286 coolkey                            	       0        6        0        6        0
12287 coolmail                           	       0        1        0        1        0
12288 coolwsd                            	       0        2        0        2        0
12289 coppwr                             	       0        1        0        1        0
12290 copycat                            	       0        1        0        1        0
12291 copyfs                             	       0        2        0        2        0
12292 copyq                              	       0       19        4       15        0
12293 copyq-doc                          	       0        7        0        0        7
12294 copyq-plugins                      	       0       16        4       12        0
12295 copyright-update                   	       0        3        0        3        0
12296 coq                                	       0        3        0        3        0
12297 coq-doc-html                       	       0        1        0        0        1
12298 corebird                           	       0        1        0        1        0
12299 coreboot-configurator              	       0        1        0        1        0
12300 coreboot-utils-doc                 	       0       19        0       19        0
12301 corectrl                           	       0        8        2        6        0
12302 coredhcp-client                    	       0        2        0        2        0
12303 coredhcp-server                    	       0        1        0        1        0
12304 corekeeper                         	       0        1        0        1        0
12305 corewars                           	       0        1        0        1        0
12306 corkscrew                          	       0        2        0        2        0
12307 corosync                           	       0        6        1        5        0
12308 corosync-dev                       	       0        1        0        0        1
12309 corsix-th                          	       0        1        0        1        0
12310 corsix-th-data                     	       0        1        0        0        1
12311 cotp                               	       0        1        0        1        0
12312 coturn                             	       0       16        2       14        0
12313 countrycodes                       	       0        1        0        0        1
12314 courier-authdaemon                 	       0       24        5       19        0
12315 courier-authlib                    	       0       36       10       26        0
12316 courier-authlib-postgresql         	       0        2        1        1        0
12317 courier-authlib-userdb             	       0       23        4       19        0
12318 courier-base                       	       0       22        9       13        0
12319 courier-doc                        	       0        4        0        0        4
12320 courier-imap                       	       0       16        5       11        0
12321 courier-imap-ssl                   	       0        3        1        0        2
12322 courier-maildrop                   	       0        3        0        1        2
12323 courier-mta                        	       0        8        3        5        0
12324 courier-pcp                        	       0        1        0        1        0
12325 courier-pop                        	       0        5        2        3        0
12326 courier-pop-ssl                    	       0        1        0        0        1
12327 courier-pythonfilter               	       0        1        0        1        0
12328 courier-ssl                        	       0        2        1        0        1
12329 covered                            	       0        5        0        5        0
12330 covered-doc                        	       0        1        0        0        1
12331 covi                               	       0        1        0        1        0
12332 cowbell                            	       0        1        0        1        0
12333 cowbuilder                         	       0       53        2       51        0
12334 cowdancer                          	       0       58        1       57        0
12335 cowpatty                           	       0       24        0       24        0
12336 cowsay-off                         	       0      124        0        0      124
12337 coz-profiler                       	       0        5        0        5        0
12338 cozy                               	       0        1        0        1        0
12339 cpad-common                        	       0        1        0        1        0
12340 cpan-listchanges                   	       0        4        0        4        0
12341 cpanminus                          	       0       39        0       39        0
12342 cpanoutdated                       	       0        4        0        4        0
12343 cpants-lint                        	       0        1        0        1        0
12344 cpdb-backend-cups                  	       0       11        0        4        7
12345 cpdb-backend-file                  	       0        1        0        1        0
12346 cpdb-libs-tools                    	       0        1        0        1        0
12347 cpdf                               	       0        1        0        1        0
12348 cpio-doc                           	       0        9        0        0        9
12349 cpio-win32                         	       0        3        0        0        3
12350 cpipe                              	       0        2        0        2        0
12351 cpl-plugin-amber                   	       0        2        0        0        2
12352 cpl-plugin-fors                    	       0        2        0        0        2
12353 cpl-plugin-giraf                   	       0        2        0        0        2
12354 cpl-plugin-hawki                   	       0        2        0        0        2
12355 cpl-plugin-kmos                    	       0        1        0        0        1
12356 cpl-plugin-muse                    	       0        2        0        0        2
12357 cpl-plugin-naco                    	       0        2        0        0        2
12358 cpl-plugin-uves                    	       0        2        0        0        2
12359 cpl-plugin-vimos                   	       0        2        0        0        2
12360 cpl-plugin-visir                   	       0        2        0        0        2
12361 cpl-plugin-xshoo                   	       0        2        0        0        2
12362 cpm                                	       0        1        0        1        0
12363 cpmtools                           	       0        6        0        6        0
12364 cpp-10-aarch64-linux-gnu           	       0        7        0        7        0
12365 cpp-10-alpha-linux-gnu             	       0        1        0        1        0
12366 cpp-10-arm-linux-gnueabi           	       0        6        0        6        0
12367 cpp-10-arm-linux-gnueabihf         	       0        7        0        7        0
12368 cpp-10-doc                         	       0        4        0        0        4
12369 cpp-10-hppa-linux-gnu              	       0        2        0        2        0
12370 cpp-10-i686-linux-gnu              	       0        3        0        3        0
12371 cpp-10-mipsel-linux-gnu            	       0        1        0        1        0
12372 cpp-10-powerpc-linux-gnu           	       0        1        0        1        0
12373 cpp-10-powerpc64-linux-gnu         	       0        2        0        2        0
12374 cpp-10-riscv64-linux-gnu           	       0        3        0        3        0
12375 cpp-10-s390x-linux-gnu             	       0        1        0        1        0
12376 cpp-10-sparc64-linux-gnu           	       0        1        0        1        0
12377 cpp-11                             	       0       94        2       92        0
12378 cpp-11-arm-linux-gnueabi           	       0        1        0        1        0
12379 cpp-11-arm-linux-gnueabihf         	       0        1        0        1        0
12380 cpp-11-i686-linux-gnu              	       0        1        0        1        0
12381 cpp-12-aarch64-linux-gnu           	       0       20        0       20        0
12382 cpp-12-alpha-linux-gnu             	       0        1        0        1        0
12383 cpp-12-arm-linux-gnueabi           	       0       13        0       13        0
12384 cpp-12-arm-linux-gnueabihf         	       0       14        0       14        0
12385 cpp-12-doc                         	       0       17        0        0       17
12386 cpp-12-hppa-linux-gnu              	       0        1        0        1        0
12387 cpp-12-i686-linux-gnu              	       0        4        0        4        0
12388 cpp-12-m68k-linux-gnu              	       0        1        0        1        0
12389 cpp-12-mips-linux-gnu              	       0        4        0        4        0
12390 cpp-12-mips64-linux-gnuabi64       	       0        1        0        1        0
12391 cpp-12-mipsel-linux-gnu            	       0        3        0        3        0
12392 cpp-12-powerpc-linux-gnu           	       0        2        0        2        0
12393 cpp-12-powerpc64-linux-gnu         	       0        1        0        1        0
12394 cpp-12-riscv64-linux-gnu           	       0        6        0        6        0
12395 cpp-12-s390x-linux-gnu             	       0        2        0        2        0
12396 cpp-12-sparc64-linux-gnu           	       0        1        0        1        0
12397 cpp-12-x86-64-linux-gnux32         	       0        4        0        4        0
12398 cpp-13                             	       0      150        4      146        0
12399 cpp-13-aarch64-linux-gnu           	       0        2        0        2        0
12400 cpp-13-arm-linux-gnueabihf         	       0        1        0        1        0
12401 cpp-13-dbgsym                      	       0        1        0        1        0
12402 cpp-13-doc                         	       0        3        0        0        3
12403 cpp-13-i686-linux-gnu              	       0        4        0        4        0
12404 cpp-13-powerpc64le-linux-gnu       	       0        1        0        1        0
12405 cpp-13-riscv64-linux-gnu           	       0        1        0        1        0
12406 cpp-13-x86-64-linux-gnu            	       0      131        4      127        0
12407 cpp-14-aarch64-linux-gnu           	       0        5        0        5        0
12408 cpp-14-alpha-linux-gnu             	       0        1        0        1        0
12409 cpp-14-arm-linux-gnueabi           	       0        1        0        1        0
12410 cpp-14-arm-linux-gnueabihf         	       0        4        0        4        0
12411 cpp-14-doc                         	       0        2        0        0        2
12412 cpp-14-for-build                   	       0        1        0        0        1
12413 cpp-14-hppa-linux-gnu              	       0        1        0        1        0
12414 cpp-14-i686-linux-gnu              	       0        6        0        6        0
12415 cpp-14-powerpc-linux-gnu           	       0        2        0        2        0
12416 cpp-14-powerpc64-linux-gnu         	       0        3        0        3        0
12417 cpp-14-powerpc64le-linux-gnu       	       0        1        0        1        0
12418 cpp-14-riscv64-linux-gnu           	       0        3        0        3        0
12419 cpp-14-s390x-linux-gnu             	       0        1        0        1        0
12420 cpp-14-sparc64-linux-gnu           	       0        1        0        1        0
12421 cpp-15                             	       0        2        0        2        0
12422 cpp-15-x86-64-linux-gnu            	       0        2        0        2        0
12423 cpp-3.3                            	       0        3        0        3        0
12424 cpp-4.1                            	       0        4        0        4        0
12425 cpp-4.2                            	       0        2        0        2        0
12426 cpp-4.3                            	       0        8        0        8        0
12427 cpp-4.3-arm-linux-gnueabi          	       0        1        0        1        0
12428 cpp-4.4                            	       0       16        1       15        0
12429 cpp-4.4-doc                        	       0        1        0        0        1
12430 cpp-4.5                            	       0        1        0        1        0
12431 cpp-4.6                            	       0       29        1       28        0
12432 cpp-4.7                            	       0        7        0        7        0
12433 cpp-4.7-doc                        	       0        2        0        0        2
12434 cpp-4.8                            	       0       64        1       63        0
12435 cpp-4.8-doc                        	       0        1        0        0        1
12436 cpp-4.9-doc                        	       0        2        0        0        2
12437 cpp-5                              	       0        5        0        5        0
12438 cpp-6                              	       0      414       10      404        0
12439 cpp-6-aarch64-linux-gnu            	       0        2        0        2        0
12440 cpp-6-arm-linux-gnueabihf          	       0        1        0        1        0
12441 cpp-6-doc                          	       0        3        0        0        3
12442 cpp-7                              	       0       10        0       10        0
12443 cpp-7-doc                          	       0        1        0        0        1
12444 cpp-8-aarch64-linux-gnu            	       0        7        0        7        0
12445 cpp-8-arm-linux-gnueabi            	       0        2        0        2        0
12446 cpp-8-arm-linux-gnueabihf          	       0        4        0        4        0
12447 cpp-8-doc                          	       0        1        0        0        1
12448 cpp-8-i686-linux-gnu               	       0        2        0        2        0
12449 cpp-8-mips-linux-gnu               	       0        1        0        1        0
12450 cpp-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
12451 cpp-8-mipsel-linux-gnu             	       0        1        0        1        0
12452 cpp-8-x86-64-linux-gnux32          	       0        2        0        2        0
12453 cpp-9                              	       0       36        0       36        0
12454 cpp-9-aarch64-linux-gnu            	       0        1        0        1        0
12455 cpp-9-arm-linux-gnueabi            	       0        1        0        1        0
12456 cpp-9-arm-linux-gnueabihf          	       0        2        0        2        0
12457 cpp-9-doc                          	       0        1        0        0        1
12458 cpp-aarch64-linux-gnu              	       0       35        0       35        0
12459 cpp-alpha-linux-gnu                	       0        3        0        3        0
12460 cpp-arm-linux-gnueabi              	       0       18        0       18        0
12461 cpp-arm-linux-gnueabihf            	       0       28        0       28        0
12462 cpp-doc                            	       0       19        0        0       19
12463 cpp-for-build                      	       0        1        0        0        1
12464 cpp-hppa-linux-gnu                 	       0        3        0        3        0
12465 cpp-i686-linux-gnu                 	       0       16        0       16        0
12466 cpp-m68k-linux-gnu                 	       0        1        0        1        0
12467 cpp-mips-linux-gnu                 	       0        5        0        5        0
12468 cpp-mips64-linux-gnuabi64          	       0        1        0        1        0
12469 cpp-mips64el-linux-gnuabi64        	       0        1        0        1        0
12470 cpp-mipsel-linux-gnu               	       0        5        0        5        0
12471 cpp-powerpc-linux-gnu              	       0        5        0        5        0
12472 cpp-powerpc64-linux-gnu            	       0        5        0        5        0
12473 cpp-powerpc64le-linux-gnu          	       0        1        0        1        0
12474 cpp-riscv64-linux-gnu              	       0        8        0        8        0
12475 cpp-s390x-linux-gnu                	       0        4        0        4        0
12476 cpp-sparc64-linux-gnu              	       0        3        0        3        0
12477 cpp-x86-64-linux-gnux32            	       0        1        0        1        0
12478 cppad                              	       0        2        0        0        2
12479 cppcms                             	       0        2        0        2        0
12480 cppcms-dev                         	       0        1        0        1        0
12481 cppdb                              	       0        3        0        3        0
12482 cpplint                            	       0        7        0        7        0
12483 cppman                             	       0        3        0        3        0
12484 cppreference-doc-en-html           	       0        9        0        9        0
12485 cppreference-doc-en-qch            	       0        5        0        0        5
12486 cpputest                           	       0        7        0        7        0
12487 cppzmq-dev                         	       0       31        0        0       31
12488 cpqacuxe                           	       0        1        0        1        0
12489 cprocsp-cptools-gtk-64             	       0        1        0        1        0
12490 cprocsp-curl-64                    	       0        1        0        1        0
12491 cprocsp-pki-cades-64               	       0        1        0        1        0
12492 cprocsp-pki-plugin-64              	       0        1        0        1        0
12493 cprocsp-rdr-cloud-64               	       0        1        0        1        0
12494 cprocsp-rdr-cpfkc-64               	       0        1        0        1        0
12495 cprocsp-rdr-cryptoki-64            	       0        1        0        1        0
12496 cprocsp-rdr-edoc-64                	       0        1        0        1        0
12497 cprocsp-rdr-emv-64                 	       0        1        0        1        0
12498 cprocsp-rdr-gui-gtk-64             	       0        1        0        1        0
12499 cprocsp-rdr-infocrypt-64           	       0        1        0        1        0
12500 cprocsp-rdr-inpaspot-64            	       0        1        0        1        0
12501 cprocsp-rdr-jacarta-64             	       0        1        0        1        0
12502 cprocsp-rdr-kst-64                 	       0        1        0        1        0
12503 cprocsp-rdr-mskey-64               	       0        1        0        1        0
12504 cprocsp-rdr-novacard-64            	       0        1        0        1        0
12505 cprocsp-rdr-pcsc-64                	       0        1        0        1        0
12506 cprocsp-rdr-rosan-64               	       0        1        0        1        0
12507 cprocsp-rdr-rutoken-64             	       0        1        0        1        0
12508 cproto                             	       0        9        0        9        0
12509 cpu                                	       0        5        0        5        0
12510 cpu-x-dbgsym                       	       0        1        0        1        0
12511 cpuburn                            	       0        6        0        6        0
12512 cpudyn                             	       0        2        1        1        0
12513 cpufreqd                           	       0       30       10       20        0
12514 cpuidtool                          	       0        4        0        4        0
12515 cpupower-gui                       	       0       16        3       13        0
12516 cpuset                             	       0        3        0        3        0
12517 cpustat                            	       0        8        0        8        0
12518 cputool                            	       0       14        0       14        0
12519 cqrlog                             	       0        8        0        8        0
12520 cqrlog-data                        	       0        7        0        0        7
12521 cque-en                            	       0        1        0        1        0
12522 crac                               	       0        1        0        1        0
12523 crack                              	       0       24        0       24        0
12524 crack-attack                       	       0       17        0       17        0
12525 crack-common                       	       0       28        0        0       28
12526 crack-md5                          	       0        4        0        4        0
12527 craft                              	       0        1        0        1        0
12528 crafty                             	       0        7        0        7        0
12529 crafty-bitmaps                     	       0        1        0        0        1
12530 crafty-books-medium                	       0        1        0        0        1
12531 crafty-books-medtosmall            	       0        5        0        0        5
12532 cramfsprogs                        	       0        7        0        7        0
12533 crash                              	       0        9        1        8        0
12534 crashme                            	       0        5        1        4        0
12535 crasm                              	       0        6        0        6        0
12536 crawl-common                       	       0      981        0        0      981
12537 crawl-tiles                        	       0       15        0       15        0
12538 crawl-tiles-data                   	       0       15        0        0       15
12539 crazydiskinfo                      	       0       10        0       10        0
12540 create-resources                   	       0       15        0        0       15
12541 createdisk                         	       0        1        0        1        0
12542 createrepo-c                       	       0        2        0        2        0
12543 creddump7                          	       0       17        0       17        0
12544 credential-sheets                  	       0        3        0        3        0
12545 creduce                            	       0        4        0        4        0
12546 crimson                            	       0        5        0        5        0
12547 crip                               	       0        6        0        6        0
12548 crispy-doom                        	       0        7        1        6        0
12549 crispy-doom-build-deps             	       0        1        0        0        1
12550 criticalmass                       	       0       10        0       10        0
12551 criticalmass-data                  	       0       10        0        0       10
12552 critterding                        	       0        3        0        3        0
12553 crm114                             	       0        2        1        1        0
12554 crmsh                              	       0        6        0        6        0
12555 cron-apt                           	       0       57       13       44        0
12556 cron-deja-vu                       	       0        1        0        1        0
12557 cronic                             	       0        1        0        1        0
12558 cronie                             	       0        2        0        2        0
12559 cronolog                           	       0        4        1        3        0
12560 cronometer                         	       0        6        0        6        0
12561 cronutils                          	       0       15        0       15        0
12562 cropgui                            	       0        1        0        1        0
12563 cross-config                       	       0       27        0        0       27
12564 crossbuild-essential-amd64         	       0        2        0        0        2
12565 crossbuild-essential-arm64         	       0       12        0        0       12
12566 crossbuild-essential-armel         	       0        3        0        0        3
12567 crossbuild-essential-armhf         	       0        8        0        0        8
12568 crossbuild-essential-i386          	       0        3        0        0        3
12569 crossbuild-essential-mips          	       0        2        0        0        2
12570 crossbuild-essential-mips64el      	       0        1        0        0        1
12571 crossbuild-essential-mipsel        	       0        2        0        0        2
12572 crossfire-client                   	       0        6        0        6        0
12573 crossfire-client-gtk2              	       0        1        0        0        1
12574 crossfire-client-images            	       0        7        0        0        7
12575 crossfire-client-sounds            	       0        1        0        0        1
12576 crossfire-common                   	       0        4        0        0        4
12577 crossfire-doc                      	       0        3        0        0        3
12578 crossfire-maps                     	       0        5        0        0        5
12579 crossfire-server                   	       0        4        0        4        0
12580 crossftp                           	       0        1        0        0        1
12581 crossgrader                        	       0        1        0        1        0
12582 crow-translate                     	       0        2        1        1        0
12583 crowdsec                           	       0        4        2        2        0
12584 crowdsec-firewall-bouncer          	       0        1        0        1        0
12585 crrcsim                            	       0        9        0        9        0
12586 crrcsim-data                       	       0        9        0        0        9
12587 crrcsim-doc                        	       0        2        0        0        2
12588 crudini                            	       0        3        0        3        0
12589 cruft                              	       0       15        0        3       12
12590 cruft-common                       	       0        6        0        6        0
12591 cruft-ng                           	       0       21        0       21        0
12592 crypt++el                          	       0        2        0        2        0
12593 cryptcat                           	       0        8        0        8        0
12594 cryptkeeper                        	       0        1        0        1        0
12595 cryptol                            	       0        1        0        1        0
12596 cryptonose                         	       0        1        0        1        0
12597 cryptsetup-modified-functions      	       0        3        1        2        0
12598 cryptsetup-nuke-password           	       0        4        0        4        0
12599 cryptsetup-run                     	       0      145        0       19      126
12600 crystal                            	       0        6        0        5        1
12601 crystal1.12                        	       0        1        0        1        0
12602 crystal1.12-docs                   	       0        1        0        0        1
12603 crystal1.12-samples                	       0        1        0        0        1
12604 crystalcursors                     	       0       17        0        0       17
12605 cs                                 	       0        1        0        1        0
12606 cscope                             	       0       52        1       51        0
12607 cscope-el                          	       0        1        0        0        1
12608 csladspa                           	       0        9        1        8        0
12609 csmash                             	       0        1        0        1        0
12610 csmash-data                        	       0        1        0        0        1
12611 csmith                             	       0        5        1        4        0
12612 csound-data                        	       0       34        0        0       34
12613 csound-doc                         	       0        8        0        0        8
12614 csound-manpages                    	       0        1        0        0        1
12615 csound-plugins                     	       0       25        0        0       25
12616 csound-soundfont                   	       0       30        0        0       30
12617 csoundqt                           	       0        7        0        7        0
12618 csoundqt-examples                  	       0        7        0        0        7
12619 css-mode                           	       0        1        0        1        0
12620 cssc                               	       0        2        0        2        0
12621 cssed                              	       0        1        0        1        0
12622 cssmin                             	       0        4        0        4        0
12623 csstidy                            	       0       11        0       11        0
12624 cstocs                             	       0        6        1        5        0
12625 cstream                            	       0        4        0        4        0
12626 csv2latex                          	       0        6        1        5        0
12627 csvkit                             	       0       11        0       11        0
12628 csvkit-doc                         	       0        4        0        0        4
12629 csvtool                            	       0       20        0       20        0
12630 csync2                             	       0        1        0        1        0
12631 ctdb                               	       0        3        0        3        0
12632 ctdconverter                       	       0        1        0        1        0
12633 cthumb                             	       0        1        0        1        0
12634 ctioga2                            	       0        1        0        1        0
12635 ctn                                	       0        2        0        2        0
12636 ctop                               	       0        3        0        3        0
12637 ctorrent                           	       0       17        0       17        0
12638 ctpp2-utils                        	       0        1        0        1        0
12639 ctsim                              	       0        4        0        4        0
12640 ctsim-doc                          	       0        5        0        0        5
12641 ctsim-help                         	       0        4        0        0        4
12642 ctwm                               	       0        5        0        5        0
12643 cube2                              	       0       10        0       10        0
12644 cube2-data                         	       0        5        0        5        0
12645 cubicsdr                           	       0       20        0       20        0
12646 cucumber                           	       0        1        0        1        0
12647 cuda                               	       0        2        0        0        2
12648 cuda-12-6                          	       0        2        0        0        2
12649 cuda-12-8                          	       0        1        0        0        1
12650 cuda-cccl-11-7                     	       0        1        0        1        0
12651 cuda-cccl-12-4                     	       0        2        0        2        0
12652 cuda-cccl-12-8                     	       0        1        0        1        0
12653 cuda-command-line-tools-10-0       	       0        1        0        1        0
12654 cuda-command-line-tools-11-7       	       0        1        0        0        1
12655 cuda-command-line-tools-12-4       	       0        2        0        0        2
12656 cuda-command-line-tools-12-6       	       0        4        0        0        4
12657 cuda-command-line-tools-12-8       	       0        1        0        0        1
12658 cuda-command-line-tools-9-0        	       0        1        1        0        0
12659 cuda-compiler-10-0                 	       0        1        0        0        1
12660 cuda-compiler-11-7                 	       0        1        0        0        1
12661 cuda-compiler-12-4                 	       0        2        0        0        2
12662 cuda-compiler-12-6                 	       0        4        0        0        4
12663 cuda-compiler-12-8                 	       0        1        0        0        1
12664 cuda-core-9-0                      	       0        1        0        0        1
12665 cuda-cross-aarch64-10-0            	       0        1        0        0        1
12666 cuda-crt-12-4                      	       0        2        0        2        0
12667 cuda-crt-12-8                      	       0        1        0        1        0
12668 cuda-cublas-10-0                   	       0        1        0        0        1
12669 cuda-cublas-9-0                    	       0        1        0        0        1
12670 cuda-cublas-cross-aarch64-10-0     	       0        1        0        1        0
12671 cuda-cublas-dev-10-0               	       0        1        0        1        0
12672 cuda-cublas-dev-9-0                	       0        1        1        0        0
12673 cuda-cudart-10-0                   	       0        1        0        0        1
12674 cuda-cudart-11-7                   	       0        1        0        1        0
12675 cuda-cudart-12-4                   	       0        2        0        0        2
12676 cuda-cudart-12-6                   	       0        5        0        0        5
12677 cuda-cudart-12-8                   	       0        1        0        0        1
12678 cuda-cudart-9-0                    	       0        1        0        0        1
12679 cuda-cudart-cross-aarch64-10-0     	       0        1        0        1        0
12680 cuda-cudart-dev-10-0               	       0        1        1        0        0
12681 cuda-cudart-dev-11-7               	       0        1        0        1        0
12682 cuda-cudart-dev-12-4               	       0        2        1        1        0
12683 cuda-cudart-dev-12-8               	       0        1        0        1        0
12684 cuda-cudart-dev-9-0                	       0        1        1        0        0
12685 cuda-cufft-10-0                    	       0        1        0        0        1
12686 cuda-cufft-9-0                     	       0        1        0        0        1
12687 cuda-cufft-cross-aarch64-10-0      	       0        1        0        1        0
12688 cuda-cufft-dev-10-0                	       0        1        0        1        0
12689 cuda-cufft-dev-9-0                 	       0        1        1        0        0
12690 cuda-cuobjdump-10-0                	       0        1        0        1        0
12691 cuda-cuobjdump-11-7                	       0        1        0        1        0
12692 cuda-cuobjdump-12-4                	       0        2        0        2        0
12693 cuda-cuobjdump-12-8                	       0        1        0        1        0
12694 cuda-cupti-10-0                    	       0        1        0        1        0
12695 cuda-cupti-11-7                    	       0        1        0        1        0
12696 cuda-cupti-12-4                    	       0        2        0        2        0
12697 cuda-cupti-12-8                    	       0        1        0        1        0
12698 cuda-cupti-dev-11-7                	       0        1        0        1        0
12699 cuda-cupti-dev-12-4                	       0        2        0        2        0
12700 cuda-cupti-dev-12-8                	       0        1        0        1        0
12701 cuda-curand-10-0                   	       0        1        0        0        1
12702 cuda-curand-9-0                    	       0        1        0        0        1
12703 cuda-curand-cross-aarch64-10-0     	       0        1        0        1        0
12704 cuda-curand-dev-10-0               	       0        1        0        1        0
12705 cuda-curand-dev-9-0                	       0        1        1        0        0
12706 cuda-cusolver-10-0                 	       0        1        0        0        1
12707 cuda-cusolver-9-0                  	       0        1        0        0        1
12708 cuda-cusolver-cross-aarch64-10-0   	       0        1        0        1        0
12709 cuda-cusolver-dev-10-0             	       0        1        0        1        0
12710 cuda-cusolver-dev-9-0              	       0        1        1        0        0
12711 cuda-cusparse-10-0                 	       0        1        0        0        1
12712 cuda-cusparse-9-0                  	       0        1        0        0        1
12713 cuda-cusparse-cross-aarch64-10-0   	       0        1        0        1        0
12714 cuda-cusparse-dev-10-0             	       0        1        0        1        0
12715 cuda-cusparse-dev-9-0              	       0        1        1        0        0
12716 cuda-cuxxfilt-11-7                 	       0        1        0        1        0
12717 cuda-cuxxfilt-12-4                 	       0        2        0        2        0
12718 cuda-cuxxfilt-12-8                 	       0        1        0        1        0
12719 cuda-demo-suite-12-6               	       0        2        0        0        2
12720 cuda-demo-suite-12-8               	       0        1        0        0        1
12721 cuda-documentation-10-0            	       0        1        0        0        1
12722 cuda-documentation-11-7            	       0        1        0        0        1
12723 cuda-documentation-12-4            	       0        2        0        0        2
12724 cuda-documentation-12-6            	       0        4        0        0        4
12725 cuda-documentation-12-8            	       0        1        0        0        1
12726 cuda-documentation-9-0             	       0        1        0        0        1
12727 cuda-driver-cross-aarch64-10-0     	       0        1        0        1        0
12728 cuda-driver-dev-10-0               	       0        1        0        1        0
12729 cuda-driver-dev-11-7               	       0        1        0        1        0
12730 cuda-driver-dev-12-4               	       0        2        0        2        0
12731 cuda-driver-dev-12-8               	       0        1        0        1        0
12732 cuda-driver-dev-9-0                	       0        1        1        0        0
12733 cuda-drivers                       	       0        1        0        0        1
12734 cuda-drivers-525                   	       0        1        0        0        1
12735 cuda-drivers-530                   	       0        1        0        0        1
12736 cuda-drivers-565                   	       0        1        0        0        1
12737 cuda-gdb-10-0                      	       0        1        0        1        0
12738 cuda-gdb-11-7                      	       0        1        0        1        0
12739 cuda-gdb-12-4                      	       0        2        0        2        0
12740 cuda-gdb-12-8                      	       0        1        0        1        0
12741 cuda-gpu-library-advisor-10-0      	       0        1        0        1        0
12742 cuda-keyring                       	       0        6        0        0        6
12743 cuda-libraries-11-7                	       0        1        0        0        1
12744 cuda-libraries-12-4                	       0        2        0        0        2
12745 cuda-libraries-12-6                	       0        4        0        0        4
12746 cuda-libraries-12-8                	       0        1        0        0        1
12747 cuda-libraries-dev-10-0            	       0        1        0        0        1
12748 cuda-libraries-dev-11-7            	       0        1        0        0        1
12749 cuda-libraries-dev-12-4            	       0        2        0        0        2
12750 cuda-libraries-dev-12-6            	       0        4        0        0        4
12751 cuda-libraries-dev-12-8            	       0        1        0        0        1
12752 cuda-libraries-dev-9-0             	       0        1        0        0        1
12753 cuda-license-10-0                  	       0        1        0        0        1
12754 cuda-license-9-0                   	       0        1        0        0        1
12755 cuda-memcheck-10-0                 	       0        1        0        1        0
12756 cuda-memcheck-11-7                 	       0        1        0        1        0
12757 cuda-misc-headers-10-0             	       0        1        0        1        0
12758 cuda-misc-headers-9-0              	       0        1        0        0        1
12759 cuda-misc-headers-cross-aarch64-10-0	       0        1        0        1        0
12760 cuda-npp-10-0                      	       0        1        0        0        1
12761 cuda-npp-9-0                       	       0        1        0        0        1
12762 cuda-npp-cross-aarch64-10-0        	       0        1        0        1        0
12763 cuda-npp-dev-10-0                  	       0        1        0        1        0
12764 cuda-npp-dev-9-0                   	       0        1        1        0        0
12765 cuda-nsight-10-0                   	       0        1        0        0        1
12766 cuda-nsight-11-7                   	       0        1        0        1        0
12767 cuda-nsight-12-4                   	       0        2        0        2        0
12768 cuda-nsight-12-8                   	       0        1        0        1        0
12769 cuda-nsight-compute-10-0           	       0        1        0        0        1
12770 cuda-nsight-compute-11-7           	       0        1        0        1        0
12771 cuda-nsight-compute-12-4           	       0        2        0        2        0
12772 cuda-nsight-compute-12-8           	       0        1        0        1        0
12773 cuda-nsight-compute-addon-l4t-10-0 	       0        1        0        0        1
12774 cuda-nsight-systems-11-7           	       0        1        0        1        0
12775 cuda-nsight-systems-12-4           	       0        2        0        2        0
12776 cuda-nsight-systems-12-8           	       0        1        0        1        0
12777 cuda-nvcc-10-0                     	       0        1        0        1        0
12778 cuda-nvcc-11-7                     	       0        1        0        1        0
12779 cuda-nvcc-12-4                     	       0        2        0        2        0
12780 cuda-nvcc-12-8                     	       0        1        0        1        0
12781 cuda-nvdisasm-10-0                 	       0        1        0        1        0
12782 cuda-nvdisasm-11-7                 	       0        1        0        1        0
12783 cuda-nvdisasm-12-4                 	       0        2        0        2        0
12784 cuda-nvdisasm-12-8                 	       0        1        0        1        0
12785 cuda-nvgraph-10-0                  	       0        1        0        0        1
12786 cuda-nvgraph-9-0                   	       0        1        0        0        1
12787 cuda-nvgraph-cross-aarch64-10-0    	       0        1        0        0        1
12788 cuda-nvgraph-dev-10-0              	       0        1        0        1        0
12789 cuda-nvgraph-dev-9-0               	       0        1        1        0        0
12790 cuda-nvjpeg-10-0                   	       0        1        0        0        1
12791 cuda-nvjpeg-dev-10-0               	       0        1        0        1        0
12792 cuda-nvml-cross-aarch64-10-0       	       0        1        0        0        1
12793 cuda-nvml-dev-10-0                 	       0        1        0        1        0
12794 cuda-nvml-dev-11-7                 	       0        1        0        1        0
12795 cuda-nvml-dev-12-4                 	       0        2        0        2        0
12796 cuda-nvml-dev-12-8                 	       0        1        0        1        0
12797 cuda-nvml-dev-9-0                  	       0        1        1        0        0
12798 cuda-nvprof-10-0                   	       0        1        0        1        0
12799 cuda-nvprof-11-7                   	       0        1        0        1        0
12800 cuda-nvprof-12-4                   	       0        2        0        2        0
12801 cuda-nvprof-12-8                   	       0        1        0        1        0
12802 cuda-nvprune-10-0                  	       0        1        0        1        0
12803 cuda-nvprune-11-7                  	       0        1        0        1        0
12804 cuda-nvprune-12-4                  	       0        2        0        2        0
12805 cuda-nvprune-12-8                  	       0        1        0        1        0
12806 cuda-nvrtc-10-0                    	       0        1        0        0        1
12807 cuda-nvrtc-11-7                    	       0        1        0        0        1
12808 cuda-nvrtc-12-4                    	       0        2        0        0        2
12809 cuda-nvrtc-12-6                    	       0        4        0        0        4
12810 cuda-nvrtc-12-8                    	       0        1        0        0        1
12811 cuda-nvrtc-9-0                     	       0        1        0        0        1
12812 cuda-nvrtc-cross-aarch64-10-0      	       0        1        0        0        1
12813 cuda-nvrtc-dev-10-0                	       0        1        0        1        0
12814 cuda-nvrtc-dev-11-7                	       0        1        0        1        0
12815 cuda-nvrtc-dev-12-4                	       0        2        0        2        0
12816 cuda-nvrtc-dev-12-8                	       0        1        0        1        0
12817 cuda-nvrtc-dev-9-0                 	       0        1        1        0        0
12818 cuda-nvtx-10-0                     	       0        1        0        1        0
12819 cuda-nvtx-11-7                     	       0        1        0        1        0
12820 cuda-nvtx-12-4                     	       0        2        0        2        0
12821 cuda-nvtx-12-8                     	       0        1        0        1        0
12822 cuda-nvvm-12-4                     	       0        2        0        2        0
12823 cuda-nvvm-12-8                     	       0        1        0        1        0
12824 cuda-nvvp-10-0                     	       0        1        0        0        1
12825 cuda-nvvp-11-7                     	       0        1        0        1        0
12826 cuda-nvvp-12-4                     	       0        2        0        2        0
12827 cuda-nvvp-12-8                     	       0        1        0        1        0
12828 cuda-opencl-12-4                   	       0        2        1        1        0
12829 cuda-opencl-12-6                   	       0        4        1        1        2
12830 cuda-opencl-12-8                   	       0        1        0        1        0
12831 cuda-opencl-dev-12-4               	       0        2        0        2        0
12832 cuda-opencl-dev-12-8               	       0        1        0        1        0
12833 cuda-profiler-api-12-4             	       0        2        0        2        0
12834 cuda-profiler-api-12-8             	       0        1        0        1        0
12835 cuda-repo-cross-aarch64-10-0-local-10.0.326	       0        1        0        0        1
12836 cuda-repo-debian11-11-5-local      	       0        1        0        0        1
12837 cuda-repo-debian11-11-8-local      	       0        1        0        0        1
12838 cuda-repo-debian12-12-4-local      	       0        1        0        0        1
12839 cuda-repo-debian12-12-6-local      	       0        1        0        0        1
12840 cuda-repo-ubuntu1604               	       0        1        0        0        1
12841 cuda-repo-ubuntu1704-9-0-local     	       0        1        0        0        1
12842 cuda-repo-ubuntu1804-10-0-local-10.0.326-410.108	       0        1        0        0        1
12843 cuda-runtime-12-6                  	       0        2        0        0        2
12844 cuda-runtime-12-8                  	       0        1        0        0        1
12845 cuda-samples-10-0                  	       0        1        0        1        0
12846 cuda-samples-9-0                   	       0        1        0        0        1
12847 cuda-sanitizer-11-7                	       0        1        0        1        0
12848 cuda-sanitizer-12-4                	       0        2        0        2        0
12849 cuda-sanitizer-12-8                	       0        1        0        1        0
12850 cuda-toolkit                       	       0        2        0        0        2
12851 cuda-toolkit-10-0                  	       0        1        0        0        1
12852 cuda-toolkit-11-7                  	       0        1        0        0        1
12853 cuda-toolkit-11-7-config-common    	       0        1        0        0        1
12854 cuda-toolkit-11-config-common      	       0        1        0        0        1
12855 cuda-toolkit-12-0-config-common    	       0        1        0        0        1
12856 cuda-toolkit-12-4                  	       0        2        0        0        2
12857 cuda-toolkit-12-4-config-common    	       0        2        0        0        2
12858 cuda-toolkit-12-6                  	       0        4        0        0        4
12859 cuda-toolkit-12-6-config-common    	       0        5        0        0        5
12860 cuda-toolkit-12-8                  	       0        1        0        0        1
12861 cuda-toolkit-12-8-config-common    	       0        1        0        0        1
12862 cuda-toolkit-12-config-common      	       0        8        0        0        8
12863 cuda-toolkit-9-0                   	       0        1        0        0        1
12864 cuda-toolkit-config-common         	       0        8        0        0        8
12865 cuda-tools-10-0                    	       0        1        0        0        1
12866 cuda-tools-11-7                    	       0        1        0        0        1
12867 cuda-tools-12-4                    	       0        2        0        0        2
12868 cuda-tools-12-6                    	       0        4        0        0        4
12869 cuda-tools-12-8                    	       0        1        0        0        1
12870 cuda-visual-tools-10-0             	       0        1        0        1        0
12871 cuda-visual-tools-11-7             	       0        1        0        0        1
12872 cuda-visual-tools-12-4             	       0        2        0        0        2
12873 cuda-visual-tools-12-6             	       0        4        0        0        4
12874 cuda-visual-tools-12-8             	       0        1        0        0        1
12875 cuda-visual-tools-9-0              	       0        1        0        0        1
12876 cudatext                           	       0        2        0        2        0
12877 cudf-tools                         	       0        2        0        2        0
12878 cudnn-local-repo-debian11-8.7.0.84 	       0        1        0        0        1
12879 cudnn9                             	       0        1        0        0        1
12880 cudnn9-cuda-12                     	       0        1        0        0        1
12881 cudnn9-cuda-12-4                   	       0        1        0        0        1
12882 cue2toc                            	       0       18        0       18        0
12883 culmus                             	       0       11        0        4        7
12884 culmus-fancy                       	       0       15        0        1       14
12885 cultivation                        	       0        9        0        9        0
12886 cuneiform                          	       0       19        0       19        0
12887 cuneiform-common                   	       0       20        0        0       20
12888 cup                                	       0       12        0       12        0
12889 cups-backend-bjnp                  	       0       24        0       24        0
12890 cups-common                        	       0     3024        0        0     3024
12891 cups-pdf                           	       0        9        0        0        9
12892 cups-pk-helper                     	       0     2604        0        0     2604
12893 cups-server-common                 	       0     2816        0        0     2816
12894 cups-tea4cups                      	       0       10        1        9        0
12895 cups-x2go                          	       0        5        0        5        0
12896 cupsddk                            	       0        3        0        0        3
12897 cupswrapperdcp7055                 	       0        1        0        0        1
12898 cupswrapperdcp7065dn               	       0        2        0        0        2
12899 cupswrapperdcp8085dn               	       0        1        0        0        1
12900 cupswrapperhl2140                  	       0        2        0        0        2
12901 cupswrapperhl2240                  	       0        1        0        0        1
12902 cupswrapperhl2270dw                	       0        2        0        0        2
12903 cupswrapperhl6050d                 	       0        1        0        0        1
12904 cupswrappermfc210c                 	       0        1        0        0        1
12905 cupswrappermfc5840cn               	       0        1        0        0        1
12906 cupswrappermfc7320                 	       0        1        0        0        1
12907 cupswrappermfc7360n                	       0        1        0        0        1
12908 cupswrappermfc7820n                	       0        1        0        0        1
12909 cupswrappermfc7860dw               	       0        4        0        0        4
12910 cupsys                             	       0        1        0        0        1
12911 cupsys-bsd                         	       0        1        0        0        1
12912 cupsys-client                      	       0        1        0        0        1
12913 cupsys-common                      	       0        1        0        0        1
12914 cupt                               	       0        6        0        6        0
12915 cura                               	       0       22        1       21        0
12916 cura-engine                        	       0       26        1       25        0
12917 curator                            	       0        1        0        1        0
12918 curlew                             	       0        1        0        1        0
12919 curlftpfs                          	       0       23        1       22        0
12920 curry-frontend                     	       0        2        0        2        0
12921 curseofwar-common                  	       0       18        0        0       18
12922 curtain                            	       0        2        0        2        0
12923 curvedns                           	       0        1        0        1        0
12924 curvevpn                           	       0        1        0        1        0
12925 curvevpn-server-run                	       0        1        0        0        1
12926 cutecom                            	       0       23        2       21        0
12927 cutemaze                           	       0        6        0        6        0
12928 cutesdr                            	       0       11        0       11        0
12929 cutils                             	       0       10        0       10        0
12930 cutmp3                             	       0        4        0        4        0
12931 cutter                             	       0        1        0        1        0
12932 cutycapt                           	       0        6        0        6        0
12933 cuyo                               	       0       18        0       18        0
12934 cuyo-data                          	       0       18        0        0       18
12935 cvc4                               	       0        2        0        2        0
12936 cvc5                               	       0        1        0        1        0
12937 cvm                                	       0        2        0        2        0
12938 cvs-autoreleasedeb                 	       0        1        0        1        0
12939 cvs-build-deps                     	       0        1        0        0        1
12940 cvs-buildpackage                   	       0        5        0        5        0
12941 cvs-dbgsym                         	       0        1        0        1        0
12942 cvs-fast-export                    	       0        3        0        3        0
12943 cvs-mailcommit                     	       0        1        0        1        0
12944 cvs2cl                             	       0        2        0        2        0
12945 cvs2svn                            	       0        3        1        2        0
12946 cvsbook                            	       0        1        0        0        1
12947 cvschangelogbuilder                	       0        1        0        1        0
12948 cvsconnect                         	       0        1        0        1        0
12949 cvsd                               	       0        2        1        1        0
12950 cvsgraph                           	       0        3        1        2        0
12951 cvsnt                              	       0        1        0        1        0
12952 cvsservice                         	       0       45        1       44        0
12953 cvssuck                            	       0        1        0        1        0
12954 cvsutils                           	       0        3        0        3        0
12955 cvsweb                             	       0        4        1        3        0
12956 cw                                 	       0       13        0       13        0
12957 cwcp                               	       0       14        0       14        0
12958 cwdaemon                           	       0        7        0        7        0
12959 cwebx                              	       0        4        0        4        0
12960 cwidget-build-deps-depends         	       0        1        0        0        1
12961 cwl-upgrader                       	       0        3        0        3        0
12962 cwl-utils                          	       0        1        0        1        0
12963 cwltool                            	       0        3        0        3        0
12964 cwm                                	       0       25        3       22        0
12965 cxref                              	       0        8        0        8        0
12966 cxref-doc                          	       0        4        0        0        4
12967 cxxtest                            	       0        3        0        3        0
12968 cya-2pir                           	       0        1        0        1        0
12969 cyanrip                            	       0        1        0        1        0
12970 cycfx2prog                         	       0        1        0        1        0
12971 cyclades-serial-client             	       0        1        0        1        0
12972 cyclist                            	       0        4        0        4        0
12973 cyme                               	       0        1        0        1        0
12974 cynthiune.app                      	       0        5        0        5        0
12975 cypher-lint                        	       0        1        0        1        0
12976 cypher-shell                       	       0        2        0        2        0
12977 cyphesis-cpp-clients               	       0        1        0        1        0
12978 cyphesis-cpp-mason                 	       0        1        0        0        1
12979 cyrus-admin                        	       0        2        0        2        0
12980 cyrus-clients                      	       0        4        0        4        0
12981 cyrus-common                       	       0        4        1        3        0
12982 cyrus-doc                          	       0        3        0        0        3
12983 cyrus-imapd                        	       0        3        0        3        0
12984 cyrus-murder                       	       0        2        0        2        0
12985 cyrus-pop3d                        	       0        3        0        3        0
12986 cyrus-replication                  	       0        1        0        1        0
12987 cyrus-sasl2-doc                    	       0        5        0        0        5
12988 cysignals-tools                    	       0       14        0       14        0
12989 cytadela-data                      	       0        1        0        0        1
12990 cython                             	       0        6        1        5        0
12991 cython-doc                         	       0        3        0        0        3
12992 cython3-dbg                        	       0        1        0        1        0
12993 d-feet                             	       0       10        0       10        0
12994 d-itg                              	       0        1        0        1        0
12995 d-rats                             	       0        1        0        1        0
12996 d-shlibs                           	       0        6        0        6        0
12997 d-spy                              	       0        1        0        1        0
12998 d1h                                	       0        2        0        2        0
12999 d1x-rebirth                        	       0        4        0        4        0
13000 d2x-rebirth                        	       0        6        0        6        0
13001 d2x-rebirth-data                   	       0        2        0        0        2
13002 d3-dsv-tools                       	       0        1        0        1        0
13003 d52                                	       0        2        0        2        0
13004 daa2iso                            	       0        9        0        9        0
13005 dablin                             	       0        2        0        2        0
13006 dadadodo                           	       0        5        0        5        0
13007 daemon                             	       0       89       11       78        0
13008 daemonize                          	       0       25        0       25        0
13009 daemontools                        	       0       29        3       26        0
13010 daemontools-run                    	       0        9        0        9        0
13011 dahdi                              	       0        2        1        1        0
13012 dahdi-dkms                         	       0        2        1        1        0
13013 dahdi-firmware-nonfree             	       0        2        0        2        0
13014 dahdi-linux                        	       0        2        1        1        0
13015 dahdi-source                       	       0        1        0        1        0
13016 daisy-player                       	       0        2        0        2        0
13017 dalvik-exchange                    	       0        9        0        9        0
13018 dangen                             	       0        1        0        1        0
13019 dangerzone                         	       0        1        0        1        0
13020 dante-client                       	       0        6        1        5        0
13021 dante-server                       	       0        4        2        2        0
13022 daphne                             	       0        1        0        1        0
13023 daps                               	       0        1        0        1        0
13024 daptup                             	       0        2        0        2        0
13025 dar-docs                           	       0        9        0        0        9
13026 darcs                              	       0       33        0       33        0
13027 darcs-server                       	       0        1        0        1        0
13028 darcula                            	       0       24        0        0       24
13029 dares-qt                           	       0        1        0        1        0
13030 dark-oberon                        	       0        1        0        1        0
13031 darkblood-gtk-theme                	       0       25        0        0       25
13032 darkcold-gtk-theme                 	       0       31        0        0       31
13033 darkfire-gtk-theme                 	       0       27        0        0       27
13034 darkice                            	       0        8        0        8        0
13035 darkmint-gtk-theme                 	       0       33        0        0       33
13036 darknet                            	       0        1        0        1        0
13037 darkplaces                         	       0       23        0       23        0
13038 darkplaces-server                  	       0        2        0        2        0
13039 darkpurpy-icon-theme               	       0      119        0        0      119
13040 darkradiant                        	       0        1        0        1        0
13041 darkradiant-plugins-darkmod        	       0        1        0        0        1
13042 darkslide                          	       0        3        0        3        0
13043 darksnow                           	       0        2        0        2        0
13044 darkstat                           	       0       10        0       10        0
13045 darktable                          	       0      119        5      114        0
13046 darktable-tools-basecurve          	       0        1        0        1        0
13047 darktable-tools-noise              	       0        1        0        1        0
13048 darnwdl                            	       0        1        0        1        0
13049 dart                               	       0        1        0        1        0
13050 dart-doc                           	       0        1        0        0        1
13051 dash-el                            	       0        1        0        0        1
13052 dasher                             	       0       12        2       10        0
13053 dasher-data                        	       0       12        0        0       12
13054 dasm                               	       0        2        0        2        0
13055 datalad                            	       0        3        0        3        0
13056 datalad-container                  	       0        1        0        1        0
13057 datapacker                         	       0        1        0        1        0
13058 datefudge                          	       0        3        0        3        0
13059 dateutils                          	       0       21        1       20        0
13060 datovka                            	       0        1        0        1        0
13061 dattobd                            	       0        2        0        2        0
13062 dav-text                           	       0        1        0        1        0
13063 dav1d                              	       0        9        0        9        0
13064 davegnukem                         	       0        2        0        2        0
13065 davegnukem-data                    	       0        2        0        0        2
13066 davegnukem-datasrc                 	       0        1        0        0        1
13067 davegnukem-dbgsym                  	       0        1        0        1        0
13068 davfs2                             	       0       58        2       56        0
13069 davical                            	       0        2        0        2        0
13070 davinci-resolve                    	       0        1        1        0        0
13071 davix                              	       0        1        0        1        0
13072 davix-doc                          	       0        1        0        0        1
13073 davs2                              	       0        1        0        1        0
13074 dawg                               	       0        1        0        1        0
13075 dawgdic-tools                      	       0        1        0        1        0
13076 daytasks                           	       0        1        0        1        0
13077 db-upgrade-util                    	       0        3        0        0        3
13078 db4.4-util                         	       0        1        0        1        0
13079 db4.6-util                         	       0        2        0        2        0
13080 db4.7-util                         	       0        3        0        3        0
13081 db4.8-util                         	       0        5        0        5        0
13082 db4otool                           	       0        2        0        2        0
13083 db5.1-sql-util                     	       0        1        0        1        0
13084 db5.1-util                         	       0       36        0       36        0
13085 db5.3-doc                          	       0        7        0        0        7
13086 db5.3-sql-util                     	       0        4        0        4        0
13087 dbab                               	       0        3        1        2        0
13088 dballe                             	       0        1        0        1        0
13089 dballe-common                      	       0        1        0        0        1
13090 dbar                               	       0        4        0        4        0
13091 dbconfig-mysql                     	       0       82        0        0       82
13092 dbconfig-no-thanks                 	       0        4        0        0        4
13093 dbconfig-pgsql                     	       0        7        0        0        7
13094 dbconfig-sqlite                    	       0        1        0        0        1
13095 dbconfig-sqlite3                   	       0       12        0        0       12
13096 dbench                             	       0        5        0        5        0
13097 dbengine                           	       0        1        0        1        0
13098 dbf2mysql                          	       0        4        0        4        0
13099 dbgate                             	       0        3        0        0        3
13100 dbishell                           	       0        1        0        1        0
13101 dblatex-doc                        	       0       69        0        0       69
13102 dbndns                             	       0        2        0        2        0
13103 dbs                                	       0        2        0        2        0
13104 dbschema                           	       0        1        0        1        0
13105 dbtoepub                           	       0        7        0        7        0
13106 dbus-1-doc                         	       0        8        0        0        8
13107 dbus-build-deps                    	       0        1        0        0        1
13108 dbus-cpp-dev-examples              	       0        1        0        0        1
13109 dbus-cxx-dev                       	       0        1        0        1        0
13110 dbus-cxx2                          	       0        1        0        0        1
13111 dbus-cxx2-dbgsym                   	       0        1        0        1        0
13112 dbus-dbgsym                        	       0        1        0        1        0
13113 dbus-fake                          	       0        1        0        0        1
13114 dbus-session-bus-common            	       0     2986        0        0     2986
13115 dbus-system-bus-common             	       0     2984        0        2     2982
13116 dbus-test-runner                   	       0        1        0        1        0
13117 dbus-x11-dbgsym                    	       0        2        0        2        0
13118 dbview                             	       0       33        0       33        0
13119 dbvis                              	       0        5        1        3        1
13120 dc3dd                              	       0       27        0       27        0
13121 dcism                              	       0        2        0        2        0
13122 dcism-osc                          	       0        2        0        1        1
13123 dclock                             	       0       24        2       22        0
13124 dcm2niix                           	       0        5        0        5        0
13125 dcmtk-data                         	       0       12        0        0       12
13126 dcmtk-doc                          	       0        3        0        0        3
13127 dcmtk-www                          	       0        1        0        1        0
13128 dconf                              	       0        1        0        1        0
13129 dconf-build-deps                   	       0        1        0        0        1
13130 dconf-gsettings-backend-dbgsym     	       0        1        0        1        0
13131 dconf-service-dbgsym               	       0        1        0        1        0
13132 dconf-tools                        	       0       11        0        0       11
13133 dcp135ccupswrapper                 	       0        1        0        0        1
13134 dcp135clpr                         	       0        1        0        1        0
13135 dcp150ccupswrapper                 	       0        1        0        0        1
13136 dcp150clpr                         	       0        1        0        1        0
13137 dcp1510cupswrapper                 	       0        1        0        0        1
13138 dcp1510lpr                         	       0        1        0        0        1
13139 dcp165ccupswrapper                 	       0        1        0        0        1
13140 dcp165clpr                         	       0        1        0        1        0
13141 dcp7055lpr                         	       0        1        0        0        1
13142 dcp7065dnlpr                       	       0        2        0        0        2
13143 dcp8085dnlpr                       	       0        1        0        1        0
13144 dcp9015cdwcupswrapper              	       0        1        0        0        1
13145 dcp9015cdwlpr                      	       0        1        0        1        0
13146 dcp9020cdwcupswrapper              	       0        1        0        0        1
13147 dcp9020cdwlpr                      	       0        1        0        1        0
13148 dcpj1200wpdrv                      	       0        1        0        0        1
13149 dcpj125cupswrapper                 	       0        2        0        0        2
13150 dcpj125lpr                         	       0        2        0        2        0
13151 dcpj4120dwcupswrapper              	       0        1        0        0        1
13152 dcpj4120dwlpr                      	       0        1        0        1        0
13153 dcpj562dwcupswrapper               	       0        1        0        0        1
13154 dcpj562dwlpr                       	       0        1        0        1        0
13155 dcpj715wcupswrapper                	       0        2        0        0        2
13156 dcpj715wlpr                        	       0        2        0        2        0
13157 dcpj925dwcupswrapper               	       0        1        0        0        1
13158 dcpj925dwlpr                       	       0        1        0        1        0
13159 dcpl2510dpdrv                      	       0        2        0        0        2
13160 dcpl2520dwcupswrapper              	       0        1        0        0        1
13161 dcpl2520dwlpr                      	       0        1        0        0        1
13162 dcpl2530dwpdrv                     	       0        2        0        0        2
13163 dcpl2540dwcupswrapper              	       0        2        0        0        2
13164 dcpl2540dwlpr                      	       0        2        0        0        2
13165 dcpl2550dnpdrv                     	       0        1        0        0        1
13166 dcpl2550dwpdrv                     	       0        1        0        0        1
13167 dcpl3560cdwpdrv                    	       0        1        0        0        1
13168 dcpl5500dncupswrapper              	       0        2        0        0        2
13169 dcpl5500dnlpr                      	       0        2        0        0        2
13170 dcpt300cupswrapper                 	       0        1        0        0        1
13171 dcpt300lpr                         	       0        1        0        1        0
13172 dcpt310pdrv                        	       0        1        0        1        0
13173 dcpt510wpdrv                       	       0        1        0        1        0
13174 ddate                              	       0       14        0       14        0
13175 ddcci-dkms                         	       0        3        0        3        0
13176 ddccontrol-db                      	       0       19        0        0       19
13177 ddclient                           	       0       20        4       16        0
13178 ddcui                              	       0        2        1        1        0
13179 ddcutil                            	       0       13        2       11        0
13180 ddcxinfo-kanotix                   	       0        1        0        1        0
13181 ddd                                	       0       48        0       48        0
13182 ddd-doc                            	       0       10        0        0       10
13183 dde-account-faces                  	       0        1        0        1        0
13184 dde-calendar                       	       0        2        0        2        0
13185 dde-qt5integration                 	       0       20        1        9       10
13186 dde-store                          	       0        1        0        1        0
13187 ddir                               	       0        4        0        4        0
13188 ddnet                              	       0        1        0        1        0
13189 ddnet-data                         	       0        2        0        0        2
13190 ddpt                               	       0        3        0        3        0
13191 ddrescue                           	       0        2        0        2        0
13192 ddrutility-dbgsym                  	       0        1        0        1        0
13193 dds2tar                            	       0        4        0        4        0
13194 ddskk                              	       0        1        0        1        0
13195 de4dot                             	       0       20        0       20        0
13196 deadbeef                           	       0        1        0        1        0
13197 deal                               	       0        9        0        9        0
13198 dealer                             	       0        9        0        9        0
13199 deb-gview                          	       0        4        0        4        0
13200 deb-installer                      	       0        1        0        1        0
13201 deb-multimedia-keyring             	       0      121        0        0      121
13202 deb-packager                       	       0        1        0        1        0
13203 deb.torproject.org-keyring         	       0       17        0        0       17
13204 debarchiver                        	       0        6        0        6        0
13205 debaux                             	       0        3        0        3        0
13206 debaux-debconf                     	       0        1        0        1        0
13207 debconf-doc                        	       0       32        0        0       32
13208 debconf-english                    	       0        2        0        0        2
13209 debconf-i18n                       	       0     4119        0        6     4113
13210 debconf-kde-data                   	       0      552        0        0      552
13211 debdate                            	       0        1        0        1        0
13212 debdelta                           	       0       10        0       10        0
13213 debdelta-doc                       	       0        3        0        0        3
13214 debdry                             	       0        1        0        1        0
13215 debfoster                          	       0       55        1       54        0
13216 debget                             	       0        1        0        1        0
13217 debhelper-build-deps               	       0        1        0        0        1
13218 debian-archive-keyring             	       0     4164        0        0     4164
13219 debian-astro-logo                  	       0        1        0        0        1
13220 debian-backports-keyring           	       0        1        0        0        1
13221 debian-builder                     	       0        6        0        6        0
13222 debian-cd                          	       0        5        0        0        5
13223 debian-edu-archive-keyring         	       0       10        0        0       10
13224 debian-edu-artwork                 	       0       13        0        0       13
13225 debian-edu-artwork-emerald         	       0        5        0        5        0
13226 debian-edu-config                  	       0        6        2        4        0
13227 debian-edu-doc-da                  	       0        1        0        0        1
13228 debian-edu-doc-de                  	       0        3        0        0        3
13229 debian-edu-doc-en                  	       0       14        0        0       14
13230 debian-edu-doc-es                  	       0        1        0        0        1
13231 debian-edu-doc-legacy-en           	       0        9        0        0        9
13232 debian-edu-install                 	       0        6        2        3        1
13233 debian-el                          	       0        9        0        0        9
13234 debian-faq                         	       0     3710        0        0     3710
13235 debian-faq-de                      	       0        6        0        0        6
13236 debian-faq-fr                      	       0        2        0        0        2
13237 debian-faq-nl                      	       0        1        0        0        1
13238 debian-faq-pt                      	       0        1        0        0        1
13239 debian-faq-ru                      	       0        1        0        0        1
13240 debian-handbook                    	       0       41        0        0       41
13241 debian-history                     	       0       11        0        0       11
13242 debian-installer                   	       0        3        0        0        3
13243 debian-installer-10-netboot-amd64  	       0        1        0        1        0
13244 debian-installer-10-netboot-i386   	       0        1        0        1        0
13245 debian-installer-11-netboot-amd64  	       0        2        0        2        0
13246 debian-installer-build-deps        	       0        1        0        0        1
13247 debian-installer-launcher          	       0        2        0        2        0
13248 debian-kernel-handbook             	       0       73        0        0       73
13249 debian-keyring                     	       0      352        0        0      352
13250 debian-mate-default-settings       	       0      530        0        0      530
13251 debian-multimedia-keyring          	       0        6        0        0        6
13252 debian-package-book-de             	       0        1        0        0        1
13253 debian-package-scripts             	       0        1        0        1        0
13254 debian-paketmanagement-buch        	       0        2        0        0        2
13255 debian-podman-config-override      	       0       10        0        0       10
13256 debian-policy                      	       0       54        0        0       54
13257 debian-ports-archive-keyring       	       0       34        0        0       34
13258 debian-pulseaudio-config-override  	       0      120        0        0      120
13259 debian-refcard                     	       0       19        0        0       19
13260 debian-reference                   	       0        8        0        0        8
13261 debian-reference-de                	       0       14        0        0       14
13262 debian-reference-en                	       0       30        0        0       30
13263 debian-reference-es                	       0      265        0        0      265
13264 debian-reference-fr                	       0        9        0        0        9
13265 debian-reference-id                	       0        5        0        0        5
13266 debian-reference-it                	       0      115        0        0      115
13267 debian-reference-ja                	       0        7        0        0        7
13268 debian-reference-pt                	       0        7        0        0        7
13269 debian-reference-pt-br             	       0        2        0        0        2
13270 debian-reference-zh-cn             	       0        7        0        0        7
13271 debian-reference-zh-tw             	       0        7        0        0        7
13272 debian-security-support            	       0       15        1       14        0
13273 debiandoc-sgml                     	       0       16        0       16        0
13274 debiandoc-sgml-doc                 	       0        8        0        0        8
13275 debichem-tasks                     	       0       10        0        0       10
13276 debirf                             	       0        1        0        1        0
13277 debmake                            	       0       25        1       24        0
13278 debmake-doc                        	       0        8        0        0        8
13279 debmake-doc-build-deps             	       0        1        0        0        1
13280 debocker                           	       0        1        0        1        0
13281 debomatic                          	       0        3        0        3        0
13282 debootstick                        	       0        3        0        3        0
13283 debram-data                        	       0        5        0        0        5
13284 debsigs                            	       0        7        1        6        0
13285 debsuryorg-archive-keyring         	       0       15        0        0       15
13286 debtree                            	       0       28        0       28        0
13287 debugedit-build-deps               	       0        1        0        0        1
13288 debugedit-dbgsym                   	       0        1        0        1        0
13289 debuginfod                         	       0        2        0        2        0
13290 debvm                              	       0        6        0        6        0
13291 deconz                             	       0        1        0        1        0
13292 decopy                             	       0        3        0        3        0
13293 deepin-album                       	       0        2        0        2        0
13294 deepin-app-store-runtime           	       0        1        0        0        1
13295 deepin-boot-maker                  	       0        2        0        2        0
13296 deepin-calculator                  	       0        5        0        5        0
13297 deepin-deb-installer               	       0        3        0        3        0
13298 deepin-elf-verify                  	       0        1        0        0        1
13299 deepin-gettext-tools               	       0        4        0        4        0
13300 deepin-icon-theme                  	       0       24        0        0       24
13301 deepin-image-viewer                	       0        3        0        3        0
13302 deepin-menu                        	       0        3        0        3        0
13303 deepin-movie                       	       0        5        0        5        0
13304 deepin-music                       	       0        4        0        4        0
13305 deepin-notifications               	       0        7        0        7        0
13306 deepin-picker                      	       0        2        0        2        0
13307 deepin-screen-recorder             	       0        4        0        4        0
13308 deepin-screenshot                  	       0        6        0        6        0
13309 deepin-shortcut-viewer             	       0        7        0        7        0
13310 deepin-sound-theme                 	       0        3        0        0        3
13311 deepin-terminal                    	       0        5        0        5        0
13312 deepin-voice-recorder              	       0        3        0        3        0
13313 deepsea-icon-theme                 	       0     2736        0        0     2736
13314 deezer-desktop                     	       0        2        0        0        2
13315 default-d-compiler                 	       0       10        0        0       10
13316 default-java-plugin                	       0       14        0        0       14
13317 default-jdk                        	       0      194        0        0      194
13318 default-jdk-doc                    	       0       41        0        0       41
13319 default-jdk-headless               	       0      235        0        0      235
13320 default-jre                        	       0     1384        0        0     1384
13321 default-jre-headless               	       0     1481        0        0     1481
13322 default-libmysqlclient-dev         	       0      101        0        0      101
13323 default-libmysqld-dev              	       0        4        0        0        4
13324 default-mysql-client               	       0       86        0        0       86
13325 default-mysql-client-core          	       0      488        0        0      488
13326 default-mysql-server               	       0       75        0        0       75
13327 default-mysql-server-core          	       0      489        0        0      489
13328 defendguin                         	       0        2        0        2        0
13329 defendguin-data                    	       0        2        0        0        2
13330 defoma                             	       0        3        0        3        0
13331 defoma-doc                         	       0        2        0        0        2
13332 defoma-ersatz                      	       0        1        0        0        1
13333 defrag                             	       0        1        0        1        0
13334 deheader                           	       0        7        1        6        0
13335 dehydrated                         	       0       13        3       10        0
13336 dehydrated-apache2                 	       0        1        0        0        1
13337 dehydrated-hook-ddns-tsig          	       0        1        0        0        1
13338 deken                              	       0        3        0        3        0
13339 delta                              	       0        2        0        2        0
13340 deltachat-desktop                  	       0        4        0        3        1
13341 deltarpm                           	       0        1        0        1        0
13342 deluge-torrent                     	       0        2        0        0        2
13343 deluge-web                         	       0        6        1        5        0
13344 delve                              	       0        1        0        1        0
13345 denemo-doc                         	       0       17        0        0       17
13346 depqbf                             	       0        3        0        3        0
13347 depthcharge-tools                  	       0        1        0        1        0
13348 derivations                        	       0        7        0        0        7
13349 designer-qt6-plugins               	       0        2        0        0        2
13350 desklaunch                         	       0        2        0        2        0
13351 deskmenu                           	       0        4        0        4        0
13352 desktop-autoloader                 	       0        1        0        1        0
13353 desktop-base                       	       0     2934        0        0     2934
13354 desktop-base-trinity               	       0       25        0        0       25
13355 desktop-effects-tde-trinity        	       0        1        0        1        0
13356 desktop-profiles                   	       0        4        1        3        0
13357 desktopfolder                      	       0        2        0        2        0
13358 desktopnova                        	       0        1        0        1        0
13359 desktopnova-module-gnome           	       0        1        0        1        0
13360 desktopnova-tray                   	       0        1        0        1        0
13361 desmume                            	       0       11        0       11        0
13362 detachtty                          	       0        3        0        3        0
13363 detectiteasy                       	       0        1        0        1        0
13364 detox                              	       0       41        5       36        0
13365 deutex                             	       0        8        0        8        0
13366 deutex-dbgsym                      	       0        1        0        1        0
13367 dev-kinsta                         	       0        1        0        1        0
13368 developers-reference-de            	       0        3        0        0        3
13369 developers-reference-fr            	       0        6        0        0        6
13370 developers-reference-ru            	       0        1        0        0        1
13371 devhelp-common                     	       0       63        0        0       63
13372 device3dfx-source                  	       0        1        0        0        1
13373 devilspie                          	       0        7        0        7        0
13374 devilspie2                         	       0        7        2        5        0
13375 devilutionx                        	       0        2        0        2        0
13376 devio                              	       0       11        0       11        0
13377 devkitpro-pacman                   	       0        1        0        1        0
13378 devrplay3                          	       0        2        0        0        2
13379 devscripts-build-deps              	       0        1        0        0        1
13380 devscripts-el                      	       0        1        0        0        1
13381 devtodo                            	       0        4        0        4        0
13382 devuan-apt-mirror-config-override  	       0        2        0        0        2
13383 devuan-baseconf                    	       0      678        0        0      678
13384 devuan-cups-filters-config-override	       0       12        0        0       12
13385 devuan-indices                     	       0        1        0        0        1
13386 devuan-keyring                     	       0     4154        0        0     4154
13387 devuan-lintian-profile             	       0       18        1       13        4
13388 devuan-speech-dispatcher-config-override	       0        2        0        0        2
13389 devuan-xdm-config-override         	       0        3        0        0        3
13390 dexed                              	       0        1        0        0        1
13391 dexed-lv2                          	       0        2        0        2        0
13392 dexed-vst                          	       0        1        0        1        0
13393 dextractor                         	       0        1        0        1        0
13394 dfcgen-gtk                         	       0        5        0        5        0
13395 dfu-programmer                     	       0       17        0       17        0
13396 dfu-util                           	       0       42        2       40        0
13397 dgedit                             	       0        1        0        1        0
13398 dgen                               	       0        3        0        3        0
13399 dh-acc                             	       0        2        0        2        0
13400 dh-ada-library                     	       0        2        0        2        0
13401 dh-apparmor                        	       0       22        0       22        0
13402 dh-buildinfo                       	       0       16        0       16        0
13403 dh-cmake                           	       0        6        0        6        0
13404 dh-consoledata                     	       0        2        1        1        0
13405 dh-cruft                           	       0        2        0        2        0
13406 dh-debputy                         	       0        1        0        1        0
13407 dh-di                              	       0        2        1        1        0
13408 dh-dlang                           	       0        1        0        0        1
13409 dh-dlopenlibdeps                   	       0        1        0        1        0
13410 dh-elpa                            	       0        3        0        3        0
13411 dh-exec                            	       0       69        3       66        0
13412 dh-golang                          	       0        7        0        7        0
13413 dh-kpatches                        	       0        3        0        3        0
13414 dh-linktree                        	       0        2        0        2        0
13415 dh-lisp                            	       0        3        0        3        0
13416 dh-lua                             	       0        3        0        3        0
13417 dh-make-golang                     	       0        4        0        4        0
13418 dh-make-php                        	       0        1        0        1        0
13419 dh-make-raku                       	       0        1        0        1        0
13420 dh-nodejs                          	       0        1        0        1        0
13421 dh-nss                             	       0        2        0        2        0
13422 dh-ocaml                           	       0        7        0        7        0
13423 dh-octave-autopkgtest              	       0        2        0        2        0
13424 dh-package-notes                   	       0        2        0        0        2
13425 dh-perl6                           	       0        2        0        2        0
13426 dh-php5                            	       0        4        0        4        0
13427 dh-raku                            	       0        1        0        1        0
13428 dh-runit                           	       0       11        0       11        0
13429 dh-shell-completions               	       0        2        0        2        0
13430 dh-systemd                         	       0       10        0        0       10
13431 dh-sysuser                         	       0        3        0        3        0
13432 dh-virtualenv                      	       0        6        0        6        0
13433 dhav2mkv                           	       0        1        0        1        0
13434 dhcp-client                        	       0        1        0        0        1
13435 dhcp-helper                        	       0        3        0        3        0
13436 dhcp-probe                         	       0        6        0        6        0
13437 dhcp3-client                       	       0        8        0        0        8
13438 dhcp3-common                       	       0        7        0        0        7
13439 dhcp3-server                       	       0        3        0        0        3
13440 dhcpcd-dbus                        	       0        3        0        0        3
13441 dhcpcd-gtk                         	       0        4        0        4        0
13442 dhcpcd5                            	       0       22        1        4       17
13443 dhcping                            	       0       20        3       17        0
13444 dhelp                              	       0       14        2       12        0
13445 dhewm3                             	       0        3        0        3        0
13446 dhewm3-d3xp                        	       0        2        0        0        2
13447 dhewm3-doom3                       	       0        3        0        0        3
13448 dhex                               	       0       20        0       20        0
13449 dhis-client                        	       0        1        0        1        0
13450 dhis-dns-engine                    	       0        1        0        1        0
13451 dhis-server                        	       0        1        0        1        0
13452 dhis-tools-dns                     	       0        1        0        1        0
13453 dhis-tools-genkeys                 	       0        2        0        2        0
13454 di                                 	       0       12        0       12        0
13455 di-netboot-assistant               	       0        1        0        1        0
13456 dia-common                         	       0      173        0        0      173
13457 dia-gnome                          	       0        5        0        0        5
13458 dia-libs                           	       0        7        0        0        7
13459 dia-rib-network                    	       0       22        0        0       22
13460 dia-shapes                         	       0      164        0        0      164
13461 dia2code                           	       0       17        0       17        0
13462 diadisvng                          	       0        1        0        1        0
13463 dialect                            	       0        7        1        6        0
13464 dialign                            	       0        3        0        3        0
13465 dialign-tx                         	       0        4        0        4        0
13466 dialign-tx-data                    	       0        4        0        0        4
13467 diamond-aligner                    	       0        1        0        1        0
13468 dianara                            	       0        1        0        1        0
13469 diatheke                           	       0        5        0        5        0
13470 dibbler-client                     	       0        1        0        1        0
13471 dibbler-doc                        	       0        1        0        0        1
13472 dibbler-server                     	       0        1        0        1        0
13473 dibuja                             	       0        2        0        2        0
13474 diceware                           	       0        9        0        9        0
13475 diceware-doc                       	       0        3        0        0        3
13476 dico-doc                           	       0        3        0        0        3
13477 dico-module-wordnet                	       0        1        0        0        1
13478 dicod                              	       0        4        2        2        0
13479 dicom3tools                        	       0        6        0        6        0
13480 dicombrowser                       	       0        1        0        1        0
13481 dicomnifti                         	       0        2        0        2        0
13482 dicompyler                         	       0        1        0        1        0
13483 dicomscope                         	       0       10        0       10        0
13484 dicomscope-doc                     	       0        2        0        0        2
13485 dict-bouvier                       	       0        3        0        0        3
13486 dict-cia-2002                      	       0        1        0        0        1
13487 dict-de-en                         	       0        2        0        0        2
13488 dict-devil                         	       0        8        0        0        8
13489 dict-elements                      	       0        6        0        0        6
13490 dict-foldoc                        	       0       12        0        1       11
13491 dict-freedict-afr-deu              	       0        2        0        0        2
13492 dict-freedict-afr-eng              	       0        2        0        0        2
13493 dict-freedict-ara-eng              	       0        2        0        0        2
13494 dict-freedict-bre-fra              	       0        2        0        0        2
13495 dict-freedict-ces-eng              	       0        2        0        0        2
13496 dict-freedict-ckb-kmr              	       0        2        0        0        2
13497 dict-freedict-cym-eng              	       0        2        0        0        2
13498 dict-freedict-dan-eng              	       0        2        0        0        2
13499 dict-freedict-deu-bul              	       0        1        0        0        1
13500 dict-freedict-deu-ell              	       0        1        0        0        1
13501 dict-freedict-deu-eng              	       0        9        1        0        8
13502 dict-freedict-deu-fin              	       0        1        0        0        1
13503 dict-freedict-deu-fra              	       0        4        0        0        4
13504 dict-freedict-deu-ind              	       0        1        0        0        1
13505 dict-freedict-deu-ita              	       0        2        0        0        2
13506 dict-freedict-deu-kur              	       0        2        0        0        2
13507 dict-freedict-deu-nld              	       0        3        0        0        3
13508 dict-freedict-deu-pol              	       0        1        0        0        1
13509 dict-freedict-deu-por              	       0        2        0        0        2
13510 dict-freedict-deu-rus              	       0        4        0        0        4
13511 dict-freedict-deu-spa              	       0        1        0        0        1
13512 dict-freedict-deu-swe              	       0        2        0        0        2
13513 dict-freedict-deu-tur              	       0        2        0        0        2
13514 dict-freedict-ell-bul              	       0        1        0        0        1
13515 dict-freedict-ell-eng              	       0        1        0        0        1
13516 dict-freedict-ell-fin              	       0        1        0        0        1
13517 dict-freedict-ell-fra              	       0        1        0        0        1
13518 dict-freedict-ell-ind              	       0        1        0        0        1
13519 dict-freedict-ell-ita              	       0        1        0        0        1
13520 dict-freedict-ell-jpn              	       0        1        0        0        1
13521 dict-freedict-ell-lat              	       0        1        0        0        1
13522 dict-freedict-ell-lit              	       0        1        0        0        1
13523 dict-freedict-ell-nld              	       0        1        0        0        1
13524 dict-freedict-ell-nor              	       0        1        0        0        1
13525 dict-freedict-ell-pol              	       0        1        0        0        1
13526 dict-freedict-ell-por              	       0        1        0        0        1
13527 dict-freedict-ell-rus              	       0        1        0        0        1
13528 dict-freedict-ell-spa              	       0        1        0        0        1
13529 dict-freedict-ell-swe              	       0        1        0        0        1
13530 dict-freedict-eng-afr              	       0        3        0        0        3
13531 dict-freedict-eng-ara              	       0        3        0        0        3
13532 dict-freedict-eng-bul              	       0        2        0        0        2
13533 dict-freedict-eng-ces              	       0        3        0        0        3
13534 dict-freedict-eng-cym              	       0        3        0        0        3
13535 dict-freedict-eng-dan              	       0        2        0        0        2
13536 dict-freedict-eng-deu              	       0       10        0        0       10
13537 dict-freedict-eng-ell              	       0        3        0        0        3
13538 dict-freedict-eng-fin              	       0        3        0        0        3
13539 dict-freedict-eng-fra              	       0        6        0        0        6
13540 dict-freedict-eng-gle              	       0        4        0        0        4
13541 dict-freedict-eng-hin              	       0        3        0        0        3
13542 dict-freedict-eng-hrv              	       0        3        0        0        3
13543 dict-freedict-eng-hun              	       0        3        0        0        3
13544 dict-freedict-eng-ind              	       0        1        0        0        1
13545 dict-freedict-eng-ita              	       0        7        0        0        7
13546 dict-freedict-eng-jpn              	       0        3        0        0        3
13547 dict-freedict-eng-lat              	       0        4        0        0        4
13548 dict-freedict-eng-lit              	       0        4        0        0        4
13549 dict-freedict-eng-nld              	       0        4        0        0        4
13550 dict-freedict-eng-nor              	       0        2        0        0        2
13551 dict-freedict-eng-pol              	       0        3        0        0        3
13552 dict-freedict-eng-por              	       0        3        0        0        3
13553 dict-freedict-eng-rom              	       0        3        0        0        3
13554 dict-freedict-eng-rus              	       0        9        0        0        9
13555 dict-freedict-eng-spa              	       0        8        0        0        8
13556 dict-freedict-eng-srp              	       0        3        0        0        3
13557 dict-freedict-eng-swe              	       0        5        0        0        5
13558 dict-freedict-eng-swh              	       0        3        0        0        3
13559 dict-freedict-eng-tur              	       0        3        0        0        3
13560 dict-freedict-epo-eng              	       0        2        1        0        1
13561 dict-freedict-fin-bul              	       0        1        0        0        1
13562 dict-freedict-fin-deu              	       0        1        0        0        1
13563 dict-freedict-fin-ell              	       0        2        0        0        2
13564 dict-freedict-fin-eng              	       0        2        0        0        2
13565 dict-freedict-fin-fra              	       0        1        0        0        1
13566 dict-freedict-fin-ind              	       0        1        0        0        1
13567 dict-freedict-fin-ita              	       0        1        0        0        1
13568 dict-freedict-fin-jpn              	       0        1        0        0        1
13569 dict-freedict-fin-lat              	       0        1        0        0        1
13570 dict-freedict-fin-lit              	       0        1        0        0        1
13571 dict-freedict-fin-nld              	       0        1        0        0        1
13572 dict-freedict-fin-nor              	       0        1        0        0        1
13573 dict-freedict-fin-pol              	       0        1        0        0        1
13574 dict-freedict-fin-por              	       0        1        0        0        1
13575 dict-freedict-fin-swe              	       0        1        0        0        1
13576 dict-freedict-fra-bre              	       0        2        0        0        2
13577 dict-freedict-fra-bul              	       0        1        0        0        1
13578 dict-freedict-fra-deu              	       0        5        0        0        5
13579 dict-freedict-fra-ell              	       0        2        0        0        2
13580 dict-freedict-fra-eng              	       0        9        1        0        8
13581 dict-freedict-fra-fin              	       0        1        0        0        1
13582 dict-freedict-fra-ita              	       0        1        0        0        1
13583 dict-freedict-fra-jpn              	       0        1        0        0        1
13584 dict-freedict-fra-lat              	       0        1        0        0        1
13585 dict-freedict-fra-lit              	       0        1        0        0        1
13586 dict-freedict-fra-nld              	       0        2        0        0        2
13587 dict-freedict-fra-pol              	       0        1        0        0        1
13588 dict-freedict-fra-por              	       0        1        0        0        1
13589 dict-freedict-fra-rus              	       0        3        0        0        3
13590 dict-freedict-fra-spa              	       0        1        0        0        1
13591 dict-freedict-fra-swe              	       0        1        0        0        1
13592 dict-freedict-fra-tur              	       0        1        0        0        1
13593 dict-freedict-gla-deu              	       0        2        0        0        2
13594 dict-freedict-gle-eng              	       0        3        0        0        3
13595 dict-freedict-gle-pol              	       0        2        0        0        2
13596 dict-freedict-hrv-eng              	       0        2        0        0        2
13597 dict-freedict-hun-eng              	       0        2        0        0        2
13598 dict-freedict-isl-eng              	       0        2        0        0        2
13599 dict-freedict-ita-bul              	       0        1        0        0        1
13600 dict-freedict-ita-deu              	       0        2        0        0        2
13601 dict-freedict-ita-ell              	       0        2        0        0        2
13602 dict-freedict-ita-eng              	       0        6        0        0        6
13603 dict-freedict-ita-fin              	       0        1        0        0        1
13604 dict-freedict-ita-ind              	       0        1        0        0        1
13605 dict-freedict-ita-jpn              	       0        1        0        0        1
13606 dict-freedict-ita-lit              	       0        1        0        0        1
13607 dict-freedict-ita-nor              	       0        1        0        0        1
13608 dict-freedict-ita-pol              	       0        1        0        0        1
13609 dict-freedict-ita-por              	       0        1        0        0        1
13610 dict-freedict-ita-rus              	       0        2        0        0        2
13611 dict-freedict-ita-spa              	       0        1        0        0        1
13612 dict-freedict-ita-swe              	       0        1        0        0        1
13613 dict-freedict-ita-tur              	       0        1        0        0        1
13614 dict-freedict-jpn-deu              	       0        2        0        0        2
13615 dict-freedict-jpn-eng              	       0        3        1        0        2
13616 dict-freedict-jpn-fra              	       0        2        0        0        2
13617 dict-freedict-jpn-rus              	       0        3        0        0        3
13618 dict-freedict-kha-deu              	       0        2        0        0        2
13619 dict-freedict-kha-eng              	       0        2        0        0        2
13620 dict-freedict-kur-deu              	       0        2        0        0        2
13621 dict-freedict-kur-eng              	       0        2        0        0        2
13622 dict-freedict-kur-tur              	       0        2        0        0        2
13623 dict-freedict-lat-deu              	       0        3        0        0        3
13624 dict-freedict-lat-eng              	       0        5        0        0        5
13625 dict-freedict-lit-eng              	       0        3        0        0        3
13626 dict-freedict-mkd-bul              	       0        2        0        0        2
13627 dict-freedict-nld-bul              	       0        1        0        0        1
13628 dict-freedict-nld-deu              	       0        2        0        0        2
13629 dict-freedict-nld-ell              	       0        1        0        0        1
13630 dict-freedict-nld-eng              	       0        4        1        0        3
13631 dict-freedict-nld-fin              	       0        1        0        0        1
13632 dict-freedict-nld-fra              	       0        2        0        0        2
13633 dict-freedict-nld-ind              	       0        1        0        0        1
13634 dict-freedict-nld-ita              	       0        1        0        0        1
13635 dict-freedict-nld-lat              	       0        1        0        0        1
13636 dict-freedict-nld-lit              	       0        1        0        0        1
13637 dict-freedict-nld-pol              	       0        1        0        0        1
13638 dict-freedict-nld-por              	       0        1        0        0        1
13639 dict-freedict-nld-rus              	       0        1        0        0        1
13640 dict-freedict-nld-spa              	       0        1        0        0        1
13641 dict-freedict-nld-swe              	       0        1        0        0        1
13642 dict-freedict-nno-nob              	       0        2        0        0        2
13643 dict-freedict-oci-cat              	       0        2        0        0        2
13644 dict-freedict-pol-bul              	       0        1        0        0        1
13645 dict-freedict-pol-deu              	       0        1        0        0        1
13646 dict-freedict-pol-ell              	       0        2        0        0        2
13647 dict-freedict-pol-eng              	       0        1        0        0        1
13648 dict-freedict-pol-fin              	       0        1        0        0        1
13649 dict-freedict-pol-fra              	       0        1        0        0        1
13650 dict-freedict-pol-gle              	       0        2        0        0        2
13651 dict-freedict-pol-ind              	       0        1        0        0        1
13652 dict-freedict-pol-ita              	       0        1        0        0        1
13653 dict-freedict-pol-jpn              	       0        1        0        0        1
13654 dict-freedict-pol-nld              	       0        1        0        0        1
13655 dict-freedict-pol-nor              	       0        1        0        0        1
13656 dict-freedict-pol-por              	       0        1        0        0        1
13657 dict-freedict-pol-rus              	       0        2        0        0        2
13658 dict-freedict-pol-spa              	       0        1        0        0        1
13659 dict-freedict-pol-swe              	       0        1        0        0        1
13660 dict-freedict-pol-tur              	       0        1        0        0        1
13661 dict-freedict-por-deu              	       0        2        0        0        2
13662 dict-freedict-por-eng              	       0        3        1        0        2
13663 dict-freedict-por-fra              	       0        1        0        0        1
13664 dict-freedict-por-spa              	       0        1        0        0        1
13665 dict-freedict-san-deu              	       0        2        0        0        2
13666 dict-freedict-slk-eng              	       0        2        0        0        2
13667 dict-freedict-slv-eng              	       0        1        0        0        1
13668 dict-freedict-spa-ast              	       0        2        0        0        2
13669 dict-freedict-spa-deu              	       0        1        0        0        1
13670 dict-freedict-spa-eng              	       0        7        1        0        6
13671 dict-freedict-spa-por              	       0        2        0        0        2
13672 dict-freedict-srp-eng              	       0        2        0        0        2
13673 dict-freedict-swe-bul              	       0        1        0        0        1
13674 dict-freedict-swe-deu              	       0        3        0        0        3
13675 dict-freedict-swe-ell              	       0        2        0        0        2
13676 dict-freedict-swe-eng              	       0        4        0        0        4
13677 dict-freedict-swe-fin              	       0        1        0        0        1
13678 dict-freedict-swe-fra              	       0        1        0        0        1
13679 dict-freedict-swe-ita              	       0        1        0        0        1
13680 dict-freedict-swe-jpn              	       0        1        0        0        1
13681 dict-freedict-swe-lat              	       0        1        0        0        1
13682 dict-freedict-swe-nld              	       0        1        0        0        1
13683 dict-freedict-swe-nor              	       0        1        0        0        1
13684 dict-freedict-swe-pol              	       0        1        0        0        1
13685 dict-freedict-swe-por              	       0        1        0        0        1
13686 dict-freedict-swe-rus              	       0        2        0        0        2
13687 dict-freedict-swe-spa              	       0        1        0        0        1
13688 dict-freedict-swe-tur              	       0        1        0        0        1
13689 dict-freedict-swh-eng              	       0        2        0        0        2
13690 dict-freedict-swh-pol              	       0        2        0        0        2
13691 dict-freedict-tur-deu              	       0        2        0        0        2
13692 dict-freedict-tur-eng              	       0        2        0        0        2
13693 dict-freedict-wol-fra              	       0        1        0        0        1
13694 dict-gazetteer                     	       0        1        0        0        1
13695 dict-gazetteer2k                   	       0        2        0        0        2
13696 dict-gazetteer2k-counties          	       0        2        0        0        2
13697 dict-gazetteer2k-places            	       0        2        0        0        2
13698 dict-gazetteer2k-zips              	       0        2        0        0        2
13699 dict-gcide                         	       0       25        1        1       23
13700 dict-jargon                        	       0       20        1        1       18
13701 dict-moby-thesaurus                	       0        7        0        0        7
13702 dict-vera                          	       0       10        1        0        9
13703 dict-wn                            	       0       21        1        0       20
13704 dictem                             	       0        3        2        1        0
13705 dictfmt                            	       0        6        2        4        0
13706 diction                            	       0        8        1        7        0
13707 dictionary-el                      	       0        1        0        0        1
13708 dictionaryreader.app               	       0        2        0        2        0
13709 didiwiki                           	       0        2        1        1        0
13710 dieharder                          	       0        9        0        9        0
13711 dietlibc-dev                       	       0        6        0        6        0
13712 dietlibc-doc                       	       0        3        0        0        3
13713 diff                               	       0       15        0        0       15
13714 diff-pdf                           	       0        1        0        1        0
13715 diff-pdf-wx                        	       0        1        0        1        0
13716 difference                         	       0        2        0        2        0
13717 diffmon                            	       0        3        1        2        0
13718 diffoscope                         	       0       22        0        1       21
13719 diffpdf                            	       0       32        2       30        0
13720 diffuse                            	       0       35        1       34        0
13721 diffutils-doc                      	       0       28        0        0       28
13722 digikam-data                       	       0       74        0        0       74
13723 digikam-doc                        	       0        1        0        0        1
13724 digikam-trinity                    	       0        3        0        3        0
13725 digilent.adept.runtime             	       0        1        0        1        0
13726 digilent.waveforms                 	       0        1        0        1        0
13727 digimend-dkms                      	       0        4        0        4        0
13728 digitemp                           	       0        7        0        7        0
13729 digup                              	       0        1        0        1        0
13730 dike6                              	       0        1        0        0        1
13731 dillo                              	       0      138        7      131        0
13732 dillo-build-deps                   	       0        1        0        0        1
13733 dillo-dbgsym                       	       0        1        0        1        0
13734 dimbl                              	       0        2        0        2        0
13735 dime                               	       0        4        0        4        0
13736 din                                	       0        1        0        1        0
13737 din-data                           	       0        1        0        0        1
13738 ding                               	       0       21        1       20        0
13739 dino                               	       0        1        0        1        0
13740 dino-im                            	       0       28        0       28        0
13741 dino-im-common                     	       0       28        0        4       24
13742 diod                               	       0        2        0        2        0
13743 diogenes                           	       0        1        0        1        0
13744 diploma                            	       0        7        0        0        7
13745 dir2ogg                            	       0       11        0       11        0
13746 dirb                               	       0       25        0       25        0
13747 dircproxy                          	       0        2        0        2        0
13748 dirdiff                            	       0       20        1       19        0
13749 directvnc                          	       0        8        1        7        0
13750 directx-headers-dev                	       0        5        0        5        0
13751 direwolf                           	       0       13        1       12        0
13752 direwolf-dbgsym                    	       0        1        0        1        0
13753 dirmngr-dbgsym                     	       0        1        0        1        0
13754 dirsearch                          	       0        1        0        1        0
13755 dirvish                            	       0       13        3       10        0
13756 dis51                              	       0        4        0        4        0
13757 disc-cover                         	       0        7        0        7        0
13758 discord-canary                     	       0        2        0        2        0
13759 discord-ptb                        	       0        2        0        2        0
13760 discord-repo                       	       0        1        0        0        1
13761 discount                           	       0        9        0        9        0
13762 discover1                          	       0        1        0        0        1
13763 discover1-data                     	       0        1        0        1        0
13764 discus                             	       0        7        0        7        0
13765 dish                               	       0        1        0        1        0
13766 disk-filltest                      	       0        3        0        3        0
13767 disk-manager                       	       0       15        0       15        0
13768 disksearch                         	       0        1        0        1        0
13769 disorderfs                         	       0        2        0        2        0
13770 disper                             	       0        3        0        3        0
13771 display-dhammapada                 	       0        4        0        4        0
13772 displaycal                         	       0        6        0        6        0
13773 dissenter-browser                  	       0        2        0        1        1
13774 dist                               	       0        2        0        2        0
13775 distcc                             	       0       10        0       10        0
13776 distcc-pump                        	       0        3        0        3        0
13777 distccmon-gnome                    	       0        4        0        4        0
13778 distrho-plugin-ports-lv2           	       0        1        0        1        0
13779 distro-defaults                    	       0        1        0        0        1
13780 distro-info-data                   	       0     3799        0        0     3799
13781 distrobuilder-images               	       0        7        0        0        7
13782 disulfinder                        	       0        1        0        1        0
13783 disulfinder-data                   	       0        1        0        0        1
13784 dita-ot                            	       0        1        0        0        1
13785 dita-ot-doc                        	       0        1        0        0        1
13786 dive                               	       0        3        0        3        0
13787 diveintopython                     	       0        1        0        0        1
13788 divfix++                           	       0        1        0        1        0
13789 divi-desktop                       	       0        1        0        0        1
13790 divxenc                            	       0        2        0        2        0
13791 dizzy                              	       0       42        0       42        0
13792 dj64                               	       0        1        0        0        1
13793 djbdns                             	       0        2        0        2        0
13794 djbdns-conf                        	       0        1        0        1        0
13795 djbdns-utils                       	       0        4        0        4        0
13796 djdev64                            	       0        1        0        0        1
13797 djmount                            	       0        2        0        2        0
13798 djstub                             	       0        1        0        1        0
13799 djtools                            	       0        8        0        8        0
13800 djview                             	       0       11        0        0       11
13801 djview-plugin                      	       0        6        1        5        0
13802 djview3                            	       0        2        0        0        2
13803 djvu-imager-qt                     	       0        1        0        1        0
13804 djvu2pdf                           	       0        2        0        2        0
13805 djvubind                           	       0        6        0        6        0
13806 djvufix                            	       0        1        0        1        0
13807 djvulibre-bin                      	       0       94        3       91        0
13808 djvulibre-desktop                  	       0       50        0        0       50
13809 djvulibre-plugin                   	       0        3        0        0        3
13810 djvuserve                          	       0        1        0        1        0
13811 dkimpy-milter                      	       0        1        0        1        0
13812 dkms-test-dkms                     	       0        1        0        0        1
13813 dkopp                              	       0        4        0        4        0
13814 dl10n                              	       0        2        0        2        0
13815 dlang-libevent                     	       0        1        0        0        1
13816 dlang-openssl                      	       0        2        0        0        2
13817 dleyna-renderer                    	       0        7        0        7        0
13818 dlint                              	       0       10        0       10        0
13819 dlm-controld                       	       0        1        0        1        0
13820 dlmodelbox                         	       0        1        0        1        0
13821 dlocate                            	       0       63       12       51        0
13822 dlume                              	       0        2        0        2        0
13823 dlz-ldap-enum                      	       0        1        0        0        1
13824 dmagnetic                          	       0        4        0        4        0
13825 dmake                              	       0        2        0        2        0
13826 dmarc-cat                          	       0        6        0        6        0
13827 dmarcts-report-parser              	       0        2        0        2        0
13828 dmd                                	       0        4        0        4        0
13829 dmg2img                            	       0       38        0       38        0
13830 dmg2img-dbgsym                     	       0        1        0        1        0
13831 dmktools                           	       0        7        0        7        0
13832 dmo-archive-keyring                	       0        2        0        0        2
13833 dmo-archive-keyring-udeb           	       0        1        0        0        1
13834 dmrconfig                          	       0        5        0        5        0
13835 dmtracedump                        	       0       84        0       84        0
13836 dmtx-utils                         	       0       10        0       10        0
13837 dmucs                              	       0        2        0        2        0
13838 dmz-cursor-theme                   	       0     2955        0        0     2955
13839 dnaclust                           	       0        1        0        1        0
13840 dnet-common                        	       0        1        0        1        0
13841 dnf                                	       0        3        0        3        0
13842 dnf-data                           	       0        3        0        3        0
13843 dnf-doc                            	       0        1        0        0        1
13844 dns-browse                         	       0        4        0        4        0
13845 dns-root-data                      	       0     2924        0        0     2924
13846 dns2tcp                            	       0        2        0        2        0
13847 dns323-firmware-tools              	       0        3        0        3        0
13848 dnscache                           	       0        1        0        1        0
13849 dnscap                             	       0        2        0        2        0
13850 dnscrypt-proxy                     	       0       19        4       15        0
13851 dnsdiag                            	       0        6        0        6        0
13852 dnsdist                            	       0        2        1        1        0
13853 dnshistory                         	       0        1        0        1        0
13854 dnsjit                             	       0        3        0        3        0
13855 dnsmap                             	       0        1        0        1        0
13856 dnsmasq-base-lua                   	       0        4        1        3        0
13857 dnsmasq-utils                      	       0       21        0       21        0
13858 dnsperf                            	       0        4        0        4        0
13859 dnsproxy                           	       0        1        0        1        0
13860 dnspyre                            	       0        1        0        1        0
13861 dnsrecon                           	       0       29        0       29        0
13862 dnss                               	       0        1        0        1        0
13863 dnstop                             	       0       15        1       14        0
13864 dnsvi                              	       0        2        0        2        0
13865 dnsviz                             	       0        1        0        1        0
13866 dnswalk                            	       0        6        0        6        0
13867 doas                               	       0       64        0        3       61
13868 doc-base-build-deps                	       0        1        0        0        1
13869 doc-central                        	       0        2        0        2        0
13870 doc-debian                         	       0     3712        0        0     3712
13871 doc-debian-es                      	       0        1        0        0        1
13872 doc-debian-fr                      	       0        4        0        0        4
13873 doc-iana                           	       0        1        0        0        1
13874 doc-linux-de                       	       0        3        0        0        3
13875 doc-linux-fr-html                  	       0        1        0        0        1
13876 doc-linux-fr-pdf                   	       0        1        0        0        1
13877 doc-linux-fr-text                  	       0        5        0        0        5
13878 doc-linux-html                     	       0        2        0        0        2
13879 doc-linux-nonfree-html             	       0        2        0        0        2
13880 doc-linux-nonfree-text             	       0        2        0        0        2
13881 doc-linux-text                     	       0       20        0        0       20
13882 doc-rfc                            	       0        6        0        0        6
13883 doc-rfc-experimental               	       0        7        0        0        7
13884 doc-rfc-fyi-bcp                    	       0        7        0        0        7
13885 doc-rfc-informational              	       0        7        0        0        7
13886 doc-rfc-misc                       	       0        8        0        0        8
13887 doc-rfc-old-std                    	       0        7        0        0        7
13888 doc-rfc-others                     	       0        7        0        0        7
13889 doc-rfc-std                        	       0       11        0        0       11
13890 doc-rfc-std-proposed               	       0        8        0        0        8
13891 docbook                            	       0      101        0        0      101
13892 docbook-defguide                   	       0       29        0        0       29
13893 docbook-doc                        	       0        2        0        0        2
13894 docbook-dsssl-doc                  	       0       14        0        0       14
13895 docbook-ebnf                       	       0        2        0        0        2
13896 docbook-html-forms                 	       0        3        0        0        3
13897 docbook-mathml                     	       0        6        0        0        6
13898 docbook-simple                     	       0        3        0        0        3
13899 docbook-slides                     	       0        2        0        0        2
13900 docbook-slides-demo                	       0        1        0        0        1
13901 docbook-to-man                     	       0       66        2       64        0
13902 docbook-website                    	       0        1        0        0        1
13903 docbook-xml                        	       0     2015        0        0     2015
13904 docbook-xsl                        	       0      859        0        0      859
13905 docbook-xsl-doc                    	       0        1        0        0        1
13906 docbook-xsl-doc-html               	       0       18        0        0       18
13907 docbook-xsl-doc-pdf                	       0        7        0        0        7
13908 docbook-xsl-doc-text               	       0        5        0        0        5
13909 docbook-xsl-ns                     	       0       28        0        0       28
13910 docbook-xsl-saxon                  	       0        6        0        0        6
13911 docbook2odf                        	       0        1        0        1        0
13912 docbook2x                          	       0        7        0        7        0
13913 docbook5-xml                       	       0       23        0        0       23
13914 docdiff                            	       0        5        0        5        0
13915 dochelp                            	       0       12        3        9        0
13916 docker                             	       0       63        0        2       61
13917 docker-buildx-plugin               	       0       75        0        0       75
13918 docker-ce                          	       0       97       26       71        0
13919 docker-ce-rootless-extras          	       0       13        0       13        0
13920 docker-clean                       	       0        1        0        1        0
13921 docker-compose-plugin              	       0       80        0        1       79
13922 docker-ctop                        	       0        1        0        1        0
13923 docker-desktop                     	       0        2        0        2        0
13924 docker-doc                         	       0       15        0        0       15
13925 docker-gen                         	       0        1        0        1        0
13926 docker-scan-plugin                 	       0       16        0        0       16
13927 docknot                            	       0        2        0        2        0
13928 doclifter                          	       0        2        0        2        0
13929 doctest                            	       0        1        0        1        0
13930 doctest-dev                        	       0        2        0        2        0
13931 doctorj                            	       0        1        0        1        0
13932 docutils-common                    	       0      465        0        0      465
13933 docutils-doc                       	       0      274        0        0      274
13934 docview                            	       0        2        0        2        0
13935 dodgindiamond2                     	       0        3        0        3        0
13936 dodgy                              	       0        1        0        1        0
13937 dogecoin                           	       0        1        0        1        0
13938 dokujclient                        	       0        2        0        2        0
13939 dokuwiki                           	       0        6        1        5        0
13940 dolfinx-doc                        	       0        1        0        0        1
13941 dolibarr                           	       0        2        0        2        0
13942 dolphin-dev                        	       0        5        0        0        5
13943 dolphin-doc                        	       0       23        0        0       23
13944 dolphin-emu                        	       0       15        2       13        0
13945 dolphin-emu-data                   	       0       15        0        0       15
13946 dolphin-emu-git20151129            	       0        1        0        1        0
13947 dolphin-emulator                   	       0        1        0        1        0
13948 dolphin-megasync                   	       0        2        0        0        2
13949 dolphin-nextcloud                  	       0       19        3       11        5
13950 dolphin-owncloud                   	       0        5        1        2        2
13951 dolphin-plugins                    	       0       73        2        3       68
13952 dolphin-trinity                    	       0        1        0        1        0
13953 dolphin4                           	       0       14        0       14        0
13954 donkey                             	       0        2        0        2        0
13955 donkey-bolonkey                    	       0        1        0        1        0
13956 dooble                             	       0        2        0        2        0
13957 doodle                             	       0        1        0        1        0
13958 doom-alientc                       	       0        1        0        1        0
13959 doom-chretro                       	       0        1        0        1        0
13960 doom-classicep                     	       0        1        0        1        0
13961 doom-e1m4b-wad                     	       0        1        0        0        1
13962 doom-e1m8b-wad                     	       0        1        0        0        1
13963 doom-end2                          	       0        1        0        1        0
13964 doom-episode-hell                  	       0        1        0        1        0
13965 doom-eternity                      	       0        1        0        1        0
13966 doom-inferno                       	       0        1        0        1        0
13967 doom-iwad                          	       0        1        0        0        1
13968 doom-nhflep1                       	       0        1        0        1        0
13969 doom-odyssey-e1                    	       0        1        0        1        0
13970 doom-par                           	       0        1        0        1        0
13971 doom-sigil-wad                     	       0        1        0        0        1
13972 doom-subversion                    	       0        1        0        1        0
13973 doom-wad                           	       0        3        0        0        3
13974 doom-wad-shareware                 	       0       10        0        0       10
13975 doom2-alienvendetta                	       0        1        0        1        0
13976 doom2-eternaldoom                  	       0        1        0        1        0
13977 doom2-masterlevels-wad             	       0        1        0        0        1
13978 doom2-mordethep1                   	       0        1        0        1        0
13979 doom2-twilightzone                 	       0        1        0        1        0
13980 doom2-wad                          	       0        4        0        0        4
13981 doomlegacy-data                    	       0        1        0        0        1
13982 doomlegacy-sdl                     	       0        1        0        1        0
13983 doomsday                           	       0       12        0       12        0
13984 doomsday-common                    	       0       10        0       10        0
13985 doomsday-data                      	       0       10        0        0       10
13986 doomseeker                         	       0        2        0        2        0
13987 doomseeker-zandronum               	       0        2        0        0        2
13988 doona                              	       0       22        0       22        0
13989 dopewars                           	       0       12        0       12        0
13990 dopewars-data                      	       0       12        0        0       12
13991 dosage                             	       0        2        0        2        0
13992 dosbox-debug                       	       0        5        0        5        0
13993 dosbox-x                           	       0        4        0        4        0
13994 dosbox-x-data                      	       0        4        0        0        4
13995 doscan                             	       0        6        0        6        0
13996 doschk                             	       0        6        0        6        0
13997 dose-builddebcheck                 	       0        3        0        3        0
13998 dose-distcheck                     	       0        5        0        5        0
13999 dose-extra                         	       0        7        0        7        0
14000 dosemu                             	       0       16        0       16        0
14001 dossizola                          	       0        6        0        6        0
14002 dossizola-data                     	       0        6        0        0        6
14003 dot2tex                            	       0       16        0       16        0
14004 dotdrop                            	       0        1        0        1        0
14005 dotmcp                             	       0        1        0        1        0
14006 dotnet-apphost-pack-3.1            	       0        2        0        2        0
14007 dotnet-apphost-pack-5.0            	       0        3        0        3        0
14008 dotnet-hostfxr-2.1                 	       0        1        0        0        1
14009 dotnet-hostfxr-2.2                 	       0        1        0        0        1
14010 dotnet-hostfxr-3.1                 	       0        2        0        0        2
14011 dotnet-hostfxr-5.0                 	       0        4        0        0        4
14012 dotnet-hostfxr-6.0                 	       0       11        0        0       11
14013 dotnet-hostfxr-7.0                 	       0       12        0        1       11
14014 dotnet-hostfxr-9.0                 	       0        2        0        0        2
14015 dotnet-runtime-2.1                 	       0        1        0        1        0
14016 dotnet-runtime-2.2                 	       0        1        0        1        0
14017 dotnet-runtime-3.1                 	       0        1        0        1        0
14018 dotnet-runtime-5.0                 	       0        4        0        4        0
14019 dotnet-runtime-6.0                 	       0       11        0        0       11
14020 dotnet-runtime-7.0                 	       0       12        0        1       11
14021 dotnet-runtime-9.0                 	       0        2        0        0        2
14022 dotnet-runtime-deps-2.1            	       0        1        0        0        1
14023 dotnet-runtime-deps-2.2            	       0        1        0        0        1
14024 dotnet-runtime-deps-3.1            	       0        2        0        0        2
14025 dotnet-runtime-deps-5.0            	       0        4        0        0        4
14026 dotnet-runtime-deps-6.0            	       0       11        0        0       11
14027 dotnet-runtime-deps-7.0            	       0       12        0        0       12
14028 dotnet-runtime-deps-8.0            	       0       14        0        0       14
14029 dotnet-runtime-deps-9.0            	       0        2        0        0        2
14030 dotnet-sdk-2.1                     	       0        1        0        1        0
14031 dotnet-sdk-2.2                     	       0        1        0        1        0
14032 dotnet-sdk-3.1                     	       0        1        0        1        0
14033 dotnet-sdk-5.0                     	       0        3        0        3        0
14034 dotnet-targeting-pack-3.1          	       0        2        0        0        2
14035 dotnet-targeting-pack-5.0          	       0        3        0        0        3
14036 dotnet-targeting-pack-6.0          	       0        9        0        0        9
14037 dotnet-targeting-pack-7.0          	       0        9        0        0        9
14038 dotnet-targeting-pack-8.0          	       0       14        0        0       14
14039 dotnet-targeting-pack-9.0          	       0        2        0        0        2
14040 dotter                             	       0        2        0        2        0
14041 doublecmd-help-en                  	       0       15        0        0       15
14042 doublecmd-help-uk                  	       0        2        0        0        2
14043 doublecmd-qt                       	       0       11        1       10        0
14044 dov4l                              	       0        7        1        6        0
14045 dovecot-antispam                   	       0        7        0        7        0
14046 dovecot-common                     	       0        1        0        0        1
14047 dovecot-core                       	       0      120       29       91        0
14048 dovecot-dev                        	       0        4        0        4        0
14049 dovecot-fts-xapian                 	       0        1        0        1        0
14050 dovecot-gssapi                     	       0        6        0        6        0
14051 dovecot-ldap                       	       0       10        0       10        0
14052 dovecot-lucene                     	       0        7        0        7        0
14053 dovecot-managesieved               	       0       27        9       18        0
14054 dovecot-mysql                      	       0       27        6       21        0
14055 dovecot-pgsql                      	       0        9        3        6        0
14056 dovecot-sieve                      	       0       53        9       44        0
14057 dovecot-solr                       	       0        3        1        2        0
14058 dovecot-sqlite                     	       0        6        0        6        0
14059 doxygen-awesome-css                	       0        4        0        0        4
14060 doxygen-doc                        	       0       20        0        0       20
14061 doxygen-latex                      	       0       26        0        0       26
14062 doxygen2man                        	       0        5        0        5        0
14063 doxymacs                           	       0        1        0        1        0
14064 doxyqml                            	       0        7        1        6        0
14065 dpak                               	       0        1        0        1        0
14066 dpaste                             	       0        2        0        2        0
14067 dpatch                             	       0       19        1       18        0
14068 dpdk-doc                           	       0        1        0        1        0
14069 dpf-plugins                        	       0        3        0        2        1
14070 dpf-plugins-common                 	       0        4        0        4        0
14071 dpf-plugins-dssi                   	       0        2        0        1        1
14072 dpf-plugins-ladspa                 	       0        3        0        3        0
14073 dpf-plugins-lv2                    	       0        2        0        2        0
14074 dpf-plugins-vst                    	       0        2        0        1        1
14075 dphys-swapfile                     	       0        1        0        1        0
14076 dpic                               	       0        1        0        1        0
14077 dpitunnelcli                       	       0        1        0        1        0
14078 dpkg-awk                           	       0        9        0        9        0
14079 dpkg-cross                         	       0       27        2       25        0
14080 dpkg-dbgsym                        	       0        1        0        1        0
14081 dpkg-dev-el                        	       0        2        0        0        2
14082 dpkg-doc                           	       0        1        0        0        1
14083 dpkg-sig                           	       0       11        1       10        0
14084 dpkg-source-gitarchive             	       0        1        0        1        0
14085 dpkg-www                           	       0        6        0        6        0
14086 dpt-i2o-raidutils                  	       0        1        0        1        0
14087 dpuser                             	       0        2        0        2        0
14088 dpuser-doc                         	       0        1        0        0        1
14089 dput-ng                            	       0        6        1        5        0
14090 dq                                 	       0        9        0        9        0
14091 dqcache                            	       0        4        0        4        0
14092 dqcache-run                        	       0        2        0        0        2
14093 draai                              	       0        1        0        1        0
14094 draco                              	       0        2        0        2        0
14095 dracula-gtk                        	       0        1        0        0        1
14096 dracut                             	       0        2        0        0        2
14097 dradio                             	       0        1        0        1        0
14098 draftsight                         	       0        1        0        1        0
14099 dragon-drag-and-drop               	       0        2        0        2        0
14100 dragonfly-reverb                   	       0        1        0        0        1
14101 dragonfly-reverb-lv2               	       0        3        1        2        0
14102 dragonfly-reverb-standalone        	       0        1        0        1        0
14103 dragonfly-reverb-vst               	       0        1        0        1        0
14104 drascula                           	       0       18        0       18        0
14105 drascula-french                    	       0        2        0        0        2
14106 drascula-german                    	       0        4        0        0        4
14107 drascula-italian                   	       0        1        0        0        1
14108 drascula-music                     	       0       20        0        0       20
14109 drascula-spanish                   	       0        1        0        0        1
14110 draw.io                            	       0       24        0        0       24
14111 drawing                            	       0       17        0       17        0
14112 drawterm                           	       0        1        0        1        0
14113 drawtiming                         	       0        4        0        4        0
14114 drbd-dkms                          	       0        2        0        2        0
14115 drbd-doc                           	       0        1        0        0        1
14116 drbd-ueficert                      	       0        2        0        0        2
14117 drbd-utils                         	       0       11        1       10        0
14118 drbd0.7-module-source              	       0        1        0        0        1
14119 drbl                               	       0       32        0       32        0
14120 drc                                	       0        2        0        2        0
14121 drcontrol                          	       0        1        0        0        1
14122 drdteam-libfluidsynth3             	       0        2        0        0        2
14123 dreamchess                         	       0       15        0       15        0
14124 dreamchess-data                    	       0       15        0        0       15
14125 drgeo-doc                          	       0        2        0        0        2
14126 driconf                            	       0        2        0        2        0
14127 driftnet                           	       0        4        0        4        0
14128 drill-search-gtk                   	       0        1        0        1        0
14129 driverctl                          	       0        8        1        7        0
14130 drm-info                           	       0       12        0       12        0
14131 drmodelica                         	       0        1        0        0        1
14132 drmr                               	       0        2        0        2        0
14133 droidbattles                       	       0        1        0        1        0
14134 droidcam-client                    	       0        1        0        1        0
14135 drool                              	       0        1        0        1        0
14136 droopy                             	       0        1        0        1        0
14137 dropbear                           	       0        9        0        2        7
14138 dropbear-bin                       	       0       21        0       21        0
14139 dropbear-initramfs                 	       0       14        0        0       14
14140 dropbear-run                       	       0        3        0        0        3
14141 dropbox                            	       0       31        3       28        0
14142 drowaudio-plugins-lv2              	       0        1        0        1        0
14143 drraw                              	       0        1        0        1        0
14144 drsync                             	       0        1        0        1        0
14145 drumgizmo                          	       0        7        0        7        0
14146 drumkv1                            	       0        7        0        7        0
14147 drumkv1-common                     	       0        8        0        1        7
14148 drumkv1-lv2                        	       0        4        0        4        0
14149 drumstick-data                     	       0       24        0        0       24
14150 drupal7                            	       0        1        0        1        0
14151 dsc                                	       0        1        1        0        0
14152 dsda-doom                          	       0       23        1       22        0
14153 dsdcc                              	       0        6        0        6        0
14154 dsdunpack                          	       0        1        0        1        0
14155 dselect-dbgsym                     	       0        1        0        1        0
14156 dsh                                	       0        5        0        5        0
14157 dsniff                             	       0       44        0       44        0
14158 dspam                              	       0        1        0        1        0
14159 dspdfviewer                        	       0        6        0        6        0
14160 dspy-common                        	       0        1        0        0        1
14161 dssi-dev                           	       0        5        0        5        0
14162 dssi-example-plugins               	       0        6        0        6        0
14163 dssi-host-jack                     	       0       13        0       13        0
14164 dssi-utils                         	       0       14        0       14        0
14165 dssim                              	       0        1        0        1        0
14166 dssp                               	       0        2        0        2        0
14167 dstat                              	       0       51        2       49        0
14168 dt-schema                          	       0        1        0        1        0
14169 dtaus                              	       0        1        0        1        0
14170 dtdinst                            	       0        1        0        1        0
14171 dte                                	       0        1        0        1        0
14172 dtmf2num                           	       0        3        0        3        0
14173 dtmfdial                           	       0        1        0        1        0
14174 dtrx                               	       0       11        0       11        0
14175 dtv-scan-tables                    	       0       28        0        0       28
14176 du-dust                            	       0        3        0        3        0
14177 dub                                	       0        4        0        4        0
14178 dublin-traceroute                  	       0        4        0        4        0
14179 duc                                	       0       15        0       15        0
14180 duc-nox                            	       0        1        0        1        0
14181 duck                               	       0        6        0        6        0
14182 duckietv                           	       0        1        0        1        0
14183 ducktype                           	       0        3        0        3        0
14184 duende                             	       0        2        0        2        0
14185 duff                               	       0       18        1       17        0
14186 duke3d-shareware                   	       0        1        0        0        1
14187 duktape                            	       0        2        0        2        0
14188 dummy-logind                       	       0       12        0        0       12
14189 dummy-systemd-dev                  	       0        3        0        0        3
14190 dump1090-mutability                	       0        5        0        5        0
14191 dumpasn1                           	       0        5        0        5        0
14192 dumpet                             	       0        5        0        5        0
14193 dumphd                             	       0        5        0        5        0
14194 dumpvdl2                           	       0        1        0        1        0
14195 dune                               	       0        2        0        0        2
14196 dunelegacy                         	       0        4        0        4        0
14197 dungeondraft                       	       0        1        0        0        1
14198 dupeguru                           	       0       17        2       15        0
14199 dupfiles                           	       0        1        0        1        0
14200 duplicati                          	       0        6        3        3        0
14201 dupload                            	       0        7        1        6        0
14202 duply                              	       0       12        0       12        0
14203 dupot-easy-flatpak                 	       0        4        0        0        4
14204 durep                              	       0        5        0        5        0
14205 dus                                	       0        2        0        2        0
14206 dustforce                          	       0        1        0        0        1
14207 dustracing2d                       	       0        2        0        2        0
14208 dustracing2d-data                  	       0        2        0        0        2
14209 dv2sub                             	       0        1        0        1        0
14210 dvb-apps                           	       0       26        1       25        0
14211 dvb-tools                          	       0       15        0       15        0
14212 dvbackup                           	       0        3        0        3        0
14213 dvbcut                             	       0        6        0        6        0
14214 dvblast                            	       0        5        0        5        0
14215 dvbpsi-utils                       	       0        3        0        3        0
14216 dvbsnoop                           	       0        2        0        2        0
14217 dvbstream                          	       0        4        0        4        0
14218 dvbstreamer                        	       0        3        0        3        0
14219 dvbtune                            	       0        9        0        9        0
14220 dvd-slideshow                      	       0        6        0        6        0
14221 dvda-author                        	       0        1        0        1        0
14222 dvdae                              	       0        2        0        2        0
14223 dvdbackup                          	       0       55        1       54        0
14224 dvdbackup-dbg                      	       0        3        0        3        0
14225 dvdisaster                         	       0       29        0       29        0
14226 dvdisaster-doc                     	       0       27        0        0       27
14227 dvdrip-doc                         	       0        1        0        0        1
14228 dvdrtools                          	       0        3        0        3        0
14229 dvdstyler                          	       0        5        0        5        0
14230 dvdstyler-data                     	       0        6        0        0        6
14231 dvdtape                            	       0        3        0        3        0
14232 dvhtool                            	       0        1        0        1        0
14233 dvi2dvi                            	       0        8        0        8        0
14234 dvi2ps                             	       0        8        0        8        0
14235 dvi2ps-fontdata-a2n                	       0        2        0        0        2
14236 dvi2ps-fontdata-ja                 	       0        7        0        0        7
14237 dvi2ps-fontdata-n2a                	       0        6        0        0        6
14238 dvi2ps-fontdata-ptexfake           	       0        1        0        0        1
14239 dvi2ps-fontdata-rsp                	       0        2        0        0        2
14240 dvi2ps-fontdata-tbank              	       0        2        0        0        2
14241 dvi2ps-fontdata-three              	       0        2        0        0        2
14242 dvilx                              	       0        2        0        2        0
14243 dvipost                            	       0        1        0        1        0
14244 dvips-fontdata-n2bk                	       0        1        0        0        1
14245 dvorak7min                         	       0        5        0        5        0
14246 dwarf-fortress                     	       0       12        0       12        0
14247 dwarf-fortress-data                	       0       13        0        0       13
14248 dwarf2sources                      	       0        2        0        2        0
14249 dwarfdump                          	       0       10        0       10        0
14250 dwarves                            	       0       52        0        7       45
14251 dwdiff                             	       0       14        2       12        0
14252 dweb                               	       0        1        0        1        0
14253 dwg2xxx                            	       0        1        0        0        1
14254 dwgsim                             	       0        1        0        1        0
14255 dwm                                	       0       37        0       37        0
14256 dwww                               	       0       22        4       18        0
14257 dx                                 	       0        8        1        7        0
14258 dx-doc                             	       0        8        0        0        8
14259 dxc                                	       0        1        0        1        0
14260 dxirc-data                         	       0        1        0        0        1
14261 dxirc-fox                          	       0        1        0        1        0
14262 dxpc                               	       0        1        0        1        0
14263 dxsamples                          	       0        8        1        7        0
14264 dxvk                               	       0       10        1        9        0
14265 dxvk-wine32                        	       0        3        0        3        0
14266 dxvk-wine32-development            	       0        3        0        2        1
14267 dxvk-wine64                        	       0        4        0        4        0
14268 dxvk-wine64-development            	       0        8        0        6        2
14269 dynamips                           	       0        6        0        6        0
14270 dynamite                           	       0        5        0        5        0
14271 dynare                             	       0        1        0        1        0
14272 dynare-doc                         	       0        1        0        0        1
14273 dysk                               	       0        2        0        2        0
14274 dzen2                              	       0       25        0       25        0
14275 e-uae                              	       0        4        1        3        0
14276 e-wrapper                          	       0        2        0        2        0
14277 e00compr                           	       0       13        0       13        0
14278 e1000e-dkms                        	       0        1        0        1        0
14279 e16                                	       0        6        1        5        0
14280 e16-data                           	       0        2        1        0        1
14281 e16-epplets                        	       0        1        0        0        1
14282 e16keyedit                         	       0        3        0        3        0
14283 e16menuedit2                       	       0        3        0        3        0
14284 e17                                	       0        6        0        1        5
14285 e17-data                           	       0        2        0        0        2
14286 e2fsck-static                      	       0       30        1       29        0
14287 e2fslibs                           	       0      739        0        0      739
14288 e2fslibs-dev                       	       0        5        0        0        5
14289 e2fsprogs-l10n                     	       0      538        0        0      538
14290 e2guardian                         	       0        1        0        1        0
14291 e2ps                               	       0        5        0        5        0
14292 e2undel                            	       0        3        0        3        0
14293 e2wm                               	       0        2        0        2        0
14294 e3                                 	       0        7        0        7        0
14295 eag-healpix-java                   	       0        1        0        0        1
14296 eagle                              	       0        1        0        1        0
14297 eagle-data                         	       0        1        0        1        0
14298 eancheck                           	       0        4        0        4        0
14299 eapoltest                          	       0        2        0        2        0
14300 earcandy                           	       0        1        0        1        0
14301 easychem                           	       0       13        2       11        0
14302 easyeffects                        	       0       24        1       23        0
14303 easygen                            	       0        2        0        2        0
14304 easygit                            	       0        6        1        5        0
14305 easykontakt                        	       0        1        0        1        0
14306 easymp3gain                        	       0        1        0        1        0
14307 easymp3gain-data                   	       0        1        0        0        1
14308 easyroam-desktop                   	       0        1        0        0        1
14309 easyspice                          	       0        2        0        2        0
14310 easyssh                            	       0        3        0        3        0
14311 easyssp-lv2                        	       0        1        0        1        0
14312 easystroke                         	       0        1        0        1        0
14313 eb-utils                           	       0        2        0        2        0
14314 eblook                             	       0        2        0        2        0
14315 ebnflint                           	       0        2        0        2        0
14316 eboard                             	       0       18        0       18        0
14317 ebook-dev-alp                      	       0        3        0        3        0
14318 ebook-speaker                      	       0       11        0       11        0
14319 ebook-tools-dbg                    	       0        1        0        1        0
14320 ebook2cw                           	       0       10        0       10        0
14321 ebook2cwgui                        	       0        6        0        6        0
14322 ebook2epub                         	       0       11        0       11        0
14323 ebook2odt                          	       0        8        0        8        0
14324 ebumeter                           	       0        3        0        3        0
14325 ecasound                           	       0       17        0       17        0
14326 ecasound-el                        	       0        1        0        1        0
14327 ecatools                           	       0        6        0        6        0
14328 ecb                                	       0        1        0        1        0
14329 ecdsautils                         	       0        2        0        2        0
14330 echolot                            	       0        1        0        1        0
14331 echoping                           	       0       15        1       14        0
14332 ecj                                	       0       17        0       17        0
14333 ecj-gcj                            	       0        5        0        5        0
14334 ecj1                               	       0        2        0        2        0
14335 ecl                                	       0       21        0       21        0
14336 eclib-tools                        	       0       11        0       11        0
14337 eclipse                            	       0        1        0        0        1
14338 eclipse-cdt                        	       0        1        0        0        1
14339 eclipse-cdt-jni                    	       0        1        0        0        1
14340 eclipse-debian-helper              	       0        2        0        2        0
14341 eclipse-emf                        	       0        1        0        0        1
14342 eclipse-jdt                        	       0        1        0        0        1
14343 eclipse-pde                        	       0        1        0        1        0
14344 eclipse-platform                   	       0        1        0        1        0
14345 eclipse-platform-data              	       0        2        0        2        0
14346 eclipse-rcp                        	       0        1        0        1        0
14347 eclipse-rse                        	       0        1        0        0        1
14348 eclipse-titan                      	       0        1        0        1        0
14349 ecm                                	       0        1        0        1        0
14350 ecodmsclient                       	       0        1        0        0        1
14351 ecodmsserver                       	       0        1        0        1        0
14352 ecopcr                             	       0        1        0        1        0
14353 ecrire                             	       0        1        0        1        0
14354 ed2k-hash                          	       0       25        0       25        0
14355 edac-utils                         	       0       30        2       28        0
14356 edb-debugger                       	       0        5        0        5        0
14357 edb-debugger-plugins               	       0        6        0        0        6
14358 eddie-cli                          	       0        2        0        2        0
14359 eddie-ui                           	       0        3        0        3        0
14360 edenmath.app                       	       0        2        0        2        0
14361 edfbrowser                         	       0        2        0        2        0
14362 edge                               	       0        1        0        1        0
14363 edisplay                           	       0        1        0        1        0
14364 editmoin                           	       0        1        0        1        0
14365 editorconfig                       	       0       18        1       17        0
14366 editorconfig-doc                   	       0        1        0        0        1
14367 editorconfig-geany                 	       0        1        0        0        1
14368 edlib-aligner                      	       0        1        0        1        0
14369 edrlab.thoriumreader               	       0        1        0        0        1
14370 edtsurf                            	       0        1        0        1        0
14371 education-astronomy                	       0        7        0        0        7
14372 education-chemistry                	       0        7        0        0        7
14373 education-common                   	       0        7        0        0        7
14374 education-desktop-cinnamon         	       0        3        0        0        3
14375 education-desktop-gnome            	       0        1        0        0        1
14376 education-desktop-kde              	       0        1        0        0        1
14377 education-desktop-lxde             	       0        1        0        0        1
14378 education-desktop-lxqt             	       0        1        0        0        1
14379 education-desktop-mate             	       0        3        0        0        3
14380 education-desktop-other            	       0        1        0        0        1
14381 education-desktop-xfce             	       0        3        0        0        3
14382 education-development              	       0        1        0        0        1
14383 education-electronics              	       0       10        0        0       10
14384 education-geography                	       0        9        0        0        9
14385 education-graphics                 	       0        8        0        0        8
14386 education-highschool               	       0        1        0        0        1
14387 education-language                 	       0        7        0        0        7
14388 education-logic-games              	       0        7        0        0        7
14389 education-mathematics              	       0        7        0        0        7
14390 education-menus                    	       0       13        0        0       13
14391 education-misc                     	       0        7        0        0        7
14392 education-music                    	       0        7        0        0        7
14393 education-physics                  	       0        7        0        0        7
14394 education-preschool                	       0        2        0        0        2
14395 education-tasks                    	       0       13        1       12        0
14396 education-video                    	       0        1        0        0        1
14397 eduvpn-client                      	       0        5        0        5        0
14398 eduvpn-client-data                 	       0        5        0        0        5
14399 eekboek                            	       0        1        0        1        0
14400 eekboek-gui                        	       0        1        0        1        0
14401 eep24c                             	       0        2        0        2        0
14402 efax                               	       0        9        1        8        0
14403 efax-gtk                           	       0        2        0        2        0
14404 effectv                            	       0        1        0        1        0
14405 efingerd                           	       0        3        0        3        0
14406 efivar                             	       0       28        0       28        0
14407 efl-doc                            	       0        1        0        1        0
14408 efp                                	       0        3        0        0        3
14409 efte                               	       0        4        0        4        0
14410 eggs                               	       0        1        0        1        0
14411 eglexternalplatform-dev            	       0        4        0        4        0
14412 egoboo                             	       0        1        0        1        0
14413 egoboo-data                        	       0        1        0        0        1
14414 eiciel                             	       0        5        0        5        0
14415 eid-archive                        	       0        8        0        8        0
14416 eid-mw                             	       0        8        0        0        8
14417 eid-viewer                         	       0        8        0        8        0
14418 eigensoft                          	       0        1        0        1        0
14419 einstein                           	       0       18        2       16        0
14420 eiskaltdcpp                        	       0        4        0        1        3
14421 eiskaltdcpp-common                 	       0        7        0        0        7
14422 eiskaltdcpp-emoticons              	       0        3        0        0        3
14423 eiskaltdcpp-gtk                    	       0        3        0        3        0
14424 eiskaltdcpp-gtk-data               	       0        3        0        0        3
14425 eiskaltdcpp-qt                     	       0        4        1        3        0
14426 eiskaltdcpp-qt-data                	       0        4        1        3        0
14427 eiskaltdcpp-scripts                	       0        3        0        3        0
14428 eiskaltdcpp-sounds                 	       0        3        0        0        3
14429 eja                                	       0        1        0        1        0
14430 ejabberd                           	       0        5        1        4        0
14431 ejabberd-contrib                   	       0        2        0        0        2
14432 eject-dbgsym                       	       0        1        0        1        0
14433 ekeyd                              	       0        2        0        2        0
14434 ekg2                               	       0        2        0        0        2
14435 ekg2-core                          	       0        3        0        3        0
14436 ekg2-gnupg                         	       0        2        0        2        0
14437 ekg2-jabber                        	       0        2        0        2        0
14438 ekg2-ui-gtk                        	       0        1        0        1        0
14439 ekg2-ui-ncurses                    	       0        2        0        2        0
14440 ekiga                              	       0        6        0        6        0
14441 ekiga-plugin-evolution             	       0        1        0        0        1
14442 el-get                             	       0        1        0        1        0
14443 el-ixir                            	       0        2        0        2        0
14444 elasticsearch                      	       0        2        0        2        0
14445 elasticsearch-curator              	       0        1        0        1        0
14446 elastix                            	       0        1        0        1        0
14447 elastix-doc                        	       0        1        0        0        1
14448 elbe-archive-keyring               	       0        4        0        0        4
14449 electric                           	       0       12        0       12        0
14450 electric-fence                     	       0        9        0        9        0
14451 electronics-all                    	       0        3        0        0        3
14452 electronics-analog                 	       0        4        0        0        4
14453 electronics-asic-dev               	       0        4        0        0        4
14454 electronics-cad-gui                	       0        4        0        0        4
14455 electronics-digital                	       0        4        0        0        4
14456 electronics-doc                    	       0        3        0        0        3
14457 electronics-dsp-dev                	       0        4        0        0        4
14458 electronics-fpga-dev               	       0        4        0        0        4
14459 electronics-gadgets                	       0        4        0        0        4
14460 electronics-measurements           	       0        3        0        0        3
14461 electronics-microcontrollers       	       0        4        0        0        4
14462 electronics-pcb                    	       0        8        0        0        8
14463 electronics-radio-dev              	       0        7        0        0        7
14464 electronics-simulation             	       0        6        0        0        6
14465 electronics-tasks                  	       0       15        0        0       15
14466 eleeye                             	       0        3        0        3        0
14467 elektroid                          	       0        1        0        1        0
14468 element-desktop                    	       0       45        2        7       36
14469 element-io-archive-keyring         	       0       41        0        0       41
14470 element-nightly                    	       0        2        0        1        1
14471 elementary-icon-theme              	       0       21        0        0       21
14472 elementary-xfce-icon-theme         	       0       62        0        0       62
14473 elfkickers                         	       0        1        0        1        0
14474 elfrc                              	       0        3        0        3        0
14475 elfsh                              	       0        1        0        1        0
14476 elfsign                            	       0        1        0        1        0
14477 elfx86exts                         	       0        1        0        1        0
14478 elib                               	       0        1        0        1        0
14479 elinks-data                        	       0      156        0        0      156
14480 elinks-doc                         	       0       23        0        0       23
14481 elinks-lite                        	       0        1        0        0        1
14482 elixir                             	       0        5        0        5        0
14483 elixir-earmark-parser              	       0        1        0        1        0
14484 elixir-ex-doc                      	       0        1        0        1        0
14485 elixir-makeup                      	       0        1        0        1        0
14486 elixir-makeup-elixir               	       0        1        0        1        0
14487 elixir-makeup-erlang               	       0        1        0        1        0
14488 elk                                	       0        1        0        1        0
14489 elk-lapw                           	       0        1        0        1        0
14490 elks-libc                          	       0        8        0        8        0
14491 elks-libc-gcc-ia16-elf             	       0        1        0        1        0
14492 elksemu                            	       0        1        0        1        0
14493 elm-compiler                       	       0        3        0        3        0
14494 elog                               	       0        3        1        2        0
14495 elogind-dbgsym                     	       0        1        0        1        0
14496 elpa-ace-window                    	       0        1        0        1        0
14497 elpa-adaptive-wrap                 	       0        2        2        0        0
14498 elpa-ag                            	       0        1        0        1        0
14499 elpa-agda2-mode                    	       0        6        1        5        0
14500 elpa-anzu                          	       0        2        0        2        0
14501 elpa-apiwrap                       	       0        2        0        2        0
14502 elpa-atomic-chrome                 	       0        1        1        0        0
14503 elpa-ats2-mode                     	       0        2        1        1        0
14504 elpa-auto-complete                 	       0        5        1        4        0
14505 elpa-auto-dictionary               	       0        2        0        2        0
14506 elpa-avy                           	       0        5        0        5        0
14507 elpa-bash-completion               	       0        1        0        1        0
14508 elpa-bazel-mode                    	       0        2        1        1        0
14509 elpa-bind-key                      	       0        2        0        2        0
14510 elpa-bison-mode                    	       0        2        1        1        0
14511 elpa-bongo                         	       0        1        0        1        0
14512 elpa-bpftrace-mode                 	       0        2        1        1        0
14513 elpa-caml                          	       0        7        1        6        0
14514 elpa-citar                         	       0        1        0        1        0
14515 elpa-clojure-mode                  	       0        2        1        1        0
14516 elpa-clues-theme                   	       0        1        0        1        0
14517 elpa-cmake-mode                    	       0        4        1        3        0
14518 elpa-compat                        	       0        5        1        4        0
14519 elpa-consult                       	       0        1        0        1        0
14520 elpa-counsel                       	       0        2        0        2        0
14521 elpa-dash                          	       0       42        4       38        0
14522 elpa-dash-functional               	       0        1        0        1        0
14523 elpa-debian-el                     	       0       12        2       10        0
14524 elpa-deferred                      	       0        1        0        1        0
14525 elpa-devscripts                    	       0        1        0        1        0
14526 elpa-dictionary                    	       0        1        0        1        0
14527 elpa-dimmer                        	       0        1        1        0        0
14528 elpa-dired-du                      	       0        4        0        4        0
14529 elpa-dired-quick-sort              	       0        4        0        4        0
14530 elpa-dired-rsync                   	       0        4        0        4        0
14531 elpa-dockerfile-mode               	       0        5        2        3        0
14532 elpa-dpkg-dev-el                   	       0        5        2        3        0
14533 elpa-ediprolog                     	       0        1        0        1        0
14534 elpa-editorconfig                  	       0        4        1        3        0
14535 elpa-elfeed                        	       0        5        0        5        0
14536 elpa-elfeed-web                    	       0        1        0        1        0
14537 elpa-elisp-refs                    	       0        4        0        4        0
14538 elpa-elisp-slime-nav               	       0        1        0        1        0
14539 elpa-elm-mode                      	       0        2        1        1        0
14540 elpa-elpher                        	       0        1        0        1        0
14541 elpa-elscreen                      	       0        1        0        1        0
14542 elpa-emacsql                       	       0        3        0        3        0
14543 elpa-emacsql-psql                  	       0        1        0        1        0
14544 elpa-emacsql-sqlite                	       0        2        0        2        0
14545 elpa-emacsql-sqlite3               	       0        1        0        1        0
14546 elpa-embark                        	       0        1        0        1        0
14547 elpa-ement                         	       0        1        0        1        0
14548 elpa-epl                           	       0        9        1        8        0
14549 elpa-esh-help                      	       0        1        0        1        0
14550 elpa-eshell-git-prompt             	       0        2        0        2        0
14551 elpa-eshell-prompt-extras          	       0        1        0        1        0
14552 elpa-esxml                         	       0        5        1        4        0
14553 elpa-evil                          	       0        3        0        3        0
14554 elpa-evil-paredit                  	       0        2        0        2        0
14555 elpa-expand-region                 	       0        3        0        3        0
14556 elpa-exwm                          	       0        5        0        5        0
14557 elpa-f                             	       0       10        2        8        0
14558 elpa-find-file-in-project          	       0        1        0        1        0
14559 elpa-flx                           	       0        2        0        2        0
14560 elpa-flx-ido                       	       0        2        0        2        0
14561 elpa-flycheck                      	       0        6        0        6        0
14562 elpa-flycheck-package              	       0        1        0        1        0
14563 elpa-fountain-mode                 	       0        2        1        1        0
14564 elpa-fsm                           	       0        4        0        4        0
14565 elpa-geiser                        	       0        2        0        2        0
14566 elpa-ghub                          	       0        7        1        6        0
14567 elpa-ghub+                         	       0        2        0        2        0
14568 elpa-git-annex                     	       0        1        0        1        0
14569 elpa-git-commit                    	       0       19        2       17        0
14570 elpa-git-modes                     	       0        2        1        1        0
14571 elpa-git-timemachine               	       0        1        0        1        0
14572 elpa-gitattributes-mode            	       0        2        1        1        0
14573 elpa-gitconfig-mode                	       0        2        1        1        0
14574 elpa-gitignore-mode                	       0        2        1        1        0
14575 elpa-gitlab-ci-mode                	       0        2        1        1        0
14576 elpa-gnuplot-mode                  	       0        5        2        3        0
14577 elpa-go-mode                       	       0        7        1        6        0
14578 elpa-goto-chg                      	       0        3        0        3        0
14579 elpa-graphql                       	       0        6        1        5        0
14580 elpa-haskell-tab-indent            	       0        1        0        1        0
14581 elpa-helm-org                      	       0        2        0        2        0
14582 elpa-helpful                       	       0        3        0        3        0
14583 elpa-highlight-indentation         	       0        1        0        1        0
14584 elpa-ht                            	       0        4        1        3        0
14585 elpa-hydra                         	       0        5        0        5        0
14586 elpa-imenu-list                    	       0        5        1        4        0
14587 elpa-inform-mode                   	       0        4        1        3        0
14588 elpa-irony                         	       0        1        0        1        0
14589 elpa-ivy                           	       0        5        0        5        0
14590 elpa-jabber                        	       0        4        0        4        0
14591 elpa-jinja2-mode                   	       0        3        2        1        0
14592 elpa-js2-mode                      	       0        5        1        4        0
14593 elpa-kivy-mode                     	       0        2        1        1        0
14594 elpa-kotlin-mode                   	       0        2        1        1        0
14595 elpa-lbdb                          	       0        1        0        1        0
14596 elpa-ledger                        	       0        1        0        1        0
14597 elpa-let-alist                     	       0       18        4       14        0
14598 elpa-lsp-haskell                   	       0        3        1        2        0
14599 elpa-lsp-mode                      	       0        4        1        3        0
14600 elpa-lsp-treemacs                  	       0        1        0        1        0
14601 elpa-lua-mode                      	       0        9        1        8        0
14602 elpa-lv                            	       0        8        1        7        0
14603 elpa-magit                         	       0       19        2       17        0
14604 elpa-magit-annex                   	       0        1        0        1        0
14605 elpa-magit-popup                   	       0        7        1        6        0
14606 elpa-magit-section                 	       0       14        1       13        0
14607 elpa-magithub                      	       0        2        0        2        0
14608 elpa-marginalia                    	       0        1        0        1        0
14609 elpa-markdown-toc                  	       0        2        0        2        0
14610 elpa-mastodon                      	       0        1        0        1        0
14611 elpa-matlab-mode                   	       0        2        1        1        0
14612 elpa-meson-mode                    	       0        5        1        4        0
14613 elpa-modus-themes                  	       0        1        0        1        0
14614 elpa-monokai-theme                 	       0        2        0        2        0
14615 elpa-muse                          	       0        2        0        2        0
14616 elpa-neotree                       	       0        3        0        3        0
14617 elpa-nginx-mode                    	       0        2        1        1        0
14618 elpa-nov                           	       0        4        1        3        0
14619 elpa-olivetti                      	       0        2        1        1        0
14620 elpa-org                           	       0       20        2        4       14
14621 elpa-org-bullets                   	       0        1        1        0        0
14622 elpa-org-contrib                   	       0        1        0        1        0
14623 elpa-org-drill                     	       0        2        1        1        0
14624 elpa-org-roam                      	       0        2        0        2        0
14625 elpa-package-lint                  	       0        1        0        1        0
14626 elpa-package-lint-flymake          	       0        1        0        1        0
14627 elpa-paredit                       	       0        5        1        4        0
14628 elpa-parsebib                      	       0        1        0        1        0
14629 elpa-password-store                	       0        1        0        1        0
14630 elpa-pdf-tools                     	       0        3        2        1        0
14631 elpa-pdf-tools-server              	       0        3        2        1        0
14632 elpa-persist                       	       0        3        1        2        0
14633 elpa-pfuture                       	       0        1        0        1        0
14634 elpa-pg                            	       0        1        0        1        0
14635 elpa-php-mode                      	       0        6        1        5        0
14636 elpa-pip-requirements              	       0        2        1        1        0
14637 elpa-pkg-info                      	       0        9        1        8        0
14638 elpa-plz                           	       0        1        0        1        0
14639 elpa-pos-tip                       	       0        2        1        1        0
14640 elpa-projectile                    	       0        2        0        2        0
14641 elpa-protobuf-mode                 	       0        2        1        1        0
14642 elpa-ps-ccrypt                     	       0        1        0        1        0
14643 elpa-puppet-mode                   	       0        2        1        1        0
14644 elpa-pyvenv                        	       0        1        0        1        0
14645 elpa-qml-mode                      	       0        2        1        1        0
14646 elpa-queue                         	       0        4        0        4        0
14647 elpa-racket-mode                   	       0        2        1        1        0
14648 elpa-rainbow-delimiters            	       0        2        0        2        0
14649 elpa-request                       	       0        1        0        1        0
14650 elpa-rtags                         	       0        2        0        2        0
14651 elpa-rust-mode                     	       0       13        2       11        0
14652 elpa-s                             	       0       19        2       17        0
14653 elpa-scala-mode                    	       0        3        1        2        0
14654 elpa-seq                           	       0       12        1       11        0
14655 elpa-simple-httpd                  	       0        2        0        2        0
14656 elpa-smex                          	       0        4        0        4        0
14657 elpa-sml-mode                      	       0        3        1        2        0
14658 elpa-solarized-theme               	       0        1        0        1        0
14659 elpa-spinner                       	       0        4        1        3        0
14660 elpa-srv                           	       0        4        0        4        0
14661 elpa-subed                         	       0        1        0        1        0
14662 elpa-svg-lib                       	       0        1        0        1        0
14663 elpa-swiper                        	       0        2        0        2        0
14664 elpa-systemd                       	       0        2        1        1        0
14665 elpa-tablist                       	       0        3        2        1        0
14666 elpa-taxy                          	       0        1        0        1        0
14667 elpa-taxy-magit-section            	       0        1        0        1        0
14668 elpa-transient                     	       0       10        1        9        0
14669 elpa-treemacs                      	       0        1        0        1        0
14670 elpa-treemacs-evil                 	       0        1        0        1        0
14671 elpa-treemacs-magit                	       0        1        0        1        0
14672 elpa-treemacs-projectile           	       0        1        0        1        0
14673 elpa-treepy                        	       0        7        1        6        0
14674 elpa-tuareg                        	       0        4        0        4        0
14675 elpa-undo-tree                     	       0        5        0        5        0
14676 elpa-use-package                   	       0        2        0        2        0
14677 elpa-vala-mode                     	       0        2        1        1        0
14678 elpa-vertico                       	       0        1        0        1        0
14679 elpa-visual-fill-column            	       0        1        0        1        0
14680 elpa-visual-regexp                 	       0        2        0        2        0
14681 elpa-volume                        	       0        1        0        1        0
14682 elpa-vterm                         	       0        4        0        4        0
14683 elpa-websocket                     	       0        3        1        2        0
14684 elpa-which-key                     	       0        1        0        1        0
14685 elpa-with-editor                   	       0       23        2       21        0
14686 elpa-writegood-mode                	       0        2        2        0        0
14687 elpa-writeroom-mode                	       0        1        0        1        0
14688 elpa-ws-butler                     	       0        2        0        2        0
14689 elpa-xcscope                       	       0        6        0        6        0
14690 elpa-xelb                          	       0        5        0        5        0
14691 elpa-yaml-mode                     	       0       12        2       10        0
14692 elpa-yasnippet                     	       0        4        0        4        0
14693 elpa-yasnippet-snippets            	       0        4        0        4        0
14694 elpa-zenburn-theme                 	       0        1        0        1        0
14695 elscreen                           	       0        1        0        0        1
14696 elserv                             	       0        1        0        1        0
14697 elvis                              	       0        1        0        1        0
14698 elvis-common                       	       0        1        0        0        1
14699 elvis-tiny                         	       0        3        0        3        0
14700 elvis-tools                        	       0        1        0        1        0
14701 elyxer                             	       0        1        0        1        0
14702 emacs                              	       0      357        0        0      357
14703 emacs-build-deps                   	       0        1        0        0        1
14704 emacs-calfw                        	       0        6        1        5        0
14705 emacs-calfw-howm                   	       0        1        0        1        0
14706 emacs-common-non-dfsg              	       0       29        0        0       29
14707 emacs-editing-major-modes          	       0        2        0        0        2
14708 emacs-el                           	       0      449        0        0      449
14709 emacs-goodies-el                   	       0       38        0        1       37
14710 emacs-intl-fonts                   	       0       13        0        0       13
14711 emacs-libvterm                     	       0        5        0        2        3
14712 emacs-lucid                        	       0       18        2       16        0
14713 emacs-mozc                         	       0        1        0        1        0
14714 emacs-mozc-bin                     	       0        1        0        1        0
14715 emacs-non-dfsg-build-deps          	       0        1        0        0        1
14716 emacs-pgtk                         	       0        2        0        2        0
14717 emacs-window-layout                	       0        2        0        2        0
14718 emacs21                            	       0        1        0        0        1
14719 emacs23                            	       0        2        0        2        0
14720 emacs23-bin-common                 	       0        2        0        2        0
14721 emacs23-common                     	       0        2        0        0        2
14722 emacs23-common-non-dfsg            	       0        1        0        0        1
14723 emacs23-el                         	       0        1        0        0        1
14724 emacs24                            	       0       13        0        9        4
14725 emacs24-bin-common                 	       0       10        0       10        0
14726 emacs24-common                     	       0       10        0        0       10
14727 emacs24-common-non-dfsg            	       0        1        0        0        1
14728 emacs24-el                         	       0        7        0        0        7
14729 emacs24-nox                        	       0        1        0        1        0
14730 emacs25                            	       0        1        0        0        1
14731 emacs25-nox                        	       0        1        0        0        1
14732 emboss                             	       0        2        0        2        0
14733 emboss-data                        	       0        2        0        0        2
14734 emboss-lib                         	       0        2        0        2        0
14735 emby-server                        	       0        1        0        1        0
14736 emd                                	       0        3        0        3        0
14737 emdebian-archive-keyring           	       0       14        0        0       14
14738 emelfm2-svg-icons                  	       0        1        0        0        1
14739 emerald-themes                     	       0       14        0        0       14
14740 emf2svg                            	       0        2        0        2        0
14741 emicp                              	       0        1        0        1        0
14742 emidf                              	       0        1        0        1        0
14743 emidist-upgrade                    	       0        1        0        1        0
14744 emidpkg-backup                     	       0        1        0        1        0
14745 emifloppy                          	       0        1        0        1        0
14746 emiperl-lib                        	       0        1        0        1        0
14747 emirdiff-backup                    	       0        1        0        1        0
14748 emitabulator                       	       0        1        0        1        0
14749 emiutility-dummy                   	       0        1        0        0        1
14750 emma                               	       0        1        0        1        0
14751 emms                               	       0        3        1        2        0
14752 emoji-mart                         	       0        1        0        1        0
14753 empathy                            	       0        5        0        5        0
14754 empathy-common                     	       0       11        0        0       11
14755 empathy-skype                      	       0        1        0        0        1
14756 emptty                             	       0        2        0        2        0
14757 empty-expect                       	       0        2        0        2        0
14758 emscripten                         	       0       10        0       10        0
14759 emscripten-doc                     	       0        5        0        0        5
14760 emu8051                            	       0        6        0        6        0
14761 emugui-testing                     	       0        1        0        1        0
14762 emusic-data                        	       0        1        0        0        1
14763 emusic-docs                        	       0        1        0        0        1
14764 enamdict                           	       0        4        0        0        4
14765 enca                               	       0       25        0       25        0
14766 endeavour                          	       0       10        0       10        0
14767 endeavour-common                   	       0       10        0        0       10
14768 endless-sky                        	       0       18        0       18        0
14769 endless-sky-build                  	       0        1        0        0        1
14770 endless-sky-data                   	       0       20        0        0       20
14771 endless-sky-dbgsym                 	       0        1        0        1        0
14772 endless-sky-high-dpi               	       0        7        0        0        7
14773 endlessh                           	       0        1        1        0        0
14774 enemylines3                        	       0        3        0        3        0
14775 enemylines7                        	       0        3        0        3        0
14776 engauge-digitizer                  	       0        6        0        6        0
14777 engauge-digitizer-doc              	       0        4        0        0        4
14778 enigma                             	       0       30        0       30        0
14779 enigma-data                        	       0       30        0        0       30
14780 enigma-doc                         	       0       28        0        0       28
14781 enigmail                           	       0        6        0        0        6
14782 enlightenment-data                 	       0       31        0        7       24
14783 enlightenment-theme-bluesteel      	       0        2        0        0        2
14784 enlightenment-theme-brushedmetal   	       0        2        0        0        2
14785 enlightenment-theme-ganymede       	       0        2        0        0        2
14786 enlightenment-theme-shinymetal     	       0        2        0        0        2
14787 enmime                             	       0        1        0        1        0
14788 enpass                             	       0        1        0        1        0
14789 enscribe                           	       0        2        0        2        0
14790 ent                                	       0        2        0        2        0
14791 entagged                           	       0        2        0        2        0
14792 entangle                           	       0        9        0        9        0
14793 entropybroker                      	       0        1        0        1        0
14794 env-assert                         	       0        1        0        1        0
14795 env2                               	       0        3        0        3        0
14796 environment-modules                	       0        7        0        7        0
14797 envstore                           	       0        2        1        1        0
14798 eog-plugin-disable-dark-theme      	       0        7        0        0        7
14799 eog-plugin-exif-display            	       0        8        0        0        8
14800 eog-plugin-export-to-folder        	       0        7        0        0        7
14801 eog-plugin-fit-to-width            	       0        8        0        0        8
14802 eog-plugin-fullscreen-background   	       0        8        0        0        8
14803 eog-plugin-hide-titlebar           	       0        2        0        0        2
14804 eog-plugin-map                     	       0        7        0        0        7
14805 eog-plugin-maximize-windows        	       0        7        0        0        7
14806 eog-plugin-picasa                  	       0        4        0        0        4
14807 eog-plugin-python-console          	       0        7        0        0        7
14808 eog-plugin-send-by-mail            	       0        7        0        0        7
14809 eog-plugin-slideshow-shuffle       	       0        7        0        0        7
14810 eog-plugins                        	       0        8        0        1        7
14811 eog-plugins-common                 	       0        8        0        0        8
14812 eom-common                         	       0      533        0        0      533
14813 eot2ttf                            	       0        2        0        2        0
14814 epdfview                           	       0        6        0        6        0
14815 eperl                              	       0        4        0        4        0
14816 ephoto                             	       0        8        0        8        0
14817 epic4                              	       0        2        0        2        0
14818 epic4-help                         	       0        2        0        0        2
14819 epic5                              	       0        2        0        2        0
14820 epiphany                           	       0       21        1       20        0
14821 epiphany-browser-data              	       0       89        1        1       87
14822 epiphany-data                      	       0       23        0        0       23
14823 epiphany-extensions                	       0        2        0        1        1
14824 epiphany-gecko                     	       0        1        0        0        1
14825 epix                               	       0        1        0        1        0
14826 epoptes                            	       0        1        1        0        0
14827 epoptes-client                     	       0        1        1        0        0
14828 epplets                            	       0        2        1        1        0
14829 epsilon-bin                        	       0        1        0        1        0
14830 epson-alc1100-filter               	       0        1        0        1        0
14831 epson-alc1100-filter-cups          	       0        1        0        0        1
14832 epson-inkjet-printer-201207w       	       0        2        0        2        0
14833 epson-inkjet-printer-201215w       	       0        1        0        1        0
14834 epson-inkjet-printer-202101w       	       0        1        0        1        0
14835 epson-inkjet-printer-escpr2        	       0        8        2        6        0
14836 epson-pc-fax                       	       0        1        0        1        0
14837 epson-port-communication-service   	       0        1        0        1        0
14838 epson-printer-utility              	       0       11        2        9        0
14839 epsonscan2                         	       0       13        1       12        0
14840 epsonscan2-non-free-plugin         	       0       14        0        0       14
14841 epstool                            	       0       75        0       75        0
14842 ept-cache                          	       0        1        0        1        0
14843 epub-utils                         	       0       25        0       25        0
14844 epubcheck                          	       0       11        0       11        0
14845 eq10q                              	       0        8        1        7        0
14846 eqonomize                          	       0        2        0        2        0
14847 eqonomize-doc                      	       0        2        0        0        2
14848 equalx                             	       0        9        0        9        0
14849 erc                                	       0        3        1        2        0
14850 erfs                               	       0        1        0        1        0
14851 eric-api-files                     	       0        6        0        0        6
14852 erlang                             	       0       16        0        0       16
14853 erlang-asciideck                   	       0        2        0        2        0
14854 erlang-base-hipe                   	       0        1        0        1        0
14855 erlang-base64url                   	       0        5        0        5        0
14856 erlang-bbmustache                  	       0        1        0        1        0
14857 erlang-bear                        	       0        2        0        2        0
14858 erlang-bitcask                     	       0        1        0        1        0
14859 erlang-bitcask-dev                 	       0        1        0        1        0
14860 erlang-cf                          	       0        2        0        2        0
14861 erlang-corba                       	       0        3        0        3        0
14862 erlang-cowboy                      	       0        1        0        1        0
14863 erlang-cowboy-doc                  	       0        1        0        0        1
14864 erlang-cowboy-examples             	       0        1        0        0        1
14865 erlang-cowlib                      	       0        2        0        2        0
14866 erlang-cuttlefish                  	       0        1        0        1        0
14867 erlang-erl-docgen                  	       0       16        0       16        0
14868 erlang-erlware-commons             	       0        1        0        1        0
14869 erlang-erlware-commons-dev         	       0        1        0        1        0
14870 erlang-esdl-dev                    	       0        2        0        2        0
14871 erlang-esdl-doc                    	       0        2        0        0        2
14872 erlang-folsom                      	       0        1        0        1        0
14873 erlang-folsom-dev                  	       0        1        0        1        0
14874 erlang-getopt                      	       0        2        0        2        0
14875 erlang-goldrush                    	       0        7        0        7        0
14876 erlang-gs                          	       0        2        0        2        0
14877 erlang-hex                         	       0        1        0        1        0
14878 erlang-horse                       	       0        1        0        1        0
14879 erlang-ic                          	       0        3        0        3        0
14880 erlang-ic-java                     	       0        2        0        2        0
14881 erlang-idna                        	       0        5        1        4        0
14882 erlang-jiffy                       	       0        8        1        7        0
14883 erlang-jose                        	       0        6        1        5        0
14884 erlang-lager                       	       0        7        1        6        0
14885 erlang-luerl                       	       0        1        0        1        0
14886 erlang-manpages                    	       0        8        0        0        8
14887 erlang-meck                        	       0        2        0        2        0
14888 erlang-mochiweb                    	       0        1        0        1        0
14889 erlang-neotoma                     	       0        1        0        1        0
14890 erlang-nox                         	       0        5        0        0        5
14891 erlang-percept                     	       0        3        0        3        0
14892 erlang-poolboy                     	       0        1        0        1        0
14893 erlang-proper-doc                  	       0        2        0        0        2
14894 erlang-ranch                       	       0        3        0        3        0
14895 erlang-ranch-doc                   	       0        2        0        0        2
14896 erlang-solutions                   	       0        2        0        0        2
14897 erlang-typer                       	       0        2        0        2        0
14898 erlang-unicode-util-compat         	       0        5        1        4        0
14899 erlang-webtool                     	       0        2        0        2        0
14900 erlang-x11                         	       0        4        0        0        4
14901 erlang-xmlrpc                      	       0        3        0        3        0
14902 erlang-yaws                        	       0        5        0        5        0
14903 erofsfuse                          	       0        1        0        1        0
14904 esbuild                            	       0        3        0        3        0
14905 esci-interpreter-gt-f720           	       0        1        0        1        0
14906 escputil                           	       0       18        0       18        0
14907 esekeyd                            	       0        1        1        0        0
14908 esh                                	       0        1        0        1        0
14909 eskatos-config                     	       0       12        5        7        0
14910 eskatos-docker-config              	       0        4        0        0        4
14911 eskatos-icinga-scripts             	       0        1        0        0        1
14912 eskatos-monitoring-plugins         	       0       15        7        8        0
14913 eskatos-nexus-registry-mirror      	       0        1        0        0        1
14914 eskatos-reboot-notifier            	       0       15        7        8        0
14915 esmtp                              	       0        5        0        5        0
14916 esmtp-run                          	       0        2        0        2        0
14917 esnacc                             	       0        1        0        1        0
14918 esnacc-doc                         	       0        1        0        0        1
14919 eso-midas                          	       0        6        0        6        0
14920 eso-pipelines                      	       0        2        0        0        2
14921 esorex                             	       0        2        0        2        0
14922 esound-common                      	       0       33        0        0       33
14923 espctag                            	       0        1        0        1        0
14924 espeak-data                        	       0     1079        0        0     1079
14925 espeak-ng-data                     	       0     2803        0        0     2803
14926 espeak-ng-espeak                   	       0        7        0        7        0
14927 espeakedit                         	       0        6        0        6        0
14928 esptool                            	       0       10        1        9        0
14929 ess                                	       0        6        0        0        6
14930 estic                              	       0        1        0        1        0
14931 eta                                	       0        1        0        1        0
14932 etc1tool                           	       0       79        0       79        0
14933 etcd-client                        	       0        1        0        1        0
14934 etcd-fs                            	       0        1        0        1        0
14935 etcher-electron                    	       0        1        0        0        1
14936 etckeeper                          	       0      125       29       96        0
14937 etherape                           	       0       50        0       50        0
14938 etherape-data                      	       0       46        0        0       46
14939 etherboot-qemu                     	       0        1        0        1        0
14940 ethereal-chess                     	       0        3        0        3        0
14941 etherwake                          	       0       80        4       76        0
14942 ethstats                           	       0        4        0        4        0
14943 etl-dev                            	       0        2        0        2        0
14944 etoys                              	       0        1        0        1        0
14945 etoys-doc                          	       0        1        0        0        1
14946 etqw                               	       0        2        0        2        0
14947 etree-scripts                      	       0        1        0        1        0
14948 etsf-io                            	       0        1        0        1        0
14949 ettercap-common                    	       0       11        0       11        0
14950 ettercap-graphical                 	       0        8        0        8        0
14951 ettercap-text-only                 	       0        3        0        3        0
14952 etw                                	       0        2        0        2        0
14953 etw-data                           	       0        2        0        0        2
14954 eudev-build-deps                   	       0        1        0        0        1
14955 eudev-dbgsym                       	       0        3        0        3        0
14956 eufloriahd                         	       0        1        0        0        1
14957 eukleides                          	       0        2        1        1        0
14958 euler                              	       0        8        0        8        0
14959 euler-doc                          	       0        5        0        5        0
14960 eureka                             	       0        8        0        8        0
14961 eureka-dbgsym                      	       0        1        0        1        0
14962 eurephia                           	       0        2        1        1        0
14963 euro-support                       	       0        1        0        1        0
14964 euro-support-console               	       0        1        0        0        1
14965 euro-support-x                     	       0        1        0        0        1
14966 evdi                               	       0        1        0        1        0
14967 evdi-dkms                          	       0        6        0        6        0
14968 eventfs                            	       0        1        0        1        0
14969 eventstat                          	       0        1        0        1        0
14970 evilwm                             	       0        7        0        7        0
14971 evince-common                      	       0      987        3        6      978
14972 evince-hwp                         	       0        1        0        1        0
14973 evol-client                        	       0        1        0        0        1
14974 evolution-data-server-dev          	       0        1        0        0        1
14975 evolution-data-server-doc          	       0        2        0        0        2
14976 evolution-plugin-spamassassin      	       0        2        0        2        0
14977 evolution-webcal                   	       0        2        0        2        0
14978 evolvotron                         	       0        5        0        5        0
14979 evracoon                           	       0        1        0        1        0
14980 evrouter                           	       0        1        0        1        0
14981 ewf-tools                          	       0       22        0       22        0
14982 ewipe                              	       0        1        0        1        0
14983 exabgp                             	       0        2        0        2        0
14984 exadrums                           	       0        1        0        1        0
14985 exaile                             	       0        1        0        1        0
14986 excellent-bifurcation              	       0        1        0        1        0
14987 exe-thumbnailer                    	       0       12        0        1       11
14988 exec-tools                         	       0        1        0        1        0
14989 execline                           	       0        9        0        9        0
14990 execline-doc                       	       0        2        0        0        2
14991 execnet-doc                        	       0        1        0        0        1
14992 execstack                          	       0       15        0       15        0
14993 exempi                             	       0        2        0        2        0
14994 exfatprogs-dbgsym                  	       0        1        0        1        0
14995 exiflooter                         	       0        2        0        2        0
14996 exifprobe                          	       0       46        0       46        0
14997 exim4                              	       0      356        0        0      356
14998 exim4-daemon-heavy                 	       0       21       11       10        0
14999 exim4-doc-html                     	       0        8        0        0        8
15000 exim4-doc-info                     	       0        6        0        0        6
15001 eximon4                            	       0       11        1       10        0
15002 exmh                               	       0        4        0        4        0
15003 exo-build-deps                     	       0        1        0        0        1
15004 exo-utils-dbgsym                   	       0        1        0        1        0
15005 exodus                             	       0        8        0        8        0
15006 exonerate                          	       0        4        0        4        0
15007 expandrive                         	       0        1        0        1        0
15008 expat                              	       0       27        0       27        0
15009 expeyes                            	       0        1        0        1        0
15010 expeyes-doc-common                 	       0        1        0        1        0
15011 expeyes-doc-en                     	       0        1        0        0        1
15012 expeyes-web                        	       0        1        0        1        0
15013 explain                            	       0        1        0        1        0
15014 explosive-c4                       	       0        4        0        4        0
15015 expressvpn                         	       0        1        0        1        0
15016 exrtools                           	       0        2        0        2        0
15017 ext2resize                         	       0        1        0        1        0
15018 ext3grep                           	       0       39        1       38        0
15019 ext4magic                          	       0       61        3       58        0
15020 extace                             	       0        1        0        1        0
15021 extra-cmake-modules                	       0      111        0        0      111
15022 extra-cmake-modules-doc            	       0        3        0        0        3
15023 extrace                            	       0        6        0        6        0
15024 extractpdfmark                     	       0        5        0        5        0
15025 extremetuxracer                    	       0       44        0       44        0
15026 extremetuxracer-data               	       0       45        0        1       44
15027 extremetuxracer-extras             	       0        3        0        0        3
15028 extrepo-offline-data               	       0       56        0        0       56
15029 extundelete                        	       0       81        2       79        0
15030 exult                              	       0        2        0        2        0
15031 exult-studio                       	       0        1        0        1        0
15032 eye                                	       0        1        0        1        0
15033 eyed3                              	       0       43        1       42        0
15034 eyes17                             	       0        1        0        1        0
15035 ez-ipupdate                        	       0        1        0        1        0
15036 ezquake                            	       0        4        0        4        0
15037 ezstream                           	       0        3        1        2        0
15038 eztrace                            	       0        1        0        1        0
15039 f-irc                              	       0        4        0        4        0
15040 f-spot                             	       0        1        0        1        0
15041 f2c                                	       0       14        0       14        0
15042 f2j                                	       0        2        0        2        0
15043 f3d                                	       0        5        0        5        0
15044 faac                               	       0       35        0       35        0
15045 faba-icon-theme                    	       0       33        0        0       33
15046 fabla                              	       0        1        0        1        0
15047 fabric                             	       0        2        0        2        0
15048 facedetect                         	       0       10        0       10        0
15049 facetimehd-firmware                	       0        1        1        0        0
15050 fact++                             	       0        1        0        1        0
15051 facter                             	       0       34        2       32        0
15052 facterdb                           	       0        1        0        1        0
15053 fadecut                            	       0        3        0        3        0
15054 faenza-icon-theme                  	       0       36        0        0       36
15055 fahclient                          	       0        3        0        3        0
15056 fahviewer                          	       0        2        0        2        0
15057 fai-client                         	       0        2        0        2        0
15058 fai-server                         	       0        1        0        1        0
15059 fai-setup-storage                  	       0        1        0        1        0
15060 faifa                              	       0        3        1        2        0
15061 fail2ban                           	       0      284       72      212        0
15062 fairy-stockfish                    	       0        3        0        3        0
15063 fairymax                           	       0       57        2       55        0
15064 fake                               	       0        4        0        4        0
15065 fake-hwclock                       	       0       13        1       12        0
15066 fakechroot                         	       0       76        3       73        0
15067 faker                              	       0        1        0        1        0
15068 fakeroot-ng                        	       0        7        0        7        0
15069 faketime                           	       0       33        1       32        0
15070 fakturama                          	       0        1        0        1        0
15071 falabaac                           	       0        1        0        1        0
15072 falcon-sensor                      	       0       10        0       10        0
15073 falconseye                         	       0        1        0        1        0
15074 falconseye-data                    	       0        1        0        1        0
15075 falcosecurity-scap-dkms            	       0        1        1        0        0
15076 falkon-plugin-wallet               	       0        1        0        0        1
15077 falselogin                         	       0        5        0        5        0
15078 fam                                	       0       10        0       10        0
15079 famfamfam-flag-gif                 	       0        1        0        0        1
15080 famfamfam-flag-png                 	       0       27        0        0       27
15081 famfamfam-silk                     	       0        8        0        0        8
15082 fapg                               	       0        3        0        3        0
15083 far2l                              	       0        1        0        1        0
15084 far2l-data                         	       0        1        0        0        1
15085 far2l-wx                           	       0        1        0        1        0
15086 farbfeld                           	       0       10        0       10        0
15087 farmtopia                          	       0        1        0        1        0
15088 farpd                              	       0        2        0        2        0
15089 fasd                               	       0        2        0        2        0
15090 fasm                               	       0       10        1        9        0
15091 fastaq                             	       0        1        0        1        0
15092 fastboot                           	       0      182        2      180        0
15093 fastd                              	       0        2        0        2        0
15094 fastd-doc                          	       0        1        0        0        1
15095 fastdep                            	       0        1        0        1        0
15096 fastdnaml                          	       0        3        0        3        0
15097 fastforward                        	       0        5        0        5        0
15098 fastjar                            	       0      102        5       97        0
15099 fastjet-doc                        	       0        1        0        0        1
15100 fastlink                           	       0        1        0        1        0
15101 fastp                              	       0        1        0        1        0
15102 fastqc                             	       0        3        0        3        0
15103 fastqtl                            	       0        1        0        1        0
15104 fasttext                           	       0        2        0        2        0
15105 fasttrack-archive-keyring          	       0       25        0        0       25
15106 fasttree                           	       0        1        0        1        0
15107 fatsort                            	       0       19        0       19        0
15108 faucc                              	       0        1        0        1        0
15109 fauhdlc                            	       0        3        0        3        0
15110 faultstat                          	       0        1        0        1        0
15111 faumachine                         	       0        1        0        1        0
15112 faumachine-data                    	       0        1        0        0        1
15113 faust                              	       0        4        0        4        0
15114 faust-common                       	       0        4        0        4        0
15115 faustworks                         	       0        2        0        2        0
15116 faxcoder                           	       0        1        0        1        0
15117 fb-music-high                      	       0        8        0        0        8
15118 fb-tools                           	       0        3        0        3        0
15119 fb2edit                            	       0        1        0        1        0
15120 fbautostart                        	       0       11        0       11        0
15121 fbb                                	       0        3        0        3        0
15122 fbbdoc                             	       0        1        0        0        1
15123 fbcat                              	       0       10        0       10        0
15124 fbdesk                             	       0        3        0        3        0
15125 fbiterm                            	       0        1        0        1        0
15126 fbpanel                            	       0       19        3       16        0
15127 fbset                              	       0       45        1       44        0
15128 fbterm-ucimf                       	       0        1        0        1        0
15129 fbtv                               	       0        1        0        1        0
15130 fbxkb                              	       0        5        0        5        0
15131 fbzx                               	       0        6        0        6        0
15132 fcc                                	       0        1        0        1        0
15133 fccexam                            	       0        3        0        3        0
15134 fceu                               	       0        1        0        1        0
15135 fceux                              	       0       20        0       20        0
15136 fcgiwrap                           	       0       16        4       12        0
15137 fcitx                              	       0       20        0        0       20
15138 fcitx-anthy                        	       0        2        0        1        1
15139 fcitx-bin                          	       0       21        2       19        0
15140 fcitx-chewing                      	       0        1        0        0        1
15141 fcitx-config-common                	       0       20        0        0       20
15142 fcitx-config-gtk                   	       0       20        0       20        0
15143 fcitx-data                         	       0       20        0        4       16
15144 fcitx-frontend-all                 	       0       20        0        0       20
15145 fcitx-frontend-fbterm              	       0        2        0        2        0
15146 fcitx-frontend-gtk2                	       0       23        1        0       22
15147 fcitx-frontend-gtk3                	       0       23        1       10       12
15148 fcitx-frontend-qt4                 	       0        3        0        0        3
15149 fcitx-frontend-qt5                 	       0       24        1        4       19
15150 fcitx-frontend-qt6                 	       0       12        1        0       11
15151 fcitx-googlepinyin                 	       0        6        1        0        5
15152 fcitx-hangul                       	       0        1        0        0        1
15153 fcitx-imlist                       	       0        2        0        2        0
15154 fcitx-libpinyin                    	       0        1        0        0        1
15155 fcitx-libs-dev                     	       0        1        1        0        0
15156 fcitx-m17n                         	       0        3        0        2        1
15157 fcitx-module-dbus                  	       0       24        2        8       14
15158 fcitx-module-kimpanel              	       0       23        2        8       13
15159 fcitx-module-lua                   	       0       23        2        8       13
15160 fcitx-module-quickphrase-editor5   	       0       14        0        0       14
15161 fcitx-module-x11                   	       0       24        2        8       14
15162 fcitx-modules                      	       0       24        2        8       14
15163 fcitx-mozc                         	       0       10        0        4        6
15164 fcitx-mozc-data                    	       0       19        0        0       19
15165 fcitx-pinyin                       	       0        5        0        3        2
15166 fcitx-rime                         	       0        2        0        1        1
15167 fcitx-sunpinyin                    	       0        1        0        1        0
15168 fcitx-table                        	       0        5        0        2        3
15169 fcitx-table-all                    	       0        1        0        0        1
15170 fcitx-table-arabic                 	       0        1        0        0        1
15171 fcitx-table-bingchan               	       0        1        0        0        1
15172 fcitx-table-cangjie                	       0        1        0        0        1
15173 fcitx-table-cantonese              	       0        1        0        0        1
15174 fcitx-table-dianbaoma              	       0        1        0        0        1
15175 fcitx-table-emoji                  	       0        2        0        0        2
15176 fcitx-table-erbi                   	       0        1        0        0        1
15177 fcitx-table-ipa-x-sampa            	       0        1        0        0        1
15178 fcitx-table-quick-classic          	       0        1        0        0        1
15179 fcitx-table-thai                   	       0        1        0        0        1
15180 fcitx-table-wanfeng                	       0        1        0        0        1
15181 fcitx-table-wbpy                   	       0        1        0        0        1
15182 fcitx-table-wubi                   	       0        2        0        0        2
15183 fcitx-table-ziranma                	       0        1        0        0        1
15184 fcitx-tools                        	       0        3        0        3        0
15185 fcitx-ui-classic                   	       0       20        2        8       10
15186 fcitx5-anthy                       	       0        2        0        1        1
15187 fcitx5-chewing                     	       0        1        0        0        1
15188 fcitx5-chinese-addons              	       0        8        0        0        8
15189 fcitx5-frontend-all                	       0        1        0        0        1
15190 fcitx5-frontend-fbterm             	       0        2        0        2        0
15191 fcitx5-frontend-gtk2               	       0        5        0        1        4
15192 fcitx5-frontend-gtk3               	       0       24        1       12       11
15193 fcitx5-frontend-gtk4               	       0       17        0        2       15
15194 fcitx5-frontend-tmux               	       0        2        0        0        2
15195 fcitx5-kkc                         	       0        1        0        1        0
15196 fcitx5-material-color              	       0        1        0        0        1
15197 fcitx5-module-chttrans             	       0        8        0        1        7
15198 fcitx5-module-emoji                	       0        3        0        0        3
15199 fcitx5-module-fullwidth            	       0        9        0        1        8
15200 fcitx5-module-lua-common           	       0       10        0        0       10
15201 fcitx5-module-quickphrase          	       0        3        0        1        2
15202 fcitx5-module-quickphrase-editor   	       0       10        0        0       10
15203 fcitx5-module-wayland              	       0        3        0        1        2
15204 fcitx5-module-xorg                 	       0        3        0        1        2
15205 fcitx5-modules-dev                 	       0        1        0        1        0
15206 fcitx5-mozc                        	       0       10        1        6        3
15207 fcitx5-rime                        	       0        2        0        1        1
15208 fcitx5-skin-nord                   	       0        1        0        0        1
15209 fcitx5-skk                         	       0        1        0        0        1
15210 fcitx5-table                       	       0        8        0        1        7
15211 fckeditor                          	       0        1        1        0        0
15212 fcmp                               	       0        2        0        0        2
15213 fcode-utils                        	       0        8        0        8        0
15214 fcoretools                         	       0        1        0        1        0
15215 fcrackzip                          	       0       45        0       45        0
15216 fd-musl                            	       0        1        1        0        0
15217 fdclone                            	       0        3        0        3        0
15218 fdflush                            	       0        3        0        3        0
15219 fdisk-dbgsym                       	       0        1        0        1        0
15220 fdkaac                             	       0       11        0       11        0
15221 fdm                                	       0        3        1        2        0
15222 fdm-materials                      	       0       22        0        0       22
15223 fdpowermon                         	       0        9        0        9        0
15224 fdpowermon-icons                   	       0        4        0        0        4
15225 fdutils                            	       0       39        2       37        0
15226 feathernotes-l10n                  	       0      249        0        0      249
15227 featherpad-l10n                    	       0      264        0        3      261
15228 feature-check                      	       0        2        0        2        0
15229 fedistar                           	       0        1        0        1        0
15230 feed2exec                          	       0        1        0        1        0
15231 feed2exec-doc                      	       0        1        0        0        1
15232 feed2toot                          	       0        1        0        1        0
15233 feedbackd-common                   	       0        9        0        0        9
15234 feedgnuplot                        	       0        7        1        6        0
15235 feedreader                         	       0        2        0        2        0
15236 felix-latin-data                   	       0        2        0        0        2
15237 felix-main                         	       0        1        0        1        0
15238 fence-agents                       	       0        5        0        5        0
15239 fence-virt                         	       0        1        0        1        0
15240 fenicsx                            	       0        1        0        0        1
15241 fenicsx-performance-tests-source   	       0        1        0        1        0
15242 fenix                              	       0        1        0        1        0
15243 fenix-plugin-mpeg                  	       0        1        0        1        0
15244 fenix-plugins                      	       0        1        0        1        0
15245 fenix-plugins-system               	       0        1        0        1        0
15246 fenrir                             	       0        1        0        1        0
15247 ferdi                              	       0        1        0        0        1
15248 ferdium                            	       0        6        0        4        2
15249 ferm                               	       0       11        0       11        0
15250 ferret                             	       0        2        0        2        0
15251 ferret-datasets                    	       0        1        0        0        1
15252 ferret-vis                         	       0        1        0        1        0
15253 festival-dev                       	       0        6        0        6        0
15254 festival-doc                       	       0        5        0        0        5
15255 festival-freebsoft-utils           	       0       33        0        0       33
15256 festlex-cmu                        	       0      104        0        0      104
15257 festlex-ifd                        	       0        3        0        0        3
15258 festlex-oald                       	       0        9        0        0        9
15259 festlex-poslex                     	       0      104        0        0      104
15260 festvox-don                        	       0        2        0        0        2
15261 festvox-ellpc11k                   	       0        3        0        0        3
15262 festvox-en1                        	       0        4        0        0        4
15263 festvox-italp16k                   	       0        3        0        0        3
15264 festvox-itapc16k                   	       0        2        0        0        2
15265 festvox-kallpc16k                  	       0       99        0        0       99
15266 festvox-kdlpc16k                   	       0        4        0        0        4
15267 festvox-palpc16k                   	       0        1        0        0        1
15268 festvox-rablpc16k                  	       0        4        0        0        4
15269 festvox-ru                         	       0        3        0        0        3
15270 festvox-sflpc16k                   	       0        1        0        0        1
15271 festvox-suopuhe-common             	       0        3        0        0        3
15272 festvox-suopuhe-lj                 	       0        3        0        0        3
15273 festvox-suopuhe-mv                 	       0        2        0        0        2
15274 festvox-us-slt-hts                 	       0       11        0        0       11
15275 festvox-us1                        	       0        6        0        0        6
15276 festvox-us2                        	       0        3        0        0        3
15277 festvox-us3                        	       0        3        0        0        3
15278 fet                                	       0        4        0        4        0
15279 fet-data                           	       0        4        0        0        4
15280 feta                               	       0        1        0        1        0
15281 fetch-crl                          	       0        1        0        1        0
15282 fetchyahoo                         	       0        1        0        1        0
15283 fever                              	       0        1        0        1        0
15284 fex                                	       0        1        0        1        0
15285 fex-utils                          	       0        1        0        1        0
15286 ffado-dbus-server                  	       0        3        0        3        0
15287 ffado-mixer-qt4                    	       0        3        0        3        0
15288 ffado-tools                        	       0        5        0        5        0
15289 ffdiaporama                        	       0        2        0        2        0
15290 ffdiaporama-data                   	       0        2        0        0        2
15291 ffe                                	       0        1        0        1        0
15292 ffgtk                              	       0        1        0        1        0
15293 ffgtk-plugins-gdata                	       0        1        0        0        1
15294 ffgtk-plugins-gnome-keyring        	       0        1        0        0        1
15295 ffgtk-plugins-kwallet4             	       0        1        0        0        1
15296 ffgtk-plugins-pulseaudio           	       0        1        0        0        1
15297 ffindex                            	       0        2        0        2        0
15298 fflas-ffpack                       	       0       11        0       11        0
15299 fflas-ffpack-common                	       0       11        0       11        0
15300 ffmpeg-dbgsym                      	       0        1        0        1        0
15301 ffmpeg-doc                         	       0       71        0        0       71
15302 ffmpeg2theora                      	       0       19        0       19        0
15303 ffmpegfs                           	       0       10        0       10        0
15304 ffmsindex                          	       0        7        0        7        0
15305 ffmulticonverter                   	       0        2        1        1        0
15306 ffplay                             	       0        1        0        1        0
15307 fftw-dev                           	       0       14        0       14        0
15308 fftw2                              	       0       29        0        0       29
15309 ffuf                               	       0        2        0        2        0
15310 fgallery                           	       0       12        0       12        0
15311 fgetty                             	       0       26        1       25        0
15312 fglrx-modules-dkms                 	       0        1        0        1        0
15313 fh2odg                             	       0        1        0        1        0
15314 fhem                               	       0        1        0        1        0
15315 fhist                              	       0        3        0        3        0
15316 fiche                              	       0        2        0        2        0
15317 fierce                             	       0        3        0        3        0
15318 fifth                              	       0        1        0        1        0
15319 fig2ps                             	       0       14        0       14        0
15320 fig2sxd                            	       0        3        0        3        0
15321 figtoipe                           	       0        2        0        2        0
15322 figtree                            	       0        1        0        1        0
15323 file-build-deps                    	       0        1        0        0        1
15324 file-dbgsym                        	       0        1        0        1        0
15325 filelight-trinity                  	       0        3        0        3        0
15326 filemanager-actions                	       0        1        0        1        0
15327 filemanager-actions-data           	       0        1        0        0        1
15328 filemanager-actions-libs           	       0        1        0        0        1
15329 fileschanged                       	       0        3        0        3        0
15330 filetea                            	       0        2        1        1        0
15331 filetraq                           	       0        2        0        2        0
15332 fileutils                          	       0        2        0        0        2
15333 filezilla-common                   	       0      304        0        0      304
15334 filezilla-server                   	       0        2        0        2        0
15335 filler                             	       0        3        0        3        0
15336 fillets-ng                         	       0       11        0       11        0
15337 fillets-ng-data                    	       0       11        0        0       11
15338 fillets-ng-data-cs                 	       0        1        0        0        1
15339 fillets-ng-data-nl                 	       0        2        0        0        2
15340 filtergen                          	       0        1        0        1        0
15341 filters                            	       0       11        0       11        0
15342 fim                                	       0       18        1       17        0
15343 finch                              	       0       10        1        9        0
15344 findbugs                           	       0        3        0        3        0
15345 findent                            	       0        1        0        1        0
15346 findimagedupes                     	       0       21        1       20        0
15347 finetune                           	       0        1        0        1        0
15348 fingerd                            	       0        9        1        8        0
15349 finit                              	       0        2        0        2        0
15350 finit-plugins                      	       0        2        0        0        2
15351 firebird-emu                       	       0        1        0        1        0
15352 firebird2.1-common-doc             	       0        1        0        0        1
15353 firebird2.5-common-doc             	       0       29        0        0       29
15354 firebird3.0-common                 	       0      758        0        0      758
15355 firebird3.0-common-doc             	       0      759        0        0      759
15356 firebird3.0-doc                    	       0        6        0        0        6
15357 firebird3.0-server-core            	       0      712        1        0      711
15358 firebird4.0-common                 	       0       12        0        0       12
15359 firebird4.0-common-doc             	       0       12        0        0       12
15360 firebird4.0-server-core            	       0       12        0        0       12
15361 firecapture                        	       0        1        0        1        0
15362 fireflies                          	       0        2        0        0        2
15363 firefox-beta                       	       0        2        1        1        0
15364 firefox-devedition                 	       0        1        0        1        0
15365 firefox-devedition-l10n-fr         	       0        1        0        1        0
15366 firefox-esr-l10n-all               	       0        1        0        0        1
15367 firefox-l10n-dsb                   	       0        2        0        2        0
15368 firefox-l10n-es-cl                 	       0        2        0        2        0
15369 firefox-l10n-es-mx                 	       0        1        0        1        0
15370 firefox-l10n-fr                    	       0        6        0        6        0
15371 firefox-l10n-hr                    	       0        1        0        1        0
15372 firefox-l10n-hsb                   	       0        2        0        2        0
15373 firefox-l10n-it                    	       0        2        0        2        0
15374 firefox-l10n-ja                    	       0        1        0        1        0
15375 firefox-l10n-pl                    	       0        1        0        1        0
15376 firefox-l10n-ru                    	       0        2        1        1        0
15377 firefox-mozilla-build              	       0        1        0        1        0
15378 firefox-nightly                    	       0        1        0        1        0
15379 firefox-nightly-l10n-en-gb         	       0        1        0        1        0
15380 firefox-nightly-l10n-hu            	       0        1        0        1        0
15381 firefox-nightly-l10n-ro            	       0        1        0        1        0
15382 firefoxdev                         	       0        1        0        1        0
15383 firefoxdriver                      	       0        3        0        3        0
15384 firefoxpwa                         	       0        2        0        2        0
15385 firehol                            	       0       21        4       17        0
15386 firehol-common                     	       0       21        3       18        0
15387 firehol-doc                        	       0        2        0        0        2
15388 firejail                           	       0       73        2       71        0
15389 firejail-build-deps                	       0        1        0        0        1
15390 firejail-dbgsym                    	       0        1        0        1        0
15391 firejail-profiles                  	       0       66        0        0       66
15392 fireqos                            	       0       13        2       11        0
15393 firetools                          	       0       16        0       16        0
15394 firewalk                           	       0        1        0        1        0
15395 firewall-applet                    	       0        3        0        3        0
15396 firewall-config                    	       0       10        0       10        0
15397 firewalld-tests                    	       0        2        0        0        2
15398 firm-phoenix-ware                  	       0        1        0        0        1
15399 firmware-adi                       	       0        2        0        0        2
15400 firmware-ast                       	       0        3        0        3        0
15401 firmware-ath9k-htc-dbgsym          	       0        1        0        1        0
15402 firmware-bnx2x                     	       0      164        2      162        0
15403 firmware-cavium                    	       0       14        0       14        0
15404 firmware-crystalhd                 	       0        4        0        4        0
15405 firmware-intelwimax                	       0      118        0      117        1
15406 firmware-ivtv                      	       0       19        0       19        0
15407 firmware-linux                     	       0      384        0        0      384
15408 firmware-linux-nonfree             	       0      692        0        4      688
15409 firmware-microbit-micropython      	       0        3        0        0        3
15410 firmware-microbit-micropython-doc  	       0        2        0        0        2
15411 firmware-myricom                   	       0      149        0      149        0
15412 firmware-qcom-media                	       0        7        0        1        6
15413 firmware-qcom-soc                  	       0        8        0        8        0
15414 firmware-qlogic                    	       0      151        0      151        0
15415 firmware-radeon-ucode              	       0        2        0        2        0
15416 firmware-ralink                    	       0       49        0        0       49
15417 firmware-samsung                   	       0       13        0       13        0
15418 firmware-tomu                      	       0        1        0        1        0
15419 fis-gtm                            	       0        4        0        0        4
15420 fis-gtm-6.3-007                    	       0        1        0        0        1
15421 fis-gtm-6.3-014                    	       0        1        0        0        1
15422 fis-gtm-7.0                        	       0        3        0        0        3
15423 fische                             	       0        5        0        5        0
15424 fish-common                        	       0       95        5       14       76
15425 fitgcp                             	       0        1        0        1        0
15426 fitscut                            	       0        2        0        2        0
15427 fitsh                              	       0        3        0        3        0
15428 fitspng                            	       0        9        0        9        0
15429 fitsverify                         	       0        2        0        2        0
15430 fityk                              	       0        3        0        3        0
15431 fiu-utils                          	       0        1        0        1        0
15432 fix-alttab                         	       0        1        0        1        0
15433 fizmo                              	       0        1        0        0        1
15434 fizmo-common                       	       0        1        0        0        1
15435 fizmo-console                      	       0        2        0        2        0
15436 fizmo-ncursesw                     	       0        3        0        3        0
15437 fizmo-sdl2                         	       0        5        0        5        0
15438 fizsh                              	       0       13        1       12        0
15439 fl-cow                             	       0        3        0        3        0
15440 flacon                             	       0        1        0        1        0
15441 flake                              	       0       18        0       18        0
15442 flake8                             	       0       23        0       23        0
15443 flam3                              	       0        3        0        3        0
15444 flam3-palette                      	       0        1        0        0        1
15445 flam3-utils                        	       0        1        0        1        0
15446 flamerobin                         	       0        4        0        4        0
15447 flamp                              	       0        3        0        3        0
15448 flang-17                           	       0        1        0        1        0
15449 flang-19                           	       0        1        0        1        0
15450 flare                              	       0        4        0        0        4
15451 flare-data                         	       0        4        0        0        4
15452 flare-engine                       	       0       12        0       12        0
15453 flare-engine-build-deps            	       0        1        0        0        1
15454 flare-engine-dbgsym                	       0        1        0        1        0
15455 flare-game                         	       0       12        0        0       12
15456 flare-game-build-deps              	       0        1        0        0        1
15457 flash                              	       0        1        0        1        0
15458 flash-kernel                       	       0        7        0        7        0
15459 flasharch-player                   	       0        1        0        0        1
15460 flashbench                         	       0        8        0        8        0
15461 flashcache-dkms                    	       0        1        0        1        0
15462 flasher-3.5                        	       0        2        0        2        0
15463 flashplayer-chromium               	       0        3        0        2        1
15464 flashplayer-mozilla                	       0        9        0        9        0
15465 flashplugin-nonfree                	       0       32        0       32        0
15466 flashplugin-nonfree-extrasound     	       0        5        0        5        0
15467 flashprint                         	       0        1        0        0        1
15468 flashprint5                        	       0        1        0        0        1
15469 flashrom                           	       0       78        4       74        0
15470 flasm                              	       0        4        0        4        0
15471 flatcam                            	       0        1        0        1        0
15472 flatlatex                          	       0        6        1        5        0
15473 flatpak-builder-tests              	       0        3        0        0        3
15474 flatpak-tests                      	       0        5        0        0        5
15475 flatpak-xdg-utils                  	       0       18        0        0       18
15476 flatpak-xdg-utils-tests            	       0        3        0        0        3
15477 flatzinc                           	       0        2        0        2        0
15478 flawfinder                         	       0        9        0        9        0
15479 fldiff                             	       0       15        2       13        0
15480 fldigi                             	       0       26        0       26        0
15481 flent                              	       0        8        0        8        0
15482 flex-doc                           	       0       21        0        0       21
15483 flexbackup                         	       0        2        0        2        0
15484 flexbar                            	       0        1        0        1        0
15485 flexc++                            	       0        4        0        4        0
15486 flexibee-client                    	       0        1        1        0        0
15487 flexloader                         	       0        3        0        3        0
15488 flexml                             	       0        2        0        2        0
15489 flexpart                           	       0        1        0        1        0
15490 flextra                            	       0        1        0        1        0
15491 flickcurl-utils                    	       0        1        0        1        0
15492 flif-tools                         	       0        1        0        1        0
15493 flight-of-the-amazon-queen         	       0       15        0       15        0
15494 flightcrew                         	       0        4        0        4        0
15495 flightgear                         	       0       21        0       21        0
15496 flightgear-data-ai                 	       0       21        0        0       21
15497 flightgear-data-all                	       0       21        0        0       21
15498 flightgear-data-base               	       0       21        0        0       21
15499 flightgear-data-models             	       0       21        0        0       21
15500 flightgear-phi                     	       0        7        0        0        7
15501 flim                               	       0       12        2       10        0
15502 flin                               	       0        1        0        1        0
15503 fling                              	       0        1        0        1        0
15504 flintqs                            	       0       11        0       11        0
15505 flip                               	       0        9        0        9        0
15506 flit                               	       0        3        0        3        0
15507 flite                              	       0       10        0       10        0
15508 flite1-dev                         	       0       15        1       14        0
15509 flmsg                              	       0        7        0        7        0
15510 floatbg                            	       0        5        0        5        0
15511 flobopuyo                          	       0       18        0       18        0
15512 flood                              	       0        1        0        1        0
15513 floorp                             	       0        4        1        3        0
15514 floppyd                            	       0       10        0       10        0
15515 florence                           	       0        7        0        7        0
15516 flow-tools                         	       0        1        0        1        0
15517 flowblade                          	       0       27        0       27        0
15518 flowgrind                          	       0        2        0        2        0
15519 flpsed                             	       0       12        1       11        0
15520 flpsed-data                        	       0       11        0        0       11
15521 flrig                              	       0       15        0       15        0
15522 fltk1.1-doc                        	       0        1        0        1        0
15523 fltk1.3-games                      	       0       11        0       11        0
15524 fluid-soundfont-gm                 	       0      309        0        0      309
15525 fluid-soundfont-gs                 	       0      146        0        0      146
15526 fluidr3mono-gm-soundfont           	       0       25        0        0       25
15527 fluidsynth-dssi                    	       0       10        1        9        0
15528 fluxbox-themes-stackrpms           	       0       13        0        0       13
15529 fluxconf                           	       0        2        0        2        0
15530 flvmeta                            	       0        1        0        1        0
15531 flvstreamer                        	       0       29        0       29        0
15532 flvtool2                           	       0        1        0        1        0
15533 flwm                               	       0       12        0       12        0
15534 flwrap                             	       0        7        0        7        0
15535 flycheck-doc                       	       0        3        0        0        3
15536 flydraw                            	       0        3        0        3        0
15537 flying-carpet                      	       0        1        0        1        0
15538 fnlib-data                         	       0        2        0        0        2
15539 fnotifystat                        	       0        1        0        1        0
15540 fnt                                	       0        7        0        7        0
15541 fntsample                          	       0        3        0        3        0
15542 focuswriter                        	       0       11        1       10        0
15543 foiltex                            	       0        2        0        0        2
15544 folks-common                       	       0      139        0        0      139
15545 fomp                               	       0        8        0        8        0
15546 fondu                              	       0        6        0        6        0
15547 font-hosny-amiri                   	       0        1        0        0        1
15548 font-manager-common                	       0       94        3       11       80
15549 font-ttf-ibarra                    	       0        1        0        0        1
15550 font-viewer                        	       0       93        0        0       93
15551 fontconfig-config                  	       0     4036        0        0     4036
15552 fontcustom                         	       0        4        0        4        0
15553 fontforge-build-deps-depends       	       0        1        0        0        1
15554 fontforge-common                   	       0      112        0        0      112
15555 fontforge-dbgsym                   	       0        1        0        1        0
15556 fontforge-doc                      	       0       22        0        0       22
15557 fontforge-nox                      	       0        9        0        9        0
15558 fontmake                           	       0        2        0        2        0
15559 fontmanager.app                    	       0        4        0        4        0
15560 fontmatrix                         	       0       22        0       22        0
15561 fonts-3270                         	       0       63        0        8       55
15562 fonts-adf-accanthis                	       0      183        2       10      171
15563 fonts-adf-baskervald               	       0       30        0        3       27
15564 fonts-adf-berenis                  	       0      180        1       11      168
15565 fonts-adf-gillius                  	       0      186        0        4      182
15566 fonts-adf-ikarius                  	       0       28        0        3       25
15567 fonts-adf-irianis                  	       0       27        0        2       25
15568 fonts-adf-libris                   	       0       28        0        1       27
15569 fonts-adf-mekanus                  	       0       26        0        3       23
15570 fonts-adf-oldania                  	       0       83        0        6       77
15571 fonts-adf-romande                  	       0       27        0        1       26
15572 fonts-adf-solothurn                	       0       17        0        1       16
15573 fonts-adf-switzera                 	       0       27        0        2       25
15574 fonts-adf-tribun                   	       0       27        0        2       25
15575 fonts-adf-universalis              	       0      186        0        4      182
15576 fonts-adf-verana                   	       0       29        0        2       27
15577 fonts-adobe-sourcesans3            	       0        3        0        0        3
15578 fonts-aenigma                      	       0       26        0        3       23
15579 fonts-agave                        	       0       49        0        3       46
15580 fonts-aksharyogini2                	       0       10        0        2        8
15581 fonts-alee                         	       0       22        0        4       18
15582 fonts-alegreya-sans                	       0        7        0        2        5
15583 fonts-alexbrush                    	       0        1        0        0        1
15584 fonts-allerta                      	       0       15        0        1       14
15585 fonts-amiga                        	       0       40        0        1       39
15586 fonts-ancient-scripts              	       0       41        0       11       30
15587 fonts-anonymous-pro                	       0       38        0        2       36
15588 fonts-aoyagi-kouzan-t              	       0       15        0        1       14
15589 fonts-aoyagi-soseki                	       0       15        0        0       15
15590 fonts-apropal                      	       0       13        0        0       13
15591 fonts-arabeyes                     	       0       18        0        2       16
15592 fonts-arapey                       	       0       12        0        1       11
15593 fonts-arkpandora                   	       0       23        1       11       11
15594 fonts-arphic-bkai00mp              	       0      119        2       12      105
15595 fonts-arphic-bsmi00lp              	       0      110        1        4      105
15596 fonts-arphic-gbsn00lp              	       0      112        0        3      109
15597 fonts-arphic-gkai00mp              	       0      111       10       19       82
15598 fonts-arphic-ukai                  	       0       23        1        3       19
15599 fonts-arphic-uming                 	       0      127        5       13      109
15600 fonts-arundina                     	       0       19        0        2       17
15601 fonts-atarismall                   	       0       49        0        2       47
15602 fonts-atarist                      	       0        4        0        1        3
15603 fonts-atkinson-hyperlegible        	       0        5        0        0        5
15604 fonts-atkinson-hyperlegible-ttf    	       0        4        0        0        4
15605 fonts-atkinson-hyperlegible-web    	       0        3        0        0        3
15606 fonts-averia-gwf                   	       0       16        0        2       14
15607 fonts-averia-sans-gwf              	       0       17        0        1       16
15608 fonts-averia-serif-gwf             	       0       17        0        1       16
15609 fonts-b612                         	       0       22        1        2       19
15610 fonts-babelstone-han               	       0       11        0        2        9
15611 fonts-babelstone-modern            	       0       13        0        2       11
15612 fonts-baekmuk                      	       0      118        2        8      108
15613 fonts-bajaderka                    	       0       13        0        1       12
15614 fonts-bebas-neue                   	       0       15        0        0       15
15615 fonts-beng                         	       0       57        0        0       57
15616 fonts-beng-extra                   	       0       58        0        4       54
15617 fonts-beteckna                     	       0       28        0        1       27
15618 fonts-blankenburg                  	       0       17        0        0       17
15619 fonts-bpg-georgian                 	       0       20        0        4       16
15620 fonts-breip                        	       0       22        0        2       20
15621 fonts-bwht                         	       0       13        0        1       12
15622 fonts-cabin                        	       0      192        1        9      182
15623 fonts-cabinsketch                  	       0       21        0        0       21
15624 fonts-campania                     	       0       13        0        2       11
15625 fonts-cardo                        	       0       17        0        2       15
15626 fonts-cascadia-code                	       0       11        0        5        6
15627 fonts-cegui                        	       0       14        0        1       13
15628 fonts-century-catalogue            	       0       27        1        1       25
15629 fonts-cherrybomb                   	       0       14        0        0       14
15630 fonts-chomsky                      	       0        3        0        0        3
15631 fonts-circos-symbols               	       0       16        0        0       16
15632 fonts-clear-sans                   	       0      148        1        6      141
15633 fonts-cmu                          	       0       27        1        3       23
15634 fonts-cns11643-kai                 	       0       10        0        3        7
15635 fonts-cns11643-pixmaps             	       0        9        0        0        9
15636 fonts-cns11643-sung                	       0        9        0        1        8
15637 fonts-comfortaa                    	       0      203        0        3      200
15638 fonts-comic-neue                   	       0      170        2       13      155
15639 fonts-compagnon                    	       0       13        0        0       13
15640 fonts-courier-prime                	       0       47        1        1       45
15641 fonts-creep2                       	       0       10        0        0       10
15642 fonts-crosextra-caladea            	       0      930       20       77      833
15643 fonts-crosextra-carlito            	       0      949       41      138      770
15644 fonts-culmus-fancy                 	       0        6        0        1        5
15645 fonts-cwtex-docs                   	       0        8        0        0        8
15646 fonts-cwtex-fs                     	       0        9        0        1        8
15647 fonts-cwtex-heib                   	       0        9        0        1        8
15648 fonts-cwtex-kai                    	       0        9        0        1        8
15649 fonts-cwtex-ming                   	       0        9        0        1        8
15650 fonts-cwtex-yen                    	       0        9        0        1        8
15651 fonts-dancingscript                	       0       19        0        1       18
15652 fonts-dclfonts                     	       0        8        0        0        8
15653 fonts-ddc-uchen                    	       0       11        0        1       10
15654 fonts-dejavu                       	       0     2108        0        0     2108
15655 fonts-dejavu-web                   	       0       16        0        0       16
15656 fonts-dejima-mincho                	       0       21        1        2       18
15657 fonts-denemo                       	       0       22        0        0       22
15658 fonts-deva                         	       0       59        0        0       59
15659 fonts-deva-extra                   	       0       60        0        4       56
15660 fonts-dkg-handwriting              	       0       20        0        2       18
15661 fonts-dosis                        	       0       29        0        0       29
15662 fonts-dotgothic16                  	       0       10        0        0       10
15663 fonts-droid                        	       0       31        1        1       29
15664 fonts-dseg                         	       0       15        0        1       14
15665 fonts-dustin                       	       0      104        2        4       98
15666 fonts-dzongkha                     	       0       15        0        1       14
15667 fonts-ebgaramond                   	       0       86        0        6       80
15668 fonts-ebgaramond-extra             	       0      200        2        4      194
15669 fonts-ecolier-court                	       0       30        0        2       28
15670 fonts-ecolier-lignes-court         	       0       26        0        1       25
15671 fonts-eeyek                        	       0       10        0        1        9
15672 fonts-elstob                       	       0       14        0        1       13
15673 fonts-elusive-icons                	       0       51        0        0       51
15674 fonts-engadget                     	       0       15        0        2       13
15675 fonts-entypo                       	       0       10        0        0       10
15676 fonts-essays1743                   	       0       19        0        1       18
15677 fonts-eurofurence                  	       0       16        0        0       16
15678 fonts-evertype-conakry             	       0       13        0        1       12
15679 fonts-f500                         	       0       25        0        0       25
15680 fonts-fantasma                     	       0       13        0        1       12
15681 fonts-fanwood                      	       0       21        0        1       20
15682 fonts-farsiweb                     	       0       15        0        2       13
15683 fonts-femkeklaver                  	       0       43        0        1       42
15684 fonts-ferrite-core                 	       0       14        0        1       13
15685 fonts-firacode                     	       0       77        2        7       68
15686 fonts-fork-awesome                 	       0       49        0        3       46
15687 fonts-freefarsi                    	       0       14        0        2       12
15688 fonts-freefont-otf                 	       0      304       30       93      181
15689 fonts-gamaliel                     	       0       15        0        0       15
15690 fonts-gargi                        	       0       69        0        2       67
15691 fonts-gemunu-libre                 	       0       10        0        0       10
15692 fonts-georgewilliams               	       0       29        1        3       25
15693 fonts-gfs-artemisia                	       0      199        1        3      195
15694 fonts-gfs-baskerville              	       0      288        0        4      284
15695 fonts-gfs-bodoni-classic           	       0      108        0        1      107
15696 fonts-gfs-complutum                	       0      191        0        0      191
15697 fonts-gfs-didot                    	       0      199        0        3      196
15698 fonts-gfs-didot-classic            	       0      110        0        0      110
15699 fonts-gfs-gazis                    	       0      108        0        1      107
15700 fonts-gfs-neohellenic              	       0      199        0        3      196
15701 fonts-gfs-olga                     	       0      198        0        1      197
15702 fonts-gfs-porson                   	       0      287        0        3      284
15703 fonts-gfs-solomos                  	       0      199        0        2      197
15704 fonts-gfs-theokritos               	       0      108        0        2      106
15705 fonts-glasstty                     	       0       15        0        1       14
15706 fonts-glewlwyd                     	       0        3        0        0        3
15707 fonts-glyphicons-halflings         	       0      256        0        3      253
15708 fonts-gnutypewriter                	       0       20        0        0       20
15709 fonts-go                           	       0      175        0       11      164
15710 fonts-gotico-antiqua               	       0       12        0        1       11
15711 fonts-goudybookletter              	       0       22        0        1       21
15712 fonts-gr-framework                 	       0        1        0        0        1
15713 fonts-gubbi                        	       0       58        0        1       57
15714 fonts-gujr                         	       0       59        0        0       59
15715 fonts-gujr-extra                   	       0       59        0        7       52
15716 fonts-guru                         	       0       57        0        0       57
15717 fonts-guru-extra                   	       0       57        0        1       56
15718 fonts-hack-otf                     	       0       23        0        0       23
15719 fonts-hack-ttf                     	       0       41        0        0       41
15720 fonts-hack-web                     	       0       21        0        0       21
15721 fonts-hanazono                     	       0       17        0        3       14
15722 fonts-havana                       	       0       15        0        1       14
15723 fonts-hermit                       	       0       16        0        1       15
15724 fonts-horai-umefont                	       0       16        0        1       15
15725 fonts-hosny-amiri                  	       0      120        7       19       94
15726 fonts-hosny-thabit                 	       0       12        0        0       12
15727 fonts-humor-sans                   	       0       27        0        0       27
15728 fonts-ibm-plex                     	       0       25        1        4       20
15729 fonts-inconsolata                  	       0      196        7       21      168
15730 fonts-indic                        	       0       31        0        0       31
15731 fonts-inter                        	       0      142        5       17      120
15732 fonts-inter-variable               	       0       12        0        1       11
15733 fonts-iosevka                      	       0        2        0        2        0
15734 fonts-ipaexfont                    	       0       21        0        0       21
15735 fonts-ipaexfont-gothic             	       0       86        1        4       81
15736 fonts-ipaexfont-mincho             	       0       86        1        3       82
15737 fonts-ipafont                      	       0       63        0        0       63
15738 fonts-ipafont-gothic               	       0      158        7       31      120
15739 fonts-ipafont-mincho               	       0      160        2       17      141
15740 fonts-ipafont-nonfree-jisx0208     	       0       12        0        1       11
15741 fonts-ipafont-nonfree-uigothic     	       0       11        0        0       11
15742 fonts-ipamj-mincho                 	       0       15        1        0       14
15743 fonts-isabella                     	       0       37        0        1       36
15744 fonts-jetbrains-mono-web           	       0        4        0        0        4
15745 fonts-johnsmith-induni             	       0       15        0        1       14
15746 fonts-joscelyn                     	       0       11        0        0       11
15747 fonts-jsmath                       	       0       28        0        2       26
15748 fonts-junction                     	       0       19        0        1       18
15749 fonts-junicode                     	       0      182        2        6      174
15750 fonts-jura                         	       0       26        0        1       25
15751 fonts-kacst                        	       0       24        0        3       21
15752 fonts-kacst-one                    	       0       28        0        2       26
15753 fonts-kalapi                       	       0       60        0        1       59
15754 fonts-kanjistrokeorders            	       0       55        1        3       51
15755 fonts-karla                        	       0       18        0        1       17
15756 fonts-karmilla                     	       0       12        0        1       11
15757 fonts-katex                        	       0       47        1        3       43
15758 fonts-kaushanscript                	       0       15        0        1       14
15759 fonts-khmeros                      	       0       17        0        4       13
15760 fonts-kiloji                       	       0       13        0        1       12
15761 fonts-klaudia-berenika             	       0       18        0        1       17
15762 fonts-klee                         	       0       11        0        0       11
15763 fonts-knda                         	       0       57        0        0       57
15764 fonts-knda-extra                   	       0        6        0        1        5
15765 fonts-kode-mono                    	       0        3        0        1        2
15766 fonts-komatuna                     	       0       12        0        1       11
15767 fonts-konatu                       	       0       14        0        2       12
15768 fonts-kouzan-mouhitsu              	       0       12        0        1       11
15769 fonts-kristi                       	       0       19        0        2       17
15770 fonts-lao                          	       0       13        0        0       13
15771 fonts-larabie-deco                 	       0       21        0        2       19
15772 fonts-larabie-straight             	       0       20        0        3       17
15773 fonts-larabie-uncommon             	       0       19        0        3       16
15774 fonts-ldco                         	       0        8        0        1        7
15775 fonts-le-murmure                   	       0       12        0        0       12
15776 fonts-league-mono                  	       0       17        0        0       17
15777 fonts-league-spartan               	       0       50        0        2       48
15778 fonts-leckerli-one                 	       0       16        0        0       16
15779 fonts-lemonada                     	       0       10        0        0       10
15780 fonts-levien-museum                	       0       18        0        2       16
15781 fonts-levien-typoscript            	       0       14        0        1       13
15782 fonts-lexi-gulim                   	       0       10        0        1        9
15783 fonts-lexi-saebom                  	       0       10        0        0       10
15784 fonts-lg-aboriginal                	       0       14        0        2       12
15785 fonts-liberation-sans-narrow       	       0      205        1        8      196
15786 fonts-libfinal                     	       0        6        0        0        6
15787 fonts-lindenhill                   	       0       17        0        0       17
15788 fonts-linex                        	       0       35        2        5       28
15789 fonts-linuxlibertine               	       0      791       12       56      723
15790 fonts-lklug-sinhala                	       0       14        0        2       12
15791 fonts-lmodern                      	       0      671       21       62      588
15792 fonts-lobster                      	       0      195        0        3      192
15793 fonts-lobstertwo                   	       0      193        0        3      190
15794 fonts-lohit-beng-assamese          	       0       68        0        0       68
15795 fonts-lohit-beng-bengali           	       0       71        1        6       64
15796 fonts-lohit-deva                   	       0       60        2        4       54
15797 fonts-lohit-deva-marathi           	       0        8        0        2        6
15798 fonts-lohit-deva-nepali            	       0        8        0        1        7
15799 fonts-lohit-gujr                   	       0       59        0        4       55
15800 fonts-lohit-guru                   	       0       57        0        0       57
15801 fonts-lohit-knda                   	       0       59        0        5       54
15802 fonts-lohit-mlym                   	       0       34        0        2       32
15803 fonts-lohit-orya                   	       0       56        0        1       55
15804 fonts-lohit-taml                   	       0       61        1        6       54
15805 fonts-lohit-taml-classical         	       0       61        0        1       60
15806 fonts-lohit-telu                   	       0       60        1        5       54
15807 fonts-londrinaoutline              	       0        1        0        0        1
15808 fonts-lxgw-wenkai                  	       0        6        0        1        5
15809 fonts-lxgw-wenkai-doc              	       0        5        0        0        5
15810 fonts-maitreya                     	       0        3        0        1        2
15811 fonts-manchufont                   	       0       12        0        0       12
15812 fonts-manrope                      	       0       12        0        1       11
15813 fonts-material-design-icons-iconfont	       0       20        0        1       19
15814 fonts-materialdesignicons-webfont  	       0       20        0        1       19
15815 fonts-mathematica                  	       0        6        0        0        6
15816 fonts-mathjax-extras               	       0       44        0        0       44
15817 fonts-meera-inimai                 	       0        9        0        0        9
15818 fonts-meera-taml                   	       0        7        0        0        7
15819 fonts-mgopen                       	       0        3        0        2        1
15820 fonts-migmix                       	       0       13        0        1       12
15821 fonts-mikachan                     	       0        8        0        0        8
15822 fonts-millimetre                   	       0       12        0        1       11
15823 fonts-misaki                       	       0       14        0        1       13
15824 fonts-mlym                         	       0       33        0        0       33
15825 fonts-mmcedar                      	       0       13        0        1       12
15826 fonts-moe-standard-kai             	       0        9        0        0        9
15827 fonts-moe-standard-song            	       0        9        0        0        9
15828 fonts-mona                         	       0       16        0        3       13
15829 fonts-monapo                       	       0       14        0        2       12
15830 fonts-monlam                       	       0        8        0        1        7
15831 fonts-monofur                      	       0       26        0        1       25
15832 fonts-monoid                       	       0       23        0        1       22
15833 fonts-monoid-halfloose             	       0       16        0        1       15
15834 fonts-monoid-halftight             	       0       17        0        1       16
15835 fonts-monoid-loose                 	       0       16        0        1       15
15836 fonts-monoid-tight                 	       0       15        0        0       15
15837 fonts-montserrat                   	       0        5        0        1        4
15838 fonts-morisawa-bizud-gothic        	       0        7        0        0        7
15839 fonts-morisawa-bizud-mincho        	       0        7        0        1        6
15840 fonts-motoya-l-cedar               	       0       16        0        1       15
15841 fonts-motoya-l-maruberi            	       0       11        0        0       11
15842 fonts-mph-2b-damase                	       0       15        0        0       15
15843 fonts-mplus                        	       0       29        0        3       26
15844 fonts-myanmar                      	       0        6        0        1        5
15845 fonts-nafees                       	       0       13        0        1       12
15846 fonts-nakula                       	       0       73        0        1       72
15847 fonts-nanum                        	       0       81        3       17       61
15848 fonts-nanum-coding                 	       0       12        0        0       12
15849 fonts-nanum-eco                    	       0       11        0        0       11
15850 fonts-nanum-extra                  	       0       12        0        1       11
15851 fonts-national-park                	       0       10        0        0       10
15852 fonts-naver-d2coding               	       0        9        0        1        8
15853 fonts-navilu                       	       0       58        0        2       56
15854 fonts-nerd-font-bitstreamverasansmono	       0        1        0        0        1
15855 fonts-nerd-font-cousine            	       0        1        0        1        0
15856 fonts-nerd-font-hack               	       0        1        0        0        1
15857 fonts-nerd-font-meslo              	       0        1        0        0        1
15858 fonts-nerd-font-mononoki           	       0        1        0        0        1
15859 fonts-nerd-font-robotomono         	       0        1        0        0        1
15860 fonts-nerd-font-sourcecodepro      	       0        1        0        0        1
15861 fonts-noto                         	       0      880        0        0      880
15862 fonts-noto-cjk-extra               	       0      854       10       54      790
15863 fonts-noto-hinted                  	       0      624        0        0      624
15864 fonts-noto-unhinted                	       0      870        0        0      870
15865 fonts-ocr-a                        	       0       34        0        1       33
15866 fonts-ocr-b                        	       0       29        0        1       28
15867 fonts-octicons                     	       0        5        0        0        5
15868 fonts-oflb-asana-math              	       0      196        3       14      179
15869 fonts-oflb-euterpe                 	       0       21        0        0       21
15870 fonts-okolaks                      	       0       14        0        0       14
15871 fonts-oldstandard                  	       0       27        0        2       25
15872 fonts-open-sans                    	       0      434       46      200      188
15873 fonts-opendin                      	       0       27        0        0       27
15874 fonts-opendyslexic                 	       0       23        0        1       22
15875 fonts-opensymbol                   	       0     2837       24       92     2721
15876 fonts-oradano-mincho-gsrr          	       0       12        0        1       11
15877 fonts-orya                         	       0       56        0        0       56
15878 fonts-orya-extra                   	       0       57        0        3       54
15879 fonts-osifont                      	       0       25        0        0       25
15880 fonts-ottilie                      	       0        3        0        1        2
15881 fonts-oxygen                       	       0       50        2        3       45
15882 fonts-pagul                        	       0       32        0        0       32
15883 fonts-paktype                      	       0       13        0        1       12
15884 fonts-paratype                     	       0      141        0       10      131
15885 fonts-pc                           	       0       47        0        2       45
15886 fonts-pc-extra                     	       0       46        0        3       43
15887 fonts-pecita                       	       0       13        0        1       12
15888 fonts-play                         	       0       19        0        0       19
15889 fonts-povray                       	       0       36        0        3       33
15890 fonts-powerline                    	       0       50        2       11       37
15891 fonts-pretendard                   	       0        3        0        1        2
15892 fonts-prociono                     	       0       22        0        0       22
15893 fonts-proggy                       	       0       14        0        0       14
15894 fonts-quattrocento                 	       0       29        0        0       29
15895 fonts-quicksand                    	       0       31        1        1       29
15896 fonts-radisnoir                    	       0       25        0        0       25
15897 fonts-rampart                      	       0       10        0        0       10
15898 fonts-recommended                  	       0       30        0        0       30
15899 fonts-reggae                       	       0       10        0        0       10
15900 fonts-ricty-diminished             	       0       16        0        1       15
15901 fonts-rit-sundar                   	       0        8        0        1        7
15902 fonts-roadgeek                     	       0       12        0        1       11
15903 fonts-roboto                       	       0      131        0        0      131
15904 fonts-roboto-fontface              	       0       28        0        5       23
15905 fonts-roboto-hinted                	       0      127        0        0      127
15906 fonts-roboto-slab                  	       0      233        0        6      227
15907 fonts-rocknroll                    	       0       10        0        0       10
15908 fonts-routed-gothic                	       0       10        0        1        9
15909 fonts-rufscript                    	       0       21        0        0       21
15910 fonts-sahadeva                     	       0       62        0        1       61
15911 fonts-sahel                        	       0        3        0        0        3
15912 fonts-sahel-variable               	       0        3        0        1        2
15913 fonts-sambhota-tsugring            	       0       11        0        0       11
15914 fonts-sambhota-yigchung            	       0       11        0        0       11
15915 fonts-samyak                       	       0       11        0        0       11
15916 fonts-samyak-deva                  	       0       60        0        3       57
15917 fonts-samyak-gujr                  	       0       60        0        1       59
15918 fonts-samyak-mlym                  	       0       33        0        0       33
15919 fonts-samyak-orya                  	       0       11        0        1       10
15920 fonts-samyak-taml                  	       0       62        0        2       60
15921 fonts-sarai                        	       0       61        0        1       60
15922 fonts-sawarabi-gothic              	       0       14        0        1       13
15923 fonts-sawarabi-mincho              	       0       15        0        1       14
15924 fonts-schraubenkiste               	       0        1        0        0        1
15925 fonts-senamirmir-washra            	       0       12        0        1       11
15926 fonts-seto                         	       0       13        0        4        9
15927 fonts-sil-abyssinica               	       0       14        0        2       12
15928 fonts-sil-akatab                   	       0        7        0        1        6
15929 fonts-sil-alkalami                 	       0       10        0        1        9
15930 fonts-sil-andika                   	       0      199        3       10      186
15931 fonts-sil-andika-compact           	       0       12        0        0       12
15932 fonts-sil-andikanewbasic           	       0       13        0        1       12
15933 fonts-sil-annapurna                	       0       48        0        4       44
15934 fonts-sil-awami-nastaliq           	       0       11        0        0       11
15935 fonts-sil-charis                   	       0      168        0       10      158
15936 fonts-sil-charis-compact           	       0       14        0        1       13
15937 fonts-sil-dai-banna                	       0       12        0        1       11
15938 fonts-sil-doulos                   	       0       49        0        2       47
15939 fonts-sil-doulos-compact           	       0       21        0        0       21
15940 fonts-sil-ezra                     	       0       16        0        0       16
15941 fonts-sil-galatia                  	       0       16        0        0       16
15942 fonts-sil-gentium                  	       0      812        6       26      780
15943 fonts-sil-gentium-basic            	       0      815        6       29      780
15944 fonts-sil-gentiumplus              	       0      189        0        5      184
15945 fonts-sil-gentiumplus-compact      	       0      183        0        3      180
15946 fonts-sil-harmattan                	       0       11        0        1       10
15947 fonts-sil-lateef                   	       0       12        0        1       11
15948 fonts-sil-mingzat                  	       0       10        0        0       10
15949 fonts-sil-mondulkiri               	       0       11        0        1       10
15950 fonts-sil-mondulkiri-extra         	       0       11        0        1       10
15951 fonts-sil-nuosusil                 	       0       13        0        1       12
15952 fonts-sil-padauk                   	       0      113        0        3      110
15953 fonts-sil-scheherazade             	       0       18        0        2       16
15954 fonts-sil-shimenkan                	       0        9        0        1        8
15955 fonts-sil-shimenkan-gsm            	       0        8        0        1        7
15956 fonts-sil-shimenkan-guifan         	       0        8        0        1        7
15957 fonts-sil-shimenkan-mas            	       0        8        0        1        7
15958 fonts-sil-shimenkan-mgs            	       0        8        0        1        7
15959 fonts-sil-shimenkan-salaowu        	       0        8        0        1        7
15960 fonts-sil-shimenkan-sapushan       	       0        8        0        1        7
15961 fonts-sil-shimenkan-taogu          	       0        8        0        1        7
15962 fonts-sil-shimenkan-zonghe         	       0        8        0        1        7
15963 fonts-sil-sophia-nubian            	       0       13        0        0       13
15964 fonts-sil-tagmukay                 	       0       10        0        0       10
15965 fonts-sil-taiheritagepro           	       0       12        0        2       10
15966 fonts-sil-zaghawa-beria            	       0       12        0        0       12
15967 fonts-sipa-arundina                	       0       11        0        0       11
15968 fonts-sixtyfour                    	       0       41        0        1       40
15969 fonts-sjfonts                      	       0       90        1        4       85
15970 fonts-smc                          	       0       33        0        0       33
15971 fonts-smc-anjalioldlipi            	       0       31        0        1       30
15972 fonts-smc-chilanka                 	       0       31        0        2       29
15973 fonts-smc-dyuthi                   	       0       31        0        1       30
15974 fonts-smc-gayathri                 	       0       30        0        0       30
15975 fonts-smc-karumbi                  	       0       31        0        0       31
15976 fonts-smc-keraleeyam               	       0       31        0        2       29
15977 fonts-smc-manjari                  	       0       31        0        0       31
15978 fonts-smc-meera                    	       0       31        1        9       21
15979 fonts-smc-rachana                  	       0       31        0        2       29
15980 fonts-smc-raghumalayalamsans       	       0       31        0        0       31
15981 fonts-smc-suruma                   	       0       31        0        1       30
15982 fonts-smc-uroob                    	       0       31        0        0       31
15983 fonts-smiley-sans                  	       0        6        0        0        6
15984 fonts-sn-pro                       	       0        3        0        0        3
15985 fonts-solide-mirage                	       0       10        0        1        9
15986 fonts-sora                         	       0       10        0        0       10
15987 fonts-spleen                       	       0       24        0        2       22
15988 fonts-staypuft                     	       0       27        0        0       27
15989 fonts-stick                        	       0       12        0        0       12
15990 fonts-stix                         	       0      272        3       19      250
15991 fonts-summersby                    	       0       22        0        0       22
15992 fonts-tagbanwa                     	       0       10        0        1        9
15993 fonts-takao                        	       0       16        0        0       16
15994 fonts-takao-gothic                 	       0       65        1       10       54
15995 fonts-takao-mincho                 	       0       23        0        1       22
15996 fonts-taml                         	       0       61        0        0       61
15997 fonts-taml-tamu                    	       0        9        0        0        9
15998 fonts-taml-tscu                    	       0       11        0        0       11
15999 fonts-telu                         	       0       60        0        0       60
16000 fonts-telu-extra                   	       0       60        0        3       57
16001 fonts-teluguvijayam                	       0       56        0        5       51
16002 fonts-terminus                     	       0       84        2        7       75
16003 fonts-terminus-otb                 	       0      182        6       26      150
16004 fonts-texgyre                      	       0      547       29      114      404
16005 fonts-texgyre-math                 	       0      425        4       17      404
16006 fonts-texmacs                      	       0        1        0        0        1
16007 fonts-thai-tlwg                    	       0       23        0        0       23
16008 fonts-thai-tlwg-otf                	       0       11        0        0       11
16009 fonts-thai-tlwg-ttf                	       0        9        0        0        9
16010 fonts-thai-tlwg-web                	       0       11        0        0       11
16011 fonts-tibetan-machine              	       0       15        0        2       13
16012 fonts-tiresias                     	       0       22        0        1       21
16013 fonts-tlwg-garuda                  	       0      116        0        0      116
16014 fonts-tlwg-garuda-otf              	       0      110        0        3      107
16015 fonts-tlwg-garuda-ttf              	       0       24        0        1       23
16016 fonts-tlwg-kinnari                 	       0      113        0        0      113
16017 fonts-tlwg-kinnari-otf             	       0      108        0        6      102
16018 fonts-tlwg-kinnari-ttf             	       0       22        0        1       21
16019 fonts-tlwg-laksaman                	       0      113        0        0      113
16020 fonts-tlwg-laksaman-otf            	       0      108        0        3      105
16021 fonts-tlwg-laksaman-ttf            	       0       22        0        1       21
16022 fonts-tlwg-loma                    	       0      113        0        0      113
16023 fonts-tlwg-loma-otf                	       0      108        2       10       96
16024 fonts-tlwg-loma-ttf                	       0       22        0        1       21
16025 fonts-tlwg-mono                    	       0      114        0        0      114
16026 fonts-tlwg-mono-otf                	       0      108        0        0      108
16027 fonts-tlwg-mono-ttf                	       0       23        0        0       23
16028 fonts-tlwg-norasi                  	       0      113        0        0      113
16029 fonts-tlwg-norasi-otf              	       0      108        0        2      106
16030 fonts-tlwg-norasi-ttf              	       0       22        0        2       20
16031 fonts-tlwg-purisa                  	       0      114        0        0      114
16032 fonts-tlwg-purisa-otf              	       0      108        0        0      108
16033 fonts-tlwg-purisa-ttf              	       0       26        0        2       24
16034 fonts-tlwg-sawasdee                	       0      112        0        0      112
16035 fonts-tlwg-sawasdee-otf            	       0      107        0        0      107
16036 fonts-tlwg-sawasdee-ttf            	       0       21        0        1       20
16037 fonts-tlwg-typewriter              	       0      112        0        0      112
16038 fonts-tlwg-typewriter-otf          	       0      107        0        1      106
16039 fonts-tlwg-typewriter-ttf          	       0       21        0        1       20
16040 fonts-tlwg-typist                  	       0      112        0        0      112
16041 fonts-tlwg-typist-otf              	       0      107        0        0      107
16042 fonts-tlwg-typist-ttf              	       0       21        0        0       21
16043 fonts-tlwg-typo                    	       0      112        0        0      112
16044 fonts-tlwg-typo-otf                	       0      107        1        2      104
16045 fonts-tlwg-typo-ttf                	       0       21        0        1       20
16046 fonts-tlwg-umpush                  	       0      112        0        0      112
16047 fonts-tlwg-umpush-otf              	       0      107        0        5      102
16048 fonts-tlwg-umpush-ttf              	       0       21        0        0       21
16049 fonts-tlwg-waree                   	       0      121        0        0      121
16050 fonts-tlwg-waree-otf               	       0      107        1        2      104
16051 fonts-tlwg-waree-ttf               	       0       30        1        3       26
16052 fonts-tomsontalks                  	       0       24        0        0       24
16053 fonts-topaz-unicode                	       0        3        0        1        2
16054 fonts-train                        	       0       12        0        0       12
16055 fonts-triod-postnaja               	       0       11        0        1       10
16056 fonts-tt2020                       	       0        3        0        1        2
16057 fonts-ttf-decoratives              	       0        1        0        1        0
16058 fonts-ttf-west-european            	       0        1        0        1        0
16059 fonts-tuffy                        	       0       82        1        3       78
16060 fonts-twemoji-svginot              	       0        1        0        1        0
16061 fonts-type1-cyrillic               	       0        1        0        0        1
16062 fonts-ubuntu-console               	       0       23        0        0       23
16063 fonts-ubuntu-font-family-console   	       0        1        0        0        1
16064 fonts-ubuntu-title                 	       0       22        0        0       22
16065 fonts-ukij-uyghur                  	       0       12        0        1       11
16066 fonts-umeplus                      	       0       14        0        3       11
16067 fonts-umeplus-cl                   	       0       11        0        1       10
16068 fonts-unfonts-core                 	       0      124        7       17      100
16069 fonts-unfonts-extra                	       0      110        0        2      108
16070 fonts-unifont                      	       0      158       11       36      111
16071 fonts-unifrakturcook               	       0        1        0        0        1
16072 fonts-unifrakturmaguntia           	       0        1        0        0        1
16073 fonts-unikurdweb                   	       0       14        0        1       13
16074 fonts-uniol                        	       0        7        0        0        7
16075 fonts-uralic                       	       0       48        0        9       39
16076 fonts-vazirmatn                    	       0        4        0        1        3
16077 fonts-vazirmatn-variable           	       0        3        0        0        3
16078 fonts-vollkorn                     	       0       22        0        2       20
16079 fonts-weather-icons                	       0        2        0        0        2
16080 fonts-wine                         	       0      523        3        6      514
16081 fonts-woowa-bm                     	       0        8        0        0        8
16082 fonts-woowa-hanna                  	       0        3        0        1        2
16083 fonts-wqy-microhei                 	       0       91        1       22       68
16084 fonts-xfree86-nonfree              	       0       30        0        5       25
16085 fonts-xfree86-nonfree-syriac       	       0        9        1        2        6
16086 fonts-yanone-kaffeesatz            	       0       21        0        2       19
16087 fonts-yozvox-yozfont               	       0       13        0        0       13
16088 fonts-yozvox-yozfont-antique       	       0       13        0        1       12
16089 fonts-yozvox-yozfont-cute          	       0       13        0        1       12
16090 fonts-yozvox-yozfont-edu           	       0       13        0        1       12
16091 fonts-yozvox-yozfont-new-kana      	       0       13        0        1       12
16092 fonts-yozvox-yozfont-standard-kana 	       0       13        0        1       12
16093 fonts-yrsa-rasa                    	       0       57        0        3       54
16094 fonts-yusei-magic                  	       0       10        0        0       10
16095 fontsnaps                          	       0      134        0      134        0
16096 fonttools-eexecop                  	       0        1        0        1        0
16097 fonty                              	       0        1        0        0        1
16098 fonty-rg                           	       0       14        0       14        0
16099 fontypython                        	       0        3        0        3        0
16100 foo2zjs                            	       0        3        0        0        3
16101 foobillard                         	       0        1        0        1        0
16102 foobillardplus                     	       0       10        0       10        0
16103 foobillardplus-data                	       0       10        0        0       10
16104 fookb                              	       0        2        0        2        0
16105 fookb-wmaker                       	       0        1        0        0        1
16106 foomatic-db                        	       0      112        0        0      112
16107 foomatic-db-gutenprint             	       0       17        0        0       17
16108 foomatic-filters                   	       0        5        0        5        0
16109 foomatic-filters-ppds              	       0        1        0        0        1
16110 foomatic-gui                       	       0        1        0        1        0
16111 foot-terminfo                      	       0       46        0        0       46
16112 foot-themes                        	       0        6        0        0        6
16113 fop                                	       0       58        2       56        0
16114 fop-doc                            	       0        9        0        0        9
16115 fopnu                              	       0        1        0        1        0
16116 forensic-artifacts                 	       0       23        0        0       23
16117 forensics-all                      	       0       15        0        0       15
16118 forensics-all-gui                  	       0        4        0        0        4
16119 forensics-colorize                 	       0       23        0       23        0
16120 forensics-extra                    	       0       14        0        0       14
16121 forensics-extra-gui                	       0        6        0        0        6
16122 forensics-full                     	       0        4        0        0        4
16123 forensics-samples-all              	       0        5        0        0        5
16124 forensics-samples-btrfs            	       0        5        0        0        5
16125 forensics-samples-exfat            	       0        5        0        0        5
16126 forensics-samples-ext2             	       0        5        0        0        5
16127 forensics-samples-ext4             	       0        5        0        0        5
16128 forensics-samples-files            	       0        6        0        0        6
16129 forensics-samples-multiple         	       0        5        0        0        5
16130 forensics-samples-ntfs             	       0        7        0        0        7
16131 forensics-samples-tools            	       0        8        0        8        0
16132 forensics-samples-vfat             	       0        5        0        0        5
16133 forg                               	       0        2        0        2        0
16134 forge-doc                          	       0        1        0        0        1
16135 forked-daapd                       	       0        2        1        1        0
16136 forkstat                           	       0        4        0        4        0
16137 formiko                            	       0       10        0       10        0
16138 fort77                             	       0        8        0        8        0
16139 forticlient                        	       0        6        1        5        0
16140 forticlient-sslvpn                 	       0        1        0        0        1
16141 fortran-language-server            	       0        2        0        2        0
16142 fortunate.app                      	       0        2        0        2        0
16143 fortune-anarchism                  	       0       17        0        0       17
16144 fortune-zh                         	       0        1        0        1        0
16145 fortunes                           	       0       91        0        0       91
16146 fortunes-americanpie               	       0        1        0        0        1
16147 fortunes-beastwars                 	       0        1        0        0        1
16148 fortunes-bofh-excuses              	       0       54        0        0       54
16149 fortunes-br                        	       0        2        0        0        2
16150 fortunes-calvin                    	       0        1        0        0        1
16151 fortunes-ccs                       	       0        1        0        0        1
16152 fortunes-cs                        	       0        1        0        0        1
16153 fortunes-de                        	       0        7        0        7        0
16154 fortunes-debian-hints              	       0       65        0        0       65
16155 fortunes-devuan-quotes             	       0        1        0        0        1
16156 fortunes-discworld                 	       0        1        0        0        1
16157 fortunes-dragonball                	       0        1        0        0        1
16158 fortunes-ens                       	       0        1        0        0        1
16159 fortunes-eo                        	       0        1        0        0        1
16160 fortunes-eo-ascii                  	       0        1        0        0        1
16161 fortunes-eo-iso3                   	       0        1        0        0        1
16162 fortunes-es                        	       0        5        0        0        5
16163 fortunes-es-off                    	       0        3        0        0        3
16164 fortunes-fgump                     	       0        1        0        0        1
16165 fortunes-fr                        	       0        4        0        0        4
16166 fortunes-futurama                  	       0        1        0        0        1
16167 fortunes-ga                        	       0        1        0        0        1
16168 fortunes-hayward                   	       0        1        0        0        1
16169 fortunes-hitchhiker                	       0        1        0        0        1
16170 fortunes-it                        	       0      123        0        0      123
16171 fortunes-it-brianza                	       0        1        0        0        1
16172 fortunes-it-off                    	       0        4        0        0        4
16173 fortunes-kernelcookies             	       0        1        0        0        1
16174 fortunes-liberty                   	       0        1        0        0        1
16175 fortunes-mario                     	       0        5        0        0        5
16176 fortunes-matrix                    	       0        1        0        0        1
16177 fortunes-min                       	       0     1148        0        0     1148
16178 fortunes-off                       	       0       27        0        0       27
16179 fortunes-opensources               	       0        1        0        0        1
16180 fortunes-outlawstar                	       0        1        0        0        1
16181 fortunes-pl                        	       0        2        0        0        2
16182 fortunes-pooh                      	       0        1        0        0        1
16183 fortunes-programming-style         	       0        1        0        0        1
16184 fortunes-ru                        	       0        7        0        0        7
16185 fortunes-simpsons                  	       0        1        0        0        1
16186 fortunes-simpsons-chalkboard       	       0        1        0        0        1
16187 fortunes-simpsons-comicbookguy     	       0        1        0        0        1
16188 fortunes-simpsons-ralph            	       0        1        0        0        1
16189 fortunes-southpark                 	       0        1        0        0        1
16190 fortunes-starwars                  	       0        1        0        0        1
16191 fortunes-stephenwright             	       0        1        0        0        1
16192 fortunes-tao                       	       0        1        0        0        1
16193 fortunes-tenchi                    	       0        1        0        0        1
16194 fortunes-xfiles                    	       0        1        0        0        1
16195 fortunes-xian-koans                	       0        1        0        0        1
16196 fortunes-zh                        	       0        1        0        1        0
16197 fosfat                             	       0        2        0        2        0
16198 fotoxx                             	       0       22        0       22        0
16199 fotoxx-common                      	       0       24        0        0       24
16200 foxtrotgps                         	       0       14        0       14        0
16201 fp-compiler                        	       0       40        0        0       40
16202 fp-compiler-2.6.4                  	       0        4        0        4        0
16203 fp-compiler-3.0.0                  	       0        6        0        6        0
16204 fp-compiler-3.0.4                  	       0        8        1        7        0
16205 fp-docs                            	       0        9        0        0        9
16206 fp-docs-2.6.0                      	       0        1        0        0        1
16207 fp-docs-3.0.0                      	       0        5        0        0        5
16208 fp-docs-3.0.4                      	       0        4        0        0        4
16209 fp-docs-3.2.0                      	       0       15        0        0       15
16210 fp-docs-3.2.2                      	       0       78        0        0       78
16211 fp-ide                             	       0       29        0        0       29
16212 fp-ide-2.6.4                       	       0        1        0        1        0
16213 fp-ide-3.0.0                       	       0        6        0        6        0
16214 fp-ide-3.0.4                       	       0        4        1        3        0
16215 fp-ide-3.2.0                       	       0       10        0       10        0
16216 fp-units-base                      	       0       11        0        0       11
16217 fp-units-base-2.6.4                	       0        3        0        3        0
16218 fp-units-base-3.0.0                	       0        6        0        6        0
16219 fp-units-base-3.0.4                	       0        3        0        0        3
16220 fp-units-base-3.2.0                	       0       12        0        0       12
16221 fp-units-base-3.2.2                	       0       76        0        0       76
16222 fp-units-db                        	       0        6        0        0        6
16223 fp-units-db-2.6.4                  	       0        1        0        1        0
16224 fp-units-db-3.0.0                  	       0        6        0        6        0
16225 fp-units-db-3.0.4                  	       0        3        0        0        3
16226 fp-units-db-3.2.0                  	       0       10        0        0       10
16227 fp-units-db-3.2.2                  	       0       76        0        0       76
16228 fp-units-fcl                       	       0       12        0        0       12
16229 fp-units-fcl-2.6.4                 	       0        1        0        0        1
16230 fp-units-fcl-3.0.0                 	       0        6        0        6        0
16231 fp-units-fcl-3.0.4                 	       0        3        0        0        3
16232 fp-units-fcl-3.2.0                 	       0       11        0        0       11
16233 fp-units-fcl-3.2.2                 	       0       76        0        0       76
16234 fp-units-fv                        	       0        5        0        0        5
16235 fp-units-fv-2.6.4                  	       0        1        0        1        0
16236 fp-units-fv-3.0.0                  	       0        6        0        6        0
16237 fp-units-fv-3.0.4                  	       0        3        0        0        3
16238 fp-units-fv-3.2.0                  	       0       10        0        0       10
16239 fp-units-fv-3.2.2                  	       0       76        0        0       76
16240 fp-units-gfx                       	       0        6        0        0        6
16241 fp-units-gfx-2.6.4                 	       0        3        0        3        0
16242 fp-units-gfx-3.0.0                 	       0        6        0        6        0
16243 fp-units-gfx-3.0.4                 	       0        3        0        0        3
16244 fp-units-gfx-3.2.0                 	       0       11        0        0       11
16245 fp-units-gfx-3.2.2                 	       0       76        0        0       76
16246 fp-units-gtk2                      	       0        9        0        0        9
16247 fp-units-gtk2-2.6.4                	       0        1        0        1        0
16248 fp-units-gtk2-3.0.0                	       0        5        0        5        0
16249 fp-units-gtk2-3.0.4                	       0        2        0        0        2
16250 fp-units-gtk2-3.2.0                	       0        9        0        0        9
16251 fp-units-gtk2-3.2.2                	       0       73        0        0       73
16252 fp-units-i386                      	       0        3        0        0        3
16253 fp-units-i386-3.2.0                	       0        2        0        0        2
16254 fp-units-i386-3.2.2                	       0        2        0        0        2
16255 fp-units-math                      	       0        5        0        0        5
16256 fp-units-math-2.6.4                	       0        1        0        1        0
16257 fp-units-math-3.0.0                	       0        6        0        6        0
16258 fp-units-math-3.0.4                	       0        3        0        0        3
16259 fp-units-math-3.2.0                	       0       10        0        0       10
16260 fp-units-math-3.2.2                	       0       76        0        0       76
16261 fp-units-misc                      	       0       10        0        0       10
16262 fp-units-misc-2.6.4                	       0        1        0        1        0
16263 fp-units-misc-3.0.0                	       0        6        0        6        0
16264 fp-units-misc-3.0.4                	       0        3        0        0        3
16265 fp-units-misc-3.2.0                	       0       11        0        0       11
16266 fp-units-misc-3.2.2                	       0       76        0        0       76
16267 fp-units-multimedia                	       0        5        0        0        5
16268 fp-units-multimedia-3.0.0          	       0        6        0        6        0
16269 fp-units-multimedia-3.0.4          	       0        3        0        0        3
16270 fp-units-multimedia-3.2.0          	       0       11        0        0       11
16271 fp-units-multimedia-3.2.2          	       0       76        0        0       76
16272 fp-units-net                       	       0        5        0        0        5
16273 fp-units-net-2.6.4                 	       0        1        0        1        0
16274 fp-units-net-3.0.0                 	       0        6        0        6        0
16275 fp-units-net-3.0.4                 	       0        3        0        0        3
16276 fp-units-net-3.2.0                 	       0       10        0        0       10
16277 fp-units-net-3.2.2                 	       0       76        0        0       76
16278 fp-units-rtl                       	       0        7        0        0        7
16279 fp-units-rtl-2.6.4                 	       0        4        0        4        0
16280 fp-units-rtl-3.0.0                 	       0        6        0        6        0
16281 fp-units-rtl-3.0.4                 	       0        8        0        0        8
16282 fp-units-rtl-3.2.0                 	       0       22        0        0       22
16283 fp-units-wasm                      	       0        2        0        0        2
16284 fp-units-wasm-3.2.2                	       0        2        0        0        2
16285 fp-units-win-base                  	       0        1        0        0        1
16286 fp-units-win-base-3.2.2            	       0        1        0        0        1
16287 fp-units-win-db                    	       0        1        0        0        1
16288 fp-units-win-db-3.2.2              	       0        1        0        0        1
16289 fp-units-win-fcl                   	       0        1        0        0        1
16290 fp-units-win-fcl-3.2.2             	       0        1        0        0        1
16291 fp-units-win-fv                    	       0        1        0        0        1
16292 fp-units-win-fv-3.2.2              	       0        1        0        0        1
16293 fp-units-win-gfx                   	       0        1        0        0        1
16294 fp-units-win-gfx-3.2.2             	       0        1        0        0        1
16295 fp-units-win-gtk2                  	       0        1        0        0        1
16296 fp-units-win-gtk2-3.2.2            	       0        1        0        0        1
16297 fp-units-win-math                  	       0        1        0        0        1
16298 fp-units-win-math-3.2.2            	       0        1        0        0        1
16299 fp-units-win-misc                  	       0        1        0        0        1
16300 fp-units-win-misc-3.2.2            	       0        1        0        0        1
16301 fp-units-win-multimedia            	       0        1        0        0        1
16302 fp-units-win-multimedia-3.2.2      	       0        1        0        0        1
16303 fp-units-win-net                   	       0        1        0        0        1
16304 fp-units-win-net-3.2.2             	       0        1        0        0        1
16305 fp-units-win-rtl                   	       0        1        0        0        1
16306 fp-units-win-rtl-3.2.2             	       0        1        0        0        1
16307 fp-units-win-wasm                  	       0        1        0        0        1
16308 fp-units-win-wasm-3.2.2            	       0        1        0        0        1
16309 fp-utils-2.6.4                     	       0        3        0        3        0
16310 fp-utils-3.0.0                     	       0        5        0        5        0
16311 fp-utils-3.0.4                     	       0        8        1        7        0
16312 fpa-admin-utils                    	       0        1        0        1        0
16313 fpa-ansible-essentials             	       0        7        0        0        7
16314 fpa-apt-listchanges                	       0        1        0        0        1
16315 fpa-audio-manipulation             	       0        5        0        5        0
16316 fpa-autostart-x                    	       0        1        0        0        1
16317 fpa-benchmark                      	       0        4        0        4        0
16318 fpa-black-scholes                  	       0        3        0        3        0
16319 fpa-brainworkshop                  	       0        2        0        2        0
16320 fpa-chromedriver                   	       0        1        0        0        1
16321 fpa-chromium-sandboxed             	       0        1        0        0        1
16322 fpa-clipfixfilename                	       0        3        0        3        0
16323 fpa-config-apache-proxy-pass       	       0        2        0        0        2
16324 fpa-config-dotfiles                	       0        9        0        9        0
16325 fpa-config-ethers                  	       0        2        0        0        2
16326 fpa-config-hblock                  	       0        1        0        1        0
16327 fpa-config-lyx                     	       0        2        0        0        2
16328 fpa-config-openvpn                 	       0        5        0        5        0
16329 fpa-config-ssh-server              	       0        3        0        0        3
16330 fpa-config-sudo                    	       0       10        0        0       10
16331 fpa-config-sword                   	       0        4        0        4        0
16332 fpa-config-taskwarrior             	       0        3        0        0        3
16333 fpa-config-vim                     	       0        7        0        7        0
16334 fpa-containers-essentials-lxc      	       0        3        0        0        3
16335 fpa-debian-autoupdates             	       0        8        0        0        8
16336 fpa-debian-updater                 	       0        8        0        8        0
16337 fpa-desktop-common                 	       0        1        0        0        1
16338 fpa-dev-debianbuild                	       0        1        0        1        0
16339 fpa-dev-generic                    	       0        3        0        3        0
16340 fpa-drupal-scripts                 	       0        2        0        2        0
16341 fpa-firefox                        	       0        2        0        2        0
16342 fpa-fixfilenames-perl              	       0        4        0        4        0
16343 fpa-fot                            	       0        2        0        0        2
16344 fpa-fuzzy-tools                    	       0        1        0        1        0
16345 fpa-getlinks-python                	       0        3        0        3        0
16346 fpa-google-chrome-repo             	       0        3        0        0        3
16347 fpa-holyday-calendar               	       0        2        0        2        0
16348 fpa-html-utils                     	       0        2        0        2        0
16349 fpa-investment-calculations        	       0        4        0        4        0
16350 fpa-iptables-config-doc            	       0        1        0        0        1
16351 fpa-ksounds                        	       0        1        0        1        0
16352 fpa-ksounds-files                  	       0        1        0        0        1
16353 fpa-lanemotions                    	       0        2        0        2        0
16354 fpa-latex-scripts                  	       0        2        0        2        0
16355 fpa-lxc-utils                      	       0        2        0        2        0
16356 fpa-meta-admin                     	       0        1        0        0        1
16357 fpa-metal-essentials               	       0        4        0        0        4
16358 fpa-mktree                         	       0        2        0        2        0
16359 fpa-mountiso                       	       0        1        0        1        0
16360 fpa-mp3info                        	       0        4        0        0        4
16361 fpa-mp3info-python                 	       0        1        0        1        0
16362 fpa-mp3tools                       	       0        1        0        0        1
16363 fpa-nextcloud-kdocs-backup         	       0        1        0        1        0
16364 fpa-noip                           	       0        1        0        1        0
16365 fpa-nopulseaudio                   	       0        3        0        3        0
16366 fpa-ookla-speedtest                	       0        2        0        2        0
16367 fpa-pcloud                         	       0        3        1        2        0
16368 fpa-pipewire                       	       0        2        0        2        0
16369 fpa-pkg                            	       0        1        0        1        0
16370 fpa-pyscraper                      	       0        2        0        2        0
16371 fpa-python-modules                 	       0        1        0        1        0
16372 fpa-python-pyvirtualdisplay        	       0        3        0        2        1
16373 fpa-reboot-update                  	       0        5        0        5        0
16374 fpa-repo-brave-browser             	       0        3        0        0        3
16375 fpa-repo-devuan-backports          	       0        1        0        0        1
16376 fpa-repo-devuan-beowulf            	       0        4        0        0        4
16377 fpa-repo-devuan-chimaera           	       0        1        0        0        1
16378 fpa-repo-devuan-daedalus           	       0        2        0        0        2
16379 fpa-repo-elasticsearch             	       0        1        0        0        1
16380 fpa-repo-nextcloud                 	       0        3        0        0        3
16381 fpa-repo-nordvpn                   	       0        2        0        0        2
16382 fpa-repo-owncloud                  	       0        1        0        0        1
16383 fpa-repo-private                   	       0       10        0        0       10
16384 fpa-repo-recoll                    	       0        2        0        0        2
16385 fpa-repo-signal                    	       0        2        0        0        2
16386 fpa-repo-x2go                      	       0        3        0        0        3
16387 fpa-repo-xpra                      	       0        3        0        0        3
16388 fpa-rtunnel                        	       0        2        0        2        0
16389 fpa-scanner-scripts                	       0        1        0        1        0
16390 fpa-scrape-python                  	       0        1        0        1        0
16391 fpa-security-scripts               	       0        3        0        3        0
16392 fpa-services                       	       0        3        0        3        0
16393 fpa-silence-notifications          	       0        2        0        2        0
16394 fpa-sndreset                       	       0        2        0        2        0
16395 fpa-sync-scripts                   	       0        7        0        7        0
16396 fpa-system-monitor-temps           	       0        7        0        7        0
16397 fpa-test                           	       0        1        0        1        0
16398 fpa-thistothat-scripts             	       0        3        0        3        0
16399 fpa-web-scripts                    	       0        6        0        6        0
16400 fpa-webtools-apache                	       0        6        0        6        0
16401 fpa-wgetpaste                      	       0        1        0        1        0
16402 fpa-wine-scripts                   	       0        4        0        4        0
16403 fpa-xclip-scripts                  	       0        2        0        2        0
16404 fpa-xpad                           	       0        3        0        3        0
16405 fpart                              	       0        3        0        3        0
16406 fpc                                	       0       79        0        0       79
16407 fpc-3.0.0                          	       0        5        0        0        5
16408 fpc-3.0.4                          	       0        2        0        0        2
16409 fpc-3.2.0                          	       0        7        0        0        7
16410 fpc-3.2.2                          	       0       72        0        0       72
16411 fpc-laz                            	       0        7        0        7        0
16412 fpc-source                         	       0       16        0        0       16
16413 fpc-source-3.0.0                   	       0        5        0        0        5
16414 fpc-source-3.0.4                   	       0        8        0        0        8
16415 fpc-source-3.2.0                   	       0       22        0        0       22
16416 fpc-source-3.2.2                   	       0       89        0        0       89
16417 fpc-src                            	       0        7        0        7        0
16418 fpdns                              	       0        3        0        3        0
16419 fpga-icestorm                      	       0        6        0        6        0
16420 fpga-icestorm-chipdb               	       0        7        0        0        7
16421 fpga-trellis                       	       0        1        0        1        0
16422 fpga-trellis-database              	       0        1        0        0        1
16423 fpgatools                          	       0        5        0        5        0
16424 fplll-tools                        	       0        1        0        1        0
16425 fpm2                               	       0        2        0        2        0
16426 fprint-demo                        	       0        4        0        4        0
16427 fprintd-doc                        	       0        4        0        0        4
16428 fprobe                             	       0        3        1        2        0
16429 fpzip-utils                        	       0        1        0        1        0
16430 fqterm                             	       0        1        1        0        0
16431 fractalnow                         	       0        4        0        4        0
16432 fractgen                           	       0        4        0        4        0
16433 frameworkintegration6              	       0       25        1        1       23
16434 fraqtive                           	       0       12        0       12        0
16435 fred                               	       0        1        0        1        0
16436 fred-reports                       	       0        1        0        0        1
16437 free42-nologo                      	       0        5        0        5        0
16438 freealchemist                      	       0       15        0       15        0
16439 freebirth                          	       0        3        0        3        0
16440 freebirth-data                     	       0        3        0        0        3
16441 freebsd-buildutils                 	       0        3        1        2        0
16442 freebsd-glue                       	       0        4        2        2        0
16443 freebsd-manpages                   	       0        7        0        0        7
16444 freebsd-mk                         	       0        3        0        0        3
16445 freecad-common                     	       0      118        0        0      118
16446 freecad-python2                    	       0        2        0        2        0
16447 freecad-runtime                    	       0        3        0        0        3
16448 freecdb                            	       0        2        0        2        0
16449 freecell-solver-bin                	       0        2        0        2        0
16450 freeciv                            	       0       48        0       48        0
16451 freeciv-client-extras              	       0       19        0       19        0
16452 freeciv-client-gtk                 	       0       12        0        4        8
16453 freeciv-client-gtk3                	       0       51        2       49        0
16454 freeciv-client-gtk4                	       0        1        0        1        0
16455 freeciv-client-qt                  	       0        5        0        5        0
16456 freeciv-client-sdl                 	       0        5        0        5        0
16457 freeciv-data                       	       0       56        1        0       55
16458 freeciv-ruleset-tools              	       0        4        0        4        0
16459 freeciv-server                     	       0       56        2       54        0
16460 freeciv-sound-standard             	       0        4        0        0        4
16461 freeciv21                          	       0        1        0        1        0
16462 freecol                            	       0       16        1       15        0
16463 freecontact                        	       0        1        0        1        0
16464 freecraft                          	       0        2        0        2        0
16465 freedesktop-sound-theme            	       0        1        0        0        1
16466 freedict-tools                     	       0        1        0        0        1
16467 freedink                           	       0       14        0        0       14
16468 freedink-data                      	       0       14        0        0       14
16469 freedink-dfarc                     	       0       14        0       14        0
16470 freedink-engine                    	       0       14        0       14        0
16471 freedom-maker                      	       0        1        0        1        0
16472 freedombox-doc-en                  	       0        1        0        0        1
16473 freedownloadmanager                	       0        1        0        1        0
16474 freedroid                          	       0        6        0        6        0
16475 freedroid-data                     	       0        6        0        0        6
16476 freedroidrpg                       	       0       11        0       11        0
16477 freedroidrpg-data                  	       0       11        0        0       11
16478 freedup                            	       0        1        0        1        0
16479 freedv                             	       0       11        0       11        0
16480 freefem                            	       0        4        0        4        0
16481 freefem++                          	       0        2        0        2        0
16482 freefem++-doc                      	       0        2        0        0        2
16483 freefem-doc                        	       0        2        0        0        2
16484 freefem-examples                   	       0        3        0        0        3
16485 freefem3d                          	       0        1        0        1        0
16486 freefilesync                       	       0        4        0        4        0
16487 freefilesync-build-deps            	       0        1        0        0        1
16488 freefont                           	       0        1        0        1        0
16489 freegish                           	       0        3        0        3        0
16490 freegish-data                      	       0        3        0        0        3
16491 freeglut-build-deps                	       0        1        0        0        1
16492 freeglut3                          	       0      166        0        0      166
16493 freeglut3-dbgsym                   	       0        1        0        1        0
16494 freeglut3-dev                      	       0       94        1       26       67
16495 freeguide                          	       0        1        0        1        0
16496 freehdl                            	       0        3        0        3        0
16497 freeipa-client-epn                 	       0        1        0        1        0
16498 freeipa-client-samba               	       0        1        0        1        0
16499 freeipa-common                     	       0       17        0        0       17
16500 freeipa-healthcheck                	       0        1        0        1        0
16501 freeipmi                           	       0       15        0        0       15
16502 freeipmi-bmc-watchdog              	       0       15        0       15        0
16503 freeipmi-common                    	       0      114        0        0      114
16504 freeipmi-ipmidetect                	       0       18        0       18        0
16505 freeipmi-ipmiseld                  	       0        2        0        2        0
16506 freelan                            	       0        1        0        1        0
16507 freelib                            	       0        1        0        1        0
16508 freemat-help                       	       0        1        0        0        1
16509 freeme2                            	       0        1        0        1        0
16510 freemind                           	       0        5        0        5        0
16511 freemind-doc                       	       0        5        0        0        5
16512 freemind-plugins-svg               	       0        1        0        0        1
16513 freenect                           	       0        1        0        0        1
16514 freenx                             	       0        1        0        0        1
16515 freenx-media                       	       0        1        0        1        0
16516 freenx-rdp                         	       0        1        0        0        1
16517 freenx-server                      	       0        1        0        1        0
16518 freenx-session-launcher            	       0        1        0        1        0
16519 freenx-vnc                         	       0        1        0        0        1
16520 freeorion                          	       0       17        1       16        0
16521 freeorion-build-deps               	       0        1        0        0        1
16522 freeorion-data                     	       0       17        0        0       17
16523 freeorion-dbgsym                   	       0        1        0        1        0
16524 freepats                           	       0      291        0        0      291
16525 freeplane-scripting-api            	       0        3        0        0        3
16526 freeradius                         	       0       31        3       28        0
16527 freeradius-common                  	       0       34        0        0       34
16528 freeradius-config                  	       0       34        0        0       34
16529 freeradius-dhcp                    	       0        1        0        1        0
16530 freeradius-krb5                    	       0        1        0        1        0
16531 freeradius-ldap                    	       0        1        0        1        0
16532 freeradius-mysql                   	       0        1        0        1        0
16533 freeradius-postgresql              	       0        1        0        1        0
16534 freeradius-rest                    	       0        1        0        1        0
16535 freerct                            	       0        1        0        1        0
16536 freerdp-nightly                    	       0        1        0        1        0
16537 freerdp-x11                        	       0       22        1       21        0
16538 freerdp2-dev                       	       0        4        0        4        0
16539 freerdp2-shadow-x11                	       0        8        0        8        0
16540 freerdp2-wayland                   	       0       10        0       10        0
16541 freesci                            	       0        1        0        1        0
16542 freesh-archive-keyring             	       0        7        0        0        7
16543 freesh-keyring                     	       0        1        0        0        1
16544 freeship                           	       0        1        0        1        0
16545 freespacenotifier                  	       0        5        1        4        0
16546 freeswitch                         	       0        1        0        1        0
16547 freeswitch-conf-curl               	       0        1        0        0        1
16548 freeswitch-conf-insideout          	       0        1        0        0        1
16549 freeswitch-conf-sbc                	       0        1        0        0        1
16550 freeswitch-conf-softphone          	       0        1        0        0        1
16551 freeswitch-conf-vanilla            	       0        2        0        0        2
16552 freeswitch-dbg                     	       0        1        0        1        0
16553 freeswitch-doc                     	       0        1        0        0        1
16554 freeswitch-lang                    	       0        2        0        0        2
16555 freeswitch-lang-de                 	       0        1        0        0        1
16556 freeswitch-lang-en                 	       0        2        0        0        2
16557 freeswitch-lang-es                 	       0        1        0        0        1
16558 freeswitch-lang-fr                 	       0        1        0        0        1
16559 freeswitch-lang-he                 	       0        1        0        0        1
16560 freeswitch-lang-pt                 	       0        1        0        0        1
16561 freeswitch-lang-ru                 	       0        1        0        0        1
16562 freeswitch-meta-bare               	       0        1        0        0        1
16563 freeswitch-meta-codecs             	       0        1        0        0        1
16564 freeswitch-meta-conf               	       0        1        0        0        1
16565 freeswitch-meta-lang               	       0        1        0        0        1
16566 freeswitch-meta-mod-say            	       0        1        0        0        1
16567 freeswitch-mod-amr                 	       0        1        0        1        0
16568 freeswitch-mod-amrwb               	       0        1        0        1        0
16569 freeswitch-mod-b64                 	       0        1        0        1        0
16570 freeswitch-mod-bv                  	       0        1        0        1        0
16571 freeswitch-mod-callcenter          	       0        1        0        1        0
16572 freeswitch-mod-cdr-csv             	       0        1        0        1        0
16573 freeswitch-mod-cidlookup           	       0        1        0        1        0
16574 freeswitch-mod-codec2              	       0        1        0        1        0
16575 freeswitch-mod-commands            	       0        1        0        1        0
16576 freeswitch-mod-conference          	       0        1        0        1        0
16577 freeswitch-mod-console             	       0        1        0        1        0
16578 freeswitch-mod-dahdi-codec         	       0        1        0        1        0
16579 freeswitch-mod-db                  	       0        1        0        1        0
16580 freeswitch-mod-dialplan-xml        	       0        1        0        1        0
16581 freeswitch-mod-directory           	       0        1        0        1        0
16582 freeswitch-mod-distributor         	       0        1        0        1        0
16583 freeswitch-mod-dptools             	       0        1        0        1        0
16584 freeswitch-mod-enum                	       0        1        0        1        0
16585 freeswitch-mod-esf                 	       0        1        0        1        0
16586 freeswitch-mod-esl                 	       0        1        0        1        0
16587 freeswitch-mod-event-socket        	       0        1        0        1        0
16588 freeswitch-mod-expr                	       0        1        0        1        0
16589 freeswitch-mod-fifo                	       0        1        0        1        0
16590 freeswitch-mod-flite               	       0        1        0        1        0
16591 freeswitch-mod-fsv                 	       0        1        0        1        0
16592 freeswitch-mod-g723-1              	       0        1        0        1        0
16593 freeswitch-mod-g729                	       0        1        0        1        0
16594 freeswitch-mod-h26x                	       0        1        0        1        0
16595 freeswitch-mod-hash                	       0        1        0        1        0
16596 freeswitch-mod-httapi              	       0        1        0        1        0
16597 freeswitch-mod-isac                	       0        1        0        1        0
16598 freeswitch-mod-json-cdr            	       0        1        0        1        0
16599 freeswitch-mod-local-stream        	       0        1        0        1        0
16600 freeswitch-mod-logfile             	       0        1        0        1        0
16601 freeswitch-mod-loopback            	       0        1        0        1        0
16602 freeswitch-mod-lua                 	       0        1        0        1        0
16603 freeswitch-mod-memcache            	       0        1        0        1        0
16604 freeswitch-mod-mp4v                	       0        1        0        1        0
16605 freeswitch-mod-native-file         	       0        1        0        1        0
16606 freeswitch-mod-opus                	       0        1        0        1        0
16607 freeswitch-mod-png                 	       0        1        0        1        0
16608 freeswitch-mod-rtc                 	       0        1        0        1        0
16609 freeswitch-mod-say-de              	       0        1        0        1        0
16610 freeswitch-mod-say-en              	       0        1        0        1        0
16611 freeswitch-mod-say-es              	       0        1        0        1        0
16612 freeswitch-mod-say-fa              	       0        1        0        1        0
16613 freeswitch-mod-say-fr              	       0        1        0        1        0
16614 freeswitch-mod-say-he              	       0        1        0        1        0
16615 freeswitch-mod-say-hr              	       0        1        0        1        0
16616 freeswitch-mod-say-hu              	       0        1        0        1        0
16617 freeswitch-mod-say-it              	       0        1        0        1        0
16618 freeswitch-mod-say-ja              	       0        1        0        1        0
16619 freeswitch-mod-say-nl              	       0        1        0        1        0
16620 freeswitch-mod-say-pl              	       0        1        0        1        0
16621 freeswitch-mod-say-pt              	       0        1        0        1        0
16622 freeswitch-mod-say-ru              	       0        1        0        1        0
16623 freeswitch-mod-say-th              	       0        1        0        1        0
16624 freeswitch-mod-say-zh              	       0        1        0        1        0
16625 freeswitch-mod-shout               	       0        1        0        1        0
16626 freeswitch-mod-silk                	       0        1        0        1        0
16627 freeswitch-mod-skypopen            	       0        1        0        1        0
16628 freeswitch-mod-skypopen-dbg        	       0        1        0        1        0
16629 freeswitch-mod-sms                 	       0        1        0        1        0
16630 freeswitch-mod-sms-dbg             	       0        1        0        1        0
16631 freeswitch-mod-sndfile             	       0        1        0        1        0
16632 freeswitch-mod-sofia               	       0        1        0        1        0
16633 freeswitch-mod-sofia-dbg           	       0        1        0        1        0
16634 freeswitch-mod-spandsp             	       0        1        0        1        0
16635 freeswitch-mod-theora              	       0        1        0        1        0
16636 freeswitch-mod-tone-stream         	       0        1        0        1        0
16637 freeswitch-mod-tts-commandline     	       0        1        0        1        0
16638 freeswitch-mod-valet-parking       	       0        1        0        1        0
16639 freeswitch-mod-verto               	       0        1        0        1        0
16640 freeswitch-mod-xml-cdr             	       0        1        0        1        0
16641 freeswitch-music-default           	       0        1        0        0        1
16642 freeswitch-sounds-en-us-callie     	       0        2        0        0        2
16643 freeswitch-sysvinit                	       0        2        0        0        2
16644 freeswitch-timezones               	       0        2        0        0        2
16645 freetable                          	       0        2        0        2        0
16646 freetalk                           	       0        1        0        1        0
16647 freetds-bin                        	       0        7        0        7        0
16648 freetds-common                     	       0      103        0        0      103
16649 freetds-dev                        	       0       32        2       30        0
16650 freetds-doc                        	       0       14        0        0       14
16651 freetennis-common                  	       0        2        0        0        2
16652 freetts                            	       0        4        0        0        4
16653 freetuxtv                          	       0       31        0       31        0
16654 freetype-build-deps                	       0        1        0        0        1
16655 freetype1-tools                    	       0        2        0        2        0
16656 freetype2-demos                    	       0       13        0       13        0
16657 freetype2-doc                      	       0       35        0        0       35
16658 freevial                           	       0        2        0        2        0
16659 freewheeling                       	       0        1        0        1        0
16660 freexian-archive-keyring           	       0        1        0        0        1
16661 frei0r-plugins-dev                 	       0       14        0       14        0
16662 frei0r-plugins-doc                 	       0        1        0        0        1
16663 fretsonfire                        	       0        2        0        0        2
16664 fretsonfire-game                   	       0        3        0        3        0
16665 fretsonfire-songs-muldjord         	       0        4        0        0        4
16666 fretsonfire-songs-sectoid          	       0        4        0        0        4
16667 fricas                             	       0        1        0        1        0
16668 fricas-databases                   	       0        1        0        0        1
16669 fricas-doc                         	       0        1        0        0        1
16670 fricas-graphics                    	       0        1        0        0        1
16671 fricas-graphics-data               	       0        1        0        0        1
16672 fricas-hypertex                    	       0        1        0        0        1
16673 fricas-hypertex-data               	       0        1        0        0        1
16674 fricas-source                      	       0        1        0        0        1
16675 fricas-test                        	       0        1        0        1        0
16676 frickelplatz-archive-keyring       	       0        1        0        0        1
16677 frickelplatz-keyring               	       0        1        0        0        1
16678 frickelplatz-keyrings              	       0        1        0        0        1
16679 fritzing-data                      	       0       30        0        0       30
16680 fritzing-parts                     	       0       28        0        0       28
16681 frobby                             	       0        1        0        1        0
16682 frog                               	       0        3        0        3        0
16683 frogatto                           	       0        4        0        4        0
16684 frogatto-build-deps                	       0        1        0        0        1
16685 frogatto-data                      	       0        4        0        0        4
16686 frogatto-dbgsym                    	       0        1        0        1        0
16687 frogdata                           	       0        1        0        1        0
16688 frogr                              	       0        1        0        1        0
16689 frogr-data                         	       0        1        0        0        1
16690 frontdesign                        	       0        1        0        1        0
16691 frotz                              	       0       12        0       12        0
16692 frown                              	       0        1        0        1        0
16693 frown-doc                          	       0        1        0        0        1
16694 froxlor                            	       0        1        0        1        0
16695 frozen-bubble-data                 	       0       52        0        0       52
16696 frr                                	       0        7        2        5        0
16697 frr-doc                            	       0        1        0        0        1
16698 frr-snmp                           	       0        2        1        0        1
16699 fruit                              	       0        7        0        7        0
16700 fs-uae                             	       0       19        0       19        0
16701 fs-uae-arcade                      	       0        6        0        6        0
16702 fs-uae-launcher                    	       0        4        0        4        0
16703 fs-uae-netplay-server              	       0        1        0        1        0
16704 fsa                                	       0        3        1        2        0
16705 fsarchiver                         	       0       29        0       29        0
16706 fsearch                            	       0        5        0        5        0
16707 fsharp                             	       0        7        0        7        0
16708 fslint                             	       0        4        1        3        0
16709 fsmark                             	       0        3        0        3        0
16710 fso-config-general                 	       0        1        0        0        1
16711 fso-datad                          	       0        1        1        0        0
16712 fso-deviced                        	       0        2        1        1        0
16713 fso-deviced-player-gstreamer       	       0        1        0        0        1
16714 fso-frameworkd                     	       0        1        0        1        0
16715 fso-gsm0710muxd                    	       0        1        0        1        0
16716 fso-sounds-yue-base                	       0        1        0        0        1
16717 fsp                                	       0        1        0        1        0
16718 fspanel                            	       0        6        0        6        0
16719 fspy                               	       0        2        0        2        0
16720 fssync                             	       0        1        0        1        0
16721 fst-dev                            	       0        2        0        2        0
16722 fstl                               	       0        9        0        9        0
16723 fstransform                        	       0        7        2        5        0
16724 fstrcmp                            	       0        3        0        3        0
16725 fstrcmp-doc                        	       0        1        0        0        1
16726 fsverity                           	       0        3        0        3        0
16727 fswatch                            	       0       16        3       13        0
16728 fswebcam                           	       0       27        1       26        0
16729 ft2-clone                          	       0        1        0        1        0
16730 ftdi-eeprom                        	       0        6        0        6        0
16731 fte-docs                           	       0        3        0        0        3
16732 ftgl-dev                           	       0        1        0        0        1
16733 ftnchek                            	       0        3        0        3        0
16734 ftools-fv                          	       0        8        0        8        0
16735 ftools-pow                         	       0       10        0       10        0
16736 ftp-proxy                          	       0        1        0        1        0
16737 ftp-proxy-doc                      	       0        2        0        0        2
16738 ftp-ssl                            	       0       42        2       40        0
16739 ftp-upload                         	       0        4        0        4        0
16740 ftp.app                            	       0        2        0        2        0
16741 ftpcopy                            	       0        4        0        4        0
16742 ftpd                               	       0       10        0        3        7
16743 ftpd-ssl                           	       0        8        0        8        0
16744 ftpgrab                            	       0        2        0        2        0
16745 ftpmirror                          	       0        1        0        1        0
16746 ftpwatch                           	       0        1        0        1        0
16747 ftxui                              	       0        1        0        1        0
16748 funguloids                         	       0        5        0        5        0
16749 funguloids-data                    	       0        5        0        0        5
16750 funnelweb                          	       0        3        0        3        0
16751 funnelweb-doc                      	       0        2        0        0        2
16752 funny-manpages                     	       0        2        0        0        2
16753 funnyboat                          	       0       10        0       10        0
16754 funtools                           	       0        2        0        2        0
16755 furo                               	       0        7        0        7        0
16756 fuse-convmvfs                      	       0        2        1        1        0
16757 fuse-emulator-common               	       0       13        0        0       13
16758 fuse-emulator-gtk                  	       0       12        0       12        0
16759 fuse-emulator-sdl                  	       0        6        0        6        0
16760 fuse-emulator-utils                	       0       11        0       11        0
16761 fuse-posixovl                      	       0        6        0        6        0
16762 fuse-utils                         	       0        9        0        0        9
16763 fusecompress                       	       0        1        0        1        0
16764 fusedav                            	       0        3        0        3        0
16765 fuseext2                           	       0        9        0        6        3
16766 fusefat                            	       0       16        1       15        0
16767 fuseiso9660                        	       0       15        0       15        0
16768 fusesmb                            	       0       10        1        9        0
16769 fusiondirectory                    	       0        2        1        1        0
16770 fusiondirectory-plugin-mail        	       0        1        0        0        1
16771 fusiondirectory-plugin-mail-schema 	       0        1        0        0        1
16772 fusiondirectory-schema             	       0        2        0        2        0
16773 fusiondirectory-smarty3-acl-render 	       0        2        1        1        0
16774 fusioninventory-agent              	       0        9        3        6        0
16775 fusioninventory-agent-task-deploy  	       0        1        0        1        0
16776 fusioninventory-agent-task-esx     	       0        1        0        1        0
16777 fusioninventory-agent-task-network 	       0        1        0        1        0
16778 futatabi                           	       0        1        0        1        0
16779 fuzz                               	       0        3        0        3        0
16780 fuzzel                             	       0        3        1        2        0
16781 fuzzyocr                           	       0        2        1        1        0
16782 fvwm                               	       0       67        4       63        0
16783 fvwm-crystal                       	       0        8        0        8        0
16784 fvwm-icons                         	       0       20        0        0       20
16785 fvwm1                              	       0        2        0        2        0
16786 fvwm3                              	       0        7        0        7        0
16787 fw4spl                             	       0        1        0        1        0
16788 fwbuilder                          	       0       14        1       13        0
16789 fwbuilder-common                   	       0       14        0        0       14
16790 fwbuilder-doc                      	       0       14        0        0       14
16791 fweb                               	       0        2        0        2        0
16792 fweb-doc                           	       0        1        0        0        1
16793 fwknop-client                      	       0        2        0        2        0
16794 fwlogwatch                         	       0        1        0        1        0
16795 fwsnort                            	       0        2        0        2        0
16796 fwupd-amd64-signed                 	       0      818        0        4      814
16797 fwupd-amd64-signed-template        	       0        4        0        0        4
16798 fwupd-arm64-signed                 	       0        1        0        0        1
16799 fwupd-armhf-signed                 	       0        1        0        0        1
16800 fwupd-doc                          	       0        5        0        0        5
16801 fwupd-i386-signed                  	       0       15        0        0       15
16802 fwupd-tests                        	       0        1        0        0        1
16803 fwupd-unsigned                     	       0        2        0        0        2
16804 fwupdate                           	       0       11        0        2        9
16805 fwupdate-amd64-signed              	       0        1        0        1        0
16806 fx-cast-bridge                     	       0        1        0        1        0
16807 fxcyberjack                        	       0        2        0        2        0
16808 fyi                                	       0        3        0        3        0
16809 fyre                               	       0        3        0        3        0
16810 fyremc-kliens                      	       0        1        0        1        0
16811 fzy                                	       0        8        1        7        0
16812 g++-10-aarch64-linux-gnu           	       0        4        0        4        0
16813 g++-10-arm-linux-gnueabi           	       0        1        0        1        0
16814 g++-10-arm-linux-gnueabihf         	       0        1        0        1        0
16815 g++-10-i686-linux-gnu              	       0        2        0        2        0
16816 g++-10-multilib                    	       0       34        0        0       34
16817 g++-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
16818 g++-10-powerpc64-linux-gnu         	       0        1        0        1        0
16819 g++-10-riscv64-linux-gnu           	       0        1        0        1        0
16820 g++-11                             	       0       39        1       38        0
16821 g++-11-arm-linux-gnueabi           	       0        1        0        1        0
16822 g++-11-arm-linux-gnueabihf         	       0        1        0        1        0
16823 g++-11-multilib                    	       0        5        0        0        5
16824 g++-12-aarch64-linux-gnu           	       0        8        0        8        0
16825 g++-12-arm-linux-gnueabi           	       0        2        0        2        0
16826 g++-12-arm-linux-gnueabihf         	       0        5        0        5        0
16827 g++-12-mips-linux-gnu              	       0        2        0        2        0
16828 g++-12-mipsel-linux-gnu            	       0        2        0        2        0
16829 g++-12-multilib                    	       0       69        0        0       69
16830 g++-12-multilib-mips-linux-gnu     	       0        1        0        0        1
16831 g++-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
16832 g++-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
16833 g++-12-riscv64-linux-gnu           	       0        1        0        1        0
16834 g++-12-x86-64-linux-gnux32         	       0        1        0        1        0
16835 g++-13                             	       0       72        1       71        0
16836 g++-13-aarch64-linux-gnu           	       0        2        0        2        0
16837 g++-13-arm-linux-gnueabihf         	       0        1        0        1        0
16838 g++-13-i686-linux-gnu              	       0        2        0        2        0
16839 g++-13-multilib                    	       0        9        0        0        9
16840 g++-13-x86-64-linux-gnu            	       0       62        1       61        0
16841 g++-14-aarch64-linux-gnu           	       0        3        0        3        0
16842 g++-14-arm-linux-gnueabihf         	       0        3        0        3        0
16843 g++-14-i686-linux-gnu              	       0        4        0        4        0
16844 g++-14-multilib                    	       0       16        0        0       16
16845 g++-14-riscv64-linux-gnu           	       0        1        0        1        0
16846 g++-15                             	       0        1        0        1        0
16847 g++-15-x86-64-linux-gnu            	       0        1        0        1        0
16848 g++-3.3                            	       0        1        0        1        0
16849 g++-4.4                            	       0        6        0        6        0
16850 g++-4.6                            	       0        1        0        1        0
16851 g++-4.7                            	       0        1        0        1        0
16852 g++-4.8                            	       0        3        0        3        0
16853 g++-4.9                            	       0       44        2       41        1
16854 g++-4.9-multilib                   	       0        2        0        0        2
16855 g++-5                              	       0        1        0        1        0
16856 g++-6                              	       0      117        2      115        0
16857 g++-6-multilib                     	       0        2        0        0        2
16858 g++-7                              	       0        3        0        3        0
16859 g++-8                              	       0      122        4      118        0
16860 g++-8-aarch64-linux-gnu            	       0        2        0        2        0
16861 g++-8-arm-linux-gnueabi            	       0        2        0        2        0
16862 g++-8-arm-linux-gnueabihf          	       0        2        0        2        0
16863 g++-8-i686-linux-gnu               	       0        1        0        1        0
16864 g++-8-mips-linux-gnu               	       0        1        0        1        0
16865 g++-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
16866 g++-8-mipsel-linux-gnu             	       0        1        0        1        0
16867 g++-8-multilib                     	       0        5        0        0        5
16868 g++-9                              	       0        9        0        9        0
16869 g++-aarch64-linux-gnu              	       0       16        0       16        0
16870 g++-arm-linux-gnueabi              	       0        4        0        4        0
16871 g++-arm-linux-gnueabihf            	       0       13        0       13        0
16872 g++-i686-linux-gnu                 	       0        8        0        8        0
16873 g++-mingw-w64                      	       0       32        0        0       32
16874 g++-mingw-w64-i686                 	       0       35        0        2       33
16875 g++-mingw-w64-x86-64               	       0       34        0        2       32
16876 g++-mips-linux-gnu                 	       0        3        0        3        0
16877 g++-mips64el-linux-gnuabi64        	       0        1        0        1        0
16878 g++-mipsel-linux-gnu               	       0        3        0        3        0
16879 g++-multilib                       	       0       80        0        0       80
16880 g++-riscv64-linux-gnu              	       0        1        0        1        0
16881 g-wrap                             	       0        1        0        1        0
16882 g10k                               	       0        1        0        1        0
16883 g15daemon                          	       0        5        2        3        0
16884 g3data                             	       0        1        0        1        0
16885 g3dviewer                          	       0        3        0        3        0
16886 g77-2.95-doc                       	       0        1        0        0        1
16887 g810-led                           	       0        5        0        5        0
16888 gabedit                            	       0        1        0        1        0
16889 gadmin-openvpn-client              	       0        3        0        3        0
16890 gadmin-rsync                       	       0        1        0        1        0
16891 gadmin-samba                       	       0        3        0        3        0
16892 gaffitter                          	       0        2        0        2        0
16893 gaiasky                            	       0        1        0        0        1
16894 gaiksaurus                         	       0        2        0        2        0
16895 gajim                              	       0       55        1       54        0
16896 gajim-antispam                     	       0        3        0        0        3
16897 gajim-dev-keyring                  	       0        1        0        0        1
16898 gajim-omemo                        	       0       42        0        0       42
16899 gajim-openpgp                      	       0       40        0        0       40
16900 gajim-pgp                          	       0       14        0        0       14
16901 gajim-triggers                     	       0        3        0        0        3
16902 galeon                             	       0        1        0        1        0
16903 galeon-common                      	       0        1        0        1        0
16904 galera-3                           	       0       75        2       73        0
16905 galileopress-actionscript          	       0        1        0        1        0
16906 galileopress-c                     	       0        1        0        1        0
16907 galileopress-csharp                	       0        1        0        1        0
16908 galileopress-java2                 	       0        1        0        1        0
16909 galileopress-javainsel             	       0        1        0        1        0
16910 galileopress-javascript            	       0        1        0        1        0
16911 galileopress-kit                   	       0        1        0        1        0
16912 galileopress-knoppix               	       0        1        0        1        0
16913 galileopress-pcnetzwerke           	       0        1        0        1        0
16914 galileopress-php4                  	       0        1        0        1        0
16915 galileopress-ubuntu                	       0        1        0        1        0
16916 galileopress-unix                  	       0        1        0        1        0
16917 gallery                            	       0        1        0        1        0
16918 gallery-dl                         	       0       12        0       12        0
16919 gallery3                           	       0        1        0        1        0
16920 galleta                            	       0       23        0       23        0
16921 galois                             	       0        7        0        7        0
16922 galrey                             	       0        1        0        1        0
16923 gama                               	       0        1        0        1        0
16924 gamazons                           	       0        3        0        3        0
16925 gambas2-gb-compress                	       0        1        0        1        0
16926 gambas2-gb-compress-bzlib2         	       0        1        0        1        0
16927 gambas2-gb-compress-zlib           	       0        1        0        1        0
16928 gambas2-gb-db                      	       0        1        0        1        0
16929 gambas2-gb-db-postgresql           	       0        1        0        1        0
16930 gambas2-gb-form                    	       0        1        0        1        0
16931 gambas2-gb-gtk                     	       0        1        0        1        0
16932 gambas2-gb-gui                     	       0        1        0        1        0
16933 gambas2-gb-pdf                     	       0        1        0        1        0
16934 gambas2-gb-qt                      	       0        1        0        1        0
16935 gambas2-gb-qt-ext                  	       0        1        0        1        0
16936 gambas2-gb-qt-kde                  	       0        1        0        1        0
16937 gambas2-gb-qt-kde-html             	       0        1        0        1        0
16938 gambas2-gb-settings                	       0        1        0        1        0
16939 gambas2-gb-xml                     	       0        1        0        1        0
16940 gambas2-runtime                    	       0        1        0        1        0
16941 gambas3                            	       0       14        0        0       14
16942 gambas3-examples                   	       0       16        0        0       16
16943 gambas3-gb-db-sqlite2              	       0        1        0        1        0
16944 gambas3-gb-desktop-gnome-keyring   	       0        1        0        1        0
16945 gambas3-gb-gtk                     	       0        2        0        2        0
16946 gambas3-gb-gtk-opengl              	       0        1        0        1        0
16947 gambas3-gb-gui-opengl              	       0        2        0        0        2
16948 gambas3-gb-gui-qt                  	       0        1        0        0        1
16949 gambas3-gb-gui-qt-webkit           	       0        1        0        0        1
16950 gambas3-gb-gui-trayicon            	       0        1        0        0        1
16951 gambas3-gb-qt4                     	       0        1        0        1        0
16952 gambas3-gb-qt5                     	       0        7        0        7        0
16953 gambas3-gb-qt5-ext                 	       0        5        0        5        0
16954 gambas3-gb-qt5-opengl              	       0        5        0        5        0
16955 gambas3-gb-qt5-wayland             	       0        1        0        1        0
16956 gambas3-gb-qt5-webkit              	       0        4        0        4        0
16957 gambas3-gb-qt5-webview             	       0        1        0        1        0
16958 gambas3-gb-qt5-x11                 	       0        2        0        2        0
16959 gambas3-gb-sdl                     	       0        2        0        2        0
16960 gambas3-gb-sdl-sound               	       0        2        0        2        0
16961 gambas3-templates                  	       0        1        0        0        1
16962 gambc                              	       0        4        0        4        0
16963 gambc-doc                          	       0        4        0        4        0
16964 game-data-packager                 	       0       26        0       26        0
16965 game-data-packager-build-deps      	       0        1        0        0        1
16966 game-data-packager-runtime         	       0       25        0       25        0
16967 gameclock                          	       0        1        0        1        0
16968 gamedevtycoon                      	       0        1        0        0        1
16969 gamehub                            	       0        9        0        9        0
16970 gamemaker-beta                     	       0        1        0        1        0
16971 gamemode-dev                       	       0        1        0        1        0
16972 gamepadtool                        	       0        4        0        4        0
16973 games-adventure                    	       0        6        0        0        6
16974 games-all                          	       0        3        0        0        3
16975 games-arcade                       	       0        2        0        0        2
16976 games-board                        	       0        3        0        0        3
16977 games-c++-dev                      	       0        1        0        0        1
16978 games-card                         	       0       11        0        0       11
16979 games-chess                        	       0        4        0        0        4
16980 games-console                      	       0        5        0        0        5
16981 games-content-dev                  	       0        1        0        0        1
16982 games-education                    	       0        2        0        0        2
16983 games-emulator                     	       0        5        0        0        5
16984 games-finest                       	       0        5        0        0        5
16985 games-finest-light                 	       0        1        0        0        1
16986 games-fps                          	       0        3        0        0        3
16987 games-minesweeper                  	       0        9        0        0        9
16988 games-mud                          	       0        4        0        0        4
16989 games-perl-dev                     	       0        2        0        0        2
16990 games-platform                     	       0        1        0        0        1
16991 games-programming                  	       0        4        0        0        4
16992 games-puzzle                       	       0        7        0        0        7
16993 games-python3-dev                  	       0        2        0        0        2
16994 games-rogue                        	       0        3        0        0        3
16995 games-rpg                          	       0        3        0        0        3
16996 games-simulation                   	       0        2        0        0        2
16997 games-strategy                     	       0        4        0        0        4
16998 games-tasks                        	       0       41        0        0       41
16999 games-tetris                       	       0       10        0        0       10
17000 games-thumbnails                   	       0        5        0        0        5
17001 games-toys                         	       0        3        0        0        3
17002 games-typing                       	       0        3        0        0        3
17003 gamin                              	       0       65        8       12       45
17004 gamine                             	       0        9        1        8        0
17005 gamine-data                        	       0        9        0        0        9
17006 gaminggear-utils                   	       0        1        0        1        0
17007 gammaray-plugin-bluetooth          	       0        1        0        0        1
17008 gammaray-plugin-positioning        	       0        1        0        0        1
17009 gammaray-plugin-quickinspector     	       0        1        0        0        1
17010 gammastep                          	       0        6        1        5        0
17011 gammu-doc                          	       0        5        0        0        5
17012 gammu-smsd                         	       0        3        0        3        0
17013 gandi-cli                          	       0        1        0        1        0
17014 ganeti                             	       0        1        1        0        0
17015 ganeti-3.0                         	       0        1        1        0        0
17016 ganeti-haskell-3.0                 	       0        1        1        0        0
17017 ganeti-htools-3.0                  	       0        1        0        1        0
17018 ganeti-testsuite                   	       0        1        0        0        1
17019 ganglia-modules-linux              	       0        1        0        1        0
17020 ganglia-monitor                    	       0        2        1        1        0
17021 ganglia-webfrontend                	       0        1        0        1        0
17022 gant                               	       0        1        0        1        0
17023 ganyremote                         	       0        4        0        4        0
17024 gap                                	       0       13        0        0       13
17025 gap-alnuth                         	       0       13        0        0       13
17026 gap-atlasrep                       	       0       13        0        0       13
17027 gap-autpgrp                        	       0       13        0        0       13
17028 gap-character-tables               	       0       13        0        0       13
17029 gap-core                           	       0       13        1       12        0
17030 gap-dev                            	       0       13        1       12        0
17031 gap-doc                            	       0       13        0        0       13
17032 gap-factint                        	       0       12        0        0       12
17033 gap-fga                            	       0       11        0        0       11
17034 gap-float                          	       0        1        0        0        1
17035 gap-gapdoc                         	       0       13        0        0       13
17036 gap-grape                          	       0        1        0        0        1
17037 gap-guava                          	       0        1        0        0        1
17038 gap-guava-bin                      	       0        1        0        1        0
17039 gap-io                             	       0       13        0        0       13
17040 gap-laguna                         	       0       11        0        0       11
17041 gap-libs                           	       0       13        1       12        0
17042 gap-online-help                    	       0       13        0        0       13
17043 gap-openmath                       	       0        1        0        0        1
17044 gap-polycyclic                     	       0       13        0        0       13
17045 gap-primgrp                        	       0       13        0        0       13
17046 gap-smallgrp                       	       0       12        0        0       12
17047 gap-table-of-marks                 	       0       13        0        0       13
17048 gap-transgrp                       	       0       12        0        0       12
17049 gap-utils                          	       0       12        0        0       12
17050 gapcmon                            	       0        1        0        1        0
17051 gappletviewer-4.3                  	       0        1        0        1        0
17052 garcon-build-deps                  	       0        1        0        0        1
17053 garden-of-coloured-lights          	       0        8        0        8        0
17054 garden-of-coloured-lights-data     	       0        8        0        0        8
17055 gargoyle-free                      	       0        9        0        9        0
17056 garli                              	       0        1        0        1        0
17057 garmin-forerunner-tools            	       0        5        0        5        0
17058 gatling                            	       0        1        0        1        0
17059 gato                               	       0        1        0        1        0
17060 gatos                              	       0        1        0        1        0
17061 gatotray                           	       0        1        0        1        0
17062 gauche                             	       0        1        0        1        0
17063 gaupol                             	       0       18        1       17        0
17064 gav                                	       0        4        0        4        0
17065 gav-themes                         	       0        4        0        0        4
17066 gaviotatb                          	       0       13        0        0       13
17067 gawk-doc                           	       0       31        0        0       31
17068 gbatnav                            	       0        3        0        3        0
17069 gbdfed                             	       0        5        0        5        0
17070 gbrainy                            	       0       29        0       29        0
17071 gc                                 	       0        1        0        1        0
17072 gcab                               	       0        6        0        6        0
17073 gcad3d                             	       0        1        0        1        0
17074 gcal                               	       0       21        0       21        0
17075 gcal-common                        	       0       22        0        0       22
17076 gcalcli                            	       0        4        0        4        0
17077 gcalctool                          	       0        1        0        1        0
17078 gcap                               	       0        1        0        1        0
17079 gcc-10-aarch64-linux-gnu           	       0        6        0        6        0
17080 gcc-10-aarch64-linux-gnu-base      	       0        7        0        0        7
17081 gcc-10-alpha-linux-gnu             	       0        1        0        1        0
17082 gcc-10-alpha-linux-gnu-base        	       0        1        0        0        1
17083 gcc-10-arm-linux-gnueabi           	       0        5        0        5        0
17084 gcc-10-arm-linux-gnueabi-base      	       0        6        0        0        6
17085 gcc-10-arm-linux-gnueabihf         	       0        6        0        6        0
17086 gcc-10-arm-linux-gnueabihf-base    	       0        7        0        0        7
17087 gcc-10-base                        	       0     1458        0        0     1458
17088 gcc-10-cross-base                  	       0       18        0        0       18
17089 gcc-10-cross-base-mipsen           	       0        2        0        0        2
17090 gcc-10-cross-base-ports            	       0        4        0        0        4
17091 gcc-10-dbgsym                      	       0        1        0        1        0
17092 gcc-10-doc                         	       0       41        0        0       41
17093 gcc-10-hppa-linux-gnu              	       0        1        0        1        0
17094 gcc-10-hppa-linux-gnu-base         	       0        2        0        0        2
17095 gcc-10-hppa64-linux-gnu            	       0        1        0        1        0
17096 gcc-10-i686-linux-gnu              	       0        3        0        3        0
17097 gcc-10-i686-linux-gnu-base         	       0        3        0        0        3
17098 gcc-10-locales                     	       0        8        0        0        8
17099 gcc-10-mipsel-linux-gnu            	       0        1        0        1        0
17100 gcc-10-mipsel-linux-gnu-base       	       0        1        0        0        1
17101 gcc-10-multilib                    	       0       87        0        0       87
17102 gcc-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
17103 gcc-10-powerpc-linux-gnu           	       0        1        0        1        0
17104 gcc-10-powerpc-linux-gnu-base      	       0        1        0        0        1
17105 gcc-10-powerpc64-linux-gnu         	       0        2        0        2        0
17106 gcc-10-powerpc64-linux-gnu-base    	       0        2        0        0        2
17107 gcc-10-riscv64-linux-gnu           	       0        3        0        3        0
17108 gcc-10-riscv64-linux-gnu-base      	       0        3        0        0        3
17109 gcc-10-s390x-linux-gnu             	       0        1        0        1        0
17110 gcc-10-s390x-linux-gnu-base        	       0        1        0        0        1
17111 gcc-10-sparc64-linux-gnu           	       0        1        0        1        0
17112 gcc-10-sparc64-linux-gnu-base      	       0        1        0        0        1
17113 gcc-11                             	       0       91        3       88        0
17114 gcc-11-arm-linux-gnueabi           	       0        1        0        1        0
17115 gcc-11-arm-linux-gnueabi-base      	       0        1        0        0        1
17116 gcc-11-arm-linux-gnueabihf         	       0        1        0        1        0
17117 gcc-11-arm-linux-gnueabihf-base    	       0        1        0        0        1
17118 gcc-11-base                        	       0      673        0        0      673
17119 gcc-11-cross-base                  	       0       11        0        0       11
17120 gcc-11-doc                         	       0        3        0        0        3
17121 gcc-11-i686-linux-gnu              	       0        1        0        1        0
17122 gcc-11-i686-linux-gnu-base         	       0        1        0        0        1
17123 gcc-11-locales                     	       0        2        0        0        2
17124 gcc-11-multilib                    	       0        8        0        0        8
17125 gcc-11-multilib-i686-linux-gnu     	       0        1        0        0        1
17126 gcc-11-source                      	       0        1        0        0        1
17127 gcc-12-aarch64-linux-gnu           	       0       19        2       17        0
17128 gcc-12-aarch64-linux-gnu-base      	       0       20        0        0       20
17129 gcc-12-alpha-linux-gnu             	       0        1        0        1        0
17130 gcc-12-alpha-linux-gnu-base        	       0        1        0        0        1
17131 gcc-12-arm-linux-gnueabi           	       0       13        0       13        0
17132 gcc-12-arm-linux-gnueabi-base      	       0       13        0        0       13
17133 gcc-12-arm-linux-gnueabihf         	       0       13        1       12        0
17134 gcc-12-arm-linux-gnueabihf-base    	       0       14        0        0       14
17135 gcc-12-base                        	       0     2976        0        0     2976
17136 gcc-12-cross-base                  	       0       51        0        0       51
17137 gcc-12-cross-base-mipsen           	       0        6        0        0        6
17138 gcc-12-cross-base-ports            	       0       14        0        0       14
17139 gcc-12-doc                         	       0       60        0        0       60
17140 gcc-12-hppa-linux-gnu              	       0        1        0        1        0
17141 gcc-12-hppa-linux-gnu-base         	       0        1        0        0        1
17142 gcc-12-i686-linux-gnu              	       0        4        1        3        0
17143 gcc-12-i686-linux-gnu-base         	       0        4        0        0        4
17144 gcc-12-locales                     	       0        7        0        0        7
17145 gcc-12-m68k-linux-gnu              	       0        1        0        1        0
17146 gcc-12-m68k-linux-gnu-base         	       0        1        0        0        1
17147 gcc-12-mips-linux-gnu              	       0        4        0        4        0
17148 gcc-12-mips-linux-gnu-base         	       0        4        0        0        4
17149 gcc-12-mips64-linux-gnuabi64       	       0        1        0        1        0
17150 gcc-12-mips64-linux-gnuabi64-base  	       0        1        0        0        1
17151 gcc-12-mipsel-linux-gnu            	       0        3        0        3        0
17152 gcc-12-mipsel-linux-gnu-base       	       0        3        0        0        3
17153 gcc-12-multilib                    	       0      128        0        0      128
17154 gcc-12-multilib-i686-linux-gnu     	       0        2        0        0        2
17155 gcc-12-multilib-mips-linux-gnu     	       0        1        0        0        1
17156 gcc-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
17157 gcc-12-multilib-x86-64-linux-gnux32	       0        4        0        0        4
17158 gcc-12-offload-nvptx               	       0        1        1        0        0
17159 gcc-12-plugin-dev                  	       0        1        0        0        1
17160 gcc-12-plugin-dev-aarch64-linux-gnu	       0        1        0        0        1
17161 gcc-12-powerpc-linux-gnu           	       0        2        0        2        0
17162 gcc-12-powerpc-linux-gnu-base      	       0        2        0        0        2
17163 gcc-12-powerpc64-linux-gnu         	       0        1        0        1        0
17164 gcc-12-powerpc64-linux-gnu-base    	       0        1        0        0        1
17165 gcc-12-riscv64-linux-gnu           	       0        6        1        5        0
17166 gcc-12-riscv64-linux-gnu-base      	       0        6        0        0        6
17167 gcc-12-s390x-linux-gnu             	       0        2        0        2        0
17168 gcc-12-s390x-linux-gnu-base        	       0        2        0        0        2
17169 gcc-12-source                      	       0        2        0        0        2
17170 gcc-12-sparc64-linux-gnu           	       0        1        0        1        0
17171 gcc-12-sparc64-linux-gnu-base      	       0        1        0        0        1
17172 gcc-12-x86-64-linux-gnux32         	       0        4        0        4        0
17173 gcc-12-x86-64-linux-gnux32-base    	       0        4        0        0        4
17174 gcc-13                             	       0      143        3      140        0
17175 gcc-13-aarch64-linux-gnu           	       0        2        0        2        0
17176 gcc-13-aarch64-linux-gnu-base      	       0        2        0        0        2
17177 gcc-13-arm-linux-gnueabihf         	       0        1        0        1        0
17178 gcc-13-arm-linux-gnueabihf-base    	       0        1        0        0        1
17179 gcc-13-base                        	       0      169        0        0      169
17180 gcc-13-cross-base                  	       0        3        0        0        3
17181 gcc-13-doc                         	       0       10        0        0       10
17182 gcc-13-i686-linux-gnu              	       0        4        0        4        0
17183 gcc-13-locales                     	       0        4        0        0        4
17184 gcc-13-multilib                    	       0       18        0        0       18
17185 gcc-13-powerpc64le-linux-gnu       	       0        1        0        1        0
17186 gcc-13-powerpc64le-linux-gnu-base  	       0        1        0        0        1
17187 gcc-13-riscv64-linux-gnu           	       0        1        0        1        0
17188 gcc-13-source                      	       0        1        0        0        1
17189 gcc-13-test-results                	       0        1        0        0        1
17190 gcc-13-x86-64-linux-gnu            	       0      127        3      124        0
17191 gcc-14-aarch64-linux-gnu           	       0        5        0        5        0
17192 gcc-14-aarch64-linux-gnu-base      	       0        5        0        0        5
17193 gcc-14-alpha-linux-gnu             	       0        1        0        1        0
17194 gcc-14-alpha-linux-gnu-base        	       0        1        0        0        1
17195 gcc-14-arm-linux-gnueabi           	       0        1        0        1        0
17196 gcc-14-arm-linux-gnueabi-base      	       0        1        0        0        1
17197 gcc-14-arm-linux-gnueabihf         	       0        4        0        4        0
17198 gcc-14-arm-linux-gnueabihf-base    	       0        4        0        0        4
17199 gcc-14-base                        	       0      305        0        0      305
17200 gcc-14-cross-base                  	       0       11        0        0       11
17201 gcc-14-cross-base-ports            	       0        3        0        0        3
17202 gcc-14-doc                         	       0        9        0        0        9
17203 gcc-14-for-build                   	       0        1        0        0        1
17204 gcc-14-hppa-linux-gnu              	       0        1        0        1        0
17205 gcc-14-hppa-linux-gnu-base         	       0        1        0        0        1
17206 gcc-14-i686-linux-gnu              	       0        6        0        6        0
17207 gcc-14-i686-linux-gnu-base         	       0        2        0        0        2
17208 gcc-14-locales                     	       0        3        0        0        3
17209 gcc-14-multilib                    	       0       24        0        0       24
17210 gcc-14-multilib-i686-linux-gnu     	       0        1        0        0        1
17211 gcc-14-plugin-dev                  	       0        1        0        0        1
17212 gcc-14-powerpc-linux-gnu           	       0        2        0        2        0
17213 gcc-14-powerpc-linux-gnu-base      	       0        2        0        0        2
17214 gcc-14-powerpc64-linux-gnu         	       0        3        0        3        0
17215 gcc-14-powerpc64-linux-gnu-base    	       0        3        0        0        3
17216 gcc-14-powerpc64le-linux-gnu       	       0        1        0        1        0
17217 gcc-14-powerpc64le-linux-gnu-base  	       0        1        0        0        1
17218 gcc-14-riscv64-linux-gnu           	       0        3        0        3        0
17219 gcc-14-riscv64-linux-gnu-base      	       0        2        0        0        2
17220 gcc-14-s390x-linux-gnu             	       0        1        0        1        0
17221 gcc-14-s390x-linux-gnu-base        	       0        1        0        0        1
17222 gcc-14-source                      	       0        1        0        0        1
17223 gcc-14-sparc64-linux-gnu           	       0        1        0        1        0
17224 gcc-14-sparc64-linux-gnu-base      	       0        1        0        0        1
17225 gcc-14-test-results                	       0        1        0        0        1
17226 gcc-14-x86-64-linux-gnu-base       	       0        1        0        0        1
17227 gcc-15                             	       0        2        1        1        0
17228 gcc-15-base                        	       0        2        0        0        2
17229 gcc-15-x86-64-linux-gnu            	       0        2        1        1        0
17230 gcc-3.3                            	       0        3        0        3        0
17231 gcc-3.3-base                       	       0        3        0        0        3
17232 gcc-3.4-base                       	       0        1        0        0        1
17233 gcc-4.0-base                       	       0        1        0        0        1
17234 gcc-4.0-doc                        	       0        1        0        0        1
17235 gcc-4.1-base                       	       0        4        0        0        4
17236 gcc-4.1-locales                    	       0        1        0        0        1
17237 gcc-4.2                            	       0        2        0        2        0
17238 gcc-4.2-base                       	       0        8        0        0        8
17239 gcc-4.3                            	       0        1        0        1        0
17240 gcc-4.3-arm-linux-gnueabi          	       0        1        0        1        0
17241 gcc-4.3-arm-linux-gnueabi-base     	       0        1        0        0        1
17242 gcc-4.3-base                       	       0       12        0        0       12
17243 gcc-4.3-doc                        	       0        2        0        0        2
17244 gcc-4.3-locales                    	       0        1        0        0        1
17245 gcc-4.4                            	       0       16        1       15        0
17246 gcc-4.4-base                       	       0       28        0        0       28
17247 gcc-4.4-doc                        	       0        3        0        0        3
17248 gcc-4.4-locales                    	       0        1        0        0        1
17249 gcc-4.5                            	       0        1        0        1        0
17250 gcc-4.5-base                       	       0        4        0        0        4
17251 gcc-4.6                            	       0       28        1       27        0
17252 gcc-4.6-base                       	       0       34        0        0       34
17253 gcc-4.6-locales                    	       0        1        0        0        1
17254 gcc-4.6-multilib                   	       0        1        0        0        1
17255 gcc-4.7                            	       0        7        0        7        0
17256 gcc-4.7-base                       	       0       13        0        0       13
17257 gcc-4.7-doc                        	       0        5        0        0        5
17258 gcc-4.7-locales                    	       0        1        0        0        1
17259 gcc-4.7-multilib                   	       0        1        0        0        1
17260 gcc-4.8                            	       0       62        1       61        0
17261 gcc-4.8-base                       	       0      234        0        0      234
17262 gcc-4.8-doc                        	       0        1        0        0        1
17263 gcc-4.8-locales                    	       0        1        0        0        1
17264 gcc-4.8-plugin-dev                 	       0        1        0        0        1
17265 gcc-4.9                            	       0      140        4      135        1
17266 gcc-4.9-base                       	       0      295        0        0      295
17267 gcc-4.9-doc                        	       0        9        0        0        9
17268 gcc-4.9-locales                    	       0        2        0        0        2
17269 gcc-4.9-multilib                   	       0        5        0        0        5
17270 gcc-4.9-plugin-dev                 	       0        1        0        0        1
17271 gcc-5                              	       0        5        0        5        0
17272 gcc-5-base                         	       0       12        0        0       12
17273 gcc-6                              	       0      375        4      371        0
17274 gcc-6-aarch64-linux-gnu            	       0        2        0        2        0
17275 gcc-6-aarch64-linux-gnu-base       	       0        2        0        0        2
17276 gcc-6-arm-linux-gnueabihf          	       0        1        0        1        0
17277 gcc-6-arm-linux-gnueabihf-base     	       0        1        0        0        1
17278 gcc-6-base                         	       0      662        0        0      662
17279 gcc-6-cross-base                   	       0        2        0        0        2
17280 gcc-6-doc                          	       0       21        0        0       21
17281 gcc-6-locales                      	       0        3        0        0        3
17282 gcc-6-multilib                     	       0        7        0        0        7
17283 gcc-7                              	       0        5        0        5        0
17284 gcc-7-base                         	       0      312        0        0      312
17285 gcc-7-doc                          	       0        1        0        0        1
17286 gcc-7-locales                      	       0        1        0        0        1
17287 gcc-7-source                       	       0        1        0        0        1
17288 gcc-8                              	       0      169        5      164        0
17289 gcc-8-aarch64-linux-gnu            	       0        7        0        7        0
17290 gcc-8-aarch64-linux-gnu-base       	       0        7        0        0        7
17291 gcc-8-arm-linux-gnueabi            	       0        2        0        2        0
17292 gcc-8-arm-linux-gnueabi-base       	       0        2        0        0        2
17293 gcc-8-arm-linux-gnueabihf          	       0        4        0        4        0
17294 gcc-8-arm-linux-gnueabihf-base     	       0        4        0        0        4
17295 gcc-8-base                         	       0      924        0        0      924
17296 gcc-8-cross-base                   	       0       13        0        0       13
17297 gcc-8-cross-base-ports             	       0        2        0        0        2
17298 gcc-8-doc                          	       0        4        0        0        4
17299 gcc-8-i686-linux-gnu               	       0        2        0        2        0
17300 gcc-8-i686-linux-gnu-base          	       0        2        0        0        2
17301 gcc-8-locales                      	       0        1        0        0        1
17302 gcc-8-mips-linux-gnu               	       0        1        0        1        0
17303 gcc-8-mips-linux-gnu-base          	       0        1        0        0        1
17304 gcc-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
17305 gcc-8-mips64el-linux-gnuabi64-base 	       0        1        0        0        1
17306 gcc-8-mipsel-linux-gnu             	       0        1        0        1        0
17307 gcc-8-mipsel-linux-gnu-base        	       0        1        0        0        1
17308 gcc-8-multilib                     	       0       10        0        0       10
17309 gcc-8-multilib-i686-linux-gnu      	       0        1        0        0        1
17310 gcc-8-multilib-x86-64-linux-gnux32 	       0        1        0        0        1
17311 gcc-8-source                       	       0        1        0        0        1
17312 gcc-8-x86-64-linux-gnux32          	       0        2        0        2        0
17313 gcc-8-x86-64-linux-gnux32-base     	       0        2        0        0        2
17314 gcc-9                              	       0       33        0       33        0
17315 gcc-9-aarch64-linux-gnu            	       0        1        0        1        0
17316 gcc-9-aarch64-linux-gnu-base       	       0        1        0        0        1
17317 gcc-9-arm-linux-gnueabi            	       0        1        0        1        0
17318 gcc-9-arm-linux-gnueabi-base       	       0        1        0        0        1
17319 gcc-9-arm-linux-gnueabihf          	       0        2        0        2        0
17320 gcc-9-arm-linux-gnueabihf-base     	       0        2        0        0        2
17321 gcc-9-base                         	       0     1181        0        0     1181
17322 gcc-9-build-deps                   	       0        1        0        0        1
17323 gcc-9-cross-base                   	       0        9        0        0        9
17324 gcc-9-cross-base-ports             	       0        1        0        0        1
17325 gcc-9-doc                          	       0        6        0        0        6
17326 gcc-9-locales                      	       0        2        0        0        2
17327 gcc-9-multilib                     	       0        3        0        0        3
17328 gcc-aarch64-linux-gnu              	       0       33        2       31        0
17329 gcc-alpha-linux-gnu                	       0        3        0        3        0
17330 gcc-arm-linux-gnueabi              	       0       18        0       18        0
17331 gcc-arm-linux-gnueabihf            	       0       27        1       26        0
17332 gcc-arm-none-eabi                  	       0       58        1       57        0
17333 gcc-arm-none-eabi-dbgsym           	       0        1        0        1        0
17334 gcc-arm-none-eabi-source           	       0        1        0        0        1
17335 gcc-doc                            	       0       66        0        0       66
17336 gcc-doc-base                       	       0       94        0        0       94
17337 gcc-for-build                      	       0        1        0        0        1
17338 gcc-hppa-linux-gnu                 	       0        3        0        3        0
17339 gcc-i686-linux-gnu                 	       0       15        1       14        0
17340 gcc-ia16-elf                       	       0        1        0        1        0
17341 gcc-m68k-linux-gnu                 	       0        1        0        1        0
17342 gcc-mingw-w64                      	       0       36        0        0       36
17343 gcc-mingw-w64-base                 	       0       45        0        0       45
17344 gcc-mingw-w64-i686                 	       0       40        0        3       37
17345 gcc-mingw-w64-i686-posix-runtime   	       0       39        0        0       39
17346 gcc-mingw-w64-i686-win32-runtime   	       0       39        0        0       39
17347 gcc-mingw-w64-x86-64               	       0       41        0        3       38
17348 gcc-mingw-w64-x86-64-posix-runtime 	       0       40        0        0       40
17349 gcc-mingw-w64-x86-64-win32-runtime 	       0       41        0        0       41
17350 gcc-mips-linux-gnu                 	       0        5        0        5        0
17351 gcc-mips64-linux-gnuabi64          	       0        1        0        1        0
17352 gcc-mips64el-linux-gnuabi64        	       0        1        0        1        0
17353 gcc-mipsel-linux-gnu               	       0        5        0        5        0
17354 gcc-msp430                         	       0        2        0        2        0
17355 gcc-multilib                       	       0      162        0        0      162
17356 gcc-multilib-i686-linux-gnu        	       0        3        0        0        3
17357 gcc-multilib-x86-64-linux-gnux32   	       0        1        0        0        1
17358 gcc-offload-nvptx                  	       0        1        1        0        0
17359 gcc-or1k-elf                       	       0        1        0        1        0
17360 gcc-powerpc-linux-gnu              	       0        5        0        5        0
17361 gcc-powerpc64-linux-gnu            	       0        5        0        5        0
17362 gcc-powerpc64le-linux-gnu          	       0        1        0        1        0
17363 gcc-riscv64-linux-gnu              	       0        8        1        7        0
17364 gcc-riscv64-unknown-elf            	       0        3        0        3        0
17365 gcc-s390x-linux-gnu                	       0        4        0        4        0
17366 gcc-sparc64-linux-gnu              	       0        3        0        3        0
17367 gcc-x86-64-linux-gnux32            	       0        1        0        1        0
17368 gcc-xtensa-lx106                   	       0        1        0        1        0
17369 gccgo                              	       0        9        0        9        0
17370 gccgo-10                           	       0        3        1        2        0
17371 gccgo-10-doc                       	       0        1        0        0        1
17372 gccgo-11                           	       0        2        1        1        0
17373 gccgo-11-i686-linux-gnu            	       0        1        0        1        0
17374 gccgo-11-multilib-i686-linux-gnu   	       0        1        0        0        1
17375 gccgo-12                           	       0        6        0        6        0
17376 gccgo-13                           	       0        1        0        1        0
17377 gccgo-14                           	       0        2        0        2        0
17378 gccgo-14-doc                       	       0        1        0        0        1
17379 gccgo-14-x86-64-linux-gnu          	       0        2        0        2        0
17380 gccgo-6                            	       0        1        0        1        0
17381 gccgo-6-doc                        	       0        1        0        0        1
17382 gccgo-8                            	       0        1        0        1        0
17383 gccgo-8-doc                        	       0        1        0        0        1
17384 gccgo-doc                          	       0        1        0        0        1
17385 gccgo-go                           	       0        1        0        1        0
17386 gccgo-x86-64-linux-gnu             	       0        2        0        2        0
17387 gccintro                           	       0        7        0        0        7
17388 gccrs-14-for-build                 	       0        1        0        0        1
17389 gccxml                             	       0        5        0        5        0
17390 gcdmaster                          	       0        2        0        2        0
17391 gchempaint                         	       0        7        1        6        0
17392 gcin                               	       0        4        1        3        0
17393 gcin-data                          	       0        4        0        0        4
17394 gcin-gtk2-immodule                 	       0        4        0        0        4
17395 gcin-gtk3-immodule                 	       0        4        1        0        3
17396 gcin-tables                        	       0        4        0        0        4
17397 gcipher                            	       0        1        0        1        0
17398 gcj-4.0-base                       	       0        1        0        0        1
17399 gcj-4.1-base                       	       0        3        0        0        3
17400 gcj-4.2-base                       	       0        2        0        0        2
17401 gcj-4.3-base                       	       0        1        0        0        1
17402 gcj-4.4-base                       	       0        1        0        0        1
17403 gcj-4.4-jre                        	       0        1        0        0        1
17404 gcj-4.4-jre-headless               	       0        1        0        1        0
17405 gcj-4.4-jre-lib                    	       0        1        0        0        1
17406 gcj-4.6-base                       	       0        5        0        0        5
17407 gcj-4.6-jre-headless               	       0        2        0        2        0
17408 gcj-4.6-jre-lib                    	       0        3        0        0        3
17409 gcj-4.7-base                       	       0       10        0        0       10
17410 gcj-4.7-jre                        	       0        1        0        0        1
17411 gcj-4.7-jre-headless               	       0        3        0        3        0
17412 gcj-4.7-jre-lib                    	       0        3        0        0        3
17413 gcj-4.8-jre-headless               	       0        1        0        1        0
17414 gcj-4.8-jre-lib                    	       0        1        0        0        1
17415 gcj-4.9                            	       0        1        0        1        0
17416 gcj-4.9-jdk                        	       0        1        0        1        0
17417 gcj-4.9-jre                        	       0        1        0        0        1
17418 gcj-4.9-jre-headless               	       0        5        0        5        0
17419 gcj-4.9-jre-lib                    	       0        8        0        0        8
17420 gcj-4.9-source                     	       0        1        0        0        1
17421 gcj-6                              	       0        2        0        2        0
17422 gcj-6-doc                          	       0        1        0        0        1
17423 gcj-6-jdk                          	       0        2        0        2        0
17424 gcj-6-jre                          	       0        6        0        0        6
17425 gcj-6-jre-headless                 	       0        7        0        7        0
17426 gcj-6-jre-lib                      	       0       55        0        0       55
17427 gcj-doc                            	       0        1        0        0        1
17428 gcj-jdk                            	       0        2        0        2        0
17429 gcj-jre                            	       0        5        0        0        5
17430 gcj-jre-headless                   	       0        6        0        6        0
17431 gcl-doc                            	       0        6        0        0        6
17432 gcli                               	       0        3        0        3        0
17433 gcm                                	       0        4        0        4        0
17434 gcobol-15                          	       0        1        0        1        0
17435 gcobol-15-x86-64-linux-gnu         	       0        1        0        1        0
17436 gcodeworkshop                      	       0        1        0        1        0
17437 gcolor2                            	       0        8        0        8        0
17438 gcolor3                            	       0       15        1       14        0
17439 gcompris                           	       0       15        0        3       12
17440 gcompris-data                      	       0        4        0        0        4
17441 gcompris-qt-data                   	       0       28        0        0       28
17442 gcompris-sound-en                  	       0        3        0        0        3
17443 gcompris-sound-ru                  	       0        1        0        0        1
17444 gconf-cleaner                      	       0        1        0        1        0
17445 gconf-defaults-service             	       0       26        0        0       26
17446 gconf-editor                       	       0        9        0        9        0
17447 gconf-gsettings-backend            	       0       21        0        0       21
17448 gconf-service                      	       0      475        4       18      453
17449 gconf2-common                      	       0      481        3       10      468
17450 gcovr                              	       0        3        0        3        0
17451 gcp                                	       0        9        0        9        0
17452 gcpegg                             	       0        1        0        1        0
17453 gcrontab                           	       0        1        0        1        0
17454 gcrystal                           	       0        1        0        1        0
17455 gcstar                             	       0        4        1        3        0
17456 gcu-bin                            	       0        1        0        1        0
17457 gcx                                	       0        1        0        1        0
17458 gda2-postgres                      	       0        1        0        1        0
17459 gdal-data                          	       0      652        0        0      652
17460 gdal-plugins                       	       0      521        0        0      521
17461 gdb-arm-none-eabi                  	       0        2        0        2        0
17462 gdb-avr                            	       0       31        0       31        0
17463 gdb-bpf                            	       0        1        0        1        0
17464 gdb-doc                            	       0       56        0        0       56
17465 gdb-mingw-w64                      	       0        4        0        4        0
17466 gdb-mingw-w64-target               	       0        5        0        0        5
17467 gdb-msp430                         	       0        2        0        2        0
17468 gdb-multiarch                      	       0       21        0       21        0
17469 gdb-source                         	       0        3        0        0        3
17470 gdbm-l10n                          	       0      460        0        0      460
17471 gdbmtool                           	       0        3        0        3        0
17472 gdc                                	       0       14        0       14        0
17473 gdc-10                             	       0        3        0        3        0
17474 gdc-10-aarch64-linux-gnu           	       0        1        0        1        0
17475 gdc-12                             	       0       11        0       11        0
17476 gdc-13                             	       0        1        0        1        0
17477 gdc-14                             	       0        1        0        1        0
17478 gdc-14-x86-64-linux-gnu            	       0        1        0        1        0
17479 gdc-4.9                            	       0        2        0        2        0
17480 gdc-aarch64-linux-gnu              	       0        1        0        1        0
17481 gdc-x86-64-linux-gnu               	       0        1        0        1        0
17482 gdcm-doc                           	       0        1        0        0        1
17483 gdevilspie                         	       0        1        0        1        0
17484 gdf-tools                          	       0        2        0        2        0
17485 gdis                               	       0        5        0        5        0
17486 gdis-data                          	       0        5        0        0        5
17487 gdiskdump                          	       0        1        0        1        0
17488 gdk-imlib11                        	       0        1        0        1        0
17489 gdk-pixbuf-tests                   	       0        3        0        0        3
17490 gdl-astrolib                       	       0        1        0        0        1
17491 gdl-coyote                         	       0        2        0        0        2
17492 gdl-mpfit                          	       0        1        0        0        1
17493 gdm                                	       0        1        0        1        0
17494 gdmd                               	       0        1        0        1        0
17495 gdnsd                              	       0        3        1        2        0
17496 gdpc                               	       0        1        0        1        0
17497 gds-tools-11-7                     	       0        1        0        1        0
17498 gds-tools-12-4                     	       0        2        0        0        2
17499 gds-tools-12-6                     	       0        4        0        0        4
17500 gds-tools-12-8                     	       0        1        0        0        1
17501 gdu                                	       0        9        0        9        0
17502 geany-kvirc                        	       0        1        0        1        0
17503 geany-lsp                          	       0        1        0        1        0
17504 geany-plugin-addons                	       0       86        0        0       86
17505 geany-plugin-autoclose             	       0       71        0        0       71
17506 geany-plugin-automark              	       0       77        0        0       77
17507 geany-plugin-codenav               	       0       73        0        0       73
17508 geany-plugin-commander             	       0       71        0        0       71
17509 geany-plugin-ctags                 	       0       68        0        0       68
17510 geany-plugin-debugger              	       0       67        0        0       67
17511 geany-plugin-defineformat          	       0       67        0        0       67
17512 geany-plugin-devhelp               	       0        2        0        0        2
17513 geany-plugin-doc                   	       0       70        0        0       70
17514 geany-plugin-extrasel              	       0       69        0        0       69
17515 geany-plugin-gendoc                	       0       68        0        0       68
17516 geany-plugin-geniuspaste           	       0       68        0        0       68
17517 geany-plugin-git-changebar         	       0       72        0        0       72
17518 geany-plugin-gproject              	       0       12        0        0       12
17519 geany-plugin-insertnum             	       0       73        0        0       73
17520 geany-plugin-keyrecord             	       0       66        0        0       66
17521 geany-plugin-latex                 	       0       73        0        0       73
17522 geany-plugin-lineoperations        	       0       76        0        0       76
17523 geany-plugin-lipsum                	       0       70        0        0       70
17524 geany-plugin-lua                   	       0       68        0        0       68
17525 geany-plugin-macro                 	       0       71        0        0       71
17526 geany-plugin-markdown              	       0       76        0        0       76
17527 geany-plugin-miniscript            	       0       70        0        0       70
17528 geany-plugin-multiterm             	       0        2        0        0        2
17529 geany-plugin-numberedbookmarks     	       0       68        0        0       68
17530 geany-plugin-overview              	       0       68        0        0       68
17531 geany-plugin-pairtaghighlighter    	       0       69        0        0       69
17532 geany-plugin-pg                    	       0       68        0        0       68
17533 geany-plugin-pohelper              	       0       68        0        0       68
17534 geany-plugin-prettyprinter         	       0       69        0        0       69
17535 geany-plugin-prj                   	       0       69        0        0       69
17536 geany-plugin-projectorganizer      	       0       69        0        0       69
17537 geany-plugin-py                    	       0        2        0        0        2
17538 geany-plugin-scope                 	       0       66        0        0       66
17539 geany-plugin-sendmail              	       0       67        0        0       67
17540 geany-plugin-shiftcolumn           	       0       69        0        0       69
17541 geany-plugin-spellcheck            	       0       85        0        0       85
17542 geany-plugin-tableconvert          	       0       70        0        0       70
17543 geany-plugin-treebrowser           	       0       77        0        0       77
17544 geany-plugin-updatechecker         	       0       68        0        0       68
17545 geany-plugin-vc                    	       0       68        0        0       68
17546 geany-plugin-vimode                	       0       70        0        0       70
17547 geany-plugin-webhelper             	       0        3        0        0        3
17548 geany-plugin-workbench             	       0       67        0        0       67
17549 geany-plugin-xmlsnippets           	       0       68        0        0       68
17550 geany-plugins                      	       0       63        0        1       62
17551 geany-plugins-common               	       0      109        0        0      109
17552 gearhead                           	       0        7        0        7        0
17553 gearhead-data                      	       0       10        0        0       10
17554 gearhead-sdl                       	       0        3        0        3        0
17555 gearhead2                          	       0        4        0        4        0
17556 gearhead2-data                     	       0        5        0        0        5
17557 gearhead2-sdl                      	       0        3        0        3        0
17558 geary                              	       0        6        0        6        0
17559 gecko-mediaplayer                  	       0        1        0        1        0
17560 geda                               	       0       12        0        0       12
17561 geda-doc                           	       0       16        0        0       16
17562 geda-examples                      	       0       12        0        0       12
17563 geda-gattrib                       	       0       11        0       11        0
17564 geda-gnetlist                      	       0       12        0       12        0
17565 geda-gschem                        	       0       12        0       12        0
17566 geda-gsymcheck                     	       0       12        0       12        0
17567 geda-symbols                       	       0       15        0        0       15
17568 geda-utils                         	       0        6        0        6        0
17569 geda-xgsch2pcb                     	       0        4        0        4        0
17570 gedit-common                       	       0      403        1        2      400
17571 gedit-latex-plugin                 	       0        2        0        2        0
17572 gedit-plugin-bookmarks             	       0      121        0        0      121
17573 gedit-plugin-bracket-completion    	       0      121        0        0      121
17574 gedit-plugin-character-map         	       0      123        0        0      123
17575 gedit-plugin-code-comment          	       0      121        0        0      121
17576 gedit-plugin-color-picker          	       0      126        0        0      126
17577 gedit-plugin-color-schemer         	       0      117        0        0      117
17578 gedit-plugin-commander             	       0       59        0        0       59
17579 gedit-plugin-draw-spaces           	       0      121        0        1      120
17580 gedit-plugin-find-in-files         	       0       59        0        0       59
17581 gedit-plugin-git                   	       0      121        0        0      121
17582 gedit-plugin-join-lines            	       0      123        0        0      123
17583 gedit-plugin-multi-edit            	       0      123        0        0      123
17584 gedit-plugin-session-saver         	       0      112        0        0      112
17585 gedit-plugin-smart-spaces          	       0      121        0        0      121
17586 gedit-plugin-synctex               	       0      117        0        0      117
17587 gedit-plugin-terminal              	       0      121        0        0      121
17588 gedit-plugin-text-size             	       0      111        0        0      111
17589 gedit-plugin-translate             	       0       59        0        0       59
17590 gedit-plugin-word-completion       	       0      121        0        0      121
17591 gedit-plugin-zeitgeist             	       0       11        0        0       11
17592 gedit-plugins                      	       0      128        0        0      128
17593 gedit-plugins-common               	       0      128        0        0      128
17594 gedit-source-code-browser-plugin   	       0        4        0        4        0
17595 geekcode                           	       0        6        0        6        0
17596 geg                                	       0        4        0        4        0
17597 gegl                               	       0        7        0        7        0
17598 geiser                             	       0        1        0        0        1
17599 geki2                              	       0        3        0        3        0
17600 geki3                              	       0        3        0        3        0
17601 gelemental                         	       0        7        0        7        0
17602 gem                                	       0       16        0       16        0
17603 gem-doc                            	       0       15        0        0       15
17604 gem-extra                          	       0       16        0       16        0
17605 gem-plugin-assimp                  	       0       15        0       15        0
17606 gem-plugin-dv4l                    	       0        2        0        2        0
17607 gem-plugin-glfw3                   	       0        1        0        1        0
17608 gem-plugin-gmerlin                 	       0       15        0       15        0
17609 gem-plugin-jpeg                    	       0        2        0        2        0
17610 gem-plugin-lqt                     	       0       13        0       13        0
17611 gem-plugin-magick                  	       0       15        0       15        0
17612 gem-plugin-mpeg3                   	       0        1        0        1        0
17613 gem-plugin-sdl                     	       0        1        0        1        0
17614 gem-plugin-tiff                    	       0        1        0        1        0
17615 gem-plugin-v4l2                    	       0       14        0       14        0
17616 gem-plugin-vlc                     	       0        2        0        2        0
17617 gem2deb                            	       0        9        0        9        0
17618 gem2deb-test-runner                	       0       10        0       10        0
17619 gemdropx                           	       0       11        0       11        0
17620 gemrb                              	       0        1        0        1        0
17621 gemrb-data                         	       0        1        0        0        1
17622 gems                               	       0        1        0        1        0
17623 gendarme                           	       0        4        0        4        0
17624 genders                            	       0        4        0        4        0
17625 geneagrapher                       	       0        4        1        3        0
17626 generate-ninja                     	       0        9        0        9        0
17627 generator-scripting-language       	       0        2        0        2        0
17628 generator-scripting-language-examples	       0        2        0        2        0
17629 geneweb                            	       0        5        0        5        0
17630 geneweb-gui                        	       0        2        0        2        0
17631 genext2fs                          	       0       16        0       16        0
17632 gengetopt                          	       0       11        0       11        0
17633 genimage                           	       0        3        0        3        0
17634 genisovh                           	       0        1        0        1        0
17635 genius                             	       0       16        1       15        0
17636 genius-common                      	       0       27        0        0       27
17637 genometools-common                 	       0        1        0        0        1
17638 genparse                           	       0        1        0        1        0
17639 genromfs                           	       0        3        0        3        0
17640 gentle                             	       0        2        0        2        0
17641 gentoo                             	       0        7        0        7        0
17642 geoclue                            	       0        4        0        4        0
17643 geoclue-2-demo                     	       0        4        0        0        4
17644 geoclue-doc                        	       0        2        0        0        2
17645 geoclue-examples                   	       0        1        0        1        0
17646 geoclue-hostip                     	       0        4        0        4        0
17647 geoclue-localnet                   	       0        4        0        4        0
17648 geoclue-manual                     	       0        4        0        4        0
17649 geoclue-nominatim                  	       0        2        0        2        0
17650 geoclue-yahoo                      	       0        3        0        3        0
17651 geocode-glib-common                	       0      428        0        0      428
17652 geoeasy                            	       0        1        0        1        0
17653 geoeasy-doc                        	       0        1        0        0        1
17654 geogebra                           	       0       33        2       31        0
17655 geogebra-classic                   	       0        2        0        2        0
17656 geogebra-gnome                     	       0        4        2        2        0
17657 geogebra-kde                       	       0        1        0        1        0
17658 geogebra5                          	       0        2        0        2        0
17659 geographiclib-tools                	       0        4        0        4        0
17660 geoip-database                     	       0     1828        0        0     1828
17661 geoip-database-contrib             	       0        3        0        3        0
17662 geoip-database-extra               	       0       22        0        0       22
17663 geoipupdate                        	       0       18        1       17        0
17664 geole-keyring                      	       0        1        0        0        1
17665 geomview                           	       0       20        2       18        0
17666 geonkick                           	       0        1        0        1        0
17667 geophar                            	       0        1        0        1        0
17668 geos-bin                           	       0        1        0        1        0
17669 geotranz                           	       0        5        0        5        0
17670 geotranz-doc                       	       0        2        0        0        2
17671 geotranz-help                      	       0        5        0        0        5
17672 gerbera                            	       0        8        2        6        0
17673 gerbv                              	       0       35        0       35        0
17674 germinate                          	       0        1        0        1        0
17675 gerris                             	       0        2        0        2        0
17676 gertty                             	       0        1        0        1        0
17677 ges1.0-tools                       	       0        2        0        2        0
17678 gespeaker                          	       0        3        0        3        0
17679 get-flash-videos                   	       0        5        0        5        0
17680 get-iplayer                        	       0        9        0        9        0
17681 getdns-utils                       	       0        2        0        2        0
17682 getdp                              	       0        1        0        1        0
17683 getenvoy-envoy                     	       0        1        0        1        0
17684 gethwake                           	       0        1        0        1        0
17685 getlibs                            	       0        1        0        1        0
17686 getmail                            	       0       16        0        9        7
17687 getmail4                           	       0       19        1        6       12
17688 gettext-base-dbgsym                	       0        1        0        1        0
17689 gettext-build-deps                 	       0        1        0        0        1
17690 gettext-dbgsym                     	       0        1        0        1        0
17691 gettext-el                         	       0       10        3        7        0
17692 gettext-kde                        	       0        1        0        1        0
17693 getty-run                          	       0      274        0        0      274
17694 geximon                            	       0        2        0        2        0
17695 gextractwinicons                   	       0        2        0        2        0
17696 gfan                               	       0       11        0       11        0
17697 gfax                               	       0        1        0        1        0
17698 gff2aplot                          	       0        2        0        2        0
17699 gff2ps                             	       0        2        0        2        0
17700 gfio                               	       0        5        0        5        0
17701 gfm                                	       0        5        0        5        0
17702 gfontview                          	       0        1        0        1        0
17703 gforth                             	       0       22        0       22        0
17704 gforth-common                      	       0       22        0        0       22
17705 gforth-lib                         	       0       22        0        0       22
17706 gfortran-10-doc                    	       0       10        0        0       10
17707 gfortran-10-multilib               	       0       13        0        0       13
17708 gfortran-11                        	       0       13        0       13        0
17709 gfortran-11-doc                    	       0        2        0        0        2
17710 gfortran-11-multilib               	       0        2        0        0        2
17711 gfortran-12-doc                    	       0       14        0        0       14
17712 gfortran-12-multilib               	       0       15        0        0       15
17713 gfortran-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
17714 gfortran-12-x86-64-linux-gnux32    	       0        1        0        1        0
17715 gfortran-13                        	       0       25        1       24        0
17716 gfortran-13-doc                    	       0        4        0        0        4
17717 gfortran-13-i686-linux-gnu         	       0        1        0        1        0
17718 gfortran-13-multilib               	       0        2        0        0        2
17719 gfortran-13-x86-64-linux-gnu       	       0       22        1       21        0
17720 gfortran-14-doc                    	       0        2        0        0        2
17721 gfortran-14-i686-linux-gnu         	       0        1        0        1        0
17722 gfortran-14-multilib               	       0        5        0        0        5
17723 gfortran-15                        	       0        1        0        1        0
17724 gfortran-15-x86-64-linux-gnu       	       0        1        0        1        0
17725 gfortran-4.3-doc                   	       0        1        0        0        1
17726 gfortran-4.4                       	       0        1        0        1        0
17727 gfortran-4.9                       	       0        9        0        9        0
17728 gfortran-4.9-doc                   	       0        1        0        0        1
17729 gfortran-4.9-multilib              	       0        1        0        0        1
17730 gfortran-5                         	       0        1        0        1        0
17731 gfortran-6                         	       0       31        0       31        0
17732 gfortran-6-doc                     	       0        4        0        0        4
17733 gfortran-6-multilib                	       0        1        0        0        1
17734 gfortran-8                         	       0       31        0       31        0
17735 gfortran-8-multilib                	       0        1        0        0        1
17736 gfortran-9                         	       0        5        0        5        0
17737 gfortran-9-doc                     	       0        1        0        0        1
17738 gfortran-doc                       	       0       20        0        0       20
17739 gfortran-i686-linux-gnu            	       0        1        0        1        0
17740 gfortran-mingw-w64                 	       0        3        0        0        3
17741 gfortran-mingw-w64-i686            	       0        3        0        0        3
17742 gfortran-mingw-w64-i686-posix      	       0        3        0        3        0
17743 gfortran-mingw-w64-i686-win32      	       0        3        0        3        0
17744 gfortran-mingw-w64-x86-64          	       0        3        0        0        3
17745 gfortran-mingw-w64-x86-64-posix    	       0        3        0        3        0
17746 gfortran-mingw-w64-x86-64-win32    	       0        4        0        4        0
17747 gfortran-multilib                  	       0       17        0        0       17
17748 gfpoken                            	       0        7        0        7        0
17749 gfs2-utils                         	       0        1        0        1        0
17750 gfsecret                           	       0        2        0        2        0
17751 gftp                               	       0       40        0        0       40
17752 gftp-text                          	       0       45        0       45        0
17753 gfxboot                            	       0        5        0        5        0
17754 gfxboot-dev                        	       0        3        0        3        0
17755 gfxboot-themes                     	       0        4        0        4        0
17756 ggcov                              	       0        1        0        1        0
17757 ggobi                              	       0        3        0        3        0
17758 ggz                                	       0        1        0        0        1
17759 ggz-game-servers                   	       0        1        0        1        0
17760 ggz-gnome-client                   	       0        1        0        1        0
17761 ggz-gtk-client                     	       0        1        0        1        0
17762 ggz-gtk-games                      	       0        1        0        1        0
17763 ggz-gtk-games-data                 	       0        1        0        0        1
17764 ggz-kde-games                      	       0        1        0        1        0
17765 ggz-kde-games-data                 	       0        1        0        0        1
17766 ggz-sdl-games                      	       0        1        0        1        0
17767 ggz-sdl-games-data                 	       0        1        0        0        1
17768 ggz-txt-client                     	       0        1        0        1        0
17769 ggzcore-bin                        	       0        2        0        2        0
17770 ggzd                               	       0        1        0        1        0
17771 ghc-8.4.4                          	       0        1        0        1        0
17772 ghc-prof                           	       0       25        0       22        3
17773 ghdl                               	       0       12        0        1       11
17774 ghdl-gcc                           	       0        3        1        2        0
17775 ghdl-llvm                          	       0        2        0        2        0
17776 ghemical                           	       0        3        0        3        0
17777 ghex                               	       0       49        0       49        0
17778 ghextris                           	       0       11        0       11        0
17779 ghfaxviewer                        	       0        1        0        1        0
17780 ghi                                	       0        1        0        1        0
17781 ghidra                             	       0        1        0        1        0
17782 ghmm                               	       0        1        0        1        0
17783 ghostess                           	       0        7        0        7        0
17784 ghostscript-build-deps             	       0        1        0        0        1
17785 ghostscript-cups                   	       0        2        0        2        0
17786 ghostscript-dbgsym                 	       0        1        0        1        0
17787 ghostscript-doc                    	       0       19        0        0       19
17788 ghostscript-x                      	       0      247        2       30      215
17789 ghostscript-x-dbgsym               	       0        1        0        1        0
17790 ghostty                            	       0        3        1        2        0
17791 giac-doc                           	       0        1        0        0        1
17792 giada                              	       0        5        0        5        0
17793 giara                              	       0        2        0        2        0
17794 giblib1                            	       0      111        0        0      111
17795 gif2apng                           	       0        2        0        2        0
17796 gif2png                            	       0        3        0        3        0
17797 gifsicle                           	       0       23        2       21        0
17798 gifski                             	       0        2        0        2        0
17799 giftrans                           	       0       10        0       10        0
17800 gig-uiems                          	       0        1        0        0        1
17801 gigalomania                        	       0        3        0        3        0
17802 gigalomania-data                   	       0        3        0        0        3
17803 gigatribe                          	       0        1        0        1        0
17804 gigedit                            	       0        3        0        3        0
17805 giggle                             	       0       17        0       17        0
17806 giggle-personal-details-plugin     	       0        2        0        2        0
17807 giggle-terminal-view-plugin        	       0        2        0        2        0
17808 gigtools                           	       0        5        0        5        0
17809 gij-4.0                            	       0        1        0        1        0
17810 gij-4.1                            	       0        1        0        1        0
17811 gij-4.2                            	       0        1        0        1        0
17812 gij-4.3                            	       0        1        0        1        0
17813 gimagereader                       	       0       40        3       37        0
17814 gimagereader-common                	       0       35        0        0       35
17815 gimagereader-qt                    	       0        1        1        0        0
17816 gimagereader-qt5                   	       0        7        0        7        0
17817 gimmix                             	       0        1        0        1        0
17818 gimp-data                          	       0     2697        0        6     2691
17819 gimp-data-extras                   	       0      140        0        0      140
17820 gimp-dcraw                         	       0       12        1       11        0
17821 gimp-dds                           	       0        5        0        5        0
17822 gimp-dimage-color                  	       0        2        0        2        0
17823 gimp-flegita                       	       0        1        0        1        0
17824 gimp-gap                           	       0       32        0       32        0
17825 gimp-help-common                   	       0      180        0        0      180
17826 gimp-help-de                       	       0       38        0        0       38
17827 gimp-help-el                       	       0        3        0        0        3
17828 gimp-help-en                       	       0       79        0        0       79
17829 gimp-help-en-gb                    	       0       12        0        0       12
17830 gimp-help-es                       	       0       15        0        0       15
17831 gimp-help-fr                       	       0       10        0        0       10
17832 gimp-help-hu                       	       0        2        0        0        2
17833 gimp-help-it                       	       0        3        0        0        3
17834 gimp-help-ko                       	       0        1        0        0        1
17835 gimp-help-lt                       	       0        1        0        0        1
17836 gimp-help-nl                       	       0        3        0        0        3
17837 gimp-help-ru                       	       0       26        0        0       26
17838 gimp-help-sl                       	       0        1        0        0        1
17839 gimp-help-sv                       	       0       11        0        0       11
17840 gimp-help-uk                       	       0        2        0        0        2
17841 gimp-lensfun                       	       0       34        0       34        0
17842 gimp-manual                        	       0        1        0        0        1
17843 gimp-plugin-file-jxl               	       0        1        0        1        0
17844 gimp-plugin-ris                    	       0        1        0        1        0
17845 gimp-plugin-scaler-biakima         	       0        1        0        1        0
17846 gimp-python                        	       0        4        0        4        0
17847 gimp-resynthesizer                 	       0        2        0        0        2
17848 gimp-ufraw                         	       0       15        0       15        0
17849 gimp2.0-quiteinsane                	       0        1        0        1        0
17850 gimpprint-doc                      	       0        1        0        0        1
17851 ginac-tools                        	       0        3        2        1        0
17852 ginkgocadx                         	       0        5        0        5        0
17853 gip                                	       0        4        0        4        0
17854 gir-to-d                           	       0        1        0        1        0
17855 gir1.2-abi-3.0                     	       0        1        0        0        1
17856 gir1.2-accounts-1.0                	       0        1        0        1        0
17857 gir1.2-ags-6.0                     	       0        1        0        0        1
17858 gir1.2-agsaudio-6.0                	       0        1        0        0        1
17859 gir1.2-amtk-5                      	       0       87        0        1       86
17860 gir1.2-appindicator-0.1            	       0        2        0        0        2
17861 gir1.2-appindicator3-0.1           	       0       68        0        4       64
17862 gir1.2-appstream-1.0               	       0       32        1        2       29
17863 gir1.2-appstreamcompose-1.0        	       0        1        0        0        1
17864 gir1.2-appstreamglib-1.0           	       0        2        0        0        2
17865 gir1.2-avahi-0.6                   	       0        1        0        0        1
17866 gir1.2-ayatanaappindicator-0.1     	       0        2        0        0        2
17867 gir1.2-ayatanaido3-0.4             	       0        9        0        0        9
17868 gir1.2-babl-0.1                    	       0       64        0        1       63
17869 gir1.2-bamf-3                      	       0       57        0        0       57
17870 gir1.2-blockdev-2.0                	       0        3        0        0        3
17871 gir1.2-brasero-3.1                 	       0        1        0        0        1
17872 gir1.2-budgie-1.0                  	       0        9        1        0        8
17873 gir1.2-budgieraven-1.0             	       0        4        1        0        3
17874 gir1.2-caja                        	       0        5        0        0        5
17875 gir1.2-camel-1.2                   	       0      238        0        0      238
17876 gir1.2-champlain-0.12              	       0       21        0        0       21
17877 gir1.2-cheese-3.0                  	       0       24        0        0       24
17878 gir1.2-cloudproviders-0.3.0        	       0       31        0        0       31
17879 gir1.2-clutter-1.0                 	       0      242        0        0      242
17880 gir1.2-clutter-gst-1.0             	       0        2        0        2        0
17881 gir1.2-clutter-gst-2.0             	       0        7        0        7        0
17882 gir1.2-clutter-gst-3.0             	       0       26        0        0       26
17883 gir1.2-cogl-1.0                    	       0      245        0        0      245
17884 gir1.2-coglpango-1.0               	       0      245        0        0      245
17885 gir1.2-colord-1.0                  	       0       19        0        0       19
17886 gir1.2-colordgtk-1.0               	       0       14        0        0       14
17887 gir1.2-cryptui-0.0                 	       0        1        0        0        1
17888 gir1.2-cscreensaver-1.0            	       0      208       11       21      176
17889 gir1.2-dazzle-1.0                  	       0       14        0        0       14
17890 gir1.2-dbusmenu-glib-0.4           	       0       25        0        0       25
17891 gir1.2-dbusmenu-gtk-0.4            	       0        5        0        0        5
17892 gir1.2-dbusmenu-gtk3-0.4           	       0        8        0        0        8
17893 gir1.2-dee-1.0                     	       0        1        0        1        0
17894 gir1.2-ebackend-1.2                	       0        4        0        0        4
17895 gir1.2-ebook-1.2                   	       0        6        0        0        6
17896 gir1.2-ebookcontacts-1.2           	       0       16        0        1       15
17897 gir1.2-ecal-2.0                    	       0      222        0        0      222
17898 gir1.2-edatabook-1.2               	       0        4        0        0        4
17899 gir1.2-edataserver-1.2             	       0      239        0        1      238
17900 gir1.2-edataserverui-1.2           	       0        1        0        0        1
17901 gir1.2-entangle-0.1                	       0        7        0        7        0
17902 gir1.2-eom-1.0                     	       0      462        1        5      456
17903 gir1.2-evince-3.0                  	       0      491        0        0      491
17904 gir1.2-farstream-0.2               	       0       57        1        8       48
17905 gir1.2-fcitx-1.0                   	       0        1        0        0        1
17906 gir1.2-flatpak-1.0                 	       0       17        0        0       17
17907 gir1.2-folks-0.6                   	       0        5        0        3        2
17908 gir1.2-folks-0.7                   	       0        3        0        0        3
17909 gir1.2-freedesktop-dev             	       0       55        0        0       55
17910 gir1.2-fwupd-2.0                   	       0        6        0        0        6
17911 gir1.2-ganv-1.0                    	       0        1        0        0        1
17912 gir1.2-garcon-1.0                  	       0        8        0        0        8
17913 gir1.2-garcongtk-1.0               	       0        5        0        0        5
17914 gir1.2-gcab-1.0                    	       0        2        0        0        2
17915 gir1.2-gck-2                       	       0       10        0        4        6
17916 gir1.2-gconf-2.0                   	       0       64        0       64        0
17917 gir1.2-gcr-4                       	       0        9        0        4        5
17918 gir1.2-gda-5.0                     	       0        4        1        0        3
17919 gir1.2-gdata-0.0                   	       0       35        0        0       35
17920 gir1.2-gdl-3                       	       0        1        0        0        1
17921 gir1.2-gdm3                        	       0        1        0        1        0
17922 gir1.2-gee-0.8                     	       0       22        0        0       22
17923 gir1.2-gee-1.0                     	       0        4        0        1        3
17924 gir1.2-gegl-0.4                    	       0       63        0        1       62
17925 gir1.2-geocodeglib-1.0             	       0       36        0        1       35
17926 gir1.2-geocodeglib-2.0             	       0      112        0        0      112
17927 gir1.2-gepub-0.4                   	       0        4        0        0        4
17928 gir1.2-gepub-0.6                   	       0        5        0        0        5
17929 gir1.2-ges-1.0                     	       0       36        0        1       35
17930 gir1.2-gfbgraph-0.2                	       0       14        0        0       14
17931 gir1.2-gimp-3.0                    	       0       41        0        1       40
17932 gir1.2-girepository-2.0-dev        	       0       10        0        0       10
17933 gir1.2-girepository-3.0            	       0        1        0        0        1
17934 gir1.2-girepository-3.0-dev        	       0        1        0        0        1
17935 gir1.2-git2-glib-1.0               	       0        9        0        9        0
17936 gir1.2-gkbd-3.0                    	       0      304        0        0      304
17937 gir1.2-gladeui-2.0                 	       0        3        0        0        3
17938 gir1.2-glib-2.0-dev                	       0       68        0        0       68
17939 gir1.2-gmenu-3.0                   	       0      243        2        8      233
17940 gir1.2-gmime-2.6                   	       0       11        0        0       11
17941 gir1.2-gmime-3.0                   	       0        6        0        0        6
17942 gir1.2-gnomeautoar-0.1             	       0        2        0        2        0
17943 gir1.2-gnomebg-4.0                 	       0       10        0        4        6
17944 gir1.2-gnomebluetooth-1.0          	       0       57        0        5       52
17945 gir1.2-gnomedesktop-4.0            	       0      303        0        4      299
17946 gir1.2-goa-1.0                     	       0      417        0        0      417
17947 gir1.2-goffice-0.10                	       0        2        0        0        2
17948 gir1.2-goocanvas-2.0               	       0       85        0        1       84
17949 gir1.2-gpaste-1.0                  	       0        1        0        0        1
17950 gir1.2-gpaste-2                    	       0        2        0        2        0
17951 gir1.2-granite-1.0                 	       0        4        0        0        4
17952 gir1.2-grilo-0.3                   	       0      119        0        0      119
17953 gir1.2-gsf-1                       	       0       17        0        0       17
17954 gir1.2-gspell-1                    	       0       92        1        8       83
17955 gir1.2-gssdp-1.0                   	       0        1        0        0        1
17956 gir1.2-gssdp-1.6                   	       0        5        0        0        5
17957 gir1.2-gst-plugins-bad-1.0         	       0      416        0        1      415
17958 gir1.2-gst-plugins-base-0.10       	       0        7        0        7        0
17959 gir1.2-gst-rtsp-server-1.0         	       0        2        0        0        2
17960 gir1.2-gstreamer-0.10              	       0       11        0       11        0
17961 gir1.2-gtk-2.0                     	       0      379        1       14      364
17962 gir1.2-gtk-vnc-2.0                 	       0      337        7       16      314
17963 gir1.2-gtkchamplain-0.12           	       0       21        0        0       21
17964 gir1.2-gtkclutter-1.0              	       0      227        0        0      227
17965 gir1.2-gtklayershell-0.1           	       0        4        0        0        4
17966 gir1.2-gtksource-3.0               	       0     1732        5       16     1711
17967 gir1.2-gtksource-300               	       0       32        0        0       32
17968 gir1.2-gtksource-5                 	       0        4        0        0        4
17969 gir1.2-gtkspell3-3.0               	       0       87        1        2       84
17970 gir1.2-gtop-2.0                    	       0       38        2       11       25
17971 gir1.2-gucharmap-2.90              	       0      134        0        0      134
17972 gir1.2-gudev-1.0                   	       0      127        1        4      122
17973 gir1.2-gupnp-1.0                   	       0        1        0        0        1
17974 gir1.2-gupnp-1.6                   	       0        5        0        0        5
17975 gir1.2-gupnp-av-1.0                	       0        1        0        0        1
17976 gir1.2-gupnpdlna-2.0               	       0        1        0        0        1
17977 gir1.2-gupnpigd-1.0                	       0       45        0        3       42
17978 gir1.2-gupnpigd-1.6                	       0        3        0        0        3
17979 gir1.2-gusb-1.0                    	       0        3        0        2        1
17980 gir1.2-gweather-3.0                	       0       48        0        5       43
17981 gir1.2-gxps-0.1                    	       0        1        0        0        1
17982 gir1.2-handy-0.0                   	       0       30        0        0       30
17983 gir1.2-ical-3.0                    	       0      239        0        0      239
17984 gir1.2-inputpad-1.1                	       0        1        0        0        1
17985 gir1.2-javascriptcoregtk-3.0       	       0       19        0        0       19
17986 gir1.2-javascriptcoregtk-4.1       	       0      252        1        1      250
17987 gir1.2-javascriptcoregtk-6.0       	       0       14        0        0       14
17988 gir1.2-jcat-1.0                    	       0        3        0        0        3
17989 gir1.2-jsonrpc-1.0                 	       0        4        0        0        4
17990 gir1.2-keybinder-0.0               	       0        1        0        0        1
17991 gir1.2-langtag-0.6                 	       0        2        0        0        2
17992 gir1.2-libinsane-1.0               	       0       14        0        0       14
17993 gir1.2-libosinfo-1.0               	       0      375        7       16      352
17994 gir1.2-libvirt-glib-1.0            	       0      335        7       20      308
17995 gir1.2-libvirt-sandbox-1.0         	       0        1        0        0        1
17996 gir1.2-libxfce4panel-2.0           	       0       18        0        0       18
17997 gir1.2-libxfce4ui-2.0              	       0       49        0        0       49
17998 gir1.2-libxfce4util-1.0            	       0       66        0        0       66
17999 gir1.2-lightdm-1                   	       0       10        1        8        1
18000 gir1.2-lokdocview-0.1              	       0       64        0        0       64
18001 gir1.2-malcontentui-1              	       0        1        0        0        1
18002 gir1.2-manette-0.2                 	       0        1        0        0        1
18003 gir1.2-mate-desktop                	       0        1        0        0        1
18004 gir1.2-mate-menu                   	       0        1        0        0        1
18005 gir1.2-mate-panel                  	       0       27        0        0       27
18006 gir1.2-matekbd-1.0                 	       0        2        0        0        2
18007 gir1.2-mediaart-1.0                	       0        1        0        0        1
18008 gir1.2-mediaart-2.0                	       0      118        0        0      118
18009 gir1.2-messagingmenu-1.0           	       0        2        0        0        2
18010 gir1.2-modemmanager-1.0            	       0        2        0        0        2
18011 gir1.2-msg-1                       	       0        1        0        0        1
18012 gir1.2-mutter-12                   	       0        1        0        0        1
18013 gir1.2-mutter-14                   	       0        3        0        3        0
18014 gir1.2-mutter-15                   	       0        4        0        0        4
18015 gir1.2-mutter-16                   	       0        1        0        0        1
18016 gir1.2-mutter-3                    	       0        4        0        1        3
18017 gir1.2-mutter-3.0                  	       0        3        0        0        3
18018 gir1.2-mutter-7                    	       0       30        0        4       26
18019 gir1.2-mutter-9                    	       0        1        0        0        1
18020 gir1.2-mypaint-1.5                 	       0        1        0        0        1
18021 gir1.2-nautilus-3.0                	       0       19        0        0       19
18022 gir1.2-nautilus-4.0                	       0       34        0        1       33
18023 gir1.2-networkmanager-1.0          	       0       12        0        0       12
18024 gir1.2-nice-0.1                    	       0        4        0        0        4
18025 gir1.2-nma4-1.0                    	       0       12        0        4        8
18026 gir1.2-nmgtk-1.0                   	       0        9        0        0        9
18027 gir1.2-osmgpsmap-1.0               	       0       47        0        0       47
18028 gir1.2-ostree-1.0                  	       0        6        0        0        6
18029 gir1.2-panel-1                     	       0        1        0        0        1
18030 gir1.2-panelapplet-4.0             	       0        4        0        1        3
18031 gir1.2-panelapplet-5.0             	       0        3        0        0        3
18032 gir1.2-playerctl-2.0               	       0        2        0        0        2
18033 gir1.2-poppler-0.18                	       0      174        0        0      174
18034 gir1.2-rb-3.0                      	       0      402        1        4      397
18035 gir1.2-rda-1.0                     	       0        1        0        0        1
18036 gir1.2-rest-0.7                    	       0       30        0       30        0
18037 gir1.2-rest-1.0                    	       0      109        0        1      108
18038 gir1.2-restextras-1.0              	       0        1        0        0        1
18039 gir1.2-retro-1                     	       0        3        0        0        3
18040 gir1.2-secret-1                    	       0     2590       25       81     2484
18041 gir1.2-shumate-1.0                 	       0      108        0        0      108
18042 gir1.2-signon-2.0                  	       0        2        0        2        0
18043 gir1.2-snapd-1                     	       0        2        0        0        2
18044 gir1.2-snapd-2                     	       0        1        0        0        1
18045 gir1.2-spelling-1                  	       0        2        0        0        2
18046 gir1.2-spice-client-glib-2.0       	       0       20        0       20        0
18047 gir1.2-spice-client-gtk-3.0        	       0       19        0       19        0
18048 gir1.2-spiceclientglib-2.0         	       0      310        7       12      291
18049 gir1.2-spiceclientgtk-3.0          	       0      307        7       12      288
18050 gir1.2-sugarext-1.0                	       0      299        0        0      299
18051 gir1.2-telepathyglib-0.12          	       0      282        2        7      273
18052 gir1.2-telepathylogger-0.2         	       0       22        2        5       15
18053 gir1.2-template-1.0                	       0        3        0        0        3
18054 gir1.2-tepl-6                      	       0       87        0        1       86
18055 gir1.2-thunarx-3.0                 	       0        5        0        0        5
18056 gir1.2-totem-1.0                   	       0      397        0        5      392
18057 gir1.2-totem-plparser-1.0          	       0       13        0        1       12
18058 gir1.2-totemplparser-1.0           	       0      392        0        4      388
18059 gir1.2-tracker-0.14                	       0        2        0        2        0
18060 gir1.2-tracker-1.0                 	       0        8        0        0        8
18061 gir1.2-tracker-2.0                 	       0       29        0        0       29
18062 gir1.2-tracker-3.0                 	       0      109        0        0      109
18063 gir1.2-udisks-2.0                  	       0       44        0        0       44
18064 gir1.2-umockdev-1.0                	       0        2        0        0        2
18065 gir1.2-urfkill-0.5                 	       0        2        0        0        2
18066 gir1.2-urfkill-glib0               	       0        1        0        0        1
18067 gir1.2-vips-8.0                    	       0        5        0        4        1
18068 gir1.2-vte-2.90                    	       0       17        0       17        0
18069 gir1.2-vte-3.91                    	       0        1        0        0        1
18070 gir1.2-webkit-3.0                  	       0       10        0        0       10
18071 gir1.2-webkit-6.0                  	       0       14        0        0       14
18072 gir1.2-webkit2-4.1                 	       0      252        1        1      250
18073 gir1.2-wnck-1.0                    	       0        8        0        0        8
18074 gir1.2-wnck-3.0                    	       0     2521        6       18     2497
18075 gir1.2-wp-0.4                      	       0        2        0        0        2
18076 gir1.2-wp-0.5                      	       0        2        0        0        2
18077 gir1.2-xdp-1.0                     	       0       10        0        0       10
18078 gir1.2-xdpgtk3-1.0                 	       0        3        0        0        3
18079 gir1.2-xdpgtk4-1.0                 	       0        4        0        0        4
18080 gir1.2-xfconf-0                    	       0       96        0        0       96
18081 gir1.2-xkl-1.0                     	       0      317        0        0      317
18082 gir1.2-xmlb-2.0                    	       0       22        0        0       22
18083 gir1.2-zbar-1.0                    	       0        8        0        0        8
18084 gir1.2-zeitgeist-2.0               	       0       14        0        0       14
18085 gir1.2-zpj-0.0                     	       0       28        0        0       28
18086 gis-data                           	       0        1        0        0        1
18087 gis-devel                          	       0        1        0        0        1
18088 gis-gps                            	       0        4        0        0        4
18089 gis-osm                            	       0        2        0        0        2
18090 gis-remotesensing                  	       0        3        0        0        3
18091 gis-statistics                     	       0        3        0        0        3
18092 gis-tasks                          	       0        9        0        0        9
18093 gis-web                            	       0        2        0        0        2
18094 gis-workstation                    	       0        4        0        0        4
18095 git-absorb                         	       0        2        0        2        0
18096 git-all                            	       0       29        0        0       29
18097 git-annex                          	       0       12        0       12        0
18098 git-annex-remote-rclone            	       0        5        0        5        0
18099 git-annex-standalone               	       0        1        0        1        0
18100 git-arch                           	       0        1        0        1        0
18101 git-autofixup                      	       0        2        0        2        0
18102 git-big-picture                    	       0        9        1        8        0
18103 git-big-picture-build-deps         	       0        1        0        0        1
18104 git-buildpackage                   	       0       69        2       67        0
18105 git-buildpackage-rpm               	       0        2        0        2        0
18106 git-bump                           	       0        1        0        1        0
18107 git-cinnabar                       	       0        1        0        1        0
18108 git-core                           	       0       40        0        0       40
18109 git-crecord                        	       0        3        0        3        0
18110 git-credential-oauth               	       0        2        0        2        0
18111 git-crypt                          	       0        6        0        6        0
18112 git-daemon-run                     	       0        7        0        0        7
18113 git-daemon-sysvinit                	       0        6        0        0        6
18114 git-doc                            	       0      121        0        0      121
18115 git-dpm                            	       0        3        0        3        0
18116 git-el                             	       0        7        1        6        0
18117 git-extras                         	       0       15        0       15        0
18118 git-filter-repo                    	       0       17        0       17        0
18119 git-flow                           	       0       20        0       20        0
18120 git-ftp                            	       0        4        0        4        0
18121 git-hub                            	       0        8        0        8        0
18122 git-imerge                         	       0        4        0        4        0
18123 git-load-dirs                      	       0        1        0        1        0
18124 git-man                            	       0     2180        0        0     2180
18125 git-mediate                        	       0        2        0        2        0
18126 git-merge-changelog-dbgsym         	       0        1        0        1        0
18127 git-notifier                       	       0        1        0        1        0
18128 git-publish                        	       0        5        1        4        0
18129 git-quick-stats                    	       0        3        0        3        0
18130 git-reintegrate                    	       0        2        0        2        0
18131 git-remote-gcrypt                  	       0       13        0       13        0
18132 git-remote-hg                      	       0        2        0        2        0
18133 git-repair                         	       0        6        0        6        0
18134 git-restore-mtime                  	       0        2        0        2        0
18135 git-revise                         	       0        1        0        1        0
18136 git-secret                         	       0        2        0        2        0
18137 git-secrets                        	       0        3        0        3        0
18138 git-sh                             	       0        1        0        1        0
18139 git-sizer                          	       0        2        0        2        0
18140 git-stuff                          	       0        1        0        1        0
18141 git-subrepo                        	       0        2        0        2        0
18142 git-tui                            	       0        1        0        1        0
18143 git2cl                             	       0        2        0        2        0
18144 gita                               	       0        4        0        4        0
18145 gitbrute                           	       0        2        0        2        0
18146 gitea                              	       0        1        0        1        0
18147 github-desktop                     	       0        2        0        2        0
18148 gitinspector                       	       0        7        0        7        0
18149 gitlab-ce                          	       0        3        0        3        0
18150 gitlab-ci-local                    	       0        1        0        1        0
18151 gitlab-cli                         	       0        5        0        5        0
18152 gitlab-ee                          	       0        3        0        3        0
18153 gitlab-runner                      	       0        3        1        2        0
18154 gitlab-runner-helper-images        	       0        1        0        0        1
18155 gitlab-shell                       	       0        1        0        1        0
18156 gitless                            	       0        5        0        5        0
18157 gitlint                            	       0        4        0        4        0
18158 gitmagic                           	       0       23        0        0       23
18159 gitolite                           	       0        1        0        1        0
18160 gitolite3                          	       0       11        0       11        0
18161 gitpkg                             	       0        5        1        4        0
18162 gitso                              	       0        1        0        1        0
18163 gitsome                            	       0        2        0        2        0
18164 gitstats                           	       0        1        0        1        0
18165 gittown                            	       0        1        0        1        0
18166 giza-dev                           	       0        6        0        6        0
18167 gjacktransport                     	       0       10        0       10        0
18168 gjdoc                              	       0        1        0        1        0
18169 gjiten                             	       0        7        0        7        0
18170 gjs-tests                          	       0        1        0        0        1
18171 gkdebconf                          	       0        9        0        9        0
18172 gkermit                            	       0       10        0       10        0
18173 gkrellkam                          	       0        9        1        8        0
18174 gkrellm                            	       0      127       14      113        0
18175 gkrellm-bfm                        	       0       21        0       21        0
18176 gkrellm-cpufreq                    	       0       30        5       25        0
18177 gkrellm-gkrellmpc                  	       0        5        0        5        0
18178 gkrellm-hdplop                     	       0       20        0       20        0
18179 gkrellm-ibam                       	       0        8        0        8        0
18180 gkrellm-leds                       	       0       17        2       15        0
18181 gkrellm-mailwatch                  	       0        8        0        8        0
18182 gkrellm-mldonkey                   	       0        3        0        3        0
18183 gkrellm-radio                      	       0        7        0        7        0
18184 gkrellm-reminder                   	       0       11        1       10        0
18185 gkrellm-thinkbat                   	       0       12        0       12        0
18186 gkrellm-tz                         	       0       10        0       10        0
18187 gkrellm-volume                     	       0       15        1       14        0
18188 gkrellm-x86info                    	       0       12        0       12        0
18189 gkrellm-xkb                        	       0       13        0       13        0
18190 gkrellmd                           	       0       13        2       11        0
18191 gkrellmitime                       	       0        6        0        6        0
18192 gkrellmoon                         	       0       25        2       23        0
18193 gkrellmwireless                    	       0       16        0       16        0
18194 gkrellshoot                        	       0       11        0       11        0
18195 gkrelltop                          	       0       24        4       20        0
18196 gkrelltopd                         	       0        9        0        9        0
18197 gkrelluim                          	       0        6        0        6        0
18198 gkrellweather                      	       0       14        1       13        0
18199 gkrellxmms2                        	       0        6        0        6        0
18200 gksu                               	       0      189        5      184        0
18201 gl-117                             	       0        6        0        6        0
18202 gl-117-data                        	       0        6        0        0        6
18203 glabels                            	       0       30        0       30        0
18204 glabels-data                       	       0       31        0        0       31
18205 glade-common                       	       0        1        0        1        0
18206 glade-gtk2                         	       0        1        0        1        0
18207 glade2script                       	       0        3        0        0        3
18208 glade2script-python3               	       0        3        0        3        0
18209 gladish                            	       0        1        0        1        0
18210 gladtex                            	       0        1        0        1        0
18211 glam2                              	       0        1        0        1        0
18212 glance                             	       0        1        0        0        1
18213 glance-api                         	       0        1        0        1        0
18214 glance-common                      	       0        1        0        1        0
18215 glance-registry                    	       0        1        0        1        0
18216 glance-store-common                	       0        1        0        0        1
18217 glances                            	       0       41        2       39        0
18218 glances-doc                        	       0        6        0        0        6
18219 glasscoder                         	       0        1        0        1        0
18220 glassfish-javaee                   	       0        3        0        0        3
18221 glassfish-jmac-api                 	       0        1        0        0        1
18222 glassgui                           	       0        1        0        1        0
18223 glaurung                           	       0        7        0        7        0
18224 glava                              	       0        1        0        1        0
18225 glbsp                              	       0        3        0        3        0
18226 glchess                            	       0        2        0        0        2
18227 gle-graphics                       	       0        3        0        3        0
18228 gle-graphics-manual                	       0        3        0        0        3
18229 glee-dev                           	       0        1        0        1        0
18230 glewlwyd                           	       0        1        0        1        0
18231 glewlwyd-common                    	       0        1        0        0        1
18232 glfer                              	       0        3        0        3        0
18233 glgrib-data                        	       0        1        0        0        1
18234 glgrib-egl                         	       0        1        0        1        0
18235 glgrib-shaders                     	       0        1        0        0        1
18236 glhack                             	       0        8        0        8        0
18237 glibc-doc                          	       0       57        0        0       57
18238 glibc-doc-reference                	       0       26        0        0       26
18239 glibc-source                       	       0       21        0        0       21
18240 gliese                             	       0        4        0        0        4
18241 glimpse                            	       0       15        1       14        0
18242 glines                             	       0        2        0        0        2
18243 gliv                               	       0        3        0        3        0
18244 glmark2-data                       	       0       21        0        0       21
18245 glmark2-drm                        	       0        1        0        1        0
18246 glmark2-es2-drm                    	       0        1        0        1        0
18247 glmark2-es2-wayland                	       0        3        0        3        0
18248 glmark2-es2-x11                    	       0        4        0        4        0
18249 glmark2-wayland                    	       0        3        0        3        0
18250 glmark2-x11                        	       0       20        0       20        0
18251 glob2                              	       0        8        0        8        0
18252 glob2-data                         	       0        8        0        0        8
18253 global                             	       0       15        0       15        0
18254 globalprotect                      	       0        1        0        1        0
18255 globalprotect-openconnect          	       0        1        0        1        0
18256 globs                              	       0        2        0        2        0
18257 globus-gass-copy-progs             	       0        2        0        2        0
18258 globus-gridftp-server-progs        	       0        1        0        1        0
18259 glogg                              	       0        8        0        8        0
18260 glogic                             	       0       12        0       12        0
18261 glom-doc                           	       0        2        0        0        2
18262 glosstex                           	       0        3        0        3        0
18263 glosung                            	       0        1        0        1        0
18264 glotski                            	       0        1        0        1        0
18265 glow                               	       0        2        0        2        0
18266 glpeces                            	       0       14        2       12        0
18267 glpeces-data                       	       0       15        0        0       15
18268 glpi                               	       0        1        0        1        0
18269 glpi-agent                         	       0        2        0        2        0
18270 glpk-doc                           	       0        1        0        0        1
18271 glpk-utils                         	       0       13        0       13        0
18272 glslc                              	       0        4        0        4        0
18273 gltfpack                           	       0        3        0        3        0
18274 gltron                             	       0        8        0        8        0
18275 glulxe                             	       0        4        0        4        0
18276 glunarclock                        	       0        1        0        1        0
18277 gluqlo                             	       0        2        0        2        0
18278 glusterfs-cli                      	       0        1        0        0        1
18279 glusterfs-client                   	       0        2        0        2        0
18280 glusterfs-common                   	       0        9        0        9        0
18281 glusterfs-server                   	       0        1        0        1        0
18282 glutg3                             	       0        1        0        0        1
18283 glx-alternative-mesa               	       0      264        0        0      264
18284 glx-alternative-nvidia             	       0      226        0        0      226
18285 glx-diversions                     	       0      245        0        0      245
18286 glymur-bin                         	       0        1        0        1        0
18287 glyphsinfo                         	       0        3        0        0        3
18288 gm-assistant                       	       0        2        0        2        0
18289 gm2-12                             	       0        1        0        1        0
18290 gm2-13                             	       0        1        0        1        0
18291 gm2-13-doc                         	       0        1        0        0        1
18292 gm2-13-x86-64-linux-gnu            	       0        1        0        1        0
18293 gm2-14-doc                         	       0        1        0        0        1
18294 gm2-14-for-build                   	       0        1        0        0        1
18295 gm2-doc                            	       0        1        0        0        1
18296 gm2-for-build                      	       0        1        0        0        1
18297 gmameui                            	       0        1        0        1        0
18298 gmanedit                           	       0        3        0        3        0
18299 gmap                               	       0        1        0        1        0
18300 gmchess                            	       0        1        0        1        0
18301 gmediarender                       	       0        1        0        1        0
18302 gmemusage                          	       0        5        0        5        0
18303 gmerlin                            	       0        9        0        9        0
18304 gmerlin-data                       	       0        9        0        0        9
18305 gmerlin-doc                        	       0        1        0        0        1
18306 gmerlin-encoders                   	       0        1        0        1        0
18307 gmerlin-encoders-extra             	       0        3        0        0        3
18308 gmerlin-encoders-ffmpeg            	       0        8        0        0        8
18309 gmerlin-encoders-good              	       0        7        0        0        7
18310 gmerlin-plugins-avdecoder          	       0        7        0        0        7
18311 gmerlin-plugins-base               	       0        9        0        0        9
18312 gmetad                             	       0        1        1        0        0
18313 gmidimonitor                       	       0       10        0       10        0
18314 gmime-bin                          	       0        1        0        1        0
18315 gmlive                             	       0        2        0        2        0
18316 gmm                                	       0        1        0        1        0
18317 gmobilemedia                       	       0        1        0        1        0
18318 gmp-doc                            	       0       14        0        0       14
18319 gmp-ecm                            	       0       14        0       14        0
18320 gmpc-data                          	       0       15        0        0       15
18321 gmpc-plugins                       	       0        3        0        0        3
18322 gmrun                              	       0       31        0       31        0
18323 gmsl                               	       0        3        0        0        3
18324 gmt                                	       0        9        1        8        0
18325 gmt-common                         	       0       10        0        0       10
18326 gmt-dcw                            	       0       10        0        0       10
18327 gmt-examples                       	       0        1        0        0        1
18328 gmt-gshhg                          	       0        1        0        0        1
18329 gmt-gshhg-full                     	       0        2        0        0        2
18330 gmt-gshhg-high                     	       0        9        0        0        9
18331 gmt-gshhg-low                      	       0        2        0        0        2
18332 gmtkbabel                          	       0        1        0        1        0
18333 gmult                              	       0        8        0        8        0
18334 gmusicbrowser                      	       0        2        0        2        0
18335 gnash                              	       0       21        0       21        0
18336 gnash-common                       	       0       21        0       21        0
18337 gnash-cygnal                       	       0        3        0        3        0
18338 gnash-doc                          	       0        1        0        0        1
18339 gnash-tools                        	       0        3        0        3        0
18340 gnat                               	       0       37        0        0       37
18341 gnat-10                            	       0        8        1        7        0
18342 gnat-10-doc                        	       0        1        0        0        1
18343 gnat-12                            	       0       32        2       30        0
18344 gnat-12-doc                        	       0        4        0        0        4
18345 gnat-13                            	       0        3        0        3        0
18346 gnat-13-doc                        	       0        2        0        0        2
18347 gnat-13-i686-linux-gnu             	       0        1        0        1        0
18348 gnat-13-x86-64-linux-gnu           	       0        2        0        2        0
18349 gnat-15                            	       0        1        0        1        0
18350 gnat-15-x86-64-linux-gnu           	       0        1        0        1        0
18351 gnat-4.3-base                      	       0        1        0        0        1
18352 gnat-4.6-base                      	       0        1        0        0        1
18353 gnat-6                             	       0        1        0        1        0
18354 gnat-6-doc                         	       0        1        0        0        1
18355 gnat-8                             	       0        1        0        1        0
18356 gnat-9-doc                         	       0        1        0        0        1
18357 gnat-doc                           	       0        4        0        0        4
18358 gnat-gps                           	       0        2        0        2        0
18359 gnat-gps-common                    	       0        3        0        0        3
18360 gnat-gps-doc                       	       0        3        0        3        0
18361 gnats                              	       0        1        0        1        0
18362 gnats-user                         	       0        1        0        1        0
18363 gnaural                            	       0        1        0        1        0
18364 gnect                              	       0        2        0        0        2
18365 gnee                               	       0        1        0        1        0
18366 gngb                               	       0        5        0        5        0
18367 gniall                             	       0        2        0        2        0
18368 gnibbles                           	       0        1        0        0        1
18369 gnobots2                           	       0        1        0        0        1
18370 gnokii                             	       0        5        0        0        5
18371 gnokii-cli                         	       0        6        0        6        0
18372 gnokii-common                      	       0       12        0        0       12
18373 gnokii-smsd                        	       0        1        0        1        0
18374 gnome                              	       0       79        0        0       79
18375 gnome-accessibility-themes         	       0     1411        0        0     1411
18376 gnome-activity-journal             	       0        3        0        3        0
18377 gnome-alsamixer                    	       0       11        1       10        0
18378 gnome-api-docs                     	       0        1        0        0        1
18379 gnome-applets-data                 	       0       68        0        0       68
18380 gnome-audio                        	       0       12        0        0       12
18381 gnome-authenticator                	       0        4        0        4        0
18382 gnome-backgrounds                  	       0      434        0        0      434
18383 gnome-bin                          	       0        1        0        1        0
18384 gnome-bluetooth                    	       0       50        0       26       24
18385 gnome-bluetooth-3-common           	       0      330        0        0      330
18386 gnome-bluetooth-common             	       0       18        0        0       18
18387 gnome-books                        	       0        3        0        3        0
18388 gnome-boxes                        	       0       22        0       22        0
18389 gnome-brave-icon-theme             	       0      164        0        0      164
18390 gnome-breakout                     	       0        7        0        7        0
18391 gnome-btdownload                   	       0        1        0        1        0
18392 gnome-builder                      	       0        2        0        2        0
18393 gnome-calls                        	       0        3        1        2        0
18394 gnome-calls-doc                    	       0        1        0        0        1
18395 gnome-cards-data                   	       0       40        0        0       40
18396 gnome-classic                      	       0        1        0        1        0
18397 gnome-classic-xsession             	       0        1        0        0        1
18398 gnome-codec-install                	       0        1        0        1        0
18399 gnome-color-chooser                	       0        3        0        3        0
18400 gnome-colors                       	       0      156        0        0      156
18401 gnome-colors-common                	       0      192        0        0      192
18402 gnome-commander                    	       0       16        0       16        0
18403 gnome-commander-data               	       0       17        0        1       16
18404 gnome-common                       	       0       18        0       18        0
18405 gnome-connection-manager           	       0        1        0        0        1
18406 gnome-connections                  	       0        3        0        3        0
18407 gnome-console                      	       0        3        0        3        0
18408 gnome-core                         	       0       89        0        0       89
18409 gnome-desktop-data                 	       0        4        0        0        4
18410 gnome-desktop-testing              	       0        7        0        7        0
18411 gnome-devel                        	       0        1        0        0        1
18412 gnome-devel-docs                   	       0        1        0        0        1
18413 gnome-disk-utility-build-deps      	       0        1        0        0        1
18414 gnome-disk-utility-dbgsym          	       0        1        0        1        0
18415 gnome-do                           	       0        1        0        1        0
18416 gnome-do-plugins                   	       0        1        0        1        0
18417 gnome-doc-tools                    	       0        1        0        1        0
18418 gnome-doc-utils                    	       0        2        0        2        0
18419 gnome-documents                    	       0       24        0       24        0
18420 gnome-dust-icon-theme              	       0      164        0        0      164
18421 gnome-exe-thumbnailer              	       0        3        0        1        2
18422 gnome-extra-icons                  	       0     2946        0        0     2946
18423 gnome-feeds                        	       0        3        0        3        0
18424 gnome-firmware                     	       0       16        1       15        0
18425 gnome-games                        	       0      350        0        0      350
18426 gnome-games-app                    	       0        3        0        3        0
18427 gnome-games-data                   	       0        3        0        0        3
18428 gnome-games-extra-data             	       0        5        0        0        5
18429 gnome-genius                       	       0       15        1       14        0
18430 gnome-getting-started-docs         	       0       26        0        0       26
18431 gnome-gmail                        	       0        2        0        0        2
18432 gnome-gpg                          	       0        1        0        1        0
18433 gnome-hearts                       	       0        1        0        1        0
18434 gnome-human-icon-theme             	       0      178        0        0      178
18435 gnome-icon-theme                   	       0     3134        0        0     3134
18436 gnome-icon-theme-blankon           	       0        1        0        0        1
18437 gnome-icon-theme-dlg-neu           	       0        1        0        0        1
18438 gnome-icon-theme-extras            	       0       99        0        0       99
18439 gnome-icon-theme-gartoon           	       0       20        0        0       20
18440 gnome-icon-theme-nuovo             	       0       29        0        0       29
18441 gnome-icon-theme-suede             	       0       23        0        0       23
18442 gnome-icon-theme-symbolic          	       0       44        0        0       44
18443 gnome-icon-theme-yasis             	       0       16        0        0       16
18444 gnome-illustrious-icon-theme       	       0      163        0        0      163
18445 gnome-js-common                    	       0       10        0        2        8
18446 gnome-keyring-manager              	       0        1        0        1        0
18447 gnome-keyring-pkcs11               	       0     2617        0        0     2617
18448 gnome-keysign                      	       0        1        0        1        0
18449 gnome-libs-data                    	       0        1        0        0        1
18450 gnome-mag                          	       0        1        0        1        0
18451 gnome-mastermind                   	       0        2        0        2        0
18452 gnome-media                        	       0        2        0        2        0
18453 gnome-media-common                 	       0        1        0        0        1
18454 gnome-metronome                    	       0        5        0        5        0
18455 gnome-mime-data                    	       0      186        0        0      186
18456 gnome-mplayer                      	       0       19        0       19        0
18457 gnome-mpv                          	       0        6        0        0        6
18458 gnome-mud                          	       0        1        0        1        0
18459 gnome-multi-writer                 	       0       17        0       17        0
18460 gnome-nettool                      	       0       16        1       15        0
18461 gnome-network-displays             	       0        2        1        1        0
18462 gnome-noble-icon-theme             	       0      165        0        0      165
18463 gnome-office                       	       0        1        0        0        1
18464 gnome-online-miners                	       0       44        0        0       44
18465 gnome-packagekit                   	       0       18        0       18        0
18466 gnome-packagekit-common            	       0       29        0        0       29
18467 gnome-packagekit-data              	       0       17        0        1       16
18468 gnome-panel-control                	       0        1        0        1        0
18469 gnome-panel-data                   	       0       69        0        4       65
18470 gnome-peercast                     	       0        1        0        1        0
18471 gnome-phone-manager                	       0        1        0        1        0
18472 gnome-photo-printer                	       0        1        0        1        0
18473 gnome-photos                       	       0       14        1       13        0
18474 gnome-pie                          	       0        2        0        2        0
18475 gnome-pkg-tools                    	       0       29        0       29        0
18476 gnome-pkgview                      	       0        1        0        1        0
18477 gnome-platform-devel               	       0        1        0        0        1
18478 gnome-rdp                          	       0        1        0        1        0
18479 gnome-recipes                      	       0        2        0        2        0
18480 gnome-recipes-data                 	       0        2        0        0        2
18481 gnome-scan-common                  	       0        1        0        0        1
18482 gnome-screensaver                  	       0       30        1       29        0
18483 gnome-screensaver-flags            	       0        3        0        0        3
18484 gnome-search-tool                  	       0        7        0        7        0
18485 gnome-session-canberra             	       0       71        2       68        1
18486 gnome-session-flashback            	       0       52        0        2       50
18487 gnome-session-xsession             	       0        5        0        0        5
18488 gnome-settings-daemon-dev          	       0        2        1        1        0
18489 gnome-shell-extension-appindicator 	       0        7        0        0        7
18490 gnome-shell-extension-apps-menu    	       0        1        0        0        1
18491 gnome-shell-extension-arc-menu     	       0        1        0        0        1
18492 gnome-shell-extension-auto-move-windows	       0        1        0        0        1
18493 gnome-shell-extension-bluetooth-quick-connect	       0        1        0        0        1
18494 gnome-shell-extension-caffeine     	       0        3        0        0        3
18495 gnome-shell-extension-dash-to-panel	       0        6        0        2        4
18496 gnome-shell-extension-dashtodock   	       0        4        0        0        4
18497 gnome-shell-extension-desktop-icons	       0        3        0        0        3
18498 gnome-shell-extension-desktop-icons-ng	       0        2        0        2        0
18499 gnome-shell-extension-disconnect-wifi	       0        1        0        0        1
18500 gnome-shell-extension-draw-on-your-screen	       0        1        0        0        1
18501 gnome-shell-extension-drive-menu   	       0        1        0        0        1
18502 gnome-shell-extension-easyscreencast	       0        2        0        0        2
18503 gnome-shell-extension-freon        	       0        2        0        0        2
18504 gnome-shell-extension-gpaste       	       0        2        0        0        2
18505 gnome-shell-extension-gsconnect    	       0        3        0        3        0
18506 gnome-shell-extension-gsconnect-browsers	       0        2        0        0        2
18507 gnome-shell-extension-hamster      	       0        3        0        0        3
18508 gnome-shell-extension-hide-activities	       0        7        0        0        7
18509 gnome-shell-extension-impatience   	       0        3        0        2        1
18510 gnome-shell-extension-launch-new-instance	       0        1        0        0        1
18511 gnome-shell-extension-light-style  	       0        1        0        0        1
18512 gnome-shell-extension-move-clock   	       0        1        0        0        1
18513 gnome-shell-extension-multi-monitors	       0        1        0        0        1
18514 gnome-shell-extension-native-window-placement	       0        1        0        0        1
18515 gnome-shell-extension-panel-osd    	       0        3        0        0        3
18516 gnome-shell-extension-places-menu  	       0        1        0        0        1
18517 gnome-shell-extension-runcat       	       0        1        0        0        1
18518 gnome-shell-extension-screenshot-window-sizer	       0        1        0        0        1
18519 gnome-shell-extension-shortcuts    	       0        1        0        0        1
18520 gnome-shell-extension-sound-device-chooser	       0        1        0        0        1
18521 gnome-shell-extension-system-monitor	       0       11        1        3        7
18522 gnome-shell-extension-top-icons-plus	       0        5        0        0        5
18523 gnome-shell-extension-trash        	       0        1        0        0        1
18524 gnome-shell-extension-user-theme   	       0        1        0        0        1
18525 gnome-shell-extension-vertical-overview	       0        1        0        0        1
18526 gnome-shell-extension-volume-mixer 	       0        1        0        1        0
18527 gnome-shell-extension-weather      	       0        5        0        2        3
18528 gnome-shell-extension-window-list  	       0        1        0        0        1
18529 gnome-shell-extension-windows-navigator	       0        1        0        0        1
18530 gnome-shell-extension-workspace-indicator	       0        1        0        0        1
18531 gnome-shell-extension-workspaces-to-dock	       0        1        0        0        1
18532 gnome-shell-extensions-common      	       0        1        0        0        1
18533 gnome-shell-extensions-extra       	       0        5        0        0        5
18534 gnome-shell-pomodoro               	       0        1        0        1        0
18535 gnome-shell-pomodoro-data          	       0        1        0        0        1
18536 gnome-snapshot                     	       0        2        0        2        0
18537 gnome-software-doc                 	       0        1        0        0        1
18538 gnome-spell                        	       0        1        0        1        0
18539 gnome-split                        	       0        2        0        2        0
18540 gnome-subtitles                    	       0        8        1        7        0
18541 gnome-system-log                   	       0       11        0       11        0
18542 gnome-theme-gilouche               	       0       13        0        0       13
18543 gnome-themes                       	       0       10        0        0       10
18544 gnome-themes-extra-data            	       0     1414        0        0     1414
18545 gnome-themes-extras                	       0        9        0        0        9
18546 gnome-themes-more                  	       0        2        0        0        2
18547 gnome-themes-standard              	       0       75        0        0       75
18548 gnome-themes-standard-data         	       0       27        0        0       27
18549 gnome-todo                         	       0       20        0       10       10
18550 gnome-todo-common                  	       0       11        0        0       11
18551 gnome-tour                         	       0        1        0        1        0
18552 gnome-tweak-tool                   	       0       10        0        3        7
18553 gnome-u2ps                         	       0        1        0        1        0
18554 gnome-user-docs                    	       0      424        0        0      424
18555 gnome-user-guide                   	       0       59        0        0       59
18556 gnome-vfs-extfs                    	       0        1        0        1        0
18557 gnome-vfs-obexftp                  	       0        1        0        0        1
18558 gnome-video-arcade                 	       0       10        0       10        0
18559 gnome-video-effects                	       0      500        0        0      500
18560 gnome-video-effects-dev            	       0        1        0        0        1
18561 gnome-video-effects-frei0r         	       0        4        0        0        4
18562 gnome-video-trimmer                	       0        2        0        2        0
18563 gnome-web-photo                    	       0        1        0        1        0
18564 gnome-wine-icon-theme              	       0      166        0        0      166
18565 gnome-wise-icon-theme              	       0      165        0        0      165
18566 gnome-xcf-thumbnailer              	       0        2        0        2        0
18567 gnomecatalog                       	       0        1        0        1        0
18568 gnomekiss                          	       0        3        0        3        0
18569 gnomermind                         	       0        1        0        1        0
18570 gnomine                            	       0        3        0        0        3
18571 gnomp3                             	       0        1        0        1        0
18572 gnotime                            	       0        1        0        1        0
18573 gnotravex                          	       0        2        0        0        2
18574 gnotski                            	       0        3        0        0        3
18575 gnss-sdr                           	       0        6        0        6        0
18576 gnu-efi                            	       0       15        1       14        0
18577 gnu-fdisk                          	       0        1        0        1        0
18578 gnu-smalltalk                      	       0        5        0        5        0
18579 gnu-smalltalk-browser              	       0        1        0        1        0
18580 gnu-smalltalk-common               	       0        5        0        0        5
18581 gnu-smalltalk-doc                  	       0        2        0        0        2
18582 gnu-standards                      	       0       57        0        0       57
18583 gnu-which                          	       0        5        0        5        0
18584 gnuais                             	       0        5        0        5        0
18585 gnuaisgui                          	       0        4        0        4        0
18586 gnuastro                           	       0        4        0        4        0
18587 gnubiff                            	       0        1        0        1        0
18588 gnubik                             	       0        6        0        6        0
18589 gnuboy-sdl                         	       0        1        0        1        0
18590 gnuboy-x                           	       0        1        0        1        0
18591 gnucap                             	       0       27        1       26        0
18592 gnucap-common                      	       0       20        1       19        0
18593 gnucap-default-plugins0            	       0       22        0        0       22
18594 gnucap-python                      	       0        1        0        0        1
18595 gnucash-build-deps                 	       0        1        0        0        1
18596 gnucash-dbgsym                     	       0        1        0        1        0
18597 gnucash-docs                       	       0       89        0        0       89
18598 gnuchess-book                      	       0     1038        0        0     1038
18599 gnucobol                           	       0       11        0        0       11
18600 gnucobol3                          	       0       11        0       11        0
18601 gnucobol4                          	       0        4        0        4        0
18602 gnudatalanguage                    	       0        2        0        2        0
18603 gnudoku                            	       0        1        0        1        0
18604 gnudoq                             	       0        2        0        2        0
18605 gnuhtml2latex                      	       0       12        0       12        0
18606 gnuift                             	       0        5        0        5        0
18607 gnuift-perl                        	       0        5        0        5        0
18608 gnuinos-keyring                    	       0        1        0        0        1
18609 gnuit                              	       0        4        0        4        0
18610 gnujump                            	       0        4        0        4        0
18611 gnujump-data                       	       0        4        0        0        4
18612 gnulib                             	       0       15        0       15        0
18613 gnulib-build-deps                  	       0        1        0        0        1
18614 gnumach-common                     	       0        1        0        0        1
18615 gnumach-dev                        	       0        2        1        1        0
18616 gnumail.app                        	       0        2        0        2        0
18617 gnumail.app-common                 	       0        2        0        0        2
18618 gnumed-client                      	       0        1        0        1        0
18619 gnumed-common                      	       0        1        0        0        1
18620 gnumeric-common                    	       0      138        0        1      137
18621 gnumeric-doc                       	       0      114        0        0      114
18622 gnuminishogi                       	       0        7        0        7        0
18623 gnunet                             	       0       15        1       14        0
18624 gnunet-dev                         	       0        2        0        2        0
18625 gnunet-gtk                         	       0        8        0        8        0
18626 gnupg-curl                         	       0       17        0       17        0
18627 gnupg-doc                          	       0        7        0        0        7
18628 gnupg-idea                         	       0        1        0        1        0
18629 gnupg-pkcs11-scd                   	       0        7        0        7        0
18630 gnupg-pkcs11-scd-proxy             	       0        1        0        1        0
18631 gnupg-utils-dbgsym                 	       0        1        0        1        0
18632 gnupg1                             	       0       49        2       47        0
18633 gnupg1-curl                        	       0        7        1        6        0
18634 gnupg1-l10n                        	       0       43        0        0       43
18635 gnuplot                            	       0      212        0        0      212
18636 gnuplot-data                       	       0      371        0        0      371
18637 gnuplot-mode                       	       0        2        0        0        2
18638 gnuplot-tex                        	       0        4        0        0        4
18639 gnuplot-x11                        	       0      140        3      137        0
18640 gnuplot5                           	       0        1        0        0        1
18641 gnuplot5-doc                       	       0        1        0        1        0
18642 gnuplot5-x11                       	       0        2        0        0        2
18643 gnupod-tools                       	       0        5        1        4        0
18644 gnuradio                           	       0       42        0       42        0
18645 gnuradio-dev                       	       0       40        0       40        0
18646 gnuradio-doc                       	       0        6        0        0        6
18647 gnurobbo                           	       0        8        0        8        0
18648 gnurobbo-data                      	       0        8        0        0        8
18649 gnuserv                            	       0        6        2        4        0
18650 gnushogi                           	       0        8        0        8        0
18651 gnusim8085                         	       0        8        0        8        0
18652 gnustep                            	       0        4        0        0        4
18653 gnustep-back-common                	       0       28        0       28        0
18654 gnustep-back0.12                   	       0        1        0        0        1
18655 gnustep-back0.12-art               	       0        1        0        0        1
18656 gnustep-back0.20                   	       0        1        0        0        1
18657 gnustep-back0.20-art               	       0        1        0        0        1
18658 gnustep-back0.24                   	       0        1        0        0        1
18659 gnustep-back0.24-cairo             	       0        1        0        0        1
18660 gnustep-back0.25                   	       0        3        0        0        3
18661 gnustep-back0.25-cairo             	       0        3        0        0        3
18662 gnustep-back0.27                   	       0        1        0        0        1
18663 gnustep-back0.27-cairo             	       0        1        0        0        1
18664 gnustep-back0.28                   	       0        3        0        0        3
18665 gnustep-back0.28-cairo             	       0        3        0        0        3
18666 gnustep-back0.29                   	       0       19        0        0       19
18667 gnustep-back0.29-cairo             	       0       19        0        0       19
18668 gnustep-back0.30                   	       0        1        0        0        1
18669 gnustep-back0.30-cairo             	       0        1        0        0        1
18670 gnustep-base-common                	       0      799        0        0      799
18671 gnustep-base-doc                   	       0        3        0        2        1
18672 gnustep-core-doc                   	       0        1        0        0        1
18673 gnustep-examples                   	       0        1        0        1        0
18674 gnustep-games                      	       0        2        0        0        2
18675 gnustep-gui-common                 	       0       30        0        0       30
18676 gnustep-gui-doc                    	       0        2        0        0        2
18677 gnustep-gui-runtime                	       0       29        0       29        0
18678 gnustep-icons                      	       0        6        0        0        6
18679 gnustep-make                       	       0        9        0        9        0
18680 gnustep-make-doc                   	       0        3        0        0        3
18681 gnustep-multiarch                  	       0       11        0        0       11
18682 gnutls26-doc                       	       0        1        0        0        1
18683 go-bindata                         	       0        1        0        1        0
18684 go-bluetooth                       	       0        1        0        1        0
18685 go-exploitdb                       	       0        1        1        0        0
18686 go-l2tp                            	       0        1        1        0        0
18687 go-md2man                          	       0        4        1        3        0
18688 go-qrcode                          	       0        3        1        2        0
18689 go2                                	       0        1        0        1        0
18690 goaccess                           	       0       16        2       14        0
18691 goattracker                        	       0        9        0        9        0
18692 goban-original-games               	       0        4        0        0        4
18693 goban-ss                           	       0        4        0        4        0
18694 gobby                              	       0        4        0        4        0
18695 gobby-infinote                     	       0        1        0        0        1
18696 gobjc                              	       0       27        0        0       27
18697 gobjc++                            	       0        9        0        0        9
18698 gobjc++-10                         	       0        1        0        0        1
18699 gobjc++-12                         	       0        3        0        0        3
18700 gobjc++-12-arm-linux-gnueabi       	       0        1        0        0        1
18701 gobjc++-12-arm-linux-gnueabihf     	       0        1        0        0        1
18702 gobjc++-12-multilib                	       0        1        0        0        1
18703 gobjc++-13                         	       0        1        0        0        1
18704 gobjc++-14                         	       0        3        0        0        3
18705 gobjc++-14-multilib                	       0        1        0        0        1
18706 gobjc++-14-x86-64-linux-gnu        	       0        3        0        0        3
18707 gobjc++-6                          	       0        1        0        0        1
18708 gobjc++-8                          	       0        1        0        0        1
18709 gobjc++-arm-linux-gnueabi          	       0        1        0        0        1
18710 gobjc++-arm-linux-gnueabihf        	       0        1        0        0        1
18711 gobjc++-multilib                   	       0        1        0        0        1
18712 gobjc++-x86-64-linux-gnu           	       0        2        0        0        2
18713 gobjc-10                           	       0        1        0        0        1
18714 gobjc-12                           	       0       13        0        0       13
18715 gobjc-12-arm-linux-gnueabi         	       0        1        0        0        1
18716 gobjc-12-arm-linux-gnueabihf       	       0        1        0        0        1
18717 gobjc-12-multilib                  	       0        1        0        0        1
18718 gobjc-13                           	       0        1        0        0        1
18719 gobjc-14                           	       0        3        0        0        3
18720 gobjc-14-multilib                  	       0        1        0        0        1
18721 gobjc-14-x86-64-linux-gnu          	       0        3        0        0        3
18722 gobjc-4.9                          	       0        1        0        0        1
18723 gobjc-4.9-multilib                 	       0        1        0        0        1
18724 gobjc-6                            	       0        4        0        0        4
18725 gobjc-6-multilib                   	       0        1        0        0        1
18726 gobjc-8                            	       0        5        0        0        5
18727 gobjc-arm-linux-gnueabi            	       0        1        0        0        1
18728 gobjc-arm-linux-gnueabihf          	       0        1        0        0        1
18729 gobjc-multilib                     	       0        3        0        0        3
18730 gobjc-x86-64-linux-gnu             	       0        3        0        0        3
18731 gobliiins-demo-en-data             	       0        1        0        0        1
18732 gobuster                           	       0        6        0        6        0
18733 goby                               	       0        1        0        1        0
18734 gocode                             	       0        1        0        1        0
18735 gocr                               	       0       52        1       51        0
18736 gocr-doc                           	       0        2        0        0        2
18737 gocr-tk                            	       0       17        1       16        0
18738 godot3                             	       0       13        0       13        0
18739 godot3-dbgsym                      	       0        1        0        1        0
18740 godot3-runner                      	       0        3        0        3        0
18741 godot3-server                      	       0        1        0        1        0
18742 gogglesmm                          	       0        1        0        1        0
18743 golang                             	       0      122        0        0      122
18744 golang-1.10                        	       0        1        0        0        1
18745 golang-1.10-doc                    	       0        1        0        1        0
18746 golang-1.10-go                     	       0        2        0        2        0
18747 golang-1.10-src                    	       0        2        0        2        0
18748 golang-1.11                        	       0        9        0        0        9
18749 golang-1.11-doc                    	       0       10        0       10        0
18750 golang-1.11-go                     	       0       10        0       10        0
18751 golang-1.11-src                    	       0       10        0       10        0
18752 golang-1.14                        	       0        1        0        0        1
18753 golang-1.14-doc                    	       0        1        0        1        0
18754 golang-1.14-go                     	       0        1        0        1        0
18755 golang-1.14-src                    	       0        1        0        1        0
18756 golang-1.15                        	       0       13        0        0       13
18757 golang-1.15-doc                    	       0       16        0       16        0
18758 golang-1.15-go                     	       0       20        0       20        0
18759 golang-1.15-src                    	       0       20        0       20        0
18760 golang-1.16                        	       0        1        0        0        1
18761 golang-1.16-doc                    	       0        1        0        1        0
18762 golang-1.16-go                     	       0        1        0        1        0
18763 golang-1.16-src                    	       0        1        0        1        0
18764 golang-1.17                        	       0        1        0        0        1
18765 golang-1.17-doc                    	       0        1        0        0        1
18766 golang-1.17-go                     	       0        1        0        1        0
18767 golang-1.17-src                    	       0        1        0        1        0
18768 golang-1.18                        	       0        3        0        0        3
18769 golang-1.18-doc                    	       0        4        0        0        4
18770 golang-1.18-go                     	       0        3        0        3        0
18771 golang-1.18-src                    	       0        3        0        3        0
18772 golang-1.19                        	       0       88        0        0       88
18773 golang-1.19-doc                    	       0       92        0        0       92
18774 golang-1.20                        	       0        2        0        0        2
18775 golang-1.20-doc                    	       0        2        0        0        2
18776 golang-1.20-go                     	       0        2        0        2        0
18777 golang-1.20-src                    	       0        2        0        2        0
18778 golang-1.21                        	       0        4        0        0        4
18779 golang-1.21-doc                    	       0        5        0        0        5
18780 golang-1.21-go                     	       0        6        0        6        0
18781 golang-1.21-src                    	       0        6        0        6        0
18782 golang-1.22                        	       0       16        0        0       16
18783 golang-1.22-doc                    	       0       17        0        0       17
18784 golang-1.23                        	       0       15        0        0       15
18785 golang-1.23-doc                    	       0       16        0        0       16
18786 golang-1.24                        	       0        3        0        0        3
18787 golang-1.24-doc                    	       0        4        0        0        4
18788 golang-1.6                         	       0        1        0        0        1
18789 golang-1.6-doc                     	       0        1        0        1        0
18790 golang-1.6-go                      	       0        2        0        2        0
18791 golang-1.6-src                     	       0        2        0        2        0
18792 golang-1.7                         	       0        4        0        0        4
18793 golang-1.7-doc                     	       0        5        0        5        0
18794 golang-1.7-go                      	       0        8        0        8        0
18795 golang-1.7-src                     	       0        8        0        8        0
18796 golang-1.8                         	       0        4        0        0        4
18797 golang-1.8-doc                     	       0        4        0        4        0
18798 golang-1.8-go                      	       0        4        0        4        0
18799 golang-1.8-src                     	       0        4        0        4        0
18800 golang-any                         	       0       25        0        0       25
18801 golang-barcode-dev                 	       0        1        0        0        1
18802 golang-chroma                      	       0        2        0        2        0
18803 golang-doc                         	       0      135        0        0      135
18804 golang-docker-credential-helpers   	       0        4        0        4        0
18805 golang-ed25519-dev                 	       0        1        0        0        1
18806 golang-filippo-edwards25519-dev    	       0        1        0        0        1
18807 golang-gir-gio-2.0-dev             	       0        1        0        1        0
18808 golang-gir-glib-2.0-dev            	       0        2        0        2        0
18809 golang-github-0xax-notificator-dev 	       0        1        0        0        1
18810 golang-github-14rcole-gopopulate-dev	       0        2        0        0        2
18811 golang-github-aead-poly1305-dev    	       0        1        0        0        1
18812 golang-github-alecthomas-assert-dev	       0        1        0        0        1
18813 golang-github-alecthomas-chroma-dev	       0        1        0        0        1
18814 golang-github-alecthomas-kong-dev  	       0        1        0        0        1
18815 golang-github-alecthomas-kong-hcl-dev	       0        1        0        0        1
18816 golang-github-alecthomas-repr-dev  	       0        1        0        0        1
18817 golang-github-alecthomas-units-dev 	       0        1        0        0        1
18818 golang-github-allegro-bigcache-dev 	       0        1        0        0        1
18819 golang-github-anacrolix-envpprof-dev	       0        1        0        0        1
18820 golang-github-anacrolix-ffprobe-dev	       0        1        0        0        1
18821 golang-github-anacrolix-log-dev    	       0        1        0        0        1
18822 golang-github-anacrolix-missinggo-dev	       0        1        0        0        1
18823 golang-github-anacrolix-tagflag-dev	       0        1        0        0        1
18824 golang-github-andybalholm-cascadia-dev	       0        1        0        0        1
18825 golang-github-apptainer-container-key-client-dev	       0        1        0        0        1
18826 golang-github-asaskevich-govalidator-dev	       0        2        0        0        2
18827 golang-github-aws-aws-sdk-go-dev   	       0        2        0        0        2
18828 golang-github-aymanbagabas-go-osc52-dev	       0        1        0        0        1
18829 golang-github-aymerick-douceur-dev 	       0        1        0        0        1
18830 golang-github-benbjohnson-clock-dev	       0        1        0        0        1
18831 golang-github-beorn7-perks-dev     	       0        1        0        0        1
18832 golang-github-bits-and-blooms-bitset-dev	       0        1        0        0        1
18833 golang-github-bradfitz-iter-dev    	       0        1        0        0        1
18834 golang-github-btcsuite-fastsha256-dev	       0        1        0        0        1
18835 golang-github-cespare-xxhash-dev   	       0        4        0        0        4
18836 golang-github-charmbracelet-glamour-dev	       0        1        0        0        1
18837 golang-github-cilium-ebpf-dev      	       0        1        0        1        0
18838 golang-github-cli-browser-dev      	       0        1        0        0        1
18839 golang-github-cli-go-gh-dev        	       0        1        0        0        1
18840 golang-github-cli-safeexec-dev     	       0        1        0        0        1
18841 golang-github-cli-shurcool-graphql-dev	       0        1        0        0        1
18842 golang-github-containernetworking-plugin-dnsname	       0        4        0        2        2
18843 golang-github-containers-common    	       0       66        0        0       66
18844 golang-github-containers-image     	       0       66        0        0       66
18845 golang-github-creack-pty-dev       	       0        3        0        0        3
18846 golang-github-d2r2-go-i2c-dev      	       0        1        0        0        1
18847 golang-github-danwakefield-fnmatch-dev	       0        1        0        0        1
18848 golang-github-davecgh-go-spew-dev  	       0        6        0        0        6
18849 golang-github-dcso-bloom-cli       	       0        1        0        1        0
18850 golang-github-dgrijalva-jwt-go-dev 	       0        1        0        0        1
18851 golang-github-dlclark-regexp2-dev  	       0        1        0        0        1
18852 golang-github-docopt-docopt-go-dev 	       0        1        0        0        1
18853 golang-github-dustin-go-humanize-dev	       0        1        0        0        1
18854 golang-github-form3tech-oss-jwt-go-dev	       0        1        0        0        1
18855 golang-github-getlantern-hex-dev   	       0        1        0        0        1
18856 golang-github-glycerine-go-unsnap-stream-dev	       0        1        0        0        1
18857 golang-github-go-kit-log-dev       	       0        1        0        0        1
18858 golang-github-go-logfmt-logfmt-dev 	       0        2        0        0        2
18859 golang-github-go-macaron-inject-dev	       0        1        0        0        1
18860 golang-github-go-macaron-toolbox-dev	       0        1        0        0        1
18861 golang-github-go-openapi-analysis-dev	       0        1        0        0        1
18862 golang-github-go-openapi-errors-dev	       0        2        0        0        2
18863 golang-github-go-openapi-jsonpointer-dev	       0        1        0        0        1
18864 golang-github-go-openapi-jsonreference-dev	       0        1        0        0        1
18865 golang-github-go-openapi-loads-dev 	       0        1        0        0        1
18866 golang-github-go-openapi-spec-dev  	       0        1        0        0        1
18867 golang-github-go-openapi-strfmt-dev	       0        2        0        0        2
18868 golang-github-go-openapi-swag-dev  	       0        1        0        0        1
18869 golang-github-go-openapi-validate-dev	       0        1        0        0        1
18870 golang-github-go-sql-driver-mysql-dev	       0        1        0        0        1
18871 golang-github-go-stack-stack-dev   	       0        3        0        0        3
18872 golang-github-gofrs-flock-dev      	       0        1        0        0        1
18873 golang-github-golang-groupcache-dev	       0        1        0        0        1
18874 golang-github-golang-protobuf-1-3-dev	       0        2        0        0        2
18875 golang-github-golang-snappy-dev    	       0        4        0        0        4
18876 golang-github-google-btree-dev     	       0        1        0        0        1
18877 golang-github-google-go-cmp-dev    	       0        7        0        0        7
18878 golang-github-google-shlex-dev     	       0        1        0        0        1
18879 golang-github-google-uuid-dev      	       0        2        0        0        2
18880 golang-github-gorilla-csrf-dev     	       0        1        0        0        1
18881 golang-github-gorilla-css-dev      	       0        1        0        0        1
18882 golang-github-gorilla-handlers-dev 	       0        1        0        0        1
18883 golang-github-gorilla-mux-dev      	       0        2        0        0        2
18884 golang-github-gorilla-securecookie-dev	       0        1        0        0        1
18885 golang-github-h2non-parth-dev      	       0        1        0        0        1
18886 golang-github-hashicorp-hcl-dev    	       0        1        0        0        1
18887 golang-github-henvic-httpretty-dev 	       0        1        0        0        1
18888 golang-github-hexops-gotextdiff-dev	       0        1        0        0        1
18889 golang-github-huandu-xstrings-dev  	       0        1        0        0        1
18890 golang-github-insomniacslk-dhcp-dev	       0        1        0        0        1
18891 golang-github-itchyny-gojq-dev     	       0        1        0        0        1
18892 golang-github-itchyny-timefmt-go-dev	       0        1        0        0        1
18893 golang-github-jacobsa-oglematchers-dev	       0        1        0        0        1
18894 golang-github-jessevdk-go-flags-dev	       0        1        0        0        1
18895 golang-github-jkeiser-iter-dev     	       0        1        0        0        1
18896 golang-github-jmespath-go-jmespath-dev	       0        2        0        0        2
18897 golang-github-jochenvg-go-udev-dev 	       0        1        0        0        1
18898 golang-github-josharian-intern-dev 	       0        1        0        0        1
18899 golang-github-josharian-native-dev 	       0        1        0        0        1
18900 golang-github-jpillora-backoff-dev 	       0        1        0        0        1
18901 golang-github-jsimonetti-rtnetlink-dev	       0        1        0        0        1
18902 golang-github-json-iterator-go-dev 	       0        1        0        0        1
18903 golang-github-jsternberg-zap-logfmt-dev	       0        1        0        0        1
18904 golang-github-jtolds-gls-dev       	       0        1        0        0        1
18905 golang-github-julienschmidt-httprouter-dev	       0        1        0        0        1
18906 golang-github-klauspost-compress-dev	       0        3        0        0        3
18907 golang-github-kr-fs-dev            	       0        1        0        0        1
18908 golang-github-kr-pretty-dev        	       0        3        0        0        3
18909 golang-github-kr-text-dev          	       0        3        0        0        3
18910 golang-github-labstack-echo-dev    	       0        1        0        0        1
18911 golang-github-labstack-gommon-dev  	       0        1        0        0        1
18912 golang-github-lucasb-eyer-go-colorful-dev	       0        1        0        0        1
18913 golang-github-mailru-easyjson-dev  	       0        1        0        0        1
18914 golang-github-makenowjust-heredoc-dev	       0        1        0        0        1
18915 golang-github-mattn-go-colorable-dev	       0        2        0        0        2
18916 golang-github-mattn-go-isatty-dev  	       0        2        0        0        2
18917 golang-github-mattn-go-runewidth-dev	       0        1        0        0        1
18918 golang-github-mdlayher-ethernet-dev	       0        1        0        0        1
18919 golang-github-mdlayher-netlink-dev 	       0        1        0        0        1
18920 golang-github-mdlayher-packet-dev  	       0        1        0        0        1
18921 golang-github-mdlayher-raw-dev     	       0        1        0        0        1
18922 golang-github-mdlayher-socket-dev  	       0        1        0        0        1
18923 golang-github-mgutz-ansi-dev       	       0        1        0        0        1
18924 golang-github-microcosm-cc-bluemonday-dev	       0        1        0        0        1
18925 golang-github-minio-sha256-simd-dev	       0        1        0        0        1
18926 golang-github-mitchellh-go-homedir-dev	       0        1        0        0        1
18927 golang-github-mitchellh-mapstructure-dev	       0        2        0        0        2
18928 golang-github-moby-sys-dev         	       0        1        0        0        1
18929 golang-github-modern-go-concurrent-dev	       0        1        0        0        1
18930 golang-github-modern-go-reflect2-dev	       0        1        0        0        1
18931 golang-github-montanaflynn-stats-dev	       0        3        0        0        3
18932 golang-github-muesli-reflow-dev    	       0        1        0        0        1
18933 golang-github-muesli-sasquatch-dev 	       0        1        0        0        1
18934 golang-github-muesli-termenv-dev   	       0        1        0        0        1
18935 golang-github-mwitkow-go-conntrack-dev	       0        1        0        0        1
18936 golang-github-nfnt-resize-dev      	       0        1        0        0        1
18937 golang-github-oklog-ulid-dev       	       0        2        0        0        2
18938 golang-github-olekukonko-tablewriter-dev	       0        1        0        0        1
18939 golang-github-opennota-urlesc-dev  	       0        1        0        0        1
18940 golang-github-paulrosania-go-charset-dev	       0        1        0        0        1
18941 golang-github-philhofer-fwd-dev    	       0        1        0        0        1
18942 golang-github-pkg-diff-dev         	       0        2        0        2        0
18943 golang-github-pkg-errors-dev       	       0        6        0        0        6
18944 golang-github-pmezard-go-difflib-dev	       0        6        0        0        6
18945 golang-github-proglottis-gpgme-dev 	       0        1        0        1        0
18946 golang-github-prometheus-client-golang-dev	       0        1        0        0        1
18947 golang-github-prometheus-client-model-dev	       0        1        0        0        1
18948 golang-github-prometheus-common-dev	       0        1        0        0        1
18949 golang-github-prometheus-procfs-dev	       0        1        0        0        1
18950 golang-github-puerkitobio-goquery-dev	       0        1        0        0        1
18951 golang-github-puerkitobio-purell-dev	       0        1        0        0        1
18952 golang-github-rivo-uniseg-dev      	       0        1        0        0        1
18953 golang-github-roaringbitmap-roaring-dev	       0        1        0        0        1
18954 golang-github-rogpeppe-go-internal-dev	       0        3        0        0        3
18955 golang-github-rootless-containers-rootlesskit-dev	       0        1        0        0        1
18956 golang-github-ryszard-goskiplist-dev	       0        1        0        0        1
18957 golang-github-sirupsen-logrus-dev  	       0        1        0        0        1
18958 golang-github-sjoerdsimons-ostree-go-dev	       0        2        0        2        0
18959 golang-github-smartystreets-assertions-dev	       0        1        0        0        1
18960 golang-github-smartystreets-goconvey-dev	       0        1        0        0        1
18961 golang-github-snapcore-snapd-dev   	       0        1        0        1        0
18962 golang-github-stretchr-objx-dev    	       0        6        0        0        6
18963 golang-github-stretchr-testify-dev 	       0        5        0        0        5
18964 golang-github-sylabs-json-resp-dev 	       0        1        0        0        1
18965 golang-github-thlib-go-timezone-local-dev	       0        1        0        0        1
18966 golang-github-tidwall-pretty-dev   	       0        3        0        0        3
18967 golang-github-tinylib-msgp-dev     	       0        1        0        0        1
18968 golang-github-u-root-uio-dev       	       0        1        0        0        1
18969 golang-github-unknwon-com-dev      	       0        1        0        0        1
18970 golang-github-valyala-bytebufferpool-dev	       0        1        0        0        1
18971 golang-github-valyala-fasttemplate-dev	       0        1        0        0        1
18972 golang-github-voxelbrain-goptions-dev	       0        1        0        0        1
18973 golang-github-xdg-go-pbkdf2-dev    	       0        3        0        0        3
18974 golang-github-xdg-go-scram-dev     	       0        3        0        0        3
18975 golang-github-xdg-go-stringprep-dev	       0        3        0        0        3
18976 golang-github-youmark-pkcs8-dev    	       0        3        0        0        3
18977 golang-github-yuin-goldmark-dev    	       0        7        0        0        7
18978 golang-github-yuin-goldmark-emoji-dev	       0        1        0        0        1
18979 golang-glog-dev                    	       0        2        0        0        2
18980 golang-go-flags-dev                	       0        1        0        0        1
18981 golang-go.opencensus-dev           	       0        1        0        0        1
18982 golang-go.tools                    	       0        1        0        0        1
18983 golang-go.uber-atomic-dev          	       0        1        0        0        1
18984 golang-go.uber-multierr-dev        	       0        1        0        0        1
18985 golang-go.uber-zap-dev             	       0        1        0        0        1
18986 golang-gocapability-dev            	       0        1        0        0        1
18987 golang-golang-x-crypto-dev         	       0        8        0        0        8
18988 golang-golang-x-mod-dev            	       0        5        0        0        5
18989 golang-golang-x-net-dev            	       0       11        0        0       11
18990 golang-golang-x-oauth2-dev         	       0        2        0        0        2
18991 golang-golang-x-oauth2-google-dev  	       0        2        0        0        2
18992 golang-golang-x-sync-dev           	       0        6        0        0        6
18993 golang-golang-x-sys-dev            	       0       14        0        0       14
18994 golang-golang-x-term-dev           	       0        9        0        0        9
18995 golang-golang-x-text-dev           	       0       13        0        0       13
18996 golang-golang-x-time-dev           	       0        1        0        0        1
18997 golang-golang-x-tools-dev          	       0        5        0        5        0
18998 golang-google-cloud-compute-metadata-dev	       0        2        0        0        2
18999 golang-google-genproto-dev         	       0        2        0        0        2
19000 golang-google-grpc-dev             	       0        2        0        0        2
19001 golang-google-protobuf-dev         	       0        2        0        0        2
19002 golang-gopkg-alecthomas-kingpin.v2-dev	       0        1        0        0        1
19003 golang-gopkg-bufio.v1-dev          	       0        1        0        0        1
19004 golang-gopkg-h2non-gock.v1-dev     	       0        1        0        0        1
19005 golang-gopkg-ini.v1-dev            	       0        1        0        0        1
19006 golang-gopkg-macaron.v1-dev        	       0        1        0        0        1
19007 golang-gopkg-yaml.v2-dev           	       0        3        0        0        3
19008 golang-gopkg-yaml.v3-dev           	       0        5        0        0        5
19009 golang-goptlib-dev                 	       0        1        0        0        1
19010 golang-grpc-gateway                	       0        1        0        1        0
19011 golang-mode                        	       0        4        0        0        4
19012 golang-mongodb-mongo-driver-dev    	       0        3        0        3        0
19013 golang-notabug-themusicgod1-cp-dev 	       0        1        0        0        1
19014 golang-pault-go-macchanger-dev     	       0        2        0        0        2
19015 golang-protobuf-extensions-dev     	       0        1        0        0        1
19016 golang-snappy-go-dev               	       0        1        0        0        1
19017 golang-src                         	       0      171        0        0      171
19018 golang-uber-goleak-dev             	       0        1        0        0        1
19019 goldencheetah                      	       0        2        0        2        0
19020 goldendict-ng                      	       0        2        0        2        0
19021 goldendict-wordnet                 	       0        9        0        0        9
19022 goldeneye                          	       0        3        0        3        0
19023 golint                             	       0        2        0        2        0
19024 golly                              	       0       10        0       10        0
19025 gom                                	       0        1        0        1        0
19026 gomoku.app                         	       0        3        0        3        0
19027 goobook                            	       0        3        0        3        0
19028 goobox                             	       0        5        0        5        0
19029 goodvibes                          	       0       19        3       16        0
19030 google-android-build-tools-17-installer	       0        1        0        0        1
19031 google-android-build-tools-23-installer	       0        1        0        0        1
19032 google-android-build-tools-35.0.0-installer	       0        1        0        0        1
19033 google-android-emulator-installer  	       0        3        0        3        0
19034 google-android-ndk-installer       	       0        2        0        0        2
19035 google-android-ndk-r25c-installer  	       0        1        0        1        0
19036 google-android-ndk-r27-installer   	       0        1        0        1        0
19037 google-android-platform-23-installer	       0        2        0        0        2
19038 google-android-platform-33-installer	       0        1        0        0        1
19039 google-android-platform-35-installer	       0        1        0        0        1
19040 google-android-platform-tools-installer	       0        7        1        6        0
19041 google-android-sdk-docs-installer  	       0        1        0        0        1
19042 google-android-sources-35-installer	       0        1        0        0        1
19043 google-android-tools-installer     	       0        1        0        1        0
19044 google-chrome-beta                 	       0        7        0        7        0
19045 google-chrome-unstable             	       0        3        0        3        0
19046 google-cloud-cli                   	       0        4        0        4        0
19047 google-cloud-cli-anthoscli         	       0        3        0        3        0
19048 google-cloud-cli-config-connector  	       0        1        0        1        0
19049 google-cloud-print-connector       	       0        1        0        1        0
19050 google-cloud-sdk                   	       0        1        0        0        1
19051 google-cloud-sdk-config-connector  	       0        1        0        0        1
19052 google-drive-ocamlfuse             	       0        1        0        1        0
19053 google-earth-ec-stable             	       0        1        0        1        0
19054 google-earth-stable                	       0        1        0        1        0
19055 google-gadgets-common              	       0        1        0        0        1
19056 google-gadgets-gst                 	       0        1        0        0        1
19057 google-gadgets-qt                  	       0        1        0        1        0
19058 google-mock                        	       0        4        0        0        4
19059 google-perftools                   	       0        7        0        7        0
19060 google-talkplugin                  	       0        5        0        4        1
19061 google-wire                        	       0        1        0        1        0
19062 googleearth-package                	       0        5        0        5        0
19063 googler                            	       0        5        0        5        0
19064 googletest-tools                   	       0        3        0        3        0
19065 gopass                             	       0        1        0        1        0
19066 gopass-archive-keyring             	       0        1        0        0        1
19067 gopass-jsonapi                     	       0        1        0        1        0
19068 gopchop                            	       0        8        0        8        0
19069 gopher                             	       0       24        0       24        0
19070 gophernicus                        	       0        1        0        1        0
19071 goplay                             	       0        2        0        2        0
19072 gopls                              	       0        3        0        3        0
19073 goreleaser                         	       0        1        0        1        0
19074 gorm.app                           	       0        1        0        1        0
19075 gosh                               	       0        1        1        0        0
19076 gosmore                            	       0        2        0        2        0
19077 gossip                             	       0        2        0        2        0
19078 gostsum                            	       0        1        0        1        0
19079 gosu                               	       0        3        0        3        0
19080 got                                	       0        2        0        2        0
19081 gource                             	       0       13        0       13        0
19082 gourmand                           	       0        2        0        2        0
19083 gourmet                            	       0        3        0        2        1
19084 goverlay                           	       0       11        0       11        0
19085 goxel                              	       0        4        0        4        0
19086 goxkcdpwgen                        	       0        2        0        2        0
19087 gozer                              	       0        3        0        3        0
19088 gp-saml-gui                        	       0        3        0        3        0
19089 gpac                               	       0       30        0       30        0
19090 gpac-modules-base                  	       0       31        0        0       31
19091 gpaint                             	       0        6        0        6        0
19092 gpart                              	       0      125        1      124        0
19093 gpass                              	       0        1        0        1        0
19094 gpaste                             	       0        1        0        1        0
19095 gpaste-2                           	       0        4        1        3        0
19096 gpaw-data                          	       0        1        0        0        1
19097 gpdf                               	       0        1        0        1        0
19098 gpdftext                           	       0        3        0        3        0
19099 gpe-icons                          	       0        1        0        0        1
19100 gperf                              	       0      114        1      113        0
19101 gperf-ace                          	       0        1        0        0        1
19102 gperiodic                          	       0       14        1       13        0
19103 gpg-agent-dbgsym                   	       0        1        0        1        0
19104 gpg-dbgsym                         	       0        1        0        1        0
19105 gpg-remailer                       	       0        1        0        1        0
19106 gpg-wks-client-dbgsym              	       0        1        0        1        0
19107 gpg-wks-server-dbgsym              	       0        1        0        1        0
19108 gpgconf-dbgsym                     	       0        1        0        1        0
19109 gpgkeys                            	       0        1        0        1        0
19110 gpgmngr                            	       0        1        0        1        0
19111 gpgp                               	       0        1        0        1        0
19112 gpgrt-tools                        	       0        4        0        4        0
19113 gpgrt-tools-dbgsym                 	       0        1        0        1        0
19114 gpgsm-dbgsym                       	       0        1        0        1        0
19115 gpgv-dbgsym                        	       0        1        0        1        0
19116 gpgv-static                        	       0        3        0        3        0
19117 gpgv-win32                         	       0        3        0        0        3
19118 gpgv1                              	       0       22        0       22        0
19119 gpgv2                              	       0       14        1       13        0
19120 gphoto2                            	       0       78        0       78        0
19121 gphotofs                           	       0       37        1       36        0
19122 gpib-modules-source                	       0        1        0        0        1
19123 gpick                              	       0       39        1       38        0
19124 gping                              	       0        4        0        4        0
19125 gpiod                              	       0        4        0        4        0
19126 gplanarity                         	       0       12        0       12        0
19127 gplcver                            	       0        4        0        4        0
19128 gplhost-archive-keyring            	       0        1        0        0        1
19129 gpodder                            	       0       18        1       17        0
19130 gpointing-device-settings          	       0        1        0        1        0
19131 gpomme                             	       0        2        0        2        0
19132 gpp                                	       0        5        0        5        0
19133 gpr                                	       0        6        0        6        0
19134 gpr-build-deps                     	       0        1        0        0        1
19135 gprbuild                           	       0        8        0        8        0
19136 gprbuild-doc                       	       0        1        0        1        0
19137 gprconfig-kb                       	       0        6        0        0        6
19138 gpredict                           	       0       30        1       29        0
19139 gpredict-doc                       	       0        5        0        0        5
19140 gprename                           	       0       17        1       16        0
19141 gpro                               	       0        1        0        0        1
19142 gprolog                            	       0        9        0        9        0
19143 gprolog-doc                        	       0       10        0        0       10
19144 gpsbabel-doc                       	       0       64        0        0       64
19145 gpsbabel-gui                       	       0       11        0       11        0
19146 gpscorrelate                       	       0        6        0        6        0
19147 gpscorrelate-gui                   	       0        5        0        5        0
19148 gpsd                               	       0       84       17       67        0
19149 gpsd-clients                       	       0       25        2       23        0
19150 gpsd-dbg                           	       0        1        0        1        0
19151 gpsdrive                           	       0        1        0        1        0
19152 gpsdrive-data                      	       0        1        0        0        1
19153 gpsdrive-scripts                   	       0        1        0        1        0
19154 gpsim                              	       0       31        2       29        0
19155 gpsim-doc                          	       0        3        0        0        3
19156 gpsk31                             	       0        1        0        1        0
19157 gpsmanshp                          	       0        6        0        6        0
19158 gpsshogi                           	       0        2        0        2        0
19159 gpsshogi-data                      	       0        2        0        0        2
19160 gpsshogi-viewer                    	       0        1        0        1        0
19161 gpstrans                           	       0       14        0       14        0
19162 gptsync                            	       0        1        0        1        0
19163 gpu-burn                           	       0        1        0        1        0
19164 gpustat                            	       0        5        1        4        0
19165 gputils                            	       0       56        2       54        0
19166 gputils-common                     	       0       56        0        0       56
19167 gputils-doc                        	       0       50        0        0       50
19168 gpw                                	       0        9        0        9        0
19169 gpx                                	       0        4        0        4        0
19170 gpx2shp                            	       0        3        0        3        0
19171 gpxinfo                            	       0        6        0        6        0
19172 gpxsee                             	       0        3        0        3        0
19173 gpxviewer                          	       0       15        0       15        0
19174 gqcam                              	       0        1        0        1        0
19175 gqrx-sdr                           	       0       29        0       29        0
19176 gqview                             	       0        4        0        4        0
19177 gr-air-modes                       	       0        5        0        5        0
19178 gr-dab                             	       0        1        0        1        0
19179 gr-fcdproplus                      	       0        4        0        4        0
19180 gr-fosphor                         	       0       26        0       26        0
19181 gr-framework-plugin-qt6            	       0        1        0        0        1
19182 gr-funcube                         	       0       30        1       29        0
19183 gr-gsm                             	       0        3        0        3        0
19184 gr-hpsdr                           	       0        5        0        5        0
19185 gr-iqbal                           	       0       35        0       35        0
19186 gr-limesdr                         	       0        3        0        3        0
19187 gr-osmosdr                         	       0       37        0       37        0
19188 gr-rds                             	       0        2        0        2        0
19189 gr-satellites                      	       0        4        0        4        0
19190 grabc                              	       0        5        0        5        0
19191 grabserial                         	       0        2        0        2        0
19192 gradio                             	       0        1        0        1        0
19193 gradle                             	       0       48        2       46        0
19194 gradle-7.4.2                       	       0        1        0        1        0
19195 gradle-apt-plugin                  	       0        1        0        0        1
19196 gradle-completion                  	       0        2        0        0        2
19197 gradle-debian-helper               	       0        3        0        3        0
19198 gradle-doc                         	       0        4        0        0        4
19199 gradle-ice-builder-plugin          	       0        1        0        0        1
19200 gradle-plugin-protobuf             	       0        1        0        0        1
19201 gradle-propdeps-plugin             	       0        1        0        0        1
19202 gradm2                             	       0        1        0        1        0
19203 grads                              	       0        5        0        5        0
19204 grafana                            	       0        8        2        6        0
19205 grafana-enterprise                 	       0        2        1        1        0
19206 grafx2                             	       0        7        0        7        0
19207 gramadoir                          	       0        1        0        1        0
19208 gramofile                          	       0        4        0        4        0
19209 gramps                             	       0       39        2       37        0
19210 granule                            	       0        2        0        2        0
19211 grapejuice                         	       0        2        0        2        0
19212 graphdb-desktop                    	       0        1        0        1        0
19213 graphicsmagick                     	       0      118        3      115        0
19214 graphicsmagick-dbg                 	       0        3        0        3        0
19215 graphicsmagick-imagemagick-compat  	       0       40        0       40        0
19216 graphicsmagick-libmagick-dev-compat	       0        4        0        4        0
19217 graphite-carbon                    	       0        2        0        2        0
19218 graphite-web                       	       0        2        1        1        0
19219 graphlan                           	       0        1        0        1        0
19220 graphmonkey                        	       0        7        0        7        0
19221 graphql-playground-electron        	       0        1        0        0        1
19222 graphthing                         	       0        1        0        1        0
19223 graphviz-dev                       	       0        5        0        0        5
19224 grass                              	       0       22        0        2       20
19225 grass-dev-doc                      	       0        6        0        0        6
19226 grass-doc                          	       0       55        0        0       55
19227 gravit                             	       0        4        0        4        0
19228 gravit-data                        	       0        4        0        0        4
19229 gravitation                        	       0        2        0        2        0
19230 gravitywars                        	       0        4        0        4        0
19231 graywolf                           	       0        6        0        6        0
19232 grcompiler                         	       0        4        0        4        0
19233 grdesktop                          	       0       10        0       10        0
19234 greaseweazle-firmware-build-deps   	       0        1        0        0        1
19235 greenbone-security-assistant       	       0        2        0        1        1
19236 greenbone-security-assistant-common	       0        1        0        0        1
19237 greenfoot                          	       0        1        0        1        0
19238 greenwich                          	       0        1        0        1        0
19239 grepcidr                           	       0        5        0        5        0
19240 grepmail                           	       0        7        0        7        0
19241 gretl-common                       	       0        6        0        0        6
19242 gretl-data                         	       0        4        0        0        4
19243 gretl-doc                          	       0        5        0        0        5
19244 greylistd                          	       0        4        2        2        0
19245 grfcodec                           	       0        3        0        3        0
19246 grhino                             	       0       10        0       10        0
19247 grhino-data                        	       0       10        0        0       10
19248 gridengine-client                  	       0        1        0        1        0
19249 gridengine-common                  	       0        2        0        2        0
19250 gridengine-drmaa1.0                	       0        1        0        1        0
19251 gridengine-master                  	       0        1        0        1        0
19252 gridengine-qmon                    	       0        1        0        1        0
19253 gridlock.app                       	       0        2        0        2        0
19254 gridsite-clients                   	       0        2        1        1        0
19255 gridtracker                        	       0        1        0        1        0
19256 grig                               	       0        5        0        5        0
19257 grilo-plugins-0.2                  	       0        1        0        0        1
19258 grilo-plugins-0.3                  	       0      418        0        5      413
19259 grimrock                           	       0        1        0        1        0
19260 grimshot                           	       0        9        0        9        0
19261 gringo                             	       0        8        0        8        0
19262 gringotts                          	       0        4        0        4        0
19263 grip                               	       0        6        0        6        0
19264 grisbi                             	       0        9        0        9        0
19265 grisbi-common                      	       0        9        0        0        9
19266 grml-debian-keyring                	       0        1        0        0        1
19267 grml-debootstrap                   	       0        5        0        5        0
19268 grml-etc-core                      	       0        2        0        0        2
19269 grml-keyring                       	       0        2        0        0        2
19270 grml-live                          	       0        1        0        1        0
19271 grml-live-addons                   	       0        1        0        0        1
19272 grml-network                       	       0        1        0        1        0
19273 grml-scripts                       	       0        2        0        2        0
19274 grml-scripts-core                  	       0        2        0        2        0
19275 grml2usb                           	       0        5        0        5        0
19276 groff-base-dbgsym                  	       0        1        0        1        0
19277 groff-dbgsym                       	       0        1        0        1        0
19278 grok                               	       0        2        0        2        0
19279 grokevt                            	       0       26        0       26        0
19280 grokj2k-tools                      	       0        2        0        2        0
19281 grokking-the-gimp                  	       0        1        0        0        1
19282 gromacs                            	       0        1        0        1        0
19283 gromacs-data                       	       0        1        0        0        1
19284 gromacs-openmpi                    	       0        1        0        1        0
19285 gromit                             	       0        1        0        1        0
19286 gromit-mpx                         	       0       17        0       17        0
19287 gron                               	       0        8        0        8        0
19288 groovy-doc                         	       0        7        0        0        7
19289 grop                               	       0        2        0        2        0
19290 groundhog                          	       0        8        0        8        0
19291 growl-for-linux                    	       0        1        0        1        0
19292 grpc-proto                         	       0        1        0        0        1
19293 grpn                               	       0       18        0       18        0
19294 grr.app                            	       0        2        0        2        0
19295 grub                               	       0        8        0        0        8
19296 grub-cloud-amd64                   	       0        1        0        0        1
19297 grub-coreboot                      	       0        1        0        0        1
19298 grub-coreboot-bin                  	       0        4        0        4        0
19299 grub-disk                          	       0        1        0        0        1
19300 grub-doc                           	       0        5        0        0        5
19301 grub-efi                           	       0       80        0        0       80
19302 grub-efi-amd64                     	       0     1486        0        0     1486
19303 grub-efi-amd64-bin-dummy           	       0        1        0        0        1
19304 grub-efi-amd64-dbg                 	       0        1        0        1        0
19305 grub-efi-amd64-signed              	       0     1498        0        0     1498
19306 grub-efi-amd64-signed-template     	       0        4        0        0        4
19307 grub-efi-arm64                     	       0        4        0        0        4
19308 grub-efi-arm64-signed              	       0        3        0        0        3
19309 grub-efi-ia32                      	       0        3        0        0        3
19310 grub-efi-ia32-signed               	       0        2        0        0        2
19311 grub-efi-ia32-unsigned             	       0       22        0       22        0
19312 grub-emu                           	       0        8        0        8        0
19313 grub-firmware-qemu                 	       0       10        0        0       10
19314 grub-ieee1275                      	       0        4        0        0        4
19315 grub-ieee1275-bin                  	       0        5        1        4        0
19316 grub-imageboot                     	       0       13        0        0       13
19317 grub-invaders                      	       0        4        0        0        4
19318 grub-legacy                        	       0        5        1        4        0
19319 grub-legacy-doc                    	       0        8        0        0        8
19320 grub-reboot-poweroff               	       0        1        0        0        1
19321 grub-rescue-pc                     	       0       14        0       14        0
19322 grub-splashimages                  	       0        9        0        0        9
19323 grub-theme-breeze                  	       0       13        0        0       13
19324 grub-theme-starfield               	       0       12        0        0       12
19325 grub-themes-vimix                  	       0        1        0        0        1
19326 grub-xen                           	       0        1        0        0        1
19327 grub-xen-bin                       	       0       16        0       16        0
19328 grub-xen-host                      	       0       14        0       14        0
19329 grub2                              	       0       37        0        0       37
19330 grub2-splashimages                 	       0       14        0        0       14
19331 grun                               	       0       15        0       15        0
19332 grunt                              	       0        3        0        3        0
19333 gruvbox-gtk                        	       0        1        0        1        0
19334 gs                                 	       0        2        0        0        2
19335 gs-common                          	       0        6        0        0        6
19336 gs-esp                             	       0        3        0        0        3
19337 gs-gpl                             	       0        1        0        0        1
19338 gsad                               	       0        1        0        1        0
19339 gsalliere                          	       0        9        0        9        0
19340 gsasl                              	       0        4        0        4        0
19341 gsasl-common                       	       0     1724        0        0     1724
19342 gsasl-dbgsym                       	       0        1        0        1        0
19343 gsasl-doc                          	       0        3        0        0        3
19344 gscan2pdf                          	       0       53        1       52        0
19345 gscanbus                           	       0        3        0        3        0
19346 gsender                            	       0        1        0        1        0
19347 gsequencer                         	       0        2        0        2        0
19348 gsequencer-data                    	       0        3        0        0        3
19349 gsetroot                           	       0        3        0        3        0
19350 gsettings-desktop-schemas-dev      	       0        9        1        8        0
19351 gsfonts-other                      	       0       17        0        0       17
19352 gsfonts-wadalab-common             	       0        1        0        1        0
19353 gsfonts-wadalab-gothic             	       0        1        0        0        1
19354 gsfonts-wadalab-mincho             	       0        1        0        1        0
19355 gsfonts-x11                        	       0      396        0        0      396
19356 gskcrypt64                         	       0        1        0        0        1
19357 gskssl64                           	       0        1        0        1        0
19358 gsl-bin                            	       0       14        0       14        0
19359 gsl-doc-info                       	       0        4        0        0        4
19360 gsl-doc-pdf                        	       0        5        0        0        5
19361 gsl-ref-html                       	       0        5        0        0        5
19362 gsl-ref-psdoc                      	       0        7        0        0        7
19363 gsm-utils                          	       0        3        0        3        0
19364 gsmc                               	       0        4        0        4        0
19365 gsoap                              	       0        8        1        7        0
19366 gsound-tools                       	       0        5        0        5        0
19367 gspca-source                       	       0        1        0        0        1
19368 gspiceui                           	       0        3        0        3        0
19369 gsplus                             	       0        1        0        1        0
19370 gss-ntlmssp                        	       0        1        0        0        1
19371 gssdp-tools                        	       0        1        0        1        0
19372 gst-omx-amdgpu                     	       0        8        0        0        8
19373 gst123                             	       0       18        0       18        0
19374 gstreamer-qapt                     	       0       11        0        0       11
19375 gstreamer-tools                    	       0        4        1        3        0
19376 gstreamer0.10-alsa                 	       0       30        0        3       27
19377 gstreamer0.10-chromaprint          	       0        1        0        1        0
19378 gstreamer0.10-doc                  	       0        1        0        0        1
19379 gstreamer0.10-esd                  	       0        2        0        2        0
19380 gstreamer0.10-ffmpeg               	       0       12        0        1       11
19381 gstreamer0.10-fluendo-mp3          	       0        2        0        1        1
19382 gstreamer0.10-gconf                	       0       20        0        1       19
19383 gstreamer0.10-gnomevfs             	       0        2        0        0        2
19384 gstreamer0.10-gnonlin              	       0        4        0        4        0
19385 gstreamer0.10-gnonlin-doc          	       0        1        0        0        1
19386 gstreamer0.10-nice                 	       0       10        0        0       10
19387 gstreamer0.10-plugins-bad          	       0       19        0        3       16
19388 gstreamer0.10-plugins-bad-doc      	       0        1        0        0        1
19389 gstreamer0.10-plugins-base         	       0       55        0        4       51
19390 gstreamer0.10-plugins-base-apps    	       0        1        0        1        0
19391 gstreamer0.10-plugins-base-doc     	       0        1        0        0        1
19392 gstreamer0.10-plugins-gl-doc       	       0        1        0        0        1
19393 gstreamer0.10-plugins-good         	       0        8        0        2        6
19394 gstreamer0.10-plugins-good-doc     	       0        1        0        0        1
19395 gstreamer0.10-plugins-really-bad   	       0        1        0        0        1
19396 gstreamer0.10-plugins-ugly         	       0        3        0        1        2
19397 gstreamer0.10-plugins-ugly-doc     	       0        1        0        0        1
19398 gstreamer0.10-pulseaudio           	       0       10        0        2        8
19399 gstreamer0.10-qapt                 	       0        1        0        0        1
19400 gstreamer0.10-sdl                  	       0        1        0        1        0
19401 gstreamer0.10-tools                	       0        9        1        8        0
19402 gstreamer0.10-x                    	       0       23        0        1       22
19403 gstreamer0.8-swfdec                	       0        1        0        1        0
19404 gstreamer1.0-adapter-pulseeffects  	       0       37        1        4       32
19405 gstreamer1.0-alsa                  	       0     1973        9       26     1938
19406 gstreamer1.0-autogain-pulseeffects 	       0       34        1        4       29
19407 gstreamer1.0-clutter               	       0        8        0        0        8
19408 gstreamer1.0-clutter-3.0           	       0      553        0        0      553
19409 gstreamer1.0-convolver-pulseeffects	       0       34        1        4       29
19410 gstreamer1.0-crystalhd             	       0        4        0        0        4
19411 gstreamer1.0-crystalizer-pulseeffects	       0       34        1        4       29
19412 gstreamer1.0-doc                   	       0        4        0        0        4
19413 gstreamer1.0-espeak                	       0      282        0        0      282
19414 gstreamer1.0-fdkaac                	       0        8        0        0        8
19415 gstreamer1.0-fluendo-mp3           	       0        4        0        0        4
19416 gstreamer1.0-gl                    	       0     2939        2        7     2930
19417 gstreamer1.0-gnonlin               	       0        3        0        0        3
19418 gstreamer1.0-gtk3                  	       0     2140        1        7     2132
19419 gstreamer1.0-gtk4                  	       0        3        0        0        3
19420 gstreamer1.0-libav                 	       0     3019       10       41     2968
19421 gstreamer1.0-libcamera             	       0        9        0        0        9
19422 gstreamer1.0-nice                  	       0      473        0        0      473
19423 gstreamer1.0-omx-bellagio-config   	       0        1        0        0        1
19424 gstreamer1.0-omx-generic           	       0        2        0        0        2
19425 gstreamer1.0-omx-generic-config    	       0        1        0        0        1
19426 gstreamer1.0-opencv                	       0        5        0        0        5
19427 gstreamer1.0-packagekit            	       0      123        0        2      121
19428 gstreamer1.0-packagekit-dbgsym     	       0        3        0        3        0
19429 gstreamer1.0-pipewire              	       0      210        0        3      207
19430 gstreamer1.0-plugins-bad           	       0     2964       22       68     2874
19431 gstreamer1.0-plugins-bad-apps      	       0        7        0        7        0
19432 gstreamer1.0-plugins-bad-apps-dbgsym	       0        1        0        1        0
19433 gstreamer1.0-plugins-bad-dbgsym    	       0        1        0        1        0
19434 gstreamer1.0-plugins-bad-doc       	       0        3        0        0        3
19435 gstreamer1.0-plugins-base-apps     	       0       15        0       15        0
19436 gstreamer1.0-plugins-base-doc      	       0        3        0        0        3
19437 gstreamer1.0-plugins-good-dbgsym   	       0        1        0        1        0
19438 gstreamer1.0-plugins-good-doc      	       0        2        0        0        2
19439 gstreamer1.0-plugins-rtp           	       0       10        0        0       10
19440 gstreamer1.0-plugins-rtp-dbgsym    	       0        1        0        1        0
19441 gstreamer1.0-plugins-ugly          	       0     2880        2       11     2867
19442 gstreamer1.0-plugins-ugly-dbgsym   	       0        1        0        1        0
19443 gstreamer1.0-plugins-ugly-doc      	       0        3        0        0        3
19444 gstreamer1.0-pocketsphinx          	       0        5        0        0        5
19445 gstreamer1.0-pulseaudio            	       0      813        0        1      812
19446 gstreamer1.0-python3-plugin-loader 	       0        1        0        0        1
19447 gstreamer1.0-qt5                   	       0       26        0        0       26
19448 gstreamer1.0-qt6                   	       0        5        0        0        5
19449 gstreamer1.0-rtsp                  	       0       11        0        0       11
19450 gstreamer1.0-vaapi                 	       0       35        0        1       34
19451 gstreamer1.0-vaapi-doc             	       0        1        0        0        1
19452 gstreamer1.0-wpe                   	       0        2        0        0        2
19453 gstreamer1.0-x                     	       0     3111        3        9     3099
19454 gt5                                	       0        5        0        5        0
19455 gtali                              	       0        3        0        0        3
19456 gtans                              	       0       14        1       13        0
19457 gtetrinet                          	       0       13        0       13        0
19458 gthumb-data                        	       0      139        0        1      138
19459 gtimelog                           	       0        1        0        1        0
19460 gtimer                             	       0        6        0        6        0
19461 gtk-3-examples                     	       0       11        0       11        0
19462 gtk-4-examples                     	       0        1        0        1        0
19463 gtk-4-tests                        	       0        1        0        0        1
19464 gtk-chtheme                        	       0       28        0       28        0
19465 gtk-doc-tools                      	       0       54        3       51        0
19466 gtk-engines-begtk                  	       0        1        0        1        0
19467 gtk-engines-eazel                  	       0        1        0        1        0
19468 gtk-engines-geramik                	       0        1        0        0        1
19469 gtk-engines-geramik-data           	       0        1        0        0        1
19470 gtk-engines-lighthouseblue         	       0        1        0        1        0
19471 gtk-engines-metal                  	       0        1        0        1        0
19472 gtk-engines-notif                  	       0        1        0        1        0
19473 gtk-engines-pixmap                 	       0        1        0        1        0
19474 gtk-engines-plastig                	       0        1        0        0        1
19475 gtk-engines-qtpixmap               	       0        1        0        1        0
19476 gtk-engines-raleigh                	       0        1        0        1        0
19477 gtk-engines-redmond95              	       0        1        0        1        0
19478 gtk-engines-thingeramik            	       0        1        0        0        1
19479 gtk-engines-thingeramik-data       	       0        1        0        0        1
19480 gtk-engines-thinice                	       0        1        0        1        0
19481 gtk-engines-xenophilia             	       0        1        0        1        0
19482 gtk-gnutella                       	       0        3        0        3        0
19483 gtk-gnutella-utils                 	       0        1        0        1        0
19484 gtk-imonc                          	       0        1        0        1        0
19485 gtk-qt-engine                      	       0        1        0        1        0
19486 gtk-qt-engine-trinity              	       0        5        0        5        0
19487 gtk-recordmydesktop                	       0        6        0        6        0
19488 gtk-redshift                       	       0        2        0        0        2
19489 gtk-sharp2                         	       0        9        0        0        9
19490 gtk-sharp2-examples                	       0        9        0        9        0
19491 gtk-sharp2-gapi                    	       0        9        0        9        0
19492 gtk-sharp3                         	       0        9        0        0        9
19493 gtk-sharp3-examples                	       0        9        0        0        9
19494 gtk-sharp3-gapi                    	       0        9        0        9        0
19495 gtk-smooth-themes                  	       0        3        0        0        3
19496 gtk-theme-config                   	       0        3        0        3        0
19497 gtk-vector-screenshot              	       0        5        1        4        0
19498 gtk2-engines-aurora                	       0       20        0        0       20
19499 gtk2-engines-geramik               	       0        1        0        0        1
19500 gtk2-engines-industrial            	       0        1        0        0        1
19501 gtk2-engines-magicchicken          	       0        5        0        5        0
19502 gtk2-engines-moblin                	       0        8        0        8        0
19503 gtk2-engines-nodoka                	       0       17        0       17        0
19504 gtk2-engines-oxygen                	       0       32        0        0       32
19505 gtk2-engines-plastig               	       0        1        0        0        1
19506 gtk2-engines-qtcurve               	       0       47        0        0       47
19507 gtk2-engines-qtpixmap              	       0        1        0        1        0
19508 gtk2-engines-sapwood               	       0        1        0        1        0
19509 gtk2-engines-smooth                	       0        4        0        4        0
19510 gtk2-engines-sugar                 	       0       11        0        0       11
19511 gtk2-engines-thingeramik           	       0        1        0        0        1
19512 gtk2-engines-ubuntulooks           	       0        2        0        2        0
19513 gtk2-engines-wonderland            	       0        5        0        5        0
19514 gtk2-engines-xfce                  	       0      143        0        0      143
19515 gtk2.0-examples                    	       0        9        0        9        0
19516 gtk2hs-buildtools                  	       0        1        0        1        0
19517 gtk3-automnemonics                 	       0       21        3       11        7
19518 gtk3-automnemonics-dbgsym          	       0        2        0        2        0
19519 gtk3-engines-breeze                	       0       34        0        0       34
19520 gtk3-engines-oxygen                	       0        1        0        0        1
19521 gtk3-engines-unico                 	       0        3        0        0        3
19522 gtk3-engines-xfce                  	       0       27        0        0       27
19523 gtk3-im-libthai                    	       0        2        0        0        2
19524 gtk3-nooverlayscrollbar            	       0     2825        0        0     2825
19525 gtk3-tqt-engine-trinity            	       0        5        0        0        5
19526 gtkam                              	       0       34        0       34        0
19527 gtkam-gimp                         	       0       19        0       19        0
19528 gtkatlantic                        	       0        7        0        7        0
19529 gtkballs                           	       0       10        0       10        0
19530 gtkboard                           	       0        9        0        9        0
19531 gtkcookie                          	       0        2        0        2        0
19532 gtkcrypto                          	       0        1        0        1        0
19533 gtkdbfeditor                       	       0        2        0        2        0
19534 gtkdialog                          	       0        3        0        3        0
19535 gtkdiskfree                        	       0        1        0        1        0
19536 gtkfontsel                         	       0        1        0        1        0
19537 gtkhash-common                     	       0        2        0        0        2
19538 gtkmm-documentation                	       0        8        0        0        8
19539 gtkmorph                           	       0        5        0        5        0
19540 gtkmorph-example                   	       0        2        0        0        2
19541 gtkorphan                          	       0        1        0        1        0
19542 gtkparasite                        	       0        1        0        1        0
19543 gtkperf                            	       0        2        0        2        0
19544 gtkpod                             	       0       10        0       10        0
19545 gtkpod-data                        	       0       10        0        0       10
19546 gtkpool                            	       0        4        0        4        0
19547 gtkrsync                           	       0        1        0        1        0
19548 gtkterm                            	       0       22        0       22        0
19549 gtkwave                            	       0       26        2       24        0
19550 gtm                                	       0        1        0        1        0
19551 gtml                               	       0        1        0        1        0
19552 gtranscribe                        	       0        4        0        4        0
19553 gtranslator                        	       0        1        0        1        0
19554 gtweakui                           	       0        1        0        1        0
19555 gtypist                            	       0       23        0       23        0
19556 guake                              	       0       29        2       27        0
19557 guake-indicator                    	       0        6        0        6        0
19558 guam                               	       0        1        0        1        0
19559 guarda                             	       0        1        0        0        1
19560 gubbins                            	       0        1        0        1        0
19561 guessnet                           	       0        2        0        2        0
19562 guestfsd                           	       0        2        0        2        0
19563 gui-apt-key                        	       0        1        0        1        0
19564 guidance-backends-trinity          	       0        2        0        0        2
19565 guidus                             	       0        2        0        2        0
19566 guile-1.6                          	       0        3        0        3        0
19567 guile-1.6-libs                     	       0        5        0        0        5
19568 guile-1.6-slib                     	       0        1        0        0        1
19569 guile-1.8                          	       0        7        0        7        0
19570 guile-1.8-dev                      	       0        1        0        1        0
19571 guile-1.8-doc                      	       0        1        0        0        1
19572 guile-1.8-doc-non-dfsg             	       0        1        0        0        1
19573 guile-1.8-libs                     	       0       13        0       13        0
19574 guile-2.0                          	       0       11        0       11        0
19575 guile-2.0-dev                      	       0        2        0        2        0
19576 guile-2.0-doc                      	       0        4        0        0        4
19577 guile-2.0-libs                     	       0       89        0        0       89
19578 guile-2.2-doc                      	       0        4        0        0        4
19579 guile-2.2-libs                     	       0      596        1        1      594
19580 guile-3.0-doc                      	       0       11        0        0       11
19581 guile-3.0-libs                     	       0     1077       15       20     1042
19582 guile-avahi                        	       0        1        0        0        1
19583 guile-bytestructures               	       0       13        0        0       13
19584 guile-cairo                        	       0        7        0        0        7
19585 guile-g-wrap                       	       0        6        0        1        5
19586 guile-gcrypt                       	       0       12        0        0       12
19587 guile-git                          	       0       12        0        0       12
19588 guile-gnome2-glib                  	       0        6        0        6        0
19589 guile-gnome2-gtk                   	       0        6        0        0        6
19590 guile-gnutls                       	       0       14        0        1       13
19591 guile-json                         	       0       14        0        0       14
19592 guile-library                      	       0        9        0        0        9
19593 guile-lzlib                        	       0       11        0        0       11
19594 guile-sqlite3                      	       0       13        0        0       13
19595 guile-zlib                         	       0       12        0        0       12
19596 guile-zstd                         	       0        1        0        0        1
19597 guilt                              	       0        3        0        3        0
19598 guitarix                           	       0       23        0       23        0
19599 guitarix-common                    	       0       23        0        0       23
19600 guitarix-doc                       	       0        2        0        0        2
19601 guitarix-lv2                       	       0       26        1       25        0
19602 guix                               	       0       11        1       10        0
19603 gummi                              	       0       17        0       17        0
19604 guncat                             	       0        3        0        3        0
19605 gunicorn                           	       0        3        0        3        0
19606 gunicorn-examples                  	       0        1        0        0        1
19607 gunroar                            	       0        3        0        3        0
19608 gunroar-data                       	       0        5        0        0        5
19609 gupnp-dlna-tools                   	       0        1        0        1        0
19610 gupnp-tools                        	       0        4        0        4        0
19611 gutenbrowser                       	       0        1        0        1        0
19612 gutenprint-doc                     	       0       40        0        0       40
19613 gutenprint-locales                 	       0      114        0        0      114
19614 guymager                           	       0        9        0        9        0
19615 gvfs-backends-dbgsym               	       0        1        0        1        0
19616 gvfs-bin                           	       0      107        1      106        0
19617 gvfs-build-deps                    	       0        1        0        0        1
19618 gvfs-daemons-dbgsym                	       0        1        0        1        0
19619 gvfs-dbgsym                        	       0        2        0        2        0
19620 gvfs-libs-dbgsym                   	       0        2        0        2        0
19621 gvidm                              	       0        2        0        2        0
19622 gvm                                	       0        1        0        1        0
19623 gvm-tools                          	       0        1        0        1        0
19624 gvmd                               	       0        1        0        1        0
19625 gvmd-common                        	       0        1        0        1        0
19626 gvpe                               	       0        1        0        1        0
19627 gwaei                              	       0        2        0        2        0
19628 gwakeonlan                         	       0        5        0        5        0
19629 gwaterfall                         	       0       14        0       14        0
19630 gwave                              	       0        6        0        6        0
19631 gwc                                	       0        8        0        8        0
19632 gweled                             	       0       16        0       16        0
19633 gwenhywfar-tools                   	       0        2        0        2        0
19634 gwenview-doc                       	       0       18        0        0       18
19635 gwenview-i18n-trinity              	       0        2        0        0        2
19636 gwenview-trinity                   	       0        7        1        6        0
19637 gwget                              	       0        1        0        1        0
19638 gwhere                             	       0        1        0        1        0
19639 gwhois                             	       0        8        0        8        0
19640 gworkspace-apps-wrappers           	       0        3        0        0        3
19641 gworkspace-common                  	       0        6        0        0        6
19642 gworkspace.app                     	       0        7        0        7        0
19643 gworldclock                        	       0       11        0       11        0
19644 gwsetup                            	       0        1        0        1        0
19645 gwyddion                           	       0        6        0        6        0
19646 gwyddion-common                    	       0        6        0        0        6
19647 gxemul                             	       0        3        0        3        0
19648 gxemul-doc                         	       0        3        0        0        3
19649 gxine                              	       0        2        0        2        0
19650 gxineplugin                        	       0        1        0        1        0
19651 gxkb                               	       0        4        0        4        0
19652 gxmessage                          	       0       12        1       11        0
19653 gxmms2                             	       0        8        0        8        0
19654 gxneur                             	       0        1        0        1        0
19655 gxplugins                          	       0        1        0        1        0
19656 gxset                              	       0        1        0        1        0
19657 gxtuner                            	       0        5        0        5        0
19658 gxvoxtonebender                    	       0        1        0        1        0
19659 gxw-glade                          	       0        1        0        0        1
19660 gyoto-bin                          	       0        2        0        2        0
19661 gzdoom                             	       0       19        0       19        0
19662 gzdoom-build-deps                  	       0        1        0        0        1
19663 gzip-win32                         	       0        1        0        0        1
19664 gzrt                               	       0       10        1        9        0
19665 gztool                             	       0        3        0        3        0
19666 h264enc                            	       0        3        0        3        0
19667 h5utils                            	       0       14        0       14        0
19668 ha                                 	       0        3        0        3        0
19669 hachoir                            	       0        3        0        3        0
19670 hachu                              	       0        4        0        4        0
19671 hackrf                             	       0        9        0        9        0
19672 hackrf-doc                         	       0        1        0        0        1
19673 hackrf-firmware                    	       0        2        0        0        2
19674 hacktv                             	       0        2        0        2        0
19675 hadori                             	       0        4        0        4        0
19676 hakuneko-desktop                   	       0        7        0        7        0
19677 hal-doc                            	       0        1        0        0        1
19678 hal-info                           	       0        8        0        0        8
19679 half                               	       0        2        0        0        2
19680 halibut                            	       0        2        0        2        0
19681 hamexam                            	       0        4        0        4        0
19682 haml-elisp                         	       0        1        0        1        0
19683 hamradio-all                       	       0        2        0        0        2
19684 hamradio-antenna                   	       0        3        0        0        3
19685 hamradio-datamodes                 	       0        2        0        0        2
19686 hamradio-digitalvoice              	       0        2        0        0        2
19687 hamradio-files                     	       0       18        0        0       18
19688 hamradio-logging                   	       0        3        0        0        3
19689 hamradio-maintguide                	       0        2        0        0        2
19690 hamradio-morse                     	       0        4        0        0        4
19691 hamradio-nonamateur                	       0        2        0        0        2
19692 hamradio-packetmodes               	       0        2        0        0        2
19693 hamradio-rigcontrol                	       0        2        0        0        2
19694 hamradio-satellite                 	       0        2        0        0        2
19695 hamradio-sdr                       	       0        5        0        0        5
19696 hamradio-tasks                     	       0        8        0        0        8
19697 hamradio-tools                     	       0        3        0        0        3
19698 hamradio-training                  	       0        3        0        0        3
19699 hamradiomenus                      	       0        1        0        0        1
19700 hamster-applet                     	       0        9        0        8        1
19701 hamster-time-tracker               	       0       10        0       10        0
19702 handbrake-cli                      	       0       74        1       73        0
19703 handbrake-gtk                      	       0       17        0       17        0
19704 hannah                             	       0       10        0       10        0
19705 hannah-data                        	       0       10        0        0       10
19706 hannah-foo2zjs                     	       0        3        0        3        0
19707 happy                              	       0        4        1        3        0
19708 haproxy                            	       0       15        6        9        0
19709 haproxy-doc                        	       0        1        0        0        1
19710 haproxyctl                         	       0        1        0        1        0
19711 harden-doc                         	       0        9        0        0        9
19712 harden-environment                 	       0        1        0        0        1
19713 harden-servers                     	       0        1        0        0        1
19714 harden-tools                       	       0        1        0        0        1
19715 hardening-includes                 	       0       10        1        9        0
19716 hardening-runtime                  	       0        7        0        6        1
19717 hardlink                           	       0        7        0        7        0
19718 haroopad                           	       0        1        0        1        0
19719 hash-slinger                       	       0        3        1        2        0
19720 hashalot                           	       0        2        0        2        0
19721 hashcash                           	       0        3        1        2        0
19722 hashcat                            	       0       51        0       51        0
19723 hashcat-data                       	       0       52        0       52        0
19724 hashcat-nvidia                     	       0        5        0        0        5
19725 hashcheck                          	       0        2        0        2        0
19726 hashdeep                           	       0       31        0       31        0
19727 hashid                             	       0       29        0       29        0
19728 hashrat                            	       0       23        0       23        0
19729 haskell-debian-utils               	       0        1        0        1        0
19730 haskell-devscripts                 	       0        1        0        0        1
19731 haskell-devscripts-minimal         	       0        3        0        3        0
19732 haskell-doc                        	       0        6        0        0        6
19733 haskell-mode                       	       0        5        0        0        5
19734 haskell-platform                   	       0        1        0        0        1
19735 haskell-platform-doc               	       0        1        0        0        1
19736 haskell-stack                      	       0       11        0       11        0
19737 haskell-status-notifier-item-utils 	       0        1        0        1        0
19738 haskell-utils                      	       0        1        0        1        0
19739 haskell98-report                   	       0        9        0        0        9
19740 haskell98-tutorial                 	       0        8        0        0        8
19741 hatari                             	       0       11        1       10        0
19742 hatop                              	       0        1        0        1        0
19743 haveno                             	       0        1        0        1        0
19744 havp                               	       0        5        3        2        0
19745 haxe                               	       0        3        0        3        0
19746 haxml                              	       0        1        0        1        0
19747 hcloud-cli                         	       0        1        0        1        0
19748 hcxkeys                            	       0       17        0       17        0
19749 hcxtools                           	       0       21        0       21        0
19750 hd-idle                            	       0       11        2        9        0
19751 hdapsd                             	       0       10        1        9        0
19752 hdate                              	       0        2        0        2        0
19753 hdate-applet                       	       0        2        0        2        0
19754 hdd-cleaner                        	       0        1        0        1        0
19755 hdf-compass                        	       0        1        0        1        0
19756 hdf-compass-doc                    	       0        1        0        0        1
19757 hdf5-filter-plugin                 	       0        1        0        0        1
19758 hdf5-filter-plugin-blosc-serial    	       0        1        0        1        0
19759 hdf5-filter-plugin-zfp-serial      	       0        1        0        0        1
19760 hdf5-plugin-lzf                    	       0        1        0        0        1
19761 hdhomerun-config                   	       0        1        0        1        0
19762 hdmi2usb-fx2-firmware              	       0        9        0        8        1
19763 hdmi2usb-mode-switch               	       0        5        0        5        0
19764 hdmi2usb-udev                      	       0        5        0        5        0
19765 hdrecover                          	       0        1        0        1        0
19766 hdrmerge                           	       0        3        0        3        0
19767 hdup                               	       0        3        0        3        0
19768 headache                           	       0        1        0        1        0
19769 headsetcontrol                     	       0        1        0        1        0
19770 healpy-data                        	       0        4        0        0        4
19771 health-check                       	       0        1        0        1        0
19772 heaptrack                          	       0        9        0        9        0
19773 heaptrack-gui                      	       0        5        0        5        0
19774 hearse                             	       0        2        0        2        0
19775 hebcal                             	       0        4        0        4        0
19776 hedgewars-data                     	       0       25        0        0       25
19777 heif-gdk-pixbuf                    	       0       55        0        0       55
19778 heimdal-dev                        	       0        1        0        1        0
19779 heimdal-docs                       	       0        5        0        0        5
19780 heimdal-kcm                        	       0        1        1        0        0
19781 heimdal-kdc                        	       0        1        0        1        0
19782 heimdal-multidev                   	       0        7        0        7        0
19783 heimdall                           	       0        7        0        7        0
19784 heimdall-flash                     	       0       59        4       55        0
19785 heimdall-flash-frontend            	       0       32        0       32        0
19786 heimdall-frontend                  	       0        1        0        1        0
19787 heimer                             	       0        1        0        1        0
19788 heirloom-mailx                     	       0       20        1       18        1
19789 helio-workstation                  	       0        1        0        1        0
19790 helix-cli                          	       0        1        0        0        1
19791 helix-cli-base                     	       0        1        0        1        0
19792 helix-git-connector                	       0        1        0        1        0
19793 hello                              	       0       12        0       12        0
19794 hello-debhelper                    	       0        1        0        0        1
19795 hellodemo                          	       0        1        0        0        1
19796 help2man                           	       0       81        1       80        0
19797 helpdeco                           	       0        1        0        1        0
19798 helpdelete                         	       0        1        0        1        0
19799 helpviewer.app                     	       0        2        0        2        0
19800 helvum                             	       0        3        0        3        0
19801 herbstluftwm                       	       0        9        0        9        0
19802 hercules                           	       0        8        0        8        0
19803 herculesstudio                     	       0        5        0        5        0
19804 heretic-shareware-wad              	       0        1        0        0        1
19805 heretic-wad                        	       0        1        0        0        1
19806 hermes1                            	       0        1        0        0        1
19807 hermes1-dev                        	       0        1        0        1        0
19808 heroes                             	       0        3        0        3        0
19809 heroes-common                      	       0        1        0        0        1
19810 heroes-data                        	       0        4        0        0        4
19811 heroes-ggi                         	       0        1        0        1        0
19812 heroes-sdl                         	       0        1        0        1        0
19813 heroes-sound-effects               	       0        4        0        0        4
19814 heroes-sound-tracks                	       0        4        0        0        4
19815 heroic                             	       0       16        0       16        0
19816 heroku                             	       0        1        0        1        0
19817 hershey-font-gnuplot               	       0        3        0        3        0
19818 hershey-fonts-data                 	       0        7        0        0        7
19819 hesiod                             	       0        1        0        1        0
19820 heudiconv                          	       0        1        0        1        0
19821 hevea                              	       0       11        2        9        0
19822 hevea-doc                          	       0        5        0        0        5
19823 hex-a-hop                          	       0       11        0       11        0
19824 hex-a-hop-data                     	       0       10        0        0       10
19825 hexalate                           	       0        9        0        9        0
19826 hexcat                             	       0        1        0        1        0
19827 hexchat-common                     	       0      379        2        2      375
19828 hexchat-lua                        	       0      297        6       10      281
19829 hexchat-otr                        	       0       13        0        2       11
19830 hexchat-perl                       	       0      372        6       11      355
19831 hexchat-plugins                    	       0      372        6       11      355
19832 hexchat-python3                    	       0      368        5       10      353
19833 hexcurse                           	       0       14        0       14        0
19834 hexec                              	       0        2        0        2        0
19835 hexen-deathkings-wad               	       0        1        0        0        1
19836 hexen-demo-wad                     	       0        1        0        0        1
19837 hexen-wad                          	       0        1        0        0        1
19838 hexen2-data                        	       0        1        0        0        1
19839 hexen2-hexenworld-data             	       0        1        0        0        1
19840 hexen2-portals-data                	       0        1        0        0        1
19841 hexen2-reg-data                    	       0        1        0        0        1
19842 hexer                              	       0       19        0       19        0
19843 hexter                             	       0        7        0        7        0
19844 hexter-syx-dx7-banks               	       0        1        0        0        1
19845 hextype                            	       0        1        0        1        0
19846 hexwalk                            	       0        4        0        4        0
19847 hexxagon                           	       0        4        0        4        0
19848 hexxagon-text                      	       0        1        0        1        0
19849 hexyl                              	       0        6        0        6        0
19850 hfst                               	       0        3        0        3        0
19851 hfst-ospell                        	       0        1        0        1        0
19852 hfsutils-tcltk                     	       0       15        0       15        0
19853 hhsuite                            	       0        2        0        2        0
19854 hhsuite-data                       	       0        2        0        2        0
19855 hiawatha                           	       0        1        0        1        0
19856 hibernate                          	       0       31        0       31        0
19857 hibiscus-doc                       	       0        2        0        0        2
19858 hicolor-icon-theme                 	       0     3836        0        0     3836
19859 hidrd                              	       0        1        0        1        0
19860 hiera                              	       0       29        1       28        0
19861 higan                              	       0        8        0        8        0
19862 highlight                          	       0       22        0       22        0
19863 highlight-common                   	       0       23        0        0       23
19864 highlight-pointer                  	       0        1        0        1        0
19865 highlight.js-doc                   	       0        1        0        0        1
19866 hiki                               	       0        1        0        1        0
19867 hilive                             	       0        1        0        1        0
19868 hime                               	       0        2        0        2        0
19869 hime-data                          	       0        2        0        0        2
19870 hime-gtk2-immodule                 	       0        2        0        0        2
19871 hime-gtk3-immodule                 	       0        2        0        0        2
19872 hime-tables                        	       0        2        0        0        2
19873 hindent                            	       0        2        0        2        0
19874 hintview                           	       0        1        0        1        0
19875 hip-base                           	       0        1        0        0        1
19876 hip-dev                            	       0        4        0        4        0
19877 hip-doc                            	       0        4        0        0        4
19878 hip-runtime-amd                    	       0        7        0        3        4
19879 hip-samples                        	       0        4        0        3        1
19880 hipblas                            	       0        4        0        0        4
19881 hipblas-asan                       	       0        1        0        1        0
19882 hipblas-common-dev                 	       0        1        0        1        0
19883 hipblas-dev                        	       0        4        0        4        0
19884 hipblaslt                          	       0        3        0        1        2
19885 hipblaslt-dev                      	       0        3        0        3        0
19886 hipcc                              	       0        7        0        7        0
19887 hipcub-dev                         	       0        3        0        3        0
19888 hipfft                             	       0        3        0        0        3
19889 hipfft-dev                         	       0        3        0        3        0
19890 hipfort-dev                        	       0        3        0        3        0
19891 hipify-clang                       	       0        3        0        3        0
19892 hipify-perl                        	       0        1        0        1        0
19893 hiprand                            	       0        2        0        0        2
19894 hiprand-dev                        	       0        2        0        2        0
19895 hipsolver                          	       0        3        0        0        3
19896 hipsolver-dev                      	       0        3        0        3        0
19897 hipsparse                          	       0        3        0        0        3
19898 hipsparse-dev                      	       0        3        0        3        0
19899 hipsparselt                        	       0        1        0        1        0
19900 hipsparselt-dev                    	       0        1        0        1        0
19901 hiptensor                          	       0        2        0        0        2
19902 hiptensor-dev                      	       0        2        0        2        0
19903 hisat2                             	       0        1        0        1        0
19904 hitch                              	       0        1        1        0        0
19905 hivelytracker                      	       0        2        0        2        0
19906 hjson-go                           	       0        1        0        1        0
19907 hl1110cupswrapper                  	       0        1        0        0        1
19908 hl1110lpr                          	       0        1        0        0        1
19909 hl1200cupswrapper                  	       0        1        0        0        1
19910 hl1200lpr                          	       0        1        0        0        1
19911 hl1210wcupswrapper                 	       0        2        0        0        2
19912 hl1210wlpr                         	       0        2        0        0        2
19913 hl2240lpr                          	       0        1        0        0        1
19914 hl2270dwlpr                        	       0        2        0        0        2
19915 hl3040cncupswrapper                	       0        2        0        0        2
19916 hl3040cnlpr                        	       0        3        0        3        0
19917 hl3170cdwcupswrapper               	       0        2        0        0        2
19918 hl3170cdwlpr                       	       0        3        0        3        0
19919 hl4040cncupswrapper                	       0        1        0        0        1
19920 hl4040cnlpr                        	       0        1        0        1        0
19921 hl4140cncupswrapper                	       0        1        0        0        1
19922 hl4140cnlpr                        	       0        1        0        1        0
19923 hl6050dlpr                         	       0        1        0        1        0
19924 hledger                            	       0        7        0        7        0
19925 hledger-interest                   	       0        1        0        1        0
19926 hledger-ui                         	       0        4        0        4        0
19927 hledger-web                        	       0        2        0        2        0
19928 hlins                              	       0        2        0        2        0
19929 hll2310dpdrv                       	       0        1        0        0        1
19930 hll2320dcupswrapper                	       0        1        0        0        1
19931 hll2320dlpr                        	       0        1        0        0        1
19932 hll2340dcupswrapper                	       0        1        0        0        1
19933 hll2340dlpr                        	       0        1        0        0        1
19934 hll2350dwpdrv                      	       0        1        0        0        1
19935 hll2360dcupswrapper                	       0        1        0        0        1
19936 hll2360dlpr                        	       0        1        0        0        1
19937 hll2375dwpdrv                      	       0        3        0        0        3
19938 hll2380dwcupswrapper               	       0        3        0        0        3
19939 hll2380dwlpr                       	       0        3        0        0        3
19940 hll2390dwpdrv                      	       0        2        0        0        2
19941 hll2395dwpdrv                      	       0        2        0        0        2
19942 hll2460dwpdrv                      	       0        1        0        0        1
19943 hll3230cdwpdrv                     	       0        1        0        1        0
19944 hll3270cdwpdrv                     	       0        1        0        1        0
19945 hll3280cdwpdrv                     	       0        1        0        0        1
19946 hll5000dcupswrapper                	       0        1        0        0        1
19947 hll5000dlpr                        	       0        1        0        0        1
19948 hll5100dncupswrapper               	       0        1        0        0        1
19949 hll5100dnlpr                       	       0        1        0        0        1
19950 hll5200dwcupswrapper               	       0        1        0        0        1
19951 hll5200dwlpr                       	       0        1        0        0        1
19952 hll6200dwcupswrapper               	       0        1        0        0        1
19953 hll6200dwlpr                       	       0        1        0        0        1
19954 hll8250cdncupswrapper              	       0        4        0        0        4
19955 hll8250cdnlpr                      	       0        4        0        4        0
19956 hll8360cdwcupswrapper              	       0        2        0        0        2
19957 hll8360cdwlpr                      	       0        2        0        0        2
19958 hm                                 	       0        2        0        2        0
19959 hm-config                          	       0        2        0        0        2
19960 hm-doc                             	       0        2        0        0        2
19961 hm-highbitdepth                    	       0        2        0        2        0
19962 hmmer                              	       0        3        0        3        0
19963 hnb                                	       0        5        0        5        0
19964 hobbit-plugins                     	       0        5        3        2        0
19965 hodie                              	       0        2        0        2        0
19966 hol88                              	       0        2        0        2        0
19967 hol88-help                         	       0        1        0        0        1
19968 holap                              	       0        1        0        1        0
19969 holdingnuts                        	       0        1        0        1        0
19970 holdingnuts-server                 	       0        1        0        1        0
19971 holes                              	       0        2        0        2        0
19972 hollywood                          	       0       17        0       17        0
19973 holotz-castle                      	       0        7        0        7        0
19974 holotz-castle-data                 	       0        7        0        0        7
19975 holotz-castle-editor               	       0        1        0        1        0
19976 homebank-data                      	       0       15        0        0       15
19977 homesick                           	       0        2        0        2        0
19978 homm3-demo-data                    	       0        1        0        0        1
19979 hopenpgp-tools                     	       0        5        1        4        0
19980 horgand                            	       0        3        0        3        0
19981 horgand-data                       	       0        3        0        0        3
19982 horizon-eda                        	       0       12        0       12        0
19983 host                               	       0      302        0        0      302
19984 host-api                           	       0        1        0        1        0
19985 hostap-utils                       	       0        1        0        1        0
19986 hostfiles                          	       0        1        0        1        0
19987 hostminder                         	       0        1        0        1        0
19988 hostmot2-firmware-3x20-1           	       0        1        0        1        0
19989 hostmot2-firmware-4i65             	       0        1        0        1        0
19990 hostmot2-firmware-4i68             	       0        1        0        1        0
19991 hostmot2-firmware-5i20             	       0        1        0        1        0
19992 hostmot2-firmware-5i22-1           	       0        1        0        1        0
19993 hostmot2-firmware-5i22-1.5         	       0        1        0        1        0
19994 hostmot2-firmware-5i23             	       0        1        0        1        0
19995 hostmot2-firmware-7i43-2           	       0        1        0        1        0
19996 hostmot2-firmware-7i43-4           	       0        1        0        1        0
19997 hostmot2-firmware-all              	       0        1        0        0        1
19998 hoteldruid                         	       0        1        0        1        0
19999 hotkey-setup                       	       0        1        0        1        0
20000 hotkeys                            	       0        1        0        1        0
20001 hotspot                            	       0        5        0        5        0
20002 hotswap                            	       0        2        0        0        2
20003 hotswap-gui                        	       0        2        0        2        0
20004 hotswap-text                       	       0        2        0        2        0
20005 how-can-i-help                     	       0        5        0        5        0
20006 howdoi                             	       0        3        0        3        0
20007 howdy                              	       0        1        0        1        0
20008 howm                               	       0        3        0        3        0
20009 hoz                                	       0        1        0        1        0
20010 hoz-gui                            	       0        1        0        1        0
20011 hp-ams                             	       0        2        0        2        0
20012 hp-health                          	       0        4        1        3        0
20013 hp-ppd                             	       0      203        0        0      203
20014 hp-scripting-tools                 	       0        1        0        1        0
20015 hp-smh-templates                   	       0        2        0        2        0
20016 hp-snmp-agents                     	       0        2        1        1        0
20017 hp2xx                              	       0       12        0       12        0
20018 hp48cc                             	       0        1        0        1        0
20019 hpack                              	       0        1        0        1        0
20020 hpacucli                           	       0        1        0        1        0
20021 hpanel                             	       0        2        0        2        0
20022 hpijs                              	       0       11        0        0       11
20023 hping2                             	       0        2        0        2        0
20024 hplip-cups                         	       0        2        0        0        2
20025 hplip-doc                          	       0       37        0        0       37
20026 hponcfg                            	       0        3        0        3        0
20027 hprof-conv                         	       0       83        0       18       65
20028 hpsa-dkms                          	       0        1        0        1        0
20029 hpsdrconnector                     	       0        1        0        1        0
20030 hpsmh                              	       0        3        0        3        0
20031 hpsockd                            	       0        1        1        0        0
20032 hpssacli                           	       0        1        0        1        0
20033 hqx                                	       0        1        0        1        0
20034 hrd                                	       0        1        0        1        0
20035 hsa-amd-aqlprofile                 	       0        4        0        0        4
20036 hsa-ext-rocr-dev                   	       0        1        0        0        1
20037 hsa-rocr                           	       0       15        1        4       10
20038 hsa-rocr-dev                       	       0        9        0        8        1
20039 hsakmt-roct                        	       0        1        0        0        1
20040 hsakmt-roct-dev                    	       0        8        0        7        1
20041 hscolour                           	       0        5        0        5        0
20042 hsftp                              	       0        1        0        1        0
20043 hsmwiz                             	       0        1        1        0        0
20044 hspell                             	       0       16        0       16        0
20045 hspell-gui                         	       0        2        0        2        0
20046 hstr                               	       0        2        0        2        0
20047 hsx2hs                             	       0        2        0        2        0
20048 ht                                 	       0       14        1       13        0
20049 htcheck                            	       0        1        0        1        0
20050 htcondor                           	       0        1        0        0        1
20051 htdig-doc                          	       0        6        0        2        4
20052 html-helper-mode                   	       0        1        0        1        0
20053 html-xml-utils                     	       0       13        2       11        0
20054 html2ps                            	       0       44        1       43        0
20055 htmldoc-common                     	       0       24        0        0       24
20056 htmlmin                            	       0        1        0        1        0
20057 htop-build-deps                    	       0        1        0        0        1
20058 htop-dbgsym                        	       0        1        0        1        0
20059 htp                                	       0        1        0        1        0
20060 htpdate                            	       0       13        2       11        0
20061 hts-tvheadend                      	       0        1        0        0        1
20062 hts-voice-nitech-jp-atr503-m001    	       0        3        0        0        3
20063 htsengine                          	       0        1        0        1        0
20064 httest                             	       0        1        0        1        0
20065 http-icons                         	       0        5        0        0        5
20066 httpcode                           	       0        2        0        2        0
20067 httpdirfs                          	       0        2        0        2        0
20068 httperf                            	       0        3        0        3        0
20069 httpfs2                            	       0        3        0        3        0
20070 httpie                             	       0       19        1       18        0
20071 httping                            	       0       13        0       13        0
20072 httpry                             	       0        3        0        3        0
20073 https-keyscript                    	       0        2        0        2        0
20074 httptoolkit                        	       0        2        0        2        0
20075 httptunnel                         	       0        4        1        3        0
20076 httrack                            	       0       51        1       50        0
20077 httrack-doc                        	       0       16        0        0       16
20078 httraqt                            	       0       11        1       10        0
20079 hugin-data                         	       0       51        0        0       51
20080 hugo                               	       0       26        0       26        0
20081 huiontablet                        	       0        2        0        2        0
20082 huludesktop                        	       0        1        0        1        0
20083 human-icon-theme                   	       0        7        0        0        7
20084 human-theme                        	       0        1        0        0        1
20085 humanfriendly                      	       0        1        0        1        0
20086 humanity-colors                    	       0        2        0        0        2
20087 humanity-icon-theme                	       0        3        0        0        3
20088 hunspell-af                        	       0        6        0        0        6
20089 hunspell-an                        	       0        5        0        2        3
20090 hunspell-ar                        	       0        7        0        0        7
20091 hunspell-be                        	       0        9        0        9        0
20092 hunspell-bg                        	       0       10        0        0       10
20093 hunspell-bn                        	       0        3        0        0        3
20094 hunspell-bo                        	       0        3        0        3        0
20095 hunspell-br                        	       0        6        0        6        0
20096 hunspell-bs                        	       0        6        0        0        6
20097 hunspell-ca                        	       0       15        0       15        0
20098 hunspell-cs                        	       0       35        0        0       35
20099 hunspell-da                        	       0       14        0        0       14
20100 hunspell-de-at-frami               	       0        8        0        0        8
20101 hunspell-de-ch-frami               	       0        5        0        0        5
20102 hunspell-de-de-frami               	       0       13        0        0       13
20103 hunspell-dz                        	       0        2        0        2        0
20104 hunspell-el                        	       0       25        0        0       25
20105 hunspell-en-au                     	       0       11        0       11        0
20106 hunspell-en-ca                     	       0       14        0       13        1
20107 hunspell-en-gb                     	       0      266        0        0      266
20108 hunspell-en-za                     	       0        6        0        0        6
20109 hunspell-eo                        	       0        1        0        0        1
20110 hunspell-es                        	       0       57        0        0       57
20111 hunspell-eu                        	       0        8        0        8        0
20112 hunspell-fr                        	       0      114        0        0      114
20113 hunspell-fr-classical              	       0      114        0        0      114
20114 hunspell-fr-comprehensive          	       0        6        0        0        6
20115 hunspell-fr-modern                 	       0        1        0        0        1
20116 hunspell-fr-revised                	       0        6        0        0        6
20117 hunspell-gd                        	       0        8        0        0        8
20118 hunspell-gl                        	       0        7        0        0        7
20119 hunspell-gl-es                     	       0        3        0        0        3
20120 hunspell-gu                        	       0        6        0        0        6
20121 hunspell-gug                       	       0        2        0        0        2
20122 hunspell-he                        	       0        7        0        0        7
20123 hunspell-hi                        	       0        6        0        0        6
20124 hunspell-hr                        	       0        9        0        0        9
20125 hunspell-hu                        	       0       33        0        0       33
20126 hunspell-id                        	       0        5        0        0        5
20127 hunspell-is                        	       0        8        0        0        8
20128 hunspell-it                        	       0      121        0        0      121
20129 hunspell-kk                        	       0        7        0        0        7
20130 hunspell-kmr                       	       0        4        0        0        4
20131 hunspell-ko                        	       0       14        0       14        0
20132 hunspell-lo                        	       0        3        0        0        3
20133 hunspell-lt                        	       0        7        0        0        7
20134 hunspell-lv                        	       0        8        0        8        0
20135 hunspell-ml                        	       0        2        0        0        2
20136 hunspell-mn                        	       0        2        0        0        2
20137 hunspell-ne                        	       0        6        0        0        6
20138 hunspell-nl                        	       0       30        0        0       30
20139 hunspell-no                        	       0       12        0        0       12
20140 hunspell-oc                        	       0        5        0        0        5
20141 hunspell-pl                        	       0       65        0        0       65
20142 hunspell-pt-br                     	       0       46        0        0       46
20143 hunspell-pt-pt                     	       0       11        0        0       11
20144 hunspell-ro                        	       0       24        0        0       24
20145 hunspell-ru                        	       0      169        0        0      169
20146 hunspell-se                        	       0        1        0        0        1
20147 hunspell-si                        	       0        6        0        0        6
20148 hunspell-sk                        	       0        9        0        0        9
20149 hunspell-sl                        	       0        7        0        0        7
20150 hunspell-sr                        	       0        7        0        0        7
20151 hunspell-sv                        	       0       29        0        0       29
20152 hunspell-sv-se                     	       0       13        0        0       13
20153 hunspell-sw                        	       0        3        0        0        3
20154 hunspell-te                        	       0        6        0        0        6
20155 hunspell-th                        	       0        7        0        0        7
20156 hunspell-tools                     	       0        5        0        5        0
20157 hunspell-tr                        	       0        9        0        0        9
20158 hunspell-uk                        	       0       16        0        0       16
20159 hunspell-uz                        	       0        7        0        0        7
20160 hunspell-vi                        	       0        7        0        0        7
20161 hunt                               	       0        4        0        4        0
20162 hurd-doc                           	       0        5        0        0        5
20163 hv3                                	       0       16        0       16        0
20164 hw-probe                           	       0       34        0       34        0
20165 hwb                                	       0        7        0        0        7
20166 hwdata                             	       0     1026        0        0     1026
20167 hwloc-nox                          	       0       12        0       12        0
20168 hwtools                            	       0        2        0        2        0
20169 hy                                 	       0        2        0        0        2
20170 hydrapaper                         	       0        2        0        2        0
20171 hydrogen-data                      	       0       40        0        0       40
20172 hydrogen-doc                       	       0       39        0        0       39
20173 hydrogen-drumkits                  	       0       42        0        0       42
20174 hydrogen-drumkits-effects          	       0       15        0        0       15
20175 hydrogen-patterns                  	       0        1        0        0        1
20176 hyfetch                            	       0        2        0        2        0
20177 hyfetch-git-dummy-builddeps        	       0        1        0        0        1
20178 hylafax-client                     	       0        6        1        5        0
20179 hylafax-server                     	       0        5        1        4        0
20180 hyperestraier                      	       0        2        0        2        0
20181 hyperfine-musl                     	       0        1        0        1        0
20182 hyperion                           	       0        1        0        1        0
20183 hyperrogue                         	       0        8        0        8        0
20184 hyperrogue-music                   	       0        8        0        0        8
20185 hyperspec                          	       0       11        0        0       11
20186 hyperv-daemons                     	       0        8        1        7        0
20187 hyphen-af                          	       0        1        0        0        1
20188 hyphen-as                          	       0        1        0        0        1
20189 hyphen-bg                          	       0        4        0        0        4
20190 hyphen-bn                          	       0        1        0        0        1
20191 hyphen-cs                          	       0        5        0        0        5
20192 hyphen-da                          	       0        1        0        0        1
20193 hyphen-de                          	       0      280        0        0      280
20194 hyphen-el                          	       0        1        0        0        1
20195 hyphen-en-gb                       	       0       34        0        0       34
20196 hyphen-en-us                       	       0     2457        0        0     2457
20197 hyphen-es                          	       0       31        0        0       31
20198 hyphen-fr                          	       0       29        0        0       29
20199 hyphen-hr                          	       0        3        0        0        3
20200 hyphen-hu                          	       0       15        0        0       15
20201 hyphen-is                          	       0        1        0        0        1
20202 hyphen-it                          	       0       25        0        0       25
20203 hyphen-kn                          	       0        1        0        0        1
20204 hyphen-lt                          	       0        3        0        0        3
20205 hyphen-lv                          	       0        3        0        0        3
20206 hyphen-mr                          	       0        1        0        0        1
20207 hyphen-nl                          	       0        7        0        0        7
20208 hyphen-pl                          	       0        6        0        0        6
20209 hyphen-pt-br                       	       0        1        0        0        1
20210 hyphen-pt-pt                       	       0        4        0        0        4
20211 hyphen-ro                          	       0        7        0        0        7
20212 hyphen-ru                          	       0       45        0        0       45
20213 hyphen-sh                          	       0        4        0        0        4
20214 hyphen-show                        	       0        3        0        3        0
20215 hyphen-sv                          	       0        2        0        0        2
20216 hyphen-ta                          	       0        1        0        0        1
20217 hyphen-uk                          	       0       15        0        0       15
20218 hyphen-zu                          	       0       15        0        0       15
20219 hyphy-common                       	       0        4        0        4        0
20220 hyphy-mpi                          	       0        4        0        4        0
20221 hypnotix                           	       0        7        0        7        0
20222 hyprcursor-util                    	       0        1        0        1        0
20223 hyprland                           	       0        3        0        3        0
20224 hyprland-protocols                 	       0        1        0        0        1
20225 hyprpaper                          	       0        2        0        2        0
20226 hyprwayland-scanner                	       0        1        0        1        0
20227 hyx                                	       0        2        0        2        0
20228 i18nspector                        	       0        3        0        3        0
20229 i2p-keyring                        	       0        6        0        0        6
20230 i2util-tools                       	       0        2        0        2        0
20231 i3                                 	       0      130        0        0      130
20232 i3-next-workspace                  	       0        1        0        1        0
20233 i3-swap-focus                      	       0        1        0        1        0
20234 i3blocks                           	       0       32        1       31        0
20235 i3ipc-glib                         	       0        1        0        1        0
20236 i3lock-fancy                       	       0        6        0        6        0
20237 i3xrocks                           	       0        1        0        1        0
20238 i3xrocks-cpu-usage                 	       0        1        0        0        1
20239 i3xrocks-net-traffic               	       0        1        0        0        1
20240 i3xrocks-time                      	       0        1        0        0        1
20241 i7z-gui                            	       0        3        0        3        0
20242 i8kutils                           	       0        6        1        5        0
20243 i965-va-driver-shaders             	       0       29        1        2       26
20244 iaito                              	       0        1        0        1        0
20245 iamerican-huge                     	       0        8        0        8        0
20246 iamerican-insane                   	       0        7        0        7        0
20247 iamerican-large                    	       0        7        0        7        0
20248 iamerican-small                    	       0        5        0        5        0
20249 iasl                               	       0        2        0        0        2
20250 iat                                	       0       17        0       17        0
20251 iaxmodem                           	       0        2        1        1        0
20252 ibackup                            	       0        1        0        1        0
20253 ibam                               	       0       17        0       17        0
20254 ibm-iaccess                        	       0        3        1        2        0
20255 ibod                               	       0        1        0        1        0
20256 ibritish-huge                      	       0        8        0        8        0
20257 ibritish-insane                    	       0       17        0       17        0
20258 ibritish-large                     	       0        8        0        8        0
20259 ibritish-small                     	       0        6        0        6        0
20260 ibulgarian                         	       0        7        0        7        0
20261 ibus-anthy                         	       0       15        0       15        0
20262 ibus-array                         	       0        1        0        0        1
20263 ibus-clutter                       	       0       62        0        0       62
20264 ibus-data                          	       0      786       13       81      692
20265 ibus-doc                           	       0        6        0        0        6
20266 ibus-gtk                           	       0      369        9       32      328
20267 ibus-hangul                        	       0        8        1        7        0
20268 ibus-input-pad                     	       0        1        0        0        1
20269 ibus-keyman                        	       0        1        0        0        1
20270 ibus-kkc                           	       0        4        0        1        3
20271 ibus-kmfl                          	       0        1        0        0        1
20272 ibus-libpinyin                     	       0        5        0        2        3
20273 ibus-m17n                          	       0       12        0        3        9
20274 ibus-mozc                          	       0       14        1       13        0
20275 ibus-pinyin                        	       0        1        0        1        0
20276 ibus-qt4                           	       0        3        0        0        3
20277 ibus-rime                          	       0        3        0        3        0
20278 ibus-sunpinyin                     	       0        4        0        1        3
20279 ibus-table                         	       0        9        0        9        0
20280 ibus-table-array30                 	       0        2        0        0        2
20281 ibus-table-cangjie                 	       0        2        0        0        2
20282 ibus-table-cangjie-big             	       0        2        0        0        2
20283 ibus-table-cangjie3                	       0        2        0        0        2
20284 ibus-table-cangjie5                	       0        2        0        0        2
20285 ibus-table-cantonese               	       0        2        0        0        2
20286 ibus-table-cantonhk                	       0        2        0        0        2
20287 ibus-table-cns11643                	       0        2        0        0        2
20288 ibus-table-compose                 	       0        3        0        0        3
20289 ibus-table-easy                    	       0        2        0        0        2
20290 ibus-table-easy-big                	       0        2        0        0        2
20291 ibus-table-emoji                   	       0        6        0        0        6
20292 ibus-table-erbi                    	       0        2        0        0        2
20293 ibus-table-erbi-qs                 	       0        2        0        0        2
20294 ibus-table-extraphrase             	       0        2        0        0        2
20295 ibus-table-ipa-x-sampa             	       0        2        0        0        2
20296 ibus-table-jyutping                	       0        2        0        0        2
20297 ibus-table-latex                   	       0        3        0        0        3
20298 ibus-table-old-hungarian-rovas     	       0        2        0        0        2
20299 ibus-table-quick                   	       0        3        0        0        3
20300 ibus-table-quick-classic           	       0        3        0        0        3
20301 ibus-table-quick3                  	       0        3        0        0        3
20302 ibus-table-quick5                  	       0        3        0        0        3
20303 ibus-table-rustrad                 	       0        2        0        0        2
20304 ibus-table-scj6                    	       0        2        0        0        2
20305 ibus-table-stroke5                 	       0        2        0        0        2
20306 ibus-table-telex                   	       0        1        0        0        1
20307 ibus-table-thai                    	       0        2        0        0        2
20308 ibus-table-translit                	       0        2        0        0        2
20309 ibus-table-translit-ua             	       0        2        0        0        2
20310 ibus-table-viqr                    	       0        2        0        0        2
20311 ibus-table-vni                     	       0        1        0        0        1
20312 ibus-table-wu                      	       0        2        0        0        2
20313 ibus-table-wubi                    	       0        2        0        0        2
20314 ibus-table-yawerty                 	       0        2        0        0        2
20315 ibus-table-yong                    	       0        2        0        0        2
20316 ibus-tegaki                        	       0        1        0        1        0
20317 ibus-typing-booster                	       0        3        0        3        0
20318 ibus-unikey                        	       0        1        0        1        0
20319 ibus-wayland                       	       0        5        0        0        5
20320 ibutils                            	       0        3        0        3        0
20321 ibverbs-providers                  	       0      978        0        0      978
20322 ibverbs-utils                      	       0        1        0        1        0
20323 icaclient                          	       0       15        2       11        2
20324 ical2html                          	       0        1        0        1        0
20325 icatalan                           	       0        7        0        7        0
20326 icc-profiles                       	       0       38        0        0       38
20327 icc-profiles-free                  	       0      307        0        0      307
20328 icc-utils                          	       0        1        0        0        1
20329 ice                                	       0        1        0        1        0
20330 iceape                             	       0        3        0        3        0
20331 iceape-browser                     	       0        3        0        0        3
20332 iceape-chatzilla                   	       0        2        0        2        0
20333 iceape-dom-inspector               	       0        1        0        0        1
20334 icebreaker                         	       0        5        0        5        0
20335 icecast2                           	       0       13        2       11        0
20336 icecat                             	       0        7        1        6        0
20337 icecat-l10n-de                     	       0        1        0        1        0
20338 icecat-l10n-es-es                  	       0        1        0        1        0
20339 icecc                              	       0       10        3        7        0
20340 icecc-monitor                      	       0        3        0        3        0
20341 icecream                           	       0        1        0        1        0
20342 icecream-sundae                    	       0        1        0        1        0
20343 icedax                             	       0       42        1       41        0
20344 icedove-l10n-de                    	       0        4        0        0        4
20345 icedove-l10n-en-gb                 	       0        1        0        0        1
20346 icedtea-7-plugin                   	       0        1        0        0        1
20347 icedtea-8-plugin                   	       0       16        0        0       16
20348 icedtea-netx                       	       0      116        2       90       24
20349 icedtea-netx-common                	       0       35        0        0       35
20350 iceowl-extension                   	       0        8        1        0        7
20351 iceowl-l10n-de                     	       0        1        0        0        1
20352 ices2                              	       0        6        0        6        0
20353 iceweasel-l10n-cs                  	       0        1        0        0        1
20354 iceweasel-l10n-de                  	       0        5        0        0        5
20355 iceweasel-l10n-en-gb               	       0        3        0        0        3
20356 iceweasel-l10n-es-ar               	       0        1        0        0        1
20357 iceweasel-l10n-es-cl               	       0        1        0        0        1
20358 iceweasel-l10n-es-es               	       0        2        0        0        2
20359 iceweasel-l10n-es-mx               	       0        1        0        0        1
20360 iceweasel-l10n-fr                  	       0        3        0        0        3
20361 iceweasel-l10n-it                  	       0        1        0        0        1
20362 iceweasel-l10n-ja                  	       0        1        0        0        1
20363 iceweasel-l10n-pl                  	       0        1        0        0        1
20364 iceweasel-l10n-ru                  	       0        2        0        0        2
20365 iceweasel-l10n-sk                  	       0        1        0        0        1
20366 iceweasel-l10n-tr                  	       0        1        0        0        1
20367 iceweasel-uxp                      	       0        2        0        2        0
20368 icewm-themes                       	       0        3        0        0        3
20369 icheck                             	       0        2        0        2        0
20370 icinga                             	       0        4        0        0        4
20371 icinga-archive-keyring             	       0       15        0        0       15
20372 icinga-cgi                         	       0        5        0        0        5
20373 icinga-cgi-bin                     	       0        5        2        3        0
20374 icinga-common                      	       0        5        0        0        5
20375 icinga-core                        	       0        4        3        1        0
20376 icinga-cube                        	       0        1        0        0        1
20377 icinga-cube-web                    	       0        1        0        1        0
20378 icinga-doc                         	       0        5        0        0        5
20379 icinga-graphite                    	       0        1        0        0        1
20380 icinga-graphite-web                	       0        1        0        1        0
20381 icinga-idoutils                    	       0        3        2        1        0
20382 icinga-l10n                        	       0        1        0        0        1
20383 icinga-php-library                 	       0        5        0        5        0
20384 icinga-php-thirdparty              	       0        5        1        4        0
20385 icinga-web                         	       0        1        1        0        0
20386 icinga-web-pnp                     	       0        1        0        0        1
20387 icinga-x509                        	       0        1        0        0        1
20388 icinga-x509-daemon                 	       0        1        0        1        0
20389 icinga-x509-php                    	       0        1        0        1        0
20390 icinga-x509-web                    	       0        1        0        0        1
20391 icinga2                            	       0       48        0        0       48
20392 icinga2-bin                        	       0       49       20       29        0
20393 icinga2-common                     	       0       49        3       46        0
20394 icinga2-doc                        	       0       28        0        0       28
20395 icinga2-ido-pgsql                  	       0        4        2        1        1
20396 icinga2-studio                     	       0        1        0        1        0
20397 icingacli                          	       0        6        0        6        0
20398 icingadb                           	       0        1        0        1        0
20399 icingadb-redis                     	       0        1        0        1        0
20400 icingadb-web                       	       0        1        0        1        0
20401 icingaweb2                         	       0        6        1        5        0
20402 icingaweb2-common                  	       0        6        0        5        1
20403 icingaweb2-module-boxydash         	       0        2        0        2        0
20404 icingaweb2-module-cube             	       0        2        0        2        0
20405 icingaweb2-module-director         	       0        1        0        1        0
20406 icingaweb2-module-doc              	       0        5        0        5        0
20407 icingaweb2-module-idoreports       	       0        2        0        2        0
20408 icingaweb2-module-incubator        	       0        1        0        1        0
20409 icingaweb2-module-map              	       0        2        0        2        0
20410 icingaweb2-module-monitoring       	       0        5        0        5        0
20411 icingaweb2-module-pdfexport        	       0        2        0        2        0
20412 icingaweb2-module-pnp              	       0        1        0        1        0
20413 icingaweb2-module-reactbundle      	       0        1        0        1        0
20414 icingaweb2-module-recommended      	       0        2        0        0        2
20415 icingaweb2-module-reporting        	       0        2        0        2        0
20416 icingaweb2-module-statusmap        	       0        2        0        2        0
20417 icli                               	       0        2        1        1        0
20418 icmake                             	       0        1        0        1        0
20419 icmpinfo                           	       0        9        0        9        0
20420 icmptx                             	       0        1        0        1        0
20421 icmpush                            	       0        2        0        2        0
20422 icnsutils                          	       0        4        1        3        0
20423 icom                               	       0        6        0        6        0
20424 icon-ipl                           	       0        1        0        1        0
20425 icon-naming-utils                  	       0        4        0        0        4
20426 icon-slicer                        	       0        1        0        1        0
20427 icont                              	       0        1        0        1        0
20428 iconx                              	       0       16        2       14        0
20429 icu-doc                            	       0       12        0        0       12
20430 iczech                             	       0        6        0        6        0
20431 id-utils                           	       0        5        0        5        0
20432 id3ed                              	       0        1        0        1        0
20433 id3ren                             	       0        8        0        8        0
20434 id3tool                            	       0       28        1       27        0
20435 id3v2                              	       0       71        0       71        0
20436 idanish                            	       0        7        0        7        0
20437 idecrypt                           	       0        1        1        0        0
20438 ident2                             	       0        5        1        4        0
20439 ideviceactivation                  	       0        1        0        1        0
20440 ideviceinstaller                   	       0       15        0       15        0
20441 idevicerestore                     	       0        7        0        7        0
20442 idjc                               	       0        1        0        1        0
20443 idle-python2.7                     	       0        5        0        5        0
20444 idle-python3.10                    	       0        1        0        1        0
20445 idle-python3.12                    	       0        5        0        5        0
20446 idle-python3.13                    	       0        2        0        2        0
20447 idle-python3.5                     	       0        2        0        2        0
20448 idle-python3.9                     	       0        9        0        9        0
20449 idle3                              	       0       13        0        1       12
20450 idle3-tools                        	       0       12        0       12        0
20451 idlestat                           	       0        3        0        3        0
20452 idn                                	       0        6        1        5        0
20453 idn2                               	       0       11        0       11        0
20454 idutch                             	       0       28        0       28        0
20455 idzebra-2.0                        	       0        2        0        0        2
20456 idzebra-2.0-common                 	       0        2        0        0        2
20457 idzebra-2.0-doc                    	       0        2        0        0        2
20458 idzebra-2.0-utils                  	       0        2        1        1        0
20459 iec16022                           	       0        3        0        3        0
20460 iem-plugin-suite-standalone        	       0        2        0        2        0
20461 iem-plugin-suite-vst               	       0        2        0        0        2
20462 iesperanto                         	       0        3        0        3        0
20463 iestonian                          	       0        2        0        2        0
20464 ietf2bibtex                        	       0        4        0        4        0
20465 ifcico                             	       0        2        0        2        0
20466 ifcopenshell                       	       0        1        0        1        0
20467 ifcplugin                          	       0        2        0        2        0
20468 ifcplusplus                        	       0        2        0        2        0
20469 ifenslave                          	       0       58        1       56        1
20470 ifenslave-2.6                      	       0        3        0        0        3
20471 ifgate                             	       0        1        0        1        0
20472 ifhp                               	       0        3        0        3        0
20473 ifmail                             	       0        2        0        2        0
20474 ifmetric                           	       0        5        1        4        0
20475 ifp-line-libifp                    	       0        2        0        2        0
20476 ifrename                           	       0       29        2       27        0
20477 ifrench                            	       0        3        0        3        0
20478 ifscheme                           	       0        1        0        1        0
20479 ifstat                             	       0       27        0       27        0
20480 ifupdown-extra                     	       0       14        2       12        0
20481 ifupdown-multi                     	       0        2        0        0        2
20482 ifupdown-ng                        	       0        7        1        6        0
20483 ifupdown2                          	       0       15        0       15        0
20484 ifuse                              	       0       55        0       55        0
20485 igaelic                            	       0        2        0        2        0
20486 igal2                              	       0        2        0        2        0
20487 igalician-minimos                  	       0        1        0        1        0
20488 igf-vracip                         	       0        1        0        1        0
20489 ignore-me                          	       0        1        0        1        0
20490 ihungarian                         	       0       19        0       19        0
20491 ii                                 	       0        3        0        3        0
20492 ii-esu                             	       0        1        0        1        0
20493 iii                                	       0        1        0        1        0
20494 iirish                             	       0        6        0        6        0
20495 ijsgutenprint                      	       0       19        0       19        0
20496 ike-scan                           	       0       22        1       21        0
20497 ikiwiki                            	       0        5        0        5        0
20498 ilia                               	       0        1        0        1        0
20499 ilisp                              	       0        3        0        3        0
20500 ilisp-doc                          	       0        3        0        0        3
20501 ilithuanian                        	       0        5        0        5        0
20502 ilorest                            	       0        1        0        1        0
20503 im                                 	       0        1        0        1        0
20504 im-switch                          	       0        1        0        1        0
20505 ima-adpcm                          	       0        1        0        1        0
20506 image-factory                      	       0        1        0        1        0
20507 image-transport-tools              	       0        1        0        1        0
20508 imagej                             	       0        8        0        8        0
20509 imagemagick                        	       0     2248        1       12     2235
20510 imagemagick-6-common               	       0     3150        0        0     3150
20511 imagemagick-6-doc                  	       0       60        0        0       60
20512 imagemagick-6.q16hdri              	       0        6        0        6        0
20513 imagemagick-7-common               	       0      149        0        0      149
20514 imagemagick-7-doc                  	       0        3        0        0        3
20515 imagemagick-7.q16hdri              	       0        1        0        1        0
20516 imagemagick-common                 	       0       66        0        0       66
20517 imagemagick-doc                    	       0       41        0        0       41
20518 imagescan                          	       0        3        0        3        0
20519 imagescan-plugin-gt-s650           	       0        3        0        0        3
20520 imagescan-plugin-networkscan       	       0        3        0        3        0
20521 imagescan-plugin-ocr-engine        	       0        3        0        0        3
20522 imagevis3d                         	       0        1        0        1        0
20523 imageworsener                      	       0        1        0        1        0
20524 imagewriter                        	       0        1        0        1        0
20525 imagination-common                 	       0       11        0        0       11
20526 imanx                              	       0        1        0        1        0
20527 imapcopy                           	       0        4        0        4        0
20528 imapfilter                         	       0        5        0        5        0
20529 imapproxy                          	       0        1        1        0        0
20530 imapsync                           	       0        2        0        2        0
20531 imediff                            	       0        7        0        7        0
20532 imediff2                           	       0        1        0        1        0
20533 img-pvr-rogue                      	       0        1        0        1        0
20534 imgp                               	       0        2        0        2        0
20535 imgsizer                           	       0        1        0        1        0
20536 imgtxtenh                          	       0        1        0        1        0
20537 imgui-build-deps                   	       0        1        0        0        1
20538 imhangul-common                    	       0        1        0        0        1
20539 imhangul-gtk3                      	       0        1        0        0        1
20540 imhex                              	       0        1        0        1        0
20541 imlib11                            	       0       26        0        0       26
20542 imlib11-dev                        	       0        1        0        1        0
20543 imlib2                             	       0        1        0        0        1
20544 immagini                           	       0        1        0        0        1
20545 impass                             	       0        2        0        2        0
20546 impose+                            	       0        7        0        7        0
20547 impressive                         	       0        9        0        9        0
20548 impressive-display                 	       0        2        0        2        0
20549 imthreshold                        	       0        1        0        1        0
20550 imv                                	       0       19        0       19        0
20551 imview                             	       0       17        0       17        0
20552 imview-doc                         	       0        1        0        0        1
20553 imvirt                             	       0       34        3       31        0
20554 imx-usb-loader                     	       0        2        0        2        0
20555 in-toto                            	       0        1        0        1        0
20556 inadyn                             	       0        6        0        6        0
20557 inav-configurator                  	       0        1        0        0        1
20558 include-gardener-build-deps        	       0        1        0        0        1
20559 incron                             	       0       20        6       14        0
20560 incus-agent                        	       0        6        0        6        0
20561 incus-base                         	       0        1        0        1        0
20562 incus-extra                        	       0        1        0        1        0
20563 incus-migrate                      	       0        1        0        1        0
20564 incus-tools                        	       0        2        0        2        0
20565 incus-ui-canonical                 	       0        1        0        1        0
20566 indent                             	       0       31        0       31        0
20567 indent-doc                         	       0        7        0        0        7
20568 indi-bin                           	       0       28        1       27        0
20569 indi-weewx-json                    	       0        1        0        1        0
20570 indicator-application              	       0        2        0        2        0
20571 indicator-cpufreq                  	       0        1        0        1        0
20572 indicator-keylock                  	       0        1        0        1        0
20573 indicator-sensors-build-deps       	       0        1        0        0        1
20574 indicator-sensors-dbgsym           	       0        1        0        1        0
20575 indicator-updatemanager            	       0        1        0        1        0
20576 indigo                             	       0        1        0        1        0
20577 indigo-control-panel               	       0        1        0        1        0
20578 industrial-cursor-theme            	       0        2        0        0        2
20579 industrial-icon-theme              	       0        1        0        0        1
20580 inetsim                            	       0        1        0        1        0
20581 inetutils-ftp                      	       0        5        0        5        0
20582 inetutils-ftpd                     	       0        4        0        4        0
20583 inetutils-inetd                    	       0       31        0       31        0
20584 inetutils-ping                     	       0        7        1        6        0
20585 inetutils-syslogd                  	       0       12        3        9        0
20586 inetutils-talkd                    	       0        2        0        2        0
20587 inetutils-telnetd                  	       0       32        1       31        0
20588 inetutils-traceroute               	       0       18        0       18        0
20589 infamous-plugins                   	       0        1        0        1        0
20590 infernal                           	       0        4        0        4        0
20591 infiniband-diags                   	       0        4        0        4        0
20592 infinit                            	       0        1        0        1        0
20593 influxdb                           	       0        7        2        5        0
20594 influxdb-client                    	       0        6        0        6        0
20595 influxdb2                          	       0        1        0        1        0
20596 influxdb2-cli                      	       0        1        0        1        0
20597 info2man                           	       0        6        0        6        0
20598 infonotary-client-software         	       0        1        0        1        0
20599 inform                             	       0        2        0        2        0
20600 inform-docs                        	       0        1        0        0        1
20601 inform-mode                        	       0        3        0        1        2
20602 inform6-compiler                   	       0        3        0        3        0
20603 inform6-library                    	       0        2        0        2        0
20604 inform7-ide                        	       0        2        0        2        0
20605 init                               	       0     4146        0        0     4146
20606 init-compat                        	       0        1        0        0        1
20607 initng                             	       0        1        0        1        0
20608 ink                                	       0       21        0       21        0
20609 ink-generator                      	       0        8        0        0        8
20610 inkscape-build-deps                	       0        1        0        0        1
20611 inkscape-open-symbols              	       0       48        0        0       48
20612 inkscape-speleo                    	       0        9        0        0        9
20613 inkscape-survex-export             	       0        5        0        0        5
20614 inkscape-textext                   	       0       19        0        0       19
20615 inkscape-textext-doc               	       0        5        0        0        5
20616 inkscape-tutorials                 	       0       59        0        0       59
20617 inkstitch                          	       0        2        0        2        0
20618 inn                                	       0        2        0        2        0
20619 inn2                               	       0        6        1        5        0
20620 inn2-dev                           	       0        3        0        3        0
20621 inn2-inews                         	       0       13        1       12        0
20622 innoextract                        	       0       46        0       46        0
20623 ino-headers                        	       0        2        0        2        0
20624 ino-headers-doc                    	       0        1        0        0        1
20625 inotail                            	       0        2        0        2        0
20626 inoticoming                        	       0        5        0        5        0
20627 inotify-hookable                   	       0        3        0        3        0
20628 input-remapper                     	       0        3        0        1        2
20629 input-remapper-daemon              	       0        3        0        3        0
20630 input-remapper-gtk                 	       0        3        0        3        0
20631 input-utils                        	       0       14        1       13        0
20632 inputlirc                          	       0        4        1        3        0
20633 inputplug                          	       0        3        0        3        0
20634 insomnia                           	       0        5        0        5        0
20635 insomnium                          	       0        1        0        1        0
20636 inspectrum                         	       0        5        0        5        0
20637 install-doc                        	       0        1        0        0        1
20638 install-mimic                      	       0        1        0        1        0
20639 installation-birthday              	       0        2        0        2        0
20640 installation-guide-amd64           	       0       10        0        0       10
20641 installation-guide-arm64           	       0        1        0        0        1
20642 installation-guide-armel           	       0        1        0        0        1
20643 installation-guide-armhf           	       0        1        0        0        1
20644 installation-guide-i386            	       0        3        0        0        3
20645 installation-guide-kfreebsd-amd64  	       0        1        0        0        1
20646 installation-guide-mips            	       0        1        0        0        1
20647 installation-guide-mips64el        	       0        1        0        0        1
20648 installation-guide-mipsel          	       0        2        0        0        2
20649 installation-guide-ppc64el         	       0        1        0        0        1
20650 installation-guide-s390x           	       0        1        0        0        1
20651 installwatch                       	       0        1        0        1        0
20652 instead                            	       0        7        0        7        0
20653 instead-data                       	       0        7        0        0        7
20654 insync                             	       0        2        0        2        0
20655 insync-dolphin                     	       0        1        0        0        1
20656 insync-nemo                        	       0        1        0        0        1
20657 int-fiction                        	       0        1        0        1        0
20658 int-fiction-installer              	       0        1        0        1        0
20659 integrit                           	       0        4        2        2        0
20660 intel-acm                          	       0        2        0        0        2
20661 intel-basekit                      	       0        2        0        0        2
20662 intel-basekit-env-2024.0           	       0        1        0        0        1
20663 intel-basekit-env-2024.1           	       0        1        0        0        1
20664 intel-basekit-getting-started-2024.0	       0        1        0        0        1
20665 intel-basekit-getting-started-2024.1	       0        1        0        0        1
20666 intel-cmt-cat                      	       0       12        0       12        0
20667 intel-comp-l-all-vars-19.1.0-166   	       0        1        0        1        0
20668 intel-comp-nomcu-vars-19.1.0-166   	       0        1        0        1        0
20669 intel-conda-index-tool-19.1.0-166  	       0        1        0        1        0
20670 intel-conda-intel-openmp-linux-64-shadow-package-19.1.0-166	       0        1        0        0        1
20671 intel-conda-mkl-devel-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20672 intel-conda-mkl-include-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20673 intel-conda-mkl-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20674 intel-conda-mkl-static-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20675 intel-conda-tbb-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20676 intel-gmmlib                       	       0        1        0        0        1
20677 intel-hdcp                         	       0        4        0        4        0
20678 intel-hpckit                       	       0        1        0        0        1
20679 intel-hpckit-env-2024.0            	       0        1        0        0        1
20680 intel-hpckit-env-2024.1            	       0        1        0        0        1
20681 intel-hpckit-getting-started-2024.0	       0        1        0        0        1
20682 intel-hpckit-getting-started-2024.1	       0        1        0        0        1
20683 intel-igc-core                     	       0        1        0        1        0
20684 intel-igc-core-2                   	       0        1        0        1        0
20685 intel-igc-opencl                   	       0        1        0        0        1
20686 intel-igc-opencl-2                 	       0        1        0        0        1
20687 intel-level-zero-gpu               	       0        2        0        0        2
20688 intel-media-va-driver-non-free     	       0       32        4        6       22
20689 intel-mkl                          	       0        3        0        0        3
20690 intel-mkl-64bit-2020.0-088         	       0        1        0        0        1
20691 intel-mkl-cluster-2020.0-166       	       0        1        0        1        0
20692 intel-mkl-cluster-c-2020.0-166     	       0        1        0        0        1
20693 intel-mkl-cluster-f-2020.0-166     	       0        1        0        0        1
20694 intel-mkl-cluster-rt-2020.0-166    	       0        1        0        1        0
20695 intel-mkl-common-2020.0-166        	       0        1        0        1        0
20696 intel-mkl-common-c-2020.0-166      	       0        1        0        1        0
20697 intel-mkl-common-c-ps-2020.0-166   	       0        1        0        1        0
20698 intel-mkl-common-f-2020.0-166      	       0        1        0        0        1
20699 intel-mkl-common-ps-2020.0-166     	       0        1        0        1        0
20700 intel-mkl-core-2020.0-166          	       0        1        0        1        0
20701 intel-mkl-core-c-2020.0-166        	       0        1        0        0        1
20702 intel-mkl-core-f-2020.0-166        	       0        1        0        0        1
20703 intel-mkl-core-ps-2020.0-166       	       0        1        0        1        0
20704 intel-mkl-core-rt-2020.0-166       	       0        1        0        1        0
20705 intel-mkl-doc-2020                 	       0        1        0        0        1
20706 intel-mkl-doc-ps-2020              	       0        1        0        0        1
20707 intel-mkl-f95-2020.0-166           	       0        1        0        1        0
20708 intel-mkl-f95-common-2020.0-166    	       0        1        0        0        1
20709 intel-mkl-gnu-2020.0-166           	       0        1        0        1        0
20710 intel-mkl-gnu-c-2020.0-166         	       0        1        0        0        1
20711 intel-mkl-gnu-f-2020.0-166         	       0        1        0        1        0
20712 intel-mkl-gnu-f-rt-2020.0-166      	       0        1        0        1        0
20713 intel-mkl-gnu-rt-2020.0-166        	       0        1        0        1        0
20714 intel-mkl-pgi-2020.0-166           	       0        1        0        1        0
20715 intel-mkl-pgi-c-2020.0-166         	       0        1        0        0        1
20716 intel-mkl-pgi-rt-2020.0-166        	       0        1        0        1        0
20717 intel-mkl-psxe-2020.0-088          	       0        1        0        0        1
20718 intel-mkl-tbb-2020.0-166           	       0        1        0        1        0
20719 intel-mkl-tbb-rt-2020.0-166        	       0        1        0        1        0
20720 intel-ocloc                        	       0        1        0        1        0
20721 intel-oneapi-advisor               	       0        3        0        3        0
20722 intel-oneapi-base-toolkit          	       0        1        0        0        1
20723 intel-oneapi-base-toolkit-env-2025.0	       0        1        0        0        1
20724 intel-oneapi-base-toolkit-getting-started-2025.0	       0        1        0        0        1
20725 intel-oneapi-ccl-2021.11           	       0        1        0        1        0
20726 intel-oneapi-ccl-2021.12           	       0        1        0        1        0
20727 intel-oneapi-ccl-2021.14           	       0        1        0        1        0
20728 intel-oneapi-ccl-devel             	       0        2        0        0        2
20729 intel-oneapi-ccl-devel-2021.11     	       0        1        0        1        0
20730 intel-oneapi-ccl-devel-2021.12     	       0        1        0        1        0
20731 intel-oneapi-ccl-devel-2021.14     	       0        1        0        1        0
20732 intel-oneapi-common-licensing      	       0        2        0        0        2
20733 intel-oneapi-common-licensing-2023.2.0	       0        1        0        0        1
20734 intel-oneapi-common-licensing-2024.0	       0        1        0        0        1
20735 intel-oneapi-common-licensing-2024.1	       0        1        0        0        1
20736 intel-oneapi-common-licensing-2024.2	       0        3        0        0        3
20737 intel-oneapi-common-licensing-2025.0	       0        2        0        0        2
20738 intel-oneapi-common-oneapi-vars    	       0        2        0        0        2
20739 intel-oneapi-common-oneapi-vars-2024.0	       0        1        0        0        1
20740 intel-oneapi-common-oneapi-vars-2024.1	       0        1        0        0        1
20741 intel-oneapi-common-oneapi-vars-2024.2	       0        3        0        0        3
20742 intel-oneapi-common-oneapi-vars-2025.0	       0        2        0        0        2
20743 intel-oneapi-common-vars           	       0        5        0        0        5
20744 intel-oneapi-compiler-cpp-eclipse-cfg-2024.0	       0        1        0        0        1
20745 intel-oneapi-compiler-cpp-eclipse-cfg-2024.1	       0        1        0        0        1
20746 intel-oneapi-compiler-cpp-eclipse-cfg-2025.0	       0        1        0        0        1
20747 intel-oneapi-compiler-dpcpp-cpp    	       0        2        0        0        2
20748 intel-oneapi-compiler-dpcpp-cpp-2024.0	       0        1        0        0        1
20749 intel-oneapi-compiler-dpcpp-cpp-2024.1	       0        1        0        0        1
20750 intel-oneapi-compiler-dpcpp-cpp-2025.0	       0        1        0        0        1
20751 intel-oneapi-compiler-dpcpp-cpp-common-2024.0	       0        1        0        1        0
20752 intel-oneapi-compiler-dpcpp-cpp-common-2024.1	       0        1        0        1        0
20753 intel-oneapi-compiler-dpcpp-cpp-common-2025.0	       0        1        0        1        0
20754 intel-oneapi-compiler-dpcpp-cpp-runtime-2023.2.0	       0        1        0        1        0
20755 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.0	       0        1        0        0        1
20756 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.1	       0        1        0        0        1
20757 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.2	       0        2        0        0        2
20758 intel-oneapi-compiler-dpcpp-cpp-runtime-2025.0	       0        2        0        0        2
20759 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.0	       0        1        0        0        1
20760 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.1	       0        1        0        0        1
20761 intel-oneapi-compiler-dpcpp-eclipse-cfg-2025.0	       0        1        0        0        1
20762 intel-oneapi-compiler-fortran-2024.0	       0        1        0        1        0
20763 intel-oneapi-compiler-fortran-2024.1	       0        1        0        1        0
20764 intel-oneapi-compiler-fortran-common-2024.0	       0        1        0        1        0
20765 intel-oneapi-compiler-fortran-common-2024.1	       0        1        0        1        0
20766 intel-oneapi-compiler-fortran-runtime-2024.0	       0        1        0        0        1
20767 intel-oneapi-compiler-fortran-runtime-2024.1	       0        1        0        0        1
20768 intel-oneapi-compiler-shared-2024.0	       0        1        0        1        0
20769 intel-oneapi-compiler-shared-2024.1	       0        1        0        1        0
20770 intel-oneapi-compiler-shared-2025.0	       0        1        0        1        0
20771 intel-oneapi-compiler-shared-common-2024.0	       0        1        0        1        0
20772 intel-oneapi-compiler-shared-common-2024.1	       0        1        0        1        0
20773 intel-oneapi-compiler-shared-common-2025.0	       0        1        0        1        0
20774 intel-oneapi-compiler-shared-runtime-2023.2.0	       0        1        0        1        0
20775 intel-oneapi-compiler-shared-runtime-2024.0	       0        1        0        1        0
20776 intel-oneapi-compiler-shared-runtime-2024.1	       0        1        0        1        0
20777 intel-oneapi-compiler-shared-runtime-2024.2	       0        2        0        0        2
20778 intel-oneapi-compiler-shared-runtime-2025.0	       0        2        0        0        2
20779 intel-oneapi-condaindex            	       0        1        0        1        0
20780 intel-oneapi-dal-2024.0            	       0        1        0        0        1
20781 intel-oneapi-dal-2024.2            	       0        1        0        0        1
20782 intel-oneapi-dal-2024.6            	       0        1        0        0        1
20783 intel-oneapi-dal-2025.0            	       0        1        0        0        1
20784 intel-oneapi-dal-common-2024.0     	       0        1        0        0        1
20785 intel-oneapi-dal-common-2024.2     	       0        1        0        0        1
20786 intel-oneapi-dal-common-2024.6     	       0        1        0        0        1
20787 intel-oneapi-dal-common-2025.0     	       0        1        0        0        1
20788 intel-oneapi-dal-common-devel-2024.0	       0        1        0        1        0
20789 intel-oneapi-dal-common-devel-2024.2	       0        1        0        1        0
20790 intel-oneapi-dal-common-devel-2024.6	       0        1        0        1        0
20791 intel-oneapi-dal-common-devel-2025.0	       0        1        0        1        0
20792 intel-oneapi-dal-devel             	       0        2        0        0        2
20793 intel-oneapi-dal-devel-2024.0      	       0        1        0        1        0
20794 intel-oneapi-dal-devel-2024.2      	       0        1        0        1        0
20795 intel-oneapi-dal-devel-2024.6      	       0        1        0        1        0
20796 intel-oneapi-dal-devel-2025.0      	       0        1        0        1        0
20797 intel-oneapi-dev-utilities         	       0        2        0        0        2
20798 intel-oneapi-dev-utilities-2024.0  	       0        1        0        1        0
20799 intel-oneapi-dev-utilities-2024.1  	       0        1        0        1        0
20800 intel-oneapi-dev-utilities-2025.0  	       0        1        0        1        0
20801 intel-oneapi-dev-utilities-eclipse-cfg-2024.0	       0        1        0        0        1
20802 intel-oneapi-dev-utilities-eclipse-cfg-2024.1	       0        1        0        0        1
20803 intel-oneapi-dev-utilities-eclipse-cfg-2025.0	       0        1        0        0        1
20804 intel-oneapi-diagnostics-utility   	       0        1        0        0        1
20805 intel-oneapi-diagnostics-utility-2024.0	       0        1        0        1        0
20806 intel-oneapi-diagnostics-utility-2024.1	       0        1        0        1        0
20807 intel-oneapi-dnnl                  	       0        2        0        0        2
20808 intel-oneapi-dnnl-2024.0           	       0        1        0        0        1
20809 intel-oneapi-dnnl-2024.1           	       0        1        0        0        1
20810 intel-oneapi-dnnl-2025.0           	       0        1        0        0        1
20811 intel-oneapi-dnnl-devel            	       0        2        0        0        2
20812 intel-oneapi-dnnl-devel-2024.0     	       0        1        0        1        0
20813 intel-oneapi-dnnl-devel-2024.1     	       0        1        0        1        0
20814 intel-oneapi-dnnl-devel-2025.0     	       0        1        0        1        0
20815 intel-oneapi-dpcpp-cpp-2024.0      	       0        1        0        1        0
20816 intel-oneapi-dpcpp-cpp-2024.1      	       0        1        0        1        0
20817 intel-oneapi-dpcpp-cpp-2025.0      	       0        1        0        1        0
20818 intel-oneapi-dpcpp-ct              	       0        2        0        0        2
20819 intel-oneapi-dpcpp-ct-2024.0       	       0        1        0        1        0
20820 intel-oneapi-dpcpp-ct-2024.1       	       0        1        0        1        0
20821 intel-oneapi-dpcpp-ct-2025.0       	       0        1        0        1        0
20822 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.0	       0        1        0        0        1
20823 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.1	       0        1        0        0        1
20824 intel-oneapi-dpcpp-ct-eclipse-cfg-2025.0	       0        1        0        0        1
20825 intel-oneapi-dpcpp-debugger-2024.0 	       0        1        0        1        0
20826 intel-oneapi-dpcpp-debugger-2024.1 	       0        1        0        1        0
20827 intel-oneapi-dpcpp-debugger-2025.0 	       0        1        0        1        0
20828 intel-oneapi-icc-eclipse-plugin-cpp-2024.0	       0        1        0        0        1
20829 intel-oneapi-icc-eclipse-plugin-cpp-2024.1	       0        1        0        0        1
20830 intel-oneapi-icc-eclipse-plugin-cpp-2025.0	       0        1        0        0        1
20831 intel-oneapi-inspector             	       0        1        0        1        0
20832 intel-oneapi-ipp-2021.10           	       0        1        0        0        1
20833 intel-oneapi-ipp-2021.11           	       0        1        0        0        1
20834 intel-oneapi-ipp-2022.0            	       0        1        0        0        1
20835 intel-oneapi-ipp-common-2021.10    	       0        1        0        0        1
20836 intel-oneapi-ipp-common-2021.11    	       0        1        0        0        1
20837 intel-oneapi-ipp-common-devel-2021.10	       0        1        0        1        0
20838 intel-oneapi-ipp-common-devel-2021.11	       0        1        0        1        0
20839 intel-oneapi-ipp-devel             	       0        2        0        0        2
20840 intel-oneapi-ipp-devel-2021.10     	       0        1        0        1        0
20841 intel-oneapi-ipp-devel-2021.11     	       0        1        0        1        0
20842 intel-oneapi-ipp-devel-2022.0      	       0        1        0        1        0
20843 intel-oneapi-ippcp-2021.11         	       0        1        0        0        1
20844 intel-oneapi-ippcp-2021.9          	       0        1        0        0        1
20845 intel-oneapi-ippcp-2025.0          	       0        1        0        0        1
20846 intel-oneapi-ippcp-common-2021.11  	       0        1        0        0        1
20847 intel-oneapi-ippcp-common-2021.9   	       0        1        0        0        1
20848 intel-oneapi-ippcp-common-devel-2021.11	       0        1        0        1        0
20849 intel-oneapi-ippcp-common-devel-2021.9	       0        1        0        1        0
20850 intel-oneapi-ippcp-devel           	       0        2        0        0        2
20851 intel-oneapi-ippcp-devel-2021.11   	       0        1        0        1        0
20852 intel-oneapi-ippcp-devel-2021.9    	       0        1        0        1        0
20853 intel-oneapi-ippcp-devel-2025.0    	       0        1        0        1        0
20854 intel-oneapi-itac                  	       0        1        0        0        1
20855 intel-oneapi-itac-2022.0           	       0        1        0        1        0
20856 intel-oneapi-itac-2022.1           	       0        1        0        1        0
20857 intel-oneapi-libdpstd-devel-2022.3 	       0        1        0        1        0
20858 intel-oneapi-libdpstd-devel-2022.5 	       0        1        0        1        0
20859 intel-oneapi-libdpstd-devel-2022.7 	       0        1        0        1        0
20860 intel-oneapi-mkl                   	       0        2        0        0        2
20861 intel-oneapi-mkl-2023.2.0          	       0        1        0        1        0
20862 intel-oneapi-mkl-2024.0            	       0        1        0        0        1
20863 intel-oneapi-mkl-2024.2            	       0        1        0        0        1
20864 intel-oneapi-mkl-2025.0            	       0        1        0        0        1
20865 intel-oneapi-mkl-classic-2024.2    	       0        1        0        0        1
20866 intel-oneapi-mkl-classic-2025.0    	       0        1        0        0        1
20867 intel-oneapi-mkl-classic-devel-2024.1	       0        1        0        0        1
20868 intel-oneapi-mkl-classic-devel-2024.2	       0        1        0        0        1
20869 intel-oneapi-mkl-classic-devel-2025.0	       0        2        0        0        2
20870 intel-oneapi-mkl-classic-include-2024.1	       0        1        0        0        1
20871 intel-oneapi-mkl-classic-include-2024.2	       0        1        0        0        1
20872 intel-oneapi-mkl-classic-include-2025.0	       0        2        0        2        0
20873 intel-oneapi-mkl-classic-include-common-2024.1	       0        1        0        1        0
20874 intel-oneapi-mkl-classic-include-common-2024.2	       0        1        0        1        0
20875 intel-oneapi-mkl-cluster-2024.1    	       0        1        0        0        1
20876 intel-oneapi-mkl-cluster-2024.2    	       0        1        0        0        1
20877 intel-oneapi-mkl-cluster-2025.0    	       0        2        0        0        2
20878 intel-oneapi-mkl-cluster-devel-2024.1	       0        1        0        1        0
20879 intel-oneapi-mkl-cluster-devel-2024.2	       0        1        0        1        0
20880 intel-oneapi-mkl-cluster-devel-2025.0	       0        2        0        2        0
20881 intel-oneapi-mkl-cluster-devel-common-2024.1	       0        1        0        0        1
20882 intel-oneapi-mkl-cluster-devel-common-2024.2	       0        1        0        0        1
20883 intel-oneapi-mkl-common-2023.2.0   	       0        1        0        0        1
20884 intel-oneapi-mkl-common-2024.0     	       0        1        0        0        1
20885 intel-oneapi-mkl-common-devel-2023.2.0	       0        1        0        1        0
20886 intel-oneapi-mkl-common-devel-2024.0	       0        1        0        1        0
20887 intel-oneapi-mkl-core-2024.1       	       0        1        0        0        1
20888 intel-oneapi-mkl-core-2024.2       	       0        1        0        0        1
20889 intel-oneapi-mkl-core-2025.0       	       0        2        0        0        2
20890 intel-oneapi-mkl-core-common-2024.1	       0        1        0        0        1
20891 intel-oneapi-mkl-core-common-2024.2	       0        1        0        0        1
20892 intel-oneapi-mkl-core-devel-2024.1 	       0        1        0        1        0
20893 intel-oneapi-mkl-core-devel-2024.2 	       0        1        0        1        0
20894 intel-oneapi-mkl-core-devel-2025.0 	       0        2        0        2        0
20895 intel-oneapi-mkl-core-devel-common-2024.1	       0        1        0        1        0
20896 intel-oneapi-mkl-core-devel-common-2024.2	       0        1        0        1        0
20897 intel-oneapi-mkl-devel             	       0        4        0        0        4
20898 intel-oneapi-mkl-devel-2023.2.0    	       0        1        0        1        0
20899 intel-oneapi-mkl-devel-2024.0      	       0        1        0        1        0
20900 intel-oneapi-mkl-devel-2024.1      	       0        1        0        0        1
20901 intel-oneapi-mkl-devel-2024.2      	       0        1        0        0        1
20902 intel-oneapi-mkl-devel-2025.0      	       0        2        0        0        2
20903 intel-oneapi-mkl-sycl-2024.1       	       0        1        0        0        1
20904 intel-oneapi-mkl-sycl-2024.2       	       0        1        0        0        1
20905 intel-oneapi-mkl-sycl-2025.0       	       0        2        0        0        2
20906 intel-oneapi-mkl-sycl-blas-2024.1  	       0        1        0        0        1
20907 intel-oneapi-mkl-sycl-blas-2024.2  	       0        1        0        0        1
20908 intel-oneapi-mkl-sycl-blas-2025.0  	       0        2        0        0        2
20909 intel-oneapi-mkl-sycl-data-fitting-2024.1	       0        1        0        0        1
20910 intel-oneapi-mkl-sycl-data-fitting-2024.2	       0        1        0        0        1
20911 intel-oneapi-mkl-sycl-data-fitting-2025.0	       0        2        0        0        2
20912 intel-oneapi-mkl-sycl-devel-2024.1 	       0        1        0        1        0
20913 intel-oneapi-mkl-sycl-devel-2024.2 	       0        1        0        1        0
20914 intel-oneapi-mkl-sycl-devel-2025.0 	       0        2        0        2        0
20915 intel-oneapi-mkl-sycl-devel-common-2024.1	       0        1        0        0        1
20916 intel-oneapi-mkl-sycl-devel-common-2024.2	       0        1        0        0        1
20917 intel-oneapi-mkl-sycl-dft-2024.1   	       0        1        0        0        1
20918 intel-oneapi-mkl-sycl-dft-2024.2   	       0        1        0        0        1
20919 intel-oneapi-mkl-sycl-dft-2025.0   	       0        2        0        0        2
20920 intel-oneapi-mkl-sycl-include-2024.1	       0        1        0        0        1
20921 intel-oneapi-mkl-sycl-include-2024.2	       0        1        0        0        1
20922 intel-oneapi-mkl-sycl-include-2025.0	       0        2        0        0        2
20923 intel-oneapi-mkl-sycl-lapack-2024.1	       0        1        0        0        1
20924 intel-oneapi-mkl-sycl-lapack-2024.2	       0        1        0        0        1
20925 intel-oneapi-mkl-sycl-lapack-2025.0	       0        2        0        0        2
20926 intel-oneapi-mkl-sycl-rng-2024.1   	       0        1        0        0        1
20927 intel-oneapi-mkl-sycl-rng-2024.2   	       0        1        0        0        1
20928 intel-oneapi-mkl-sycl-rng-2025.0   	       0        2        0        0        2
20929 intel-oneapi-mkl-sycl-sparse-2024.1	       0        1        0        0        1
20930 intel-oneapi-mkl-sycl-sparse-2024.2	       0        1        0        0        1
20931 intel-oneapi-mkl-sycl-sparse-2025.0	       0        2        0        0        2
20932 intel-oneapi-mkl-sycl-stats-2024.1 	       0        1        0        0        1
20933 intel-oneapi-mkl-sycl-stats-2024.2 	       0        1        0        0        1
20934 intel-oneapi-mkl-sycl-stats-2025.0 	       0        2        0        0        2
20935 intel-oneapi-mkl-sycl-vm-2024.1    	       0        1        0        0        1
20936 intel-oneapi-mkl-sycl-vm-2024.2    	       0        1        0        0        1
20937 intel-oneapi-mkl-sycl-vm-2025.0    	       0        2        0        0        2
20938 intel-oneapi-mpi-2021.11           	       0        1        0        1        0
20939 intel-oneapi-mpi-2021.12           	       0        1        0        1        0
20940 intel-oneapi-mpi-2021.14           	       0        1        0        1        0
20941 intel-oneapi-mpi-devel             	       0        1        0        0        1
20942 intel-oneapi-mpi-devel-2021.11     	       0        1        0        1        0
20943 intel-oneapi-mpi-devel-2021.12     	       0        1        0        1        0
20944 intel-oneapi-mpi-devel-2021.14     	       0        1        0        1        0
20945 intel-oneapi-openmp-2023.2.0       	       0        1        0        1        0
20946 intel-oneapi-openmp-2024.0         	       0        1        0        1        0
20947 intel-oneapi-openmp-2024.1         	       0        1        0        1        0
20948 intel-oneapi-openmp-2024.2         	       0        2        0        2        0
20949 intel-oneapi-openmp-2025.0         	       0        2        0        2        0
20950 intel-oneapi-openmp-common-2023.2.0	       0        1        0        0        1
20951 intel-oneapi-openmp-common-2024.0  	       0        1        0        0        1
20952 intel-oneapi-openmp-common-2024.1  	       0        1        0        0        1
20953 intel-oneapi-openmp-common-2024.2  	       0        2        0        0        2
20954 intel-oneapi-openmp-common-2025.0  	       0        2        0        0        2
20955 intel-oneapi-runtime-compilers     	       0        1        0        1        0
20956 intel-oneapi-runtime-compilers-2024	       0        1        0        1        0
20957 intel-oneapi-runtime-compilers-common	       0        2        0        2        0
20958 intel-oneapi-runtime-compilers-common-2024	       0        1        0        0        1
20959 intel-oneapi-runtime-dpcpp-cpp     	       0        1        0        1        0
20960 intel-oneapi-runtime-dpcpp-cpp-2024	       0        1        0        0        1
20961 intel-oneapi-runtime-dpcpp-cpp-common	       0        1        0        1        0
20962 intel-oneapi-runtime-dpcpp-cpp-common-2024	       0        1        0        0        1
20963 intel-oneapi-runtime-dpcpp-sycl-core	       0        1        0        0        1
20964 intel-oneapi-runtime-dpcpp-sycl-core-2024	       0        1        0        0        1
20965 intel-oneapi-runtime-dpcpp-sycl-cpu-rt	       0        1        0        0        1
20966 intel-oneapi-runtime-dpcpp-sycl-fpga-emul	       0        1        0        1        0
20967 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu	       0        1        0        1        0
20968 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu-2024	       0        1        0        0        1
20969 intel-oneapi-runtime-dpcpp-sycl-rt 	       0        1        0        0        1
20970 intel-oneapi-runtime-mkl           	       0        2        0        1        1
20971 intel-oneapi-runtime-mkl-2024      	       0        1        0        0        1
20972 intel-oneapi-runtime-mkl-common    	       0        1        0        1        0
20973 intel-oneapi-runtime-mkl-common-2024	       0        1        0        0        1
20974 intel-oneapi-runtime-opencl        	       0        1        0        1        0
20975 intel-oneapi-runtime-opencl-2024   	       0        1        0        1        0
20976 intel-oneapi-runtime-openmp        	       0        1        0        1        0
20977 intel-oneapi-runtime-openmp-2024   	       0        1        0        0        1
20978 intel-oneapi-runtime-openmp-opencl-shared-2024	       0        1        0        0        1
20979 intel-oneapi-runtime-tbb           	       0        1        0        1        0
20980 intel-oneapi-runtime-tbb-2021      	       0        1        0        0        1
20981 intel-oneapi-runtime-tbb-common    	       0        1        0        1        0
20982 intel-oneapi-runtime-tbb-common-2021	       0        1        0        0        1
20983 intel-oneapi-runtime-tcm-1         	       0        1        0        0        1
20984 intel-oneapi-tbb-2021.10.0         	       0        1        0        1        0
20985 intel-oneapi-tbb-2021.11           	       0        1        0        0        1
20986 intel-oneapi-tbb-2021.12           	       0        1        0        0        1
20987 intel-oneapi-tbb-2021.13           	       0        2        0        0        2
20988 intel-oneapi-tbb-2022.0            	       0        2        0        0        2
20989 intel-oneapi-tbb-common-2021.10.0  	       0        1        0        0        1
20990 intel-oneapi-tbb-common-2021.11    	       0        1        0        0        1
20991 intel-oneapi-tbb-common-2021.12    	       0        1        0        0        1
20992 intel-oneapi-tbb-common-2021.13    	       0        2        0        0        2
20993 intel-oneapi-tbb-common-devel-2021.11	       0        1        0        1        0
20994 intel-oneapi-tbb-common-devel-2021.12	       0        1        0        1        0
20995 intel-oneapi-tbb-common-devel-2021.13	       0        1        0        1        0
20996 intel-oneapi-tbb-devel             	       0        2        0        0        2
20997 intel-oneapi-tbb-devel-2021.11     	       0        1        0        1        0
20998 intel-oneapi-tbb-devel-2021.12     	       0        1        0        1        0
20999 intel-oneapi-tbb-devel-2021.13     	       0        1        0        1        0
21000 intel-oneapi-tbb-devel-2022.0      	       0        1        0        1        0
21001 intel-oneapi-tcm-1.0               	       0        1        0        0        1
21002 intel-oneapi-tcm-1.1               	       0        2        0        0        2
21003 intel-oneapi-tcm-1.2               	       0        2        0        0        2
21004 intel-oneapi-tlt                   	       0        2        0        0        2
21005 intel-oneapi-tlt-2024.0            	       0        1        0        0        1
21006 intel-oneapi-tlt-2024.1            	       0        1        0        0        1
21007 intel-oneapi-tlt-2025.0            	       0        1        0        0        1
21008 intel-oneapi-umf-0.9               	       0        2        0        2        0
21009 intel-oneapi-vtune                 	       0        3        0        3        0
21010 intel-opencl                       	       0        1        0        1        0
21011 intel-opencl-icd                   	       0       13        0        9        4
21012 intel-openmp-19.1.0-166            	       0        1        0        1        0
21013 intel-psxe-common-2020.0-088       	       0        1        0        1        0
21014 intel-psxe-common-doc-2020         	       0        1        0        0        1
21015 intel-pti-0.10                     	       0        1        0        0        1
21016 intel-pti-dev                      	       0        1        0        0        1
21017 intel-pti-dev-0.10                 	       0        1        0        1        0
21018 intel-tbb-libs-2020.0-166          	       0        1        0        1        0
21019 intel2gas                          	       0        3        1        2        0
21020 inteltool                          	       0        7        0        7        0
21021 interimap                          	       0        1        0        1        0
21022 internet-watchdog                  	       0        1        0        1        0
21023 intltool-debian                    	       0     1287        0        0     1287
21024 intranet-utils-2pir                	       0        1        0        1        0
21025 invada-studio-plugins-lv2          	       0        8        0        8        0
21026 inventor-clients                   	       0        2        0        2        0
21027 inventor-data                      	       0        1        0        0        1
21028 inventor-demo                      	       0        1        0        1        0
21029 inventor-dev                       	       0        2        0        2        0
21030 inventor-doc                       	       0        1        0        0        1
21031 invesalius                         	       0        3        0        3        0
21032 invesalius-bin                     	       0        5        0        5        0
21033 invesalius-bin-dbgsym              	       0        1        0        1        0
21034 invesalius-build-deps              	       0        1        0        0        1
21035 invesalius-examples                	       0        1        0        0        1
21036 io.solarwallet.app                 	       0        1        0        1        0
21037 iodbc                              	       0        3        0        3        0
21038 iog                                	       0        1        0        1        0
21039 iogerman                           	       0       16        0       16        0
21040 ion2                               	       0        1        1        0        0
21041 ionit                              	       0        2        0        2        0
21042 ioping                             	       0       13        1       12        0
21043 ioport                             	       0        1        0        1        0
21044 iotop-c                            	       0       49        2       47        0
21045 iozone3                            	       0       15        1       14        0
21046 ipcalc-ng                          	       0       17        0       17        0
21047 ipchains                           	       0        1        0        1        0
21048 ipe                                	       0       18        0       18        0
21049 ipe5toxml                          	       0        4        0        4        0
21050 ipfs-cid                           	       0        3        0        3        0
21051 ipfs-desktop                       	       0        3        0        0        3
21052 ipheth-utils                       	       0       11        1       10        0
21053 ipig                               	       0        1        0        1        0
21054 ipip                               	       0        1        0        1        0
21055 ipmiutil                           	       0        9        0        9        0
21056 ipol-tools                         	       0        1        0        1        0
21057 iportuguese                        	       0        6        0        6        0
21058 ipp-linux                          	       0        2        0        0        2
21059 ippl                               	       0        1        0        1        0
21060 ipppd                              	       0        3        0        3        0
21061 ippsample                          	       0        2        0        2        0
21062 ippsample-data                     	       0        2        0        0        2
21063 ippusbxd                           	       0        1        0        1        0
21064 ipqalc                             	       0        3        0        3        0
21065 iprange                            	       0       28        3       25        0
21066 iproute                            	       0      129        0        0      129
21067 iproute-dev                        	       0        1        0        1        0
21068 iproute-doc                        	       0        4        0        0        4
21069 iproute2-doc                       	       0       22        0        0       22
21070 ips                                	       0        5        0        5        0
21071 ipsec-tools                        	       0        7        1        6        0
21072 ipset-persistent                   	       0        4        0        3        1
21073 ipsvd                              	       0       10        0       10        0
21074 iptables-converter                 	       0        5        0        5        0
21075 iptables-converter-doc             	       0        1        0        0        1
21076 iptables-dev                       	       0        3        0        0        3
21077 iptables-netflow-dkms              	       0        2        1        1        0
21078 iptotal                            	       0        3        0        3        0
21079 iptraf                             	       0       61        0        4       57
21080 iptraf-ng                          	       0      152        2      150        0
21081 iptstate                           	       0       16        1       15        0
21082 iptux                              	       0        4        0        4        0
21083 iptvnator                          	       0        4        0        0        4
21084 iputils-arping                     	       0       82        4       78        0
21085 iputils-clockdiff                  	       0        8        1        7        0
21086 iputils-tracepath                  	       0       91        3       88        0
21087 ipv6calc                           	       0       30        0       30        0
21088 ipv6pref                           	       0        2        0        2        0
21089 ipvsadm                            	       0        4        0        4        0
21090 ipwatchd                           	       0        1        0        1        0
21091 ipx                                	       0        1        0        1        0
21092 ipxe                               	       0       13        1       12        0
21093 ipython                            	       0        4        0        4        0
21094 ipython-doc                        	       0        1        0        0        1
21095 ipython3-qtconsole                 	       0        1        0        0        1
21096 iqtree                             	       0        1        0        1        0
21097 ir-keytable                        	       0       12        0       12        0
21098 ir.lv2                             	       0        7        0        7        0
21099 iraf                               	       0       10        0       10        0
21100 iraf-dev                           	       0        5        0        5        0
21101 iraf-fitsutil                      	       0        2        0        2        0
21102 iraf-noao                          	       0       10        0       10        0
21103 iraf-noao-dev                      	       0        5        0        5        0
21104 ircd-hybrid                        	       0        3        2        1        0
21105 ircd-irc2                          	       0        1        0        1        0
21106 irclog2html                        	       0        1        0        1        0
21107 ircp-tray                          	       0        1        0        1        0
21108 irda-utils                         	       0        4        0        4        0
21109 ire                                	       0        1        0        1        0
21110 ire-rotj                           	       0        1        0        1        0
21111 ire-the-flat                       	       0        1        0        1        0
21112 irecovery                          	       0        2        0        2        0
21113 irfanview-bin64                    	       0        3        0        3        0
21114 irfanview-common                   	       0        3        0        3        0
21115 iridium-browser                    	       0        1        0        1        0
21116 iron64                             	       0        2        0        0        2
21117 ironseed                           	       0        3        0        3        0
21118 ironseed-data                      	       0        3        0        0        3
21119 irony                              	       0        1        0        1        0
21120 irony-mode                         	       0        1        0        0        1
21121 irony-server                       	       0        2        0        2        0
21122 irpas                              	       0        1        0        1        0
21123 irsim                              	       0        5        0        5        0
21124 irssi-plugin-otr                   	       0        8        0        0        8
21125 irssi-plugin-robustirc             	       0        1        0        0        1
21126 irssi-plugin-xmpp                  	       0        9        0        0        9
21127 irssi-text                         	       0        1        0        0        1
21128 irstlm                             	       0        2        0        2        0
21129 irtt                               	       0        7        0        7        0
21130 isa-support                        	       0      290        0        0      290
21131 isag                               	       0        8        0        8        0
21132 isapnptools                        	       0        1        0        1        0
21133 isc-dhcp-client-ddns               	       0        6        0        6        0
21134 isc-dhcp-relay                     	       0        2        0        2        0
21135 isc-dhcp-server                    	       0      100       17       83        0
21136 isc-dhcp-server-ldap               	       0        2        0        2        0
21137 isc-kea-common                     	       0        1        0        1        0
21138 isc-kea-dhcp4                      	       0        1        0        1        0
21139 isc-kea-dhcp6                      	       0        1        0        1        0
21140 isc-stork-agent                    	       0        1        0        1        0
21141 isc-stork-server                   	       0        1        0        1        0
21142 iscan                              	       0       15        2       13        0
21143 iscan-data                         	       0       15        0       15        0
21144 iscan-network-nt                   	       0        8        0        8        0
21145 iscan-plugin-gt-f670               	       0        1        0        1        0
21146 iscan-plugin-gt-s600               	       0        1        0        1        0
21147 iscan-plugin-gt-x770               	       0        1        0        1        0
21148 iscan-plugin-perfection-v370       	       0        1        0        1        0
21149 iscan-plugin-perfection-v550       	       0        1        0        1        0
21150 iscsi-scst                         	       0        2        0        2        0
21151 isdnactivecards                    	       0        1        0        1        0
21152 isdnbutton                         	       0        1        0        1        0
21153 isdnlog                            	       0        2        0        2        0
21154 isdnlog-data                       	       0        4        0        0        4
21155 isdnutils-base                     	       0        5        0        5        0
21156 isdnutils-doc                      	       0        1        0        0        1
21157 isdnutils-xtools                   	       0        1        0        1        0
21158 isdnvboxclient                     	       0        4        1        3        0
21159 isdnvboxserver                     	       0        1        0        1        0
21160 iselect                            	       0        8        0        8        0
21161 isenkram                           	       0        7        1        6        0
21162 isight-firmware-tools              	       0        1        0        1        0
21163 islamic-menus                      	       0        2        0        0        2
21164 ismrmrd-schema                     	       0        2        0        0        2
21165 ismrmrd-tools                      	       0        2        0        2        0
21166 iso-flag-png                       	       0        1        0        0        1
21167 iso-flags-png-320x240              	       0      295        0        0      295
21168 iso-flags-svg                      	       0        5        0        0        5
21169 isochron                           	       0        2        0        2        0
21170 isomaster                          	       0       22        0       22        0
21171 isomd5sum                          	       0        5        0        5        0
21172 isoquery                           	       0       17        0       17        0
21173 isort                              	       0        5        0        5        0
21174 isrcsubmit                         	       0        8        0        8        0
21175 istgt                              	       0        2        0        2        0
21176 iswedish                           	       0        6        0        6        0
21177 isync                              	       0       36        4       32        0
21178 itamae                             	       0        1        0        1        0
21179 itcl3                              	       0       35        0        0       35
21180 itcl3-dev                          	       0        3        0        3        0
21181 itcl3-doc                          	       0        4        0        0        4
21182 itcl3.1                            	       0        1        0        1        0
21183 itk3                               	       0       20        0        0       20
21184 itk3-dev                           	       0        2        0        2        0
21185 itk3-doc                           	       0        4        0        0        4
21186 itk3.1                             	       0        1        0        1        0
21187 itksnap                            	       0        1        0        1        0
21188 itools                             	       0        4        0        4        0
21189 itop                               	       0        8        0        8        0
21190 itrans-fonts                       	       0        2        0        0        2
21191 itstool                            	       0       29        1       28        0
21192 iukrainian                         	       0        6        0        6        0
21193 iverilog                           	       0       14        0       14        0
21194 ivpn                               	       0        1        0        1        0
21195 ivritex                            	       0        1        0        0        1
21196 ivtools-bin                        	       0        3        0        3        0
21197 ivy                                	       0       81        0        0       81
21198 ivy-debian-helper                  	       0        1        0        1        0
21199 ivy-doc                            	       0        4        0        0        4
21200 iwatch                             	       0        8        1        7        0
21201 iwd                                	       0       38        9       29        0
21202 iwgtk                              	       0        9        0        9        0
21203 iwidgets3.1                        	       0        1        0        0        1
21204 iwidgets4                          	       0       19        0        0       19
21205 iwidgets4-doc                      	       0        4        0        0        4
21206 ixo-usb-jtag                       	       0        6        0        0        6
21207 j2cli                              	       0        1        0        1        0
21208 jaaa                               	       0       15        0       15        0
21209 jabber-muc                         	       0        1        0        1        0
21210 jabber-querybot                    	       0        1        0        1        0
21211 jabberd2                           	       0        2        0        2        0
21212 jack                               	       0        6        0        6        0
21213 jack-capture                       	       0       31        0       31        0
21214 jack-delay                         	       0        1        0        1        0
21215 jack-example-tools                 	       0        8        2        6        0
21216 jack-keyboard                      	       0        9        0        9        0
21217 jack-midi-clock                    	       0       11        0       11        0
21218 jack-mixer                         	       0        5        0        5        0
21219 jack-stdio                         	       0       12        0       12        0
21220 jackass                            	       0        1        0        1        0
21221 jackd                              	       0      163        0        0      163
21222 jackd1                             	       0       15        0       15        0
21223 jackd1-firewire                    	       0       12        0        0       12
21224 jackd2-firewire                    	       0      150        0        1      149
21225 jackeq                             	       0        6        0        6        0
21226 jackmeter                          	       0       16        0       16        0
21227 jacksum                            	       0        5        0        5        0
21228 jacktrip                           	       0        8        0        8        0
21229 jacktrip-gui                       	       0        2        0        2        0
21230 jade                               	       0        1        0        1        0
21231 jadetex                            	       0       22        0        2       20
21232 jag                                	       0        6        0        6        0
21233 jag-data                           	       0        1        0        0        1
21234 jailkit                            	       0        8        0        8        0
21235 jalv                               	       0       11        0       11        0
21236 jalview                            	       0        1        0        1        0
21237 jam                                	       0        9        0        9        0
21238 jameica                            	       0        4        1        3        0
21239 jameica-doc                        	       0        1        0        0        1
21240 jameica-h2database                 	       0        4        0        0        4
21241 jami                               	       0       25        0       25        0
21242 jami-all                           	       0        3        0        3        0
21243 jami-daemon                        	       0       25        0       11       14
21244 jamin                              	       0        4        0        4        0
21245 jan                                	       0        2        0        0        2
21246 janino                             	       0       36        0       36        0
21247 janus                              	       0        2        0        2        0
21248 janus-doc                          	       0        3        0        0        3
21249 janus-tools                        	       0        3        0        3        0
21250 japa                               	       0        6        0        6        0
21251 jaranalyzer                        	       0        1        0        1        0
21252 jargon-text                        	       0       11        0        0       11
21253 jarwrapper                         	       0       84        3       81        0
21254 jasmin-sable                       	       0        1        0        1        0
21255 jaspersoftstudio                   	       0        1        0        1        0
21256 jattach                            	       0        1        0        1        0
21257 java-1.8.0-amazon-corretto-jdk     	       0        1        0        1        0
21258 java-11-amazon-corretto-jdk        	       0        1        0        1        0
21259 java-gcj-compat                    	       0        1        0        0        1
21260 java-gcj-compat-headless           	       0        1        0        1        0
21261 java-package                       	       0       13        0       13        0
21262 java-policy                        	       0        1        0        0        1
21263 java-propose-classpath             	       0        5        0        5        0
21264 java2-common                       	       0        1        0        1        0
21265 java2html                          	       0        1        0        1        0
21266 java3ds-fileloader                 	       0        2        0        0        2
21267 javacc5                            	       0        1        0        1        0
21268 javahelp2-doc                      	       0        6        0        0        6
21269 javahelper                         	       0       11        0       11        0
21270 javamorph                          	       0        2        0        2        0
21271 javascript-common                  	       0     3265        0        0     3265
21272 jaxb                               	       0        1        0        1        0
21273 jaxe                               	       0        1        0        1        0
21274 jaxws                              	       0        2        1        1        0
21275 jazip                              	       0        1        0        1        0
21276 jazz2                              	       0        3        0        3        0
21277 jbibtex-base                       	       0        2        0        0        2
21278 jbibtex-bin                        	       0        1        0        1        0
21279 jbig2                              	       0        8        0        8        0
21280 jbig2dec                           	       0        8        0        8        0
21281 jbig2enc                           	       0        2        0        2        0
21282 jbigkit-bin                        	       0        7        0        7        0
21283 jc                                 	       0        6        0        6        0
21284 jc2rec                             	       0        1        0        1        0
21285 jcat                               	       0        1        0        1        0
21286 jchroot                            	       0        1        0        1        0
21287 jclassinfo                         	       0        3        0        3        0
21288 jconvolver                         	       0        7        0        7        0
21289 jconvolver-config-files            	       0        7        0        0        7
21290 jd-gui                             	       0        3        0        0        3
21291 jdk-10                             	       0        1        0        1        0
21292 jdk-11                             	       0        3        0        3        0
21293 jdk-11.0.12                        	       0        2        0        2        0
21294 jdk-11.0.6                         	       0        1        0        1        0
21295 jdk-11.0.8                         	       0        1        0        1        0
21296 jdk-13.0.2                         	       0        1        0        1        0
21297 jdk-14                             	       0        1        0        1        0
21298 jdk-14.0.1                         	       0        1        1        0        0
21299 jdk-14.0.2                         	       0        1        0        1        0
21300 jdk-15                             	       0        1        0        1        0
21301 jdk-15.0.1                         	       0        1        0        1        0
21302 jdk-16                             	       0        2        0        2        0
21303 jdk-16.0.1                         	       0        1        0        1        0
21304 jdk-16.0.2                         	       0        1        0        1        0
21305 jdk-17                             	       0        5        1        4        0
21306 jdk-18                             	       0        2        0        2        0
21307 jdk-20                             	       0        1        0        1        0
21308 jdk-21                             	       0        7        0        7        0
21309 jdk-9                              	       0        1        0        1        0
21310 jdk1.8                             	       0        1        0        1        0
21311 jdns                               	       0        1        0        1        0
21312 jdresolve                          	       0        1        0        1        0
21313 jed-extra                          	       0        9        0        0        9
21314 jedit                              	       0       10        1        9        0
21315 jeepyb                             	       0        1        0        1        0
21316 jeex                               	       0        8        0        8        0
21317 jekyll                             	       0       10        1        9        0
21318 jekyll-theme-minima                	       0        8        0        0        8
21319 jel-java                           	       0        1        0        0        1
21320 jello                              	       0        1        0        1        0
21321 jellyfin                           	       0        2        0        0        2
21322 jellyfin-apiclient-python          	       0        3        0        3        0
21323 jellyfin-ffmpeg5                   	       0        1        1        0        0
21324 jellyfin-ffmpeg6                   	       0        2        0        2        0
21325 jellyfin-ffmpeg7                   	       0        1        0        1        0
21326 jellyfin-media-player              	       0       10        1        9        0
21327 jellyfin-mpv-shim                  	       0        2        0        2        0
21328 jellyfin-server                    	       0        5        1        4        0
21329 jellyfin-web                       	       0        5        0        0        5
21330 jellyfish                          	       0        1        0        1        0
21331 jellyfish1                         	       0        2        0        2        0
21332 jenkins                            	       0        2        0        1        1
21333 jenkins-buildenv-devuan            	       0        1        0        0        1
21334 jenkins-debian-glue                	       0        4        0        4        0
21335 jenkins-debian-glue-buildenv       	       0        4        0        0        4
21336 jenkins-debian-glue-buildenv-devuan	       0        4        0        0        4
21337 jerry                              	       0        2        0        2        0
21338 jest                               	       0        3        0        3        0
21339 jester                             	       0        3        0        3        0
21340 jetring                            	       0        2        0        2        0
21341 jetty9                             	       0        1        0        1        0
21342 jeuclid-cli                        	       0        4        0        4        0
21343 jeuclid-mathviewer                 	       0        4        0        4        0
21344 jexiftoolgui                       	       0        1        0        1        0
21345 jfp-e16-themes                     	       0        2        0        0        2
21346 jfp-fonts                          	       0        1        0        0        1
21347 jfractionlab                       	       0        5        1        4        0
21348 jfritz                             	       0        1        0        1        0
21349 jgmenu-xfce4-panel-applet          	       0        1        0        0        1
21350 jgraph                             	       0        1        0        1        0
21351 jgrep                              	       0        3        0        3        0
21352 jibri                              	       0        1        0        0        1
21353 jicofo                             	       0        4        0        0        4
21354 jid                                	       0        3        0        3        0
21355 jigasi                             	       0        2        0        2        0
21356 jigdo-file                         	       0       18        1       17        0
21357 jigit                              	       0        4        0        4        0
21358 jigl                               	       0        1        0        1        0
21359 jigsaw-generator                   	       0        1        0        1        0
21360 jigzo                              	       0        8        0        8        0
21361 jigzo-data                         	       0        8        0        0        8
21362 jikes                              	       0        1        1        0        0
21363 jikes-dbgsym                       	       0        1        0        1        0
21364 jimsh                              	       0        4        0        4        0
21365 jing                               	       0        2        0        2        0
21366 jitsi                              	       0        7        0        7        0
21367 jitsi-archive-keyring              	       0        8        0        0        8
21368 jitsi-meet                         	       0        3        0        0        3
21369 jitsi-meet-electron                	       0        2        0        0        2
21370 jitsi-meet-prosody                 	       0        4        0        0        4
21371 jitsi-meet-turnserver              	       0        3        0        0        3
21372 jitsi-meet-web                     	       0        3        0        0        3
21373 jitsi-meet-web-config              	       0        3        0        0        3
21374 jitsi-videobridge2                 	       0        3        0        2        1
21375 jitterentropy-rngd                 	       0        4        2        2        0
21376 jkmeter                            	       0        4        0        4        0
21377 jlang                              	       0        2        0        2        0
21378 jless                              	       0        3        0        3        0
21379 jlint                              	       0        1        0        1        0
21380 jmagick7-docs                      	       0        1        0        0        1
21381 jmathedit                          	       0        1        0        1        0
21382 jmdlx                              	       0        1        0        1        0
21383 jmeter                             	       0        2        0        2        0
21384 jmeter-help                        	       0        2        0        0        2
21385 jmeter-http                        	       0        2        0        2        0
21386 jmeter-java                        	       0        1        0        1        0
21387 jmeters                            	       0       12        0       12        0
21388 jmodeltest                         	       0        1        0        1        0
21389 jmol                               	       0       14        1       13        0
21390 jmol-applet                        	       0        1        0        0        1
21391 jnettop                            	       0       64        2       62        0
21392 jnoise                             	       0        9        0        9        0
21393 jnoisemeter                        	       0       10        0       10        0
21394 jockey-common                      	       0        1        0        1        0
21395 jodconverter                       	       0        2        0        2        0
21396 joe-jupp                           	       0        2        0        2        0
21397 john-data                          	       0       87        0        0       87
21398 joint-state-publisher              	       0        1        0        1        0
21399 joint-state-publisher-gui          	       0        1        0        1        0
21400 jons-wallpaper-1                   	       0        3        0        0        3
21401 jool-dkms                          	       0        1        0        1        0
21402 jool-tools                         	       0        1        0        1        0
21403 joplin                             	       0        1        0        1        0
21404 jose                               	       0        9        0        9        0
21405 jose-util                          	       0        1        0        1        0
21406 josm-l10n                          	       0       12        0        0       12
21407 josm-plugins                       	       0        1        0        0        1
21408 jove                               	       0        4        0        4        0
21409 jovie                              	       0       15        1       14        0
21410 joy2key                            	       0        4        0        4        0
21411 joystick                           	       0       69        3       66        0
21412 jparse                             	       0        3        0        3        0
21413 jpdfbookmarks                      	       0        1        0        1        0
21414 jpdftweak                          	       0        1        0        1        0
21415 jpeg-decomp                        	       0        1        0        1        0
21416 jpeg-recompress                    	       0        1        0        1        0
21417 jpeg-xl-doc                        	       0        2        0        0        2
21418 jpeg2pdf                           	       0        1        0        1        0
21419 jpeginfo                           	       0       22        1       21        0
21420 jpegjudge                          	       0        8        0        8        0
21421 jpegoptim                          	       0       37        1       36        0
21422 jpegpixi                           	       0        4        0        4        0
21423 jpegqs                             	       0        4        0        4        0
21424 jpegquant                          	       0        1        0        1        0
21425 jpegrepair                         	       0        1        0        1        0
21426 jpegsnoop                          	       0        1        0        1        0
21427 jpgcrush                           	       0        1        0        1        0
21428 jpge                               	       0        1        0        1        0
21429 jpilot                             	       0        6        0        6        0
21430 jpilot-plugins                     	       0        6        0        6        0
21431 jpnevulator                        	       0        5        0        5        0
21432 jprofiler                          	       0        1        0        1        0
21433 jquery-alternative-doc             	       0        1        0        0        1
21434 jquery-jplayer-pinkflag            	       0        1        0        0        1
21435 jruby                              	       0        4        2        2        0
21436 jruby-maven-plugins                	       0        1        0        0        1
21437 jruby-openssl                      	       0        1        0        1        0
21438 js2-mode                           	       0        2        0        0        2
21439 js8call                            	       0        8        0        8        0
21440 jsamp                              	       0        1        0        1        0
21441 jscalibrator                       	       0        1        0        1        0
21442 jshon                              	       0        7        0        7        0
21443 jsmath                             	       0        8        0        0        8
21444 jsmath-fonts                       	       0        8        0        0        8
21445 jsmath-fonts-sprite                	       0        3        0        0        3
21446 jsoko                              	       0        1        1        0        0
21447 json-glib-tools                    	       0        4        0        4        0
21448 json2file-go                       	       0        1        0        1        0
21449 jsonnet                            	       0        1        0        1        0
21450 jstest-gtk                         	       0       36        0       36        0
21451 jsvc                               	       0       14        2       12        0
21452 jsxcompressor                      	       0        3        0        3        0
21453 jsxgraph                           	       0        3        0        3        0
21454 jta                                	       0        1        0        0        1
21455 jtb                                	       0        3        1        2        0
21456 jtdx                               	       0        6        0        6        0
21457 jtreg                              	       0        1        0        1        0
21458 juce-modules-source                	       0        2        0        0        2
21459 juce-modules-source-data           	       0        4        0        4        0
21460 juce-opl-lv2                       	       0        2        0        2        0
21461 juce-tools                         	       0        3        0        3        0
21462 juced-plugins-lv2                  	       0        1        0        1        0
21463 juffed                             	       0        8        0        8        0
21464 juffed-plugins                     	       0        8        0        0        8
21465 julia                              	       0       17        0       17        0
21466 julia-common                       	       0       18        0       17        1
21467 julia-doc                          	       0        8        0        0        8
21468 jumpnbump                          	       0        5        0        5        0
21469 jumpnbump-levels                   	       0        4        0        0        4
21470 junior-art                         	       0        1        0        0        1
21471 junior-config                      	       0       10        0       10        0
21472 junior-doc                         	       0        3        0        0        3
21473 junior-education                   	       0        2        0        0        2
21474 junior-games-adventure             	       0        1        0        0        1
21475 junior-games-arcade                	       0        2        0        0        2
21476 junior-games-card                  	       0        2        0        0        2
21477 junior-games-gl                    	       0        2        0        0        2
21478 junior-games-net                   	       0        1        0        0        1
21479 junior-games-sim                   	       0        1        0        0        1
21480 junior-games-text                  	       0        2        0        0        2
21481 junior-internet                    	       0        2        0        0        2
21482 junior-math                        	       0        3        0        0        3
21483 junior-system                      	       0        2        0        0        2
21484 junior-tasks                       	       0       10        0        0       10
21485 junior-toys                        	       0        1        0        0        1
21486 junior-typing                      	       0        4        0        0        4
21487 junior-video                       	       0        2        0        0        2
21488 junior-writing                     	       0        1        0        0        1
21489 junit-doc                          	       0       22        0        0       22
21490 junit4                             	       0      206        0        0      206
21491 junit4-doc                         	       0        6        0        0        6
21492 junit5                             	       0        7        0        0        7
21493 jupp                               	       0        5        0        5        0
21494 jupyter                            	       0       21        0        0       21
21495 jupyter-client                     	       0       29        2       27        0
21496 jupyter-console                    	       0       22        2       20        0
21497 jupyter-core                       	       0       65        1       64        0
21498 jupyter-nbextension-jupyter-js-widgets	       0       52        0        0       52
21499 jupyter-nbformat                   	       0       31        1       30        0
21500 jupyter-notebook                   	       0       53        1       52        0
21501 jupyter-server                     	       0        3        1        2        0
21502 jupyter-sphinx-theme-common        	       0        8        0        0        8
21503 jupyter-sphinx-theme-doc           	       0        1        0        0        1
21504 jupyterhub                         	       0        1        0        1        0
21505 just                               	       0        1        0        1        0
21506 jwm                                	       0       41        1       40        0
21507 jwmkit                             	       0        2        0        2        0
21508 jxl                                	       0        1        0        1        0
21509 jxplorer                           	       0        9        0        9        0
21510 jython-doc                         	       0        8        0        0        8
21511 jzip                               	       0        6        0        6        0
21512 k3b-data                           	       0      301        0        0      301
21513 k3b-data-trinity                   	       0       19        0        0       19
21514 k3b-extrathemes                    	       0       14        0        0       14
21515 k3b-i18n                           	       0      182        0        0      182
21516 k3b-i18n-trinity                   	       0        3        0        0        3
21517 k3b-trinity                        	       0       19        1       18        0
21518 k3bmonkeyaudioplugin               	       0        2        0        2        0
21519 k4dirstat                          	       0       14        0       14        0
21520 k6                                 	       0        1        0        1        0
21521 k9copy-trinity                     	       0        2        0        2        0
21522 k9s                                	       0        1        0        1        0
21523 kaboom                             	       0        1        0        1        0
21524 kaccessible                        	       0       18        3       15        0
21525 kaccounts-integration              	       0       28        2       10       16
21526 kaccounts-providers                	       0      762        0        0      762
21527 kacpimon                           	       0       11        1       10        0
21528 kaddressbook-data                  	       0      391        0        3      388
21529 kadu-common                        	       0        1        0        0        1
21530 kadu-themes                        	       0        1        0        0        1
21531 kaffe                              	       0        1        0        1        0
21532 kaffe-common                       	       0        2        0        2        0
21533 kaffe-pthreads                     	       0        1        0        1        0
21534 kaffeine-mozilla-trinity           	       0        1        0        1        0
21535 kaffeine-trinity                   	       0        8        2        6        0
21536 kaffeine-trinity-dbg               	       0        1        0        1        0
21537 kafkacat                           	       0        3        0        0        3
21538 kagestudio                         	       0        1        0        1        0
21539 kaidan                             	       0        3        0        3        0
21540 kakasi                             	       0        5        0        5        0
21541 kakasi-dic                         	       0        6        0        0        6
21542 kakoune                            	       0        5        0        5        0
21543 kalamine                           	       0        1        0        1        0
21544 kalendar                           	       0        5        0        5        0
21545 kalgebra-common                    	       0       50        0        0       50
21546 kalgebramobile                     	       0        1        0        1        0
21547 kali                               	       0        3        0        3        0
21548 kalign                             	       0        3        0        3        0
21549 kalk                               	       0        1        0        1        0
21550 kalternatives                      	       0        1        0        1        0
21551 kalzium-data                       	       0       56        0        0       56
21552 kalzium-data-trinity               	       0       23        0        0       23
21553 kamera                             	       0      570        1        3      566
21554 kamoso                             	       0       24        0       24        0
21555 kanadic                            	       0        1        0        0        1
21556 kanatest                           	       0        2        0        2        0
21557 kanboard                           	       0        1        0        1        0
21558 kanboard-cli                       	       0        1        0        1        0
21559 kanjidic                           	       0       82        0        0       82
21560 kanjidic-xml                       	       0        1        0        0        1
21561 kanjidraw                          	       0        1        0        1        0
21562 kanjipad                           	       0        4        0        4        0
21563 kannel                             	       0        1        0        1        0
21564 kanotix-archive-keyring            	       0        1        0        0        1
21565 kanotix-keyring                    	       0        1        0        0        1
21566 kanotix-keyrings                   	       0        1        0        0        1
21567 kanotix-menu                       	       0        1        0        0        1
21568 kanshi                             	       0        6        1        5        0
21569 kanyremote                         	       0        2        0        2        0
21570 kapidox                            	       0        2        0        2        0
21571 kappanhang                         	       0        1        0        1        0
21572 kapptemplate-trinity               	       0        1        0        1        0
21573 karbon-trinity                     	       0        4        0        4        0
21574 kasteroids-trinity                 	       0       23        0        0       23
21575 katapult-trinity                   	       0        1        0        1        0
21576 katarakt                           	       0       10        1        9        0
21577 kate-data                          	       0       88        0        0       88
21578 kate5-data                         	       0      587        2       12      573
21579 katepart                           	       0       45        1       44        0
21580 katex                              	       0        3        0        3        0
21581 katomic-trinity                    	       0       23        0        0       23
21582 kawari8                            	       0        3        0        3        0
21583 kazam                              	       0       55        2       53        0
21584 kazehakase                         	       0        1        0        1        0
21585 kazehakase-gecko                   	       0        1        0        1        0
21586 kbabel-trinity                     	       0        1        0        1        0
21587 kbabel-trinity-dev                 	       0        1        0        1        0
21588 kbackgammon-trinity                	       0       23        0        0       23
21589 kbackup                            	       0        8        0        8        0
21590 kball                              	       0        9        0        9        0
21591 kball-data                         	       0        9        0        0        9
21592 kbattleship                        	       0        2        0        0        2
21593 kbattleship-trinity                	       0       23        0        0       23
21594 kbdd                               	       0        2        0        2        0
21595 kbibtex-data                       	       0       14        0        0       14
21596 kbiff-trinity                      	       0        2        0        2        0
21597 kblackbox-trinity                  	       0       23        0        0       23
21598 kbookreader-trinity                	       0        1        0        1        0
21599 kbounce-trinity                    	       0       23        0        0       23
21600 kbstate                            	       0        1        0        1        0
21601 kbtin                              	       0        1        0        1        0
21602 kbugbuster-trinity                 	       0        1        0        1        0
21603 kbuild                             	       0       15        1       14        0
21604 kcachegrind                        	       0       56        0       56        0
21605 kcachegrind-converters             	       0        6        0        6        0
21606 kcat                               	       0        3        0        3        0
21607 kcemu                              	       0        1        0        1        0
21608 kcemu-common                       	       0        1        0        1        0
21609 kchart-trinity                     	       0        3        0        3        0
21610 kchmviewer-trinity                 	       0        2        0        2        0
21611 kcollectd                          	       0        5        0        5        0
21612 kconfig-frontends                  	       0        3        0        3        0
21613 kcontrol-autostart-trinity         	       0        4        0        4        0
21614 kcov                               	       0        1        0        1        0
21615 kcptun                             	       0        1        0        1        0
21616 kcpuload-trinity                   	       0        1        0        1        0
21617 kcron                              	       0        1        0        0        1
21618 kdat                               	       0        1        0        1        0
21619 kdbg-trinity                       	       0        2        0        2        0
21620 kdbusnotification-trinity          	       0        2        0        2        0
21621 kdc2tiff                           	       0        1        0        1        0
21622 kde-base-artwork                   	       0        8        0        0        8
21623 kde-baseapps                       	       0      512        0        0      512
21624 kde-baseapps-bin                   	       0       14        1       13        0
21625 kde-baseapps-data                  	       0       20        0        0       20
21626 kde-config-baloo-advanced          	       0        1        0        1        0
21627 kde-config-cddb                    	       0       71        0        3       68
21628 kde-config-fcitx                   	       0        4        0        4        0
21629 kde-config-flatpak                 	       0       12        0        0       12
21630 kde-config-gtk-style-preview       	       0       35        0        0       35
21631 kde-config-mailtransport           	       0      492        0        0      492
21632 kde-config-mobile-networking       	       0        6        0        0        6
21633 kde-config-phonon-xine             	       0        1        0        1        0
21634 kde-config-plymouth                	       0       12        0       12        0
21635 kde-config-tablet                  	       0       10        2        8        0
21636 kde-config-telepathy-accounts      	       0        5        0        0        5
21637 kde-config-touchpad                	       0        2        0        0        2
21638 kde-full                           	       0       32        0        0       32
21639 kde-games-core-declarative         	       0        3        0        3        0
21640 kde-i18n-cs-trinity                	       0        1        0        0        1
21641 kde-i18n-de                        	       0        1        0        0        1
21642 kde-i18n-de-trinity                	       0        3        0        0        3
21643 kde-i18n-engb-trinity              	       0        1        0        0        1
21644 kde-i18n-es-trinity                	       0        1        0        0        1
21645 kde-i18n-fr-trinity                	       0        1        0        0        1
21646 kde-i18n-pl-trinity                	       0        1        0        0        1
21647 kde-i18n-ru-trinity                	       0        1        0        0        1
21648 kde-i18n-sk-trinity                	       0        1        0        0        1
21649 kde-icons-crystal                  	       0        2        0        0        2
21650 kde-icons-gorilla                  	       0        1        0        0        1
21651 kde-icons-kneu                     	       0        1        0        0        1
21652 kde-icons-korilla                  	       0        1        0        0        1
21653 kde-icons-mono                     	       0       21        0        0       21
21654 kde-icons-noia                     	       0        1        0        0        1
21655 kde-icons-nuovext                  	       0        1        0        0        1
21656 kde-icons-nuvola                   	       0        3        0        0        3
21657 kde-icons-oxygen                   	       0        1        0        0        1
21658 kde-inotify-survey                 	       0        1        1        0        0
21659 kde-l10n-de                        	       0        6        0        1        5
21660 kde-l10n-engb                      	       0        1        0        0        1
21661 kde-l10n-eu                        	       0        1        0        0        1
21662 kde-l10n-fr                        	       0        1        0        0        1
21663 kde-l10n-ru                        	       0        1        0        0        1
21664 kde-plasma-desktop                 	       0      501        0        0      501
21665 kde-plasma-netbook                 	       0        1        0        0        1
21666 kde-runtime                        	       0       42        3       39        0
21667 kde-runtime-data                   	       0       65        1       64        0
21668 kde-standard                       	       0      436        0        0      436
21669 kde-style-breeze-data              	       0       17        0        0       17
21670 kde-style-breeze-qt4               	       0       21        1       20        0
21671 kde-style-breeze-qt5               	       0       15        1        0       14
21672 kde-style-oxygen                   	       0        6        1        2        3
21673 kde-style-oxygen-qt4               	       0        5        0        5        0
21674 kde-style-oxygen-transparent       	       0        1        0        1        0
21675 kde-style-qtcurve                  	       0        3        0        3        0
21676 kde-style-qtcurve-qt4              	       0       23        0        0       23
21677 kde-style-qtcurve-qt5              	       0       67        0        8       59
21678 kde-systemsettings-trinity         	       0        1        0        0        1
21679 kde-telepathy                      	       0        5        0        0        5
21680 kde-telepathy-approver             	       0        5        0        4        1
21681 kde-telepathy-auth-handler         	       0        5        0        0        5
21682 kde-telepathy-call-ui              	       0        5        0        5        0
21683 kde-telepathy-contact-list         	       0        5        0        5        0
21684 kde-telepathy-data                 	       0       10        0        2        8
21685 kde-telepathy-desktop-applets      	       0        6        0        2        4
21686 kde-telepathy-filetransfer-handler 	       0        5        0        0        5
21687 kde-telepathy-integration-module   	       0        5        0        4        1
21688 kde-telepathy-kaccounts            	       0        8        0        4        4
21689 kde-telepathy-kpeople              	       0        8        0        1        7
21690 kde-telepathy-minimal              	       0        5        0        0        5
21691 kde-telepathy-send-file            	       0        7        0        7        0
21692 kde-telepathy-text-ui              	       0        5        0        5        0
21693 kde-thumbnailer-deb                	       0       12        0        0       12
21694 kde-wallpapers                     	       0       14        0        0       14
21695 kde-wallpapers-default             	       0       15        0        0       15
21696 kde-wallpapers-lineartreworked     	       0        1        0        0        1
21697 kde-window-manager                 	       0        6        1        2        3
21698 kde-workspace                      	       0        3        0        0        3
21699 kde-workspace-bin                  	       0        3        1        2        0
21700 kde-workspace-data                 	       0        3        0        0        3
21701 kde-workspace-kgreet-plugins       	       0        5        1        4        0
21702 kde-zeroconf                       	       0       10        0        3        7
21703 kdeaccessibility                   	       0      446        0        0      446
21704 kdeaccessibility-doc-html          	       0        1        0        0        1
21705 kdeaccessibility-trinity-doc-html  	       0        1        0        0        1
21706 kdeaddons-doc-html                 	       0        2        0        0        2
21707 kdeaddons-trinity-doc-html         	       0        1        0        0        1
21708 kdeadmin                           	       0       46        0        0       46
21709 kdeadmin-doc-html                  	       0        1        0        0        1
21710 kdeadmin-trinity-doc-html          	       0        1        0        0        1
21711 kdeartwork                         	       0       12        0        0       12
21712 kdeartwork-dbg                     	       0        1        0        1        0
21713 kdeartwork-emoticons               	       0       15        0        0       15
21714 kdeartwork-style                   	       0        1        0        1        0
21715 kdeartwork-theme-icon              	       0        9        0        0        9
21716 kdeartwork-theme-window            	       0        1        0        1        0
21717 kdeartwork-wallpapers              	       0       14        0        0       14
21718 kdebase                            	       0        1        0        0        1
21719 kdebase-bin                        	       0        2        0        0        2
21720 kdebase-data-trinity               	       0        1        0        0        1
21721 kdebase-doc-html                   	       0        1        0        0        1
21722 kdebase-kio-smb-trinity            	       0        1        0        0        1
21723 kdebase-runtime                    	       0        2        0        0        2
21724 kdebase-trinity-doc-html           	       0        1        0        0        1
21725 kdebugsettings                     	       0        2        0        2        0
21726 kded5-dev                          	       0        2        0        0        2
21727 kdeedu                             	       0       41        0        0       41
21728 kdeedu-data                        	       0       63        0        0       63
21729 kdeedu-doc-html                    	       0        1        0        0        1
21730 kdeedu-kvtml-data                  	       0       58        0        0       58
21731 kdeedu-trinity-doc-html            	       0        1        0        0        1
21732 kdeeject                           	       0        1        0        1        0
21733 kdegames                           	       0       53        0        0       53
21734 kdegames-card-data                 	       0       12        0        0       12
21735 kdegames-card-data-kf5             	       0       87        0        0       87
21736 kdegames-doc-html                  	       0        1        0        0        1
21737 kdegames-mahjongg-data             	       0        6        0        0        6
21738 kdegames-mahjongg-data-kf5         	       0       78        0        0       78
21739 kdegames-mahjongg-data-kf6         	       0        5        0        0        5
21740 kdegraphics                        	       0       41        0        0       41
21741 kdegraphics-doc-html               	       0        1        0        0        1
21742 kdegraphics-mobipocket             	       0        9        0        1        8
21743 kdegraphics-trinity-doc-html       	       0        1        0        0        1
21744 kdelibs                            	       0        1        0        0        1
21745 kdelibs-bin                        	       0       45        2       43        0
21746 kdelibs-data                       	       0        4        0        4        0
21747 kdelibs-data-trinity               	       0        1        0        0        1
21748 kdelibs-trinity                    	       0        1        0        0        1
21749 kdelibs4c2a                        	       0        2        0        2        0
21750 kdelibs4c2a-trinity                	       0        1        0        0        1
21751 kdelibs5-data                      	       0       74        0        0       74
21752 kdelibs5-dev                       	       0        1        0        1        0
21753 kdelibs5-plugins                   	       0       44        3       41        0
21754 kdelirc                            	       0        1        0        0        1
21755 kdemultimedia                      	       0       46        0        0       46
21756 kdemultimedia-doc-html             	       0        1        0        0        1
21757 kdemultimedia-kio-plugins          	       0        2        0        0        2
21758 kdemultimedia-trinity-doc-html     	       0        1        0        0        1
21759 kdenetwork                         	       0       43        0        0       43
21760 kdenetwork-doc-html                	       0        1        0        0        1
21761 kdenetwork-trinity-doc-html        	       0        1        0        0        1
21762 kdenlive-data                      	       0      138        0        1      137
21763 kdepasswd                          	       0       13        1       12        0
21764 kdepim                             	       0       41        0        0       41
21765 kdepim-doc                         	       0        6        0        0        6
21766 kdepim-kresources                  	       0        2        1        1        0
21767 kdepimlibs-data                    	       0       12        0        0       12
21768 kdepimlibs-kio-plugins             	       0        6        1        5        0
21769 kdeplasma-addons                   	       0        2        0        0        2
21770 kdeplasma-applets-xrdesktop        	       0        2        0        0        2
21771 kdeprint                           	       0        1        0        1        0
21772 kdesdk                             	       0       37        0        0       37
21773 kdesdk-dolphin-plugins             	       0        1        0        0        1
21774 kdesdk-kio-plugins                 	       0        2        0        1        1
21775 kdesdk-misc                        	       0        1        0        1        0
21776 kdesdk-scripts                     	       0       44        1       43        0
21777 kdesdk-thumbnailers                	       0       44        0        0       44
21778 kdesignerplugin                    	       0        1        0        0        1
21779 kdesignerplugin-data               	       0        9        0        0        9
21780 kdesudo                            	       0        9        1        8        0
21781 kdesvn                             	       0        7        0        7        0
21782 kdesvn-kio-plugins                 	       0        8        0        1        7
21783 kdetoys                            	       0       42        0        0       42
21784 kdeutils                           	       0       50        0        0       50
21785 kdeutils-doc-html                  	       0        1        0        0        1
21786 kdevdesigner-trinity               	       0        1        0        1        0
21787 kdevelop-l10n                      	       0       15        0        0       15
21788 kdevelop-pg-qt                     	       0        5        0        5        0
21789 kdevelop-php-l10n                  	       0        4        0        0        4
21790 kdevelop-python                    	       0       13        0        0       13
21791 kdevelop-python-data               	       0       13        0        0       13
21792 kdevelop-python-l10n               	       0        6        0        0        6
21793 kdevelop-trinity                   	       0        1        0        0        1
21794 kdevelop510-libs                   	       0       30        0        0       30
21795 kdevelop512-libs                   	       0        4        0        0        4
21796 kdevelop53-libs                    	       0        2        0        0        2
21797 kdevelop56-libs                    	       0       10        0        1        9
21798 kdevelop61-libs                    	       0        4        0        0        4
21799 kdevplatform-l10n                  	       0        1        0        0        1
21800 kdevplatform10-libs                	       0        1        0        1        0
21801 kdewallpapers                      	       0        2        0        0        2
21802 kdewebdev                          	       0       39        0        0       39
21803 kdiff3-doc                         	       0       80        0        0       80
21804 kdiff3-qt                          	       0       10        0        0       10
21805 kdiff3-trinity                     	       0        3        0        3        0
21806 kdiff3-trinity-doc                 	       0        3        0        0        3
21807 kdirstat-trinity                   	       0        3        0        3        0
21808 kdiskmark                          	       0        7        0        7        0
21809 kdm                                	       0        4        1        3        0
21810 kdm-gdmcompat                      	       0        1        0        1        0
21811 kdm-theme-aperture                 	       0        1        0        0        1
21812 kdm-theme-bespin                   	       0        1        0        0        1
21813 kdm-theme-tibanna                  	       0        1        0        0        1
21814 kdocker                            	       0       11        0       11        0
21815 kdoctools                          	       0       44        1       43        0
21816 kdoctools-dev                      	       0        1        0        0        1
21817 kdpkg-trinity                      	       0        1        0        1        0
21818 kdrill                             	       0        1        0        1        0
21819 kdump-tools                        	       0        5        0        5        0
21820 kea                                	       0        3        0        0        3
21821 kea-admin                          	       0        3        0        3        0
21822 kea-common                         	       0        6        0        6        0
21823 kea-ctrl-agent                     	       0        3        0        3        0
21824 kea-dhcp-ddns-server               	       0        3        0        3        0
21825 kea-dhcp4-server                   	       0        6        0        6        0
21826 kea-dhcp6-server                   	       0        4        0        4        0
21827 kea-doc                            	       0        2        0        0        2
21828 kedit                              	       0        1        0        1        0
21829 keep                               	       0        1        0        1        0
21830 keepalived                         	       0        4        0        4        0
21831 keepass2                           	       0       69        1       68        0
21832 keepass2-doc                       	       0        9        0        0        9
21833 keepass2-plugin-keepasshttp        	       0        5        0        5        0
21834 keepassx                           	       0       55        1       12       42
21835 keepassxc-minimal                  	       0        4        0        4        0
21836 keeweb-desktop                     	       0        1        0        1        0
21837 kel-agent                          	       0        3        0        3        0
21838 kelbt                              	       0        1        0        1        0
21839 kenolaba                           	       0        1        0        1        0
21840 kenolaba-trinity                   	       0       22        0        0       22
21841 kephra                             	       0        1        0        1        0
21842 kerio-control-vpnclient            	       0        1        0        1        0
21843 kernel-common                      	       0        9        0        0        9
21844 kernel-image-5.10.0-22-amd64-di    	       0        1        0        0        1
21845 kernel-mft-dkms                    	       0        1        1        0        0
21846 kernel-package                     	       0       20        0       20        0
21847 kernel-patch-nfs-ngroups           	       0        1        0        0        1
21848 kernel-patch-scripts               	       0        1        0        1        0
21849 kernel-wedge                       	       0       33        1       32        0
21850 kerneloops                         	       0        5        0        5        0
21851 kerneloops-applet                  	       0        5        0        5        0
21852 kernelshark                        	       0        6        0        6        0
21853 kerneltop                          	       0        9        0        9        0
21854 ket-keyring                        	       0        1        0        0        1
21855 ketchup                            	       0        1        0        1        0
21856 ketm                               	       0        1        0        1        0
21857 ketm-data                          	       0        1        0        0        1
21858 keurocalc                          	       0        9        0        9        0
21859 keurocalc-data                     	       0       10        0        0       10
21860 kexec-tools                        	       0       19        0       19        0
21861 kexi-data                          	       0       14        0        0       14
21862 kexi-mysql-driver                  	       0        4        0        0        4
21863 kexi-postgresql-driver             	       0        2        0        0        2
21864 kexi-trinity                       	       0        4        0        4        0
21865 kexi-web-form-widget               	       0        5        0        0        5
21866 key-mapper                         	       0        1        0        1        0
21867 key-mon                            	       0        1        0        1        0
21868 key2odp                            	       0        1        0        1        0
21869 keyanalyze                         	       0        1        0        0        1
21870 keybase                            	       0       11        2        9        0
21871 keybinder-3.0-doc                  	       0        2        0        0        2
21872 keybinder-doc                      	       0        1        0        0        1
21873 keyboard-configuration             	       0     4093        0        0     4093
21874 keyboard-leds-trayicons            	       0        5        0        5        0
21875 keyboards-rg                       	       0        1        0        1        0
21876 keyboxd                            	       0        1        0        1        0
21877 keychain                           	       0       39        2       37        0
21878 keydb                              	       0        1        0        0        1
21879 keydb-server                       	       0        1        0        1        0
21880 keydb-tools                        	       0        1        0        1        0
21881 keygen2keylog                      	       0        1        0        1        0
21882 keylaunch                          	       0        3        0        3        0
21883 keymapper                          	       0        5        0        5        0
21884 keynav                             	       0        3        0        3        0
21885 keyringer                          	       0        4        0        4        0
21886 keystone                           	       0        1        0        1        0
21887 keysync                            	       0        1        0        1        0
21888 keytouch-data                      	       0        1        0        0        1
21889 keytouch-editor                    	       0        2        0        2        0
21890 kf5-kdepim-apps-libs-data          	       0      107        0        0      107
21891 kf5-kdepimlibs-kio-plugins         	       0       10        0        0       10
21892 kf5-messagelib-data                	       0      491        2        9      480
21893 kf6-breeze-icon-theme              	       0       53        0        0       53
21894 kf6-breeze-icon-theme-rcc          	       0        2        0        0        2
21895 kfaxview                           	       0        1        0        1        0
21896 kfilereplace                       	       0        2        0        2        0
21897 kfloppy                            	       0        5        0        5        0
21898 kformula-trinity                   	       0        3        0        3        0
21899 kfouleggs                          	       0        1        0        1        0
21900 kfouleggs-trinity                  	       0       22        0        0       22
21901 kfreebsd-source-10.3               	       0        1        0        0        1
21902 kftpgrabber-trinity                	       0        1        0        1        0
21903 kgames                             	       0        9        0        9        0
21904 kgamma                             	       0       23        0        0       23
21905 kgamma5                            	       0      523        0        2      521
21906 kgb                                	       0        5        0        5        0
21907 kgendesignerplugin                 	       0        8        0        0        8
21908 kgeography-data                    	       0       55        0        0       55
21909 kgeography-data-trinity            	       0       23        0        0       23
21910 kget-doc                           	       0        8        0        0        8
21911 kghostview                         	       0        1        0        1        0
21912 kgoldrunner-trinity                	       0       22        0        0       22
21913 kgpg                               	       0       66        5       61        0
21914 kgrapheditor                       	       0        1        0        1        0
21915 khal-doc                           	       0        3        0        0        3
21916 khelpcenter-data                   	       0       19        0        0       19
21917 khelpcenter4                       	       0        5        1        1        3
21918 khmer                              	       0        1        0        1        0
21919 khmer-common                       	       0        2        0        0        2
21920 khmerconverter                     	       0        2        0        2        0
21921 khronos-api                        	       0        6        0        6        0
21922 kiauto                             	       0        1        0        1        0
21923 kibot                              	       0        1        0        1        0
21924 kicad-common                       	       0       10        0        0       10
21925 kicad-demos                        	       0      107        0        0      107
21926 kicad-doc-ca                       	       0        1        0        0        1
21927 kicad-doc-de                       	       0       17        0        0       17
21928 kicad-doc-en                       	       0       41        0        0       41
21929 kicad-doc-es                       	       0        7        0        0        7
21930 kicad-doc-fr                       	       0        5        0        0        5
21931 kicad-doc-id                       	       0        2        0        0        2
21932 kicad-doc-it                       	       0        4        0        0        4
21933 kicad-doc-ja                       	       0        1        0        0        1
21934 kicad-doc-nl                       	       0        2        0        0        2
21935 kicad-doc-pl                       	       0        1        0        0        1
21936 kicad-doc-ru                       	       0        8        0        0        8
21937 kicad-doc-zh                       	       0        4        0        0        4
21938 kicad-footprints                   	       0      116        0        0      116
21939 kicad-libraries                    	       0      113        0        0      113
21940 kicad-packages3d                   	       0       48        0        0       48
21941 kicad-symbols                      	       0      116        0        0      116
21942 kicad-templates                    	       0      114        0        0      114
21943 kickpass                           	       0        1        0        1        0
21944 kiki                               	       0        1        0        1        0
21945 kiki-the-nano-bot                  	       0        3        0        3        0
21946 kiki-the-nano-bot-data             	       0        3        0        0        3
21947 kildclient                         	       0        4        0        4        0
21948 kildclient-doc                     	       0        2        0        0        2
21949 kile-doc                           	       0        5        0        0        5
21950 kile-i18n-de                       	       0        1        0        0        1
21951 kile-l10n                          	       0        6        0        0        6
21952 kile-trinity                       	       0        2        0        2        0
21953 kile-trinity-i18n-pl               	       0        1        0        0        1
21954 killswitch                         	       0        1        0        1        0
21955 kilo                               	       0        1        0        1        0
21956 kima-trinity                       	       0        1        0        1        0
21957 kimwitu                            	       0        1        0        1        0
21958 kimwitu-doc                        	       0        1        0        0        1
21959 kindleclip                         	       0        2        0        2        0
21960 kindlecomicconverter               	       0        1        0        1        0
21961 kindletool                         	       0        1        0        1        0
21962 kineticstools                      	       0        1        0        1        0
21963 kineticstools-data                 	       0        1        0        0        1
21964 king                               	       0        2        0        2        0
21965 king-probe                         	       0        1        0        1        0
21966 kinit-dev                          	       0        9        0        0        9
21967 kino                               	       0       10        0       10        0
21968 kinput2-canna                      	       0        2        0        2        0
21969 kinput2-common                     	       0        2        0        0        2
21970 kio-admin                          	       0        8        0        1        7
21971 kio-apt                            	       0        1        0        1        0
21972 kio-audiocd                        	       0       82        0        4       78
21973 kio-dev                            	       0        1        0        0        1
21974 kio-ftps                           	       0        1        0        1        0
21975 kio-gdrive                         	       0       16        2        4       10
21976 kio-gopher                         	       0        4        0        1        3
21977 kio-ldap                           	       0      490        0        0      490
21978 kio-locate                         	       0        1        0        1        0
21979 kio-mtp                            	       0        2        0        2        0
21980 kio-perldoc                        	       0       39        0        0       39
21981 kio-sieve                          	       0        2        0        0        2
21982 kipi-plugins                       	       0        8        0        4        4
21983 kipi-plugins-common                	       0       14        0        0       14
21984 kipi-plugins-trinity               	       0       11        1       10        0
21985 kirigami-addons-data               	       0       70        0        0       70
21986 kirigami-addons-dev                	       0        1        0        0        1
21987 kirigami-addons5-data              	       0       22        0        0       22
21988 kismet                             	       0       12        0       11        1
21989 kismet-adsb-icao-data              	       0        1        0        0        1
21990 kismet-capture-linux-bluetooth     	       0        1        0        1        0
21991 kismet-capture-linux-wifi          	       0        1        0        1        0
21992 kismet-capture-nrf-51822           	       0        1        0        1        0
21993 kismet-capture-nrf-52840           	       0        1        0        1        0
21994 kismet-capture-nrf-mousejack       	       0        1        0        1        0
21995 kismet-capture-nxp-kw41z           	       0        1        0        1        0
21996 kismet-capture-rz-killerbee        	       0        1        0        1        0
21997 kismet-capture-ti-cc-2531          	       0        1        0        1        0
21998 kismet-capture-ti-cc-2540          	       0        1        0        1        0
21999 kismet-capture-ubertooth-one       	       0        1        0        1        0
22000 kismet-core                        	       0        1        0        1        0
22001 kismet-logtools                    	       0        1        0        1        0
22002 kismet-plugins                     	       0        6        0        6        0
22003 kiso                               	       0        1        0        1        0
22004 kissfft-tools                      	       0        1        0        1        0
22005 kitchensync-trinity                	       0        8        0        8        0
22006 kitty-doc                          	       0       63        0        0       63
22007 kitty-terminfo                     	       0       73        0        0       73
22008 kitware-archive-keyring            	       0        1        0        0        1
22009 kivio-data                         	       0        1        0        0        1
22010 kivio-data-trinity                 	       0        4        0        0        4
22011 kivio-trinity                      	       0        4        0        4        0
22012 kiwi                               	       0        2        0        2        0
22013 kiwi-systemdeps                    	       0        1        0        0        1
22014 kiwi-systemdeps-bootloaders        	       0        1        0        0        1
22015 kiwi-systemdeps-containers         	       0        1        0        0        1
22016 kiwi-systemdeps-core               	       0        1        0        0        1
22017 kiwi-systemdeps-disk-images        	       0        1        0        0        1
22018 kiwi-systemdeps-filesystems        	       0        1        0        0        1
22019 kiwi-systemdeps-iso-media          	       0        1        0        0        1
22020 kiwix                              	       0       10        0       10        0
22021 kiwix-lib-git                      	       0        1        0        1        0
22022 kiwix-tools                        	       0        4        0        4        0
22023 kjots                              	       0        3        0        3        0
22024 kjumpingcube-trinity               	       0       22        0        0       22
22025 klangfalter-lv2                    	       0        1        0        1        0
22026 klatexformula                      	       0        5        0        5        0
22027 klatin                             	       0        1        0        1        0
22028 klaus                              	       0        1        0        0        1
22029 klavaro                            	       0       33        2       31        0
22030 klayout                            	       0        3        0        3        0
22031 klettres-data                      	       0       49        0        0       49
22032 klettres-data-trinity              	       0       23        0        0       23
22033 klick                              	       0        7        0        7        0
22034 klickety-trinity                   	       0       22        0        0       22
22035 klines-trinity                     	       0       22        0        0       22
22036 klinkstatus                        	       0        2        0        2        0
22037 klipper                            	       0        3        1        2        0
22038 klog                               	       0        8        0        8        0
22039 klogd                              	       0        2        1        1        0
22040 kluppe                             	       0        2        0        2        0
22041 klystrack                          	       0        4        0        4        0
22042 kma                                	       0        2        0        2        0
22043 kmahjongg-trinity                  	       0       22        0        0       22
22044 kmailtransport-akonadi             	       0      468        2       13      453
22045 kmailtransport-plugins             	       0        7        0        0        7
22046 kmc                                	       0        1        0        1        0
22047 kmer                               	       0        1        0        0        1
22048 kmer-examples                      	       0        1        0        0        1
22049 kmetronome                         	       0       13        0       13        0
22050 kmfl-keyboard-ipa                  	       0        1        0        0        1
22051 kmilo-legacy-trinity               	       0        1        0        1        0
22052 kmines-trinity                     	       0       22        0        0       22
22053 kmix                               	       0       50        7       43        0
22054 kmldonkey                          	       0        1        0        1        0
22055 kmobiletools                       	       0        1        0        1        0
22056 kmod-build-deps                    	       0        1        0        0        1
22057 kmod-dbgsym                        	       0        1        0        1        0
22058 kmod-zfs-5.10.0-18-amd64           	       0        1        0        1        0
22059 kmod-zfs-devel-5.10.0-15-amd64     	       0        1        0        0        1
22060 kmon                               	       0        3        0        3        0
22061 kmplayer                           	       0        7        0        7        0
22062 kmplayer-base-trinity              	       0        2        0        2        0
22063 kmplayer-trinity                   	       0        2        0        2        0
22064 kmrml-trinity                      	       0        9        0        9        0
22065 kmscon                             	       0        2        0        2        0
22066 kmscube                            	       0        4        0        4        0
22067 kmtrace                            	       0        1        0        1        0
22068 kmtrace-trinity                    	       0        1        0        1        0
22069 kmymoney                           	       0        8        0        8        0
22070 kmymoney-common                    	       0        8        0        0        8
22071 kmymoney2-common-trinity           	       0        1        0        0        1
22072 kmymoney2-trinity                  	       0        1        0        1        0
22073 knemo-trinity                      	       0        3        0        3        0
22074 knetload-trinity                   	       0        1        0        1        0
22075 knetwalk-trinity                   	       0       22        0        0       22
22076 knetworkconf                       	       0        1        0        1        0
22077 knews                              	       0        8        0        8        0
22078 knewsticker-scripts-trinity        	       0        1        0        0        1
22079 knmap-trinity                      	       0        1        0        1        0
22080 knnimdenoiser                      	       0        1        0        1        0
22081 knockd                             	       0       14        1       13        0
22082 knocker                            	       0        1        0        1        0
22083 knode                              	       0        1        0        1        0
22084 knot                               	       0        7        3        4        0
22085 knot-dnssecutils                   	       0        1        0        1        0
22086 knot-dnsutils                      	       0       10        0       10        0
22087 knot-doc                           	       0        4        0        0        4
22088 knot-host                          	       0        2        0        2        0
22089 knot-resolver                      	       0        7        2        5        0
22090 knot-resolver-module-http          	       0        5        0        5        0
22091 knutclient-trinity                 	       0        1        0        1        0
22092 knxd                               	       0        1        0        1        0
22093 knxd-tools                         	       0        1        0        1        0
22094 ko.tex-extra-hlfont                	       0        4        0        0        4
22095 kobodeluxe                         	       0       13        0       13        0
22096 kobodeluxe-data                    	       0       13        0       13        0
22097 kodi-addons-dev                    	       0        6        0        6        0
22098 kodi-addons-dev-common             	       0        5        0        5        0
22099 kodi-audiodecoder-fluidsynth       	       0        4        0        0        4
22100 kodi-audiodecoder-modplug          	       0        1        0        0        1
22101 kodi-audiodecoder-openmpt          	       0        4        0        0        4
22102 kodi-audiodecoder-sidplay          	       0        4        0        0        4
22103 kodi-audioencoder-flac             	       0        7        0        0        7
22104 kodi-audioencoder-lame             	       0        8        0        0        8
22105 kodi-audioencoder-vorbis           	       0        8        0        0        8
22106 kodi-audioencoder-wav              	       0        8        0        0        8
22107 kodi-bin                           	       0       85        2       26       57
22108 kodi-eventclients-common           	       0        8        0        2        6
22109 kodi-eventclients-dev              	       0        1        0        0        1
22110 kodi-eventclients-dev-common       	       0        1        0        1        0
22111 kodi-eventclients-kodi-send        	       0        3        0        3        0
22112 kodi-eventclients-ps3              	       0        3        0        3        0
22113 kodi-eventclients-python           	       0        6        0        6        0
22114 kodi-eventclients-wiiremote        	       0        4        0        4        0
22115 kodi-eventclients-zeroconf         	       0        6        0        6        0
22116 kodi-game-libretro                 	       0        3        0        0        3
22117 kodi-game-libretro-bsnes-mercury-accuracy	       0        1        0        0        1
22118 kodi-game-libretro-bsnes-mercury-balanced	       0        1        0        0        1
22119 kodi-game-libretro-bsnes-mercury-performance	       0        1        0        0        1
22120 kodi-imagedecoder-heif             	       0        6        0        0        6
22121 kodi-imagedecoder-raw              	       0        5        0        0        5
22122 kodi-inputstream-adaptive          	       0       19        0        0       19
22123 kodi-inputstream-ffmpegdirect      	       0       16        0        0       16
22124 kodi-inputstream-rtmp              	       0       16        0        0       16
22125 kodi-peripheral-joystick           	       0        5        0        1        4
22126 kodi-peripheral-xarcade            	       0        4        0        4        0
22127 kodi-pvr-argustv                   	       0        3        0        0        3
22128 kodi-pvr-dvblink                   	       0        3        0        0        3
22129 kodi-pvr-dvbviewer                 	       0        3        0        0        3
22130 kodi-pvr-filmon                    	       0        3        0        0        3
22131 kodi-pvr-hdhomerun                 	       0        2        0        0        2
22132 kodi-pvr-hts                       	       0        9        0        1        8
22133 kodi-pvr-iptvsimple                	       0       12        0        0       12
22134 kodi-pvr-mediaportal-tvserver      	       0        3        0        0        3
22135 kodi-pvr-mythtv                    	       0        3        0        0        3
22136 kodi-pvr-nextpvr                   	       0        2        0        0        2
22137 kodi-pvr-njoy                      	       0        2        0        0        2
22138 kodi-pvr-octonet                   	       0        2        0        0        2
22139 kodi-pvr-pctv                      	       0        2        0        0        2
22140 kodi-pvr-sledovanitv-cz            	       0        2        0        0        2
22141 kodi-pvr-stalker                   	       0        2        0        0        2
22142 kodi-pvr-teleboy                   	       0        2        0        0        2
22143 kodi-pvr-vbox                      	       0        1        0        0        1
22144 kodi-pvr-vdr-vnsi                  	       0        4        0        0        4
22145 kodi-pvr-vuplus                    	       0        2        0        0        2
22146 kodi-pvr-waipu                     	       0        2        0        0        2
22147 kodi-pvr-wmc                       	       0        2        0        0        2
22148 kodi-pvr-zattoo                    	       0        2        0        0        2
22149 kodi-repository-kodi               	       0       79        0        0       79
22150 kodi-screensaver-asteroids         	       0        5        0        0        5
22151 kodi-screensaver-biogenesis        	       0        4        0        0        4
22152 kodi-screensaver-greynetic         	       0        4        0        0        4
22153 kodi-screensaver-pingpong          	       0        4        0        0        4
22154 kodi-screensaver-pyro              	       0        5        0        0        5
22155 kodi-screensaver-shadertoy         	       0        4        0        0        4
22156 kodi-skin-confluence               	       0        1        0        0        1
22157 kodi-tools-texturepacker           	       0        1        0        1        0
22158 kodi-vfs-libarchive                	       0        2        0        0        2
22159 kodi-vfs-sftp                      	       0        4        0        1        3
22160 kodi-visualization-fishbmc         	       0        6        0        0        6
22161 kodi-visualization-pictureit       	       0        6        0        0        6
22162 kodi-visualization-shadertoy       	       0        6        0        0        6
22163 kodi-visualization-shadertoy-data  	       0        7        0        0        7
22164 kodi-visualization-spectrum        	       0       76        0        0       76
22165 kodi-visualization-waveform        	       0        6        0        0        6
22166 koffice-data-trinity               	       0        7        0        0        7
22167 koffice-i18n-cs-trinity            	       0        1        0        0        1
22168 koffice-i18n-ru                    	       0        1        0        0        1
22169 koffice-libs-trinity               	       0        7        0        7        0
22170 koffice-trinity                    	       0        3        0        0        3
22171 koffice-trinity-doc-html           	       0        1        0        0        1
22172 koha                               	       0        1        0        1        0
22173 koha-common                        	       0        2        1        1        0
22174 koha-deps                          	       0        1        0        0        1
22175 koha-elasticsearch                 	       0        1        0        0        1
22176 koha-l10n                          	       0        1        0        0        1
22177 koha-perldeps                      	       0        1        0        0        1
22178 kolab                              	       0        1        0        0        1
22179 kolab-cli                          	       0        1        0        1        0
22180 kolab-conf                         	       0        1        0        1        0
22181 kolab-freebusy                     	       0        1        0        1        0
22182 kolab-imap                         	       0        1        0        0        1
22183 kolab-ldap                         	       0        1        0        0        1
22184 kolab-mta                          	       0        1        0        0        1
22185 kolab-saslauthd                    	       0        1        0        1        0
22186 kolab-schema                       	       0        1        0        0        1
22187 kolab-server                       	       0        1        0        1        0
22188 kolab-syncroton                    	       0        1        0        1        0
22189 kolab-webadmin                     	       0        1        0        1        0
22190 kolab-webclient                    	       0        1        0        0        1
22191 kolab-xml                          	       0        1        0        1        0
22192 kolourpaint4                       	       0       20        0        1       19
22193 komi                               	       0        2        0        2        0
22194 kommander                          	       0        2        0        2        0
22195 kompare                            	       0       71        0       71        0
22196 kompozer                           	       0        1        0        1        0
22197 konfont                            	       0        2        0        0        2
22198 kongress                           	       0        1        0        1        0
22199 konqueror-data                     	       0       17        0        0       17
22200 konqueror-doc                      	       0       17        0        0       17
22201 konqueror-nsplugins                	       0       15        1       14        0
22202 konquest-trinity                   	       0       22        0        0       22
22203 konversation                       	       0       30        0       30        0
22204 konversation-data                  	       0       30        0        3       27
22205 konversation-trinity               	       0        2        0        2        0
22206 konwert-filters                    	       0      244        0        0      244
22207 koodo-reader                       	       0        1        0        0        1
22208 kooka                              	       0        1        0        1        0
22209 kookbook                           	       0        7        0        7        0
22210 kooldock-trinity                   	       0        1        0        1        0
22211 kopano-backup                      	       0        1        1        0        0
22212 kopano-client                      	       0        1        1        0        0
22213 kopano-common                      	       0        1        0        1        0
22214 kopano-dagent                      	       0        1        1        0        0
22215 kopano-dagent-pytils               	       0        1        0        1        0
22216 kopano-gateway                     	       0        1        1        0        0
22217 kopano-ical                        	       0        1        1        0        0
22218 kopano-lang                        	       0        1        1        0        0
22219 kopano-monitor                     	       0        1        0        1        0
22220 kopano-python-utils                	       0        1        0        1        0
22221 kopano-search                      	       0        1        1        0        0
22222 kopano-server                      	       0        1        1        0        0
22223 kopano-server-packages             	       0        1        0        0        1
22224 kopano-spooler                     	       0        1        1        0        0
22225 kopano-webapp                      	       0        1        1        0        0
22226 kopano-webapp-plugin-files         	       0        1        1        0        0
22227 kopano-webapp-plugin-filesbackend-owncloud	       0        1        1        0        0
22228 kopete                             	       0       27        1       26        0
22229 kopete-otr-trinity                 	       0        1        0        1        0
22230 kopete-silc-plugin                 	       0        1        0        1        0
22231 kopia                              	       0        2        0        2        0
22232 kopia-ui                           	       0        3        0        0        3
22233 koreader                           	       0        3        2        1        0
22234 koshell-trinity                    	       0        3        0        3        0
22235 koth                               	       0        1        0        1        0
22236 kotlin                             	       0        3        0        3        0
22237 koules                             	       0       12        0       12        0
22238 kpart-webkit                       	       0       14        1       13        0
22239 kpart5-kompare                     	       0       66        0        0       66
22240 kpart6-kompare                     	       0        5        0        0        5
22241 kpartloader                        	       0       39        0       39        0
22242 kpartsplugin                       	       0        1        0        1        0
22243 kpat-trinity                       	       0       22        0        0       22
22244 kpatch                             	       0        1        0        1        0
22245 kpatch-build                       	       0        1        0        1        0
22246 kpatch-dkms                        	       0        1        0        1        0
22247 kpcli                              	       0       10        0       10        0
22248 kpeople-vcard                      	       0      706        0        1      705
22249 kphotoalbum                        	       0        7        1        6        0
22250 kplato-trinity                     	       0        3        0        3        0
22251 kplayer-trinity                    	       0        1        0        1        0
22252 kpogre                             	       0        1        0        1        0
22253 kpoker-trinity                     	       0       22        0        0       22
22254 kppp                               	       0        3        0        3        0
22255 kpresenter-data-trinity            	       0        3        0        0        3
22256 kpresenter-trinity                 	       0        3        0        3        0
22257 kq                                 	       0        1        0        1        0
22258 kq-data                            	       0        1        0        0        1
22259 kqemu-common                       	       0        1        0        0        1
22260 kquickcharts-dev                   	       0       10        0        0       10
22261 kquickimageeditor-dev              	       0        2        0        0        2
22262 kraft                              	       0        6        0        6        0
22263 krakend                            	       0        1        0        1        0
22264 kramdown                           	       0        3        0        3        0
22265 krank                              	       0        3        0        3        0
22266 kraptor                            	       0        4        0        4        0
22267 kraptor-data                       	       0        4        0        0        4
22268 krb5-admin-server                  	       0        8        1        7        0
22269 krb5-config                        	       0       90        0        0       90
22270 krb5-doc                           	       0       21        0        0       21
22271 krb5-gss-samples                   	       0        4        0        4        0
22272 krb5-k5tls                         	       0       10        0        0       10
22273 krb5-kdc                           	       0       13        2       11        0
22274 krb5-kdc-ldap                      	       0        4        0        4        0
22275 krb5-kpropd                        	       0        4        0        4        0
22276 krb5-locales                       	       0     3867        2        8     3857
22277 krb5-otp                           	       0        3        0        3        0
22278 krb5-pkinit                        	       0        6        0        1        5
22279 krb5-strength                      	       0        4        0        4        0
22280 krecorder                          	       0        7        0        7        0
22281 kremotecontrol                     	       0        2        0        2        0
22282 krename-trinity                    	       0        4        0        4        0
22283 kretsim                            	       0        1        0        1        0
22284 kreversi-trinity                   	       0       22        0        0       22
22285 kristall                           	       0        7        1        6        0
22286 krita-data                         	       0      141        0        0      141
22287 krita-gemini                       	       0        1        0        0        1
22288 krita-l10n                         	       0       30        0        0       30
22289 kronometer                         	       0        5        0        5        0
22290 krop                               	       0        7        1        6        0
22291 kross-dev                          	       0        1        0        1        0
22292 krosspython                        	       0        9        0        0        9
22293 krossruby                          	       0        2        0        0        2
22294 krunner-symbols                    	       0        1        0        1        0
22295 krusader-icons-trinity             	       0        1        0        0        1
22296 krusader-trinity                   	       0        6        1        5        0
22297 ksame                              	       0        1        0        0        1
22298 ksame-trinity                      	       0       22        0        0       22
22299 ksaneplugin                        	       0        1        0        1        0
22300 kscd                               	       0        1        0        1        0
22301 kscreenlocker-dev                  	       0        1        0        1        0
22302 kscreensaver                       	       0        3        1        2        0
22303 kse                                	       0        1        0        1        0
22304 ksensors                           	       0        1        0        1        0
22305 ksh                                	       0       73        0       25       48
22306 kshisen-trinity                    	       0       22        0        0       22
22307 ksirtet-trinity                    	       0       22        0        0       22
22308 ksmbd-tools                        	       0        1        0        1        0
22309 ksmiletris-trinity                 	       0       22        0        0       22
22310 ksmtuned                           	       0       10        0       10        0
22311 ksnake-trinity                     	       0       23        0        0       23
22312 ksnapshot                          	       0        9        1        1        7
22313 ksniffer                           	       0        1        0        1        0
22314 ksnip                              	       0       12        2       10        0
22315 ksokoban                           	       0        1        0        1        0
22316 ksokoban-trinity                   	       0       22        0        0       22
22317 kspaceduel-trinity                 	       0       22        0        0       22
22318 ksplash-engine-moodin-trinity      	       0        1        0        1        0
22319 ksplash-theme-bespin               	       0        1        0        0        1
22320 ksplice                            	       0        1        0        1        0
22321 kspread-trinity                    	       0        4        0        4        0
22322 kspy-trinity                       	       0        1        0        1        0
22323 ksquirrel-trinity                  	       0        2        0        2        0
22324 kst                                	       0        3        0        3        0
22325 kst-doc                            	       0        3        0        0        3
22326 kstars-data                        	       0       34        0        0       34
22327 kstars-data-extra-tycho2           	       0        3        0        0        3
22328 kstars-data-trinity                	       0       23        0        0       23
22329 kstreamripper-trinity              	       0        1        0        1        0
22330 ksvg                               	       0        1        0        1        0
22331 ksysguard-data                     	       0      109        0        5      104
22332 ksystemlog                         	       0       53        1       52        0
22333 ksystemlog-trinity                 	       0        1        0        1        0
22334 ksysv                              	       0        1        0        1        0
22335 kterm                              	       0        2        0        2        0
22336 ktexteditor-data                   	       0      684        2       13      669
22337 kthesaurus-trinity                 	       0        3        0        3        0
22338 ktikz                              	       0        4        0        4        0
22339 ktls-utils                         	       0        1        0        1        0
22340 ktnef                              	       0        6        0        4        2
22341 ktoblzcheck                        	       0        2        0        2        0
22342 ktorrent                           	       0       46        0       46        0
22343 ktorrent-data                      	       0       47        0        3       44
22344 ktorrent-trinity                   	       0        3        0        3        0
22345 ktouch-data                        	       0       62        0        0       62
22346 ktron-trinity                      	       0       22        0        0       22
22347 kttsd                              	       0        1        0        0        1
22348 kttsd-contrib-plugins-trinity      	       0        1        0        1        0
22349 ktuberling-data                    	       0       61        0        0       61
22350 ktuberling-trinity                 	       0       22        0        0       22
22351 kubecolor                          	       0        1        0        1        0
22352 kubectx                            	       0        2        0        2        0
22353 kubernetes-client                  	       0        6        0        6        0
22354 kubernetes-split-yaml              	       0        1        0        1        0
22355 kubetail                           	       0        1        0        1        0
22356 kugar-trinity                      	       0        3        0        3        0
22357 kuiviewer-trinity                  	       0        1        0        1        0
22358 kunststoff                         	       0       11        0        0       11
22359 kup-client                         	       0        1        1        0        0
22360 kupfer                             	       0        2        0        2        0
22361 kuser                              	       0        2        0        2        0
22362 kuserfeedback-dev                  	       0        2        0        2        0
22363 kuserfeedback-doc                  	       0      580        0        0      580
22364 kvazaar                            	       0        1        0        1        0
22365 kviewshell                         	       0        1        0        1        0
22366 kvirc                              	       0       13        1       12        0
22367 kvirc-data                         	       0       13        0        0       13
22368 kvirc-data-trinity                 	       0        1        0        1        0
22369 kvirc-modules                      	       0       13        0        0       13
22370 kvirc-trinity                      	       0        1        0        1        0
22371 kvmtool                            	       0        3        0        3        0
22372 kvpnc                              	       0        2        0        2        0
22373 kwalify                            	       0        1        0        1        0
22374 kwayland-data                      	       0     1203        0        0     1203
22375 kwayland-doc                       	       0        1        0        0        1
22376 kwayland5-data                     	       0       72        0        0       72
22377 kwayland6-data                     	       0       29        0        0       29
22378 kweather                           	       0        1        0        1        0
22379 kwin-addons                        	       0       22        0        0       22
22380 kwin-bismuth                       	       0        3        0        3        0
22381 kwin-decoration-oxygen             	       0       51        1        5       45
22382 kwin-effect-xrdesktop              	       0        1        0        0        1
22383 kwin-style-qtcurve                 	       0        4        0        4        0
22384 kwin-wayland-backend-drm           	       0        4        0        1        3
22385 kwin4                              	       0        1        0        1        0
22386 kword-data                         	       0        1        0        0        1
22387 kword-data-trinity                 	       0        4        0        0        4
22388 kword-trinity                      	       0        4        0        4        0
22389 kwstyle                            	       0        4        0        4        0
22390 kxgencert                          	       0        1        0        1        0
22391 kxstudio-default-settings          	       0        1        0        1        0
22392 kxstudio-lv2-extensions            	       0        3        0        3        0
22393 kxstudio-menu                      	       0        1        0        0        1
22394 kxstudio-meta-audio-plugins-vamp   	       0        1        0        0        1
22395 kxstudio-repos                     	       0       13        0        0       13
22396 kxterm                             	       0        1        0        1        0
22397 kylin-nm                           	       0        1        0        1        0
22398 kylin-scanner                      	       0        3        0        3        0
22399 kylin-video                        	       0        9        2        7        0
22400 kyodialog                          	       0        1        0        1        0
22401 kytos-sphinx-theme-common          	       0        2        0        0        2
22402 kyua                               	       0        1        0        1        0
22403 la                                 	       0        1        0        1        0
22404 labelme                            	       0        2        0        2        0
22405 labjack-exodriver                  	       0        1        1        0        0
22406 labjackpython                      	       0        1        1        0        0
22407 labltk                             	       0        1        0        1        0
22408 labplot-data                       	       0        8        0        0        8
22409 labview-2023-community-exe         	       0        1        0        0        1
22410 labview-2023-exe-libs              	       0        1        0        0        1
22411 labview-2023-rte                   	       0        1        0        0        1
22412 laby                               	       0       13        2       11        0
22413 lacme                              	       0        1        0        1        0
22414 lact                               	       0        2        0        2        0
22415 ladish                             	       0        5        0        5        0
22416 laditools                          	       0        1        0        1        0
22417 ladspalist                         	       0        6        0        6        0
22418 lagan                              	       0        3        0        3        0
22419 lam-runtime                        	       0        2        0        2        0
22420 lambdahack                         	       0        3        0        3        0
22421 lame-doc                           	       0        7        0        0        7
22422 lame-extras                        	       0        1        0        1        0
22423 laminar                            	       0        1        0        0        1
22424 laminarc                           	       0        1        0        1        0
22425 laminard                           	       0        1        0        1        0
22426 lammps-data                        	       0        1        0        0        1
22427 langford-dkms                      	       0        2        0        2        0
22428 langford-utils                     	       0        3        0        3        0
22429 language-env                       	       0        4        0        4        0
22430 lanshare                           	       0        1        0        1        0
22431 lapce                              	       0        1        0        1        0
22432 larch                              	       0        2        0        2        0
22433 larswm                             	       0        1        0        1        0
22434 lasagne-doc                        	       0        1        0        0        1
22435 last-align                         	       0        2        0        2        0
22436 lastpass-cli                       	       0        1        0        1        0
22437 late                               	       0        3        0        3        0
22438 late-data                          	       0        3        0        0        3
22439 latencytop                         	       0       12        0       12        0
22440 latex-beamer                       	       0        3        0        0        3
22441 latex-cjk-all                      	       0       94        0        0       94
22442 latex-cjk-chinese-arphic-bkai00mp  	       0       96        0        1       95
22443 latex-cjk-chinese-arphic-bsmi00lp  	       0       94        0        0       94
22444 latex-cjk-chinese-arphic-gbsn00lp  	       0       94        0        1       93
22445 latex-cjk-chinese-arphic-gkai00mp  	       0       94        0        0       94
22446 latex-cjk-japanese-wadalab         	       0       99        0        1       98
22447 latex-cjk-korean                   	       0       94        0        0       94
22448 latex-coffee-stains                	       0        1        0        0        1
22449 latex-fonts-arundina               	       0        2        0        0        2
22450 latex-fonts-sipa-arundina          	       0        2        0        0        2
22451 latex-fonts-thai-tlwg              	       0        2        0        0        2
22452 latex-make                         	       0        8        0        0        8
22453 latex-mk                           	       0        9        2        7        0
22454 latex-xcolor                       	       0        6        0        0        6
22455 latex-xft-fonts                    	       0        2        0        0        2
22456 latex209-base                      	       0        4        0        0        4
22457 latex209-bin                       	       0        2        1        1        0
22458 latex209-src                       	       0        2        0        0        2
22459 latex2html                         	       0       32        0       32        0
22460 latex2rtf                          	       0       18        2       16        0
22461 latex2rtf-doc                      	       0        7        0        0        7
22462 latexdraw                          	       0        5        0        5        0
22463 latexila                           	       0        9        0        9        0
22464 latexila-data                      	       0        9        0        0        9
22465 latexml                            	       0       10        2        8        0
22466 latrace                            	       0        1        0        1        0
22467 latte-dock                         	       0       13        1       12        0
22468 launchpad-getkeys                  	       0        1        0        1        0
22469 launchpad-integration              	       0        1        0        0        1
22470 lava-common                        	       0        1        0        1        0
22471 lava-lxc-mocker                    	       0        1        0        1        0
22472 lavacli                            	       0        1        0        1        0
22473 layout                             	       0        1        0        1        0
22474 lazarus                            	       0       28        0        0       28
22475 lazarus-1.6                        	       0        1        0        0        1
22476 lazarus-2.0                        	       0        5        0        0        5
22477 lazarus-2.2                        	       0       20        0        0       20
22478 lazarus-3.0                        	       0        2        0        0        2
22479 lazarus-3.6                        	       0        2        0        0        2
22480 lazarus-3.8                        	       0        2        0        0        2
22481 lazarus-doc                        	       0        5        0        0        5
22482 lazarus-doc-1.6                    	       0        1        0        0        1
22483 lazarus-doc-2.0                    	       0        5        0        0        5
22484 lazarus-doc-2.2                    	       0       20        0        0       20
22485 lazarus-doc-3.0                    	       0        3        0        0        3
22486 lazarus-doc-3.6                    	       0        2        0        0        2
22487 lazarus-doc-3.8                    	       0        2        0        0        2
22488 lazarus-ide                        	       0       35        0        0       35
22489 lazarus-ide-1.2.4                  	       0        1        0        1        0
22490 lazarus-ide-1.6                    	       0        1        0        1        0
22491 lazarus-ide-1.8                    	       0        2        0        2        0
22492 lazarus-ide-2.0                    	       0       12        0       12        0
22493 lazarus-ide-2.2                    	       0       29        0       29        0
22494 lazarus-ide-3.0                    	       0        2        0        2        0
22495 lazarus-ide-3.6                    	       0        4        0        4        0
22496 lazarus-ide-gtk2                   	       0        5        0        0        5
22497 lazarus-ide-gtk2-1.2.4             	       0        1        0        1        0
22498 lazarus-ide-gtk2-1.6               	       0        1        0        1        0
22499 lazarus-ide-gtk2-1.8               	       0        2        0        2        0
22500 lazarus-ide-gtk2-2.0               	       0        7        0        7        0
22501 lazarus-ide-gtk2-2.2               	       0       23        0       23        0
22502 lazarus-ide-gtk2-3.0               	       0        2        0        2        0
22503 lazarus-ide-gtk2-3.6               	       0        4        0        4        0
22504 lazarus-ide-gtk2-3.8               	       0        2        0        2        0
22505 lazarus-ide-qt5                    	       0        9        0        0        9
22506 lazarus-ide-qt5-2.0                	       0        2        0        2        0
22507 lazarus-ide-qt5-2.2                	       0        6        0        6        0
22508 lazarus-ide-qt5-3.0                	       0        1        0        1        0
22509 lazarus-project                    	       0        4        0        4        0
22510 lazarus-src                        	       0        5        0        0        5
22511 lazarus-src-1.2.4                  	       0        1        0        1        0
22512 lazarus-src-1.6                    	       0        1        0        1        0
22513 lazarus-src-1.8                    	       0        2        0        2        0
22514 lazarus-src-2.0                    	       0       11        0       10        1
22515 lazarus-src-2.2                    	       0       28        0       28        0
22516 lazarus-src-3.0                    	       0        2        0        2        0
22517 lazarus-src-3.6                    	       0        4        0        4        0
22518 lazpaint                           	       0        1        0        1        0
22519 lazpaint-gtk2                      	       0        9        0        9        0
22520 lazpaint-qt5                       	       0        5        0        5        0
22521 lazygal                            	       0        2        0        2        0
22522 lbackup                            	       0        4        0        0        4
22523 lbackup-2pir                       	       0        1        0        1        0
22524 lbackup-config-2pir                	       0        1        0        1        0
22525 lbdb                               	       0        5        0        5        0
22526 lboot                              	       0        1        0        1        0
22527 lbreakout2                         	       0       18        1       17        0
22528 lbreakout2-data                    	       0       18        0        0       18
22529 lbreakouthd                        	       0        2        0        2        0
22530 lbreakouthd-data                   	       0        2        0        0        2
22531 lbry                               	       0        3        0        0        3
22532 lbt                                	       0        3        0        3        0
22533 lbzip2                             	       0       46        0       46        0
22534 lcab                               	       0        5        0        5        0
22535 lcalc                              	       0       12        0       12        0
22536 lcap                               	       0        1        0        1        0
22537 lcarsde-app-menu                   	       0        1        1        0        0
22538 lcarsde-application-starter        	       0        1        1        0        0
22539 lcarsde-logout                     	       0        1        1        0        0
22540 lcarsde-onboard-theme              	       0        1        0        0        1
22541 lcarswm                            	       0        1        1        0        0
22542 lcd4linux                          	       0        1        0        1        0
22543 lcdproc                            	       0        8        1        7        0
22544 lcdproc-extra-drivers              	       0        7        0        1        6
22545 lcl                                	       0        7        0        0        7
22546 lcl-1.6                            	       0        3        0        0        3
22547 lcl-1.8                            	       0        2        0        0        2
22548 lcl-2.0                            	       0       10        0        0       10
22549 lcl-2.2                            	       0       28        0        0       28
22550 lcl-3.0                            	       0        2        0        0        2
22551 lcl-3.6                            	       0        4        0        0        4
22552 lcl-3.8                            	       0        3        0        0        3
22553 lcl-gtk2                           	       0        3        0        0        3
22554 lcl-gtk2-1.2.4                     	       0        1        0        1        0
22555 lcl-gtk2-1.6                       	       0        3        0        3        0
22556 lcl-gtk2-1.8                       	       0        2        0        2        0
22557 lcl-gtk2-2.0                       	       0       11        0       11        0
22558 lcl-gtk2-2.2                       	       0       29        0       29        0
22559 lcl-gtk2-3.0                       	       0        2        0        2        0
22560 lcl-gtk2-3.6                       	       0        4        0        4        0
22561 lcl-nogui                          	       0        2        0        0        2
22562 lcl-nogui-1.2.4                    	       0        1        0        1        0
22563 lcl-nogui-1.6                      	       0        3        0        3        0
22564 lcl-nogui-1.8                      	       0        2        0        2        0
22565 lcl-nogui-2.0                      	       0       11        0       11        0
22566 lcl-nogui-2.2                      	       0       30        0       30        0
22567 lcl-nogui-3.0                      	       0        2        0        2        0
22568 lcl-nogui-3.6                      	       0        4        0        4        0
22569 lcl-qt5                            	       0       11        0        0       11
22570 lcl-qt5-2.0                        	       0        3        0        3        0
22571 lcl-qt5-2.2                        	       0        9        0        9        0
22572 lcl-qt5-3.0                        	       0        1        0        1        0
22573 lcl-units                          	       0        7        0        0        7
22574 lcl-units-1.2.4                    	       0        1        0        1        0
22575 lcl-units-1.6                      	       0        3        0        3        0
22576 lcl-units-1.8                      	       0        2        0        2        0
22577 lcl-units-2.0                      	       0       11        0       11        0
22578 lcl-units-2.2                      	       0       28        0       28        0
22579 lcl-units-3.0                      	       0        2        0        2        0
22580 lcl-units-3.6                      	       0        4        0        4        0
22581 lcl-utils                          	       0       10        0        0       10
22582 lcl-utils-1.2.4                    	       0        1        0        1        0
22583 lcl-utils-1.6                      	       0        3        0        3        0
22584 lcl-utils-1.8                      	       0        2        0        2        0
22585 lcl-utils-2.0                      	       0       10        0       10        0
22586 lcl-utils-2.2                      	       0       28        0       28        0
22587 lcl-utils-3.0                      	       0        2        0        2        0
22588 lcl-utils-3.6                      	       0        4        0        4        0
22589 lcmaps-basic-interface             	       0        1        0        1        0
22590 lcmaps-globus-interface            	       0        1        0        1        0
22591 lcmaps-openssl-interface           	       0        1        0        1        0
22592 lcomp-dkms                         	       0        2        0        1        1
22593 lcov                               	       0       20        1       19        0
22594 lcxterm                            	       0        1        0        1        0
22595 ld10k1                             	       0        5        0        5        0
22596 ldap-account-manager               	       0        3        0        3        0
22597 ldapscripts                        	       0        8        0        8        0
22598 ldapvi                             	       0        7        0        7        0
22599 ldc                                	       0       13        0       13        0
22600 lde                                	       0        3        0        3        0
22601 ldm                                	       0        4        0        4        0
22602 ldm-server                         	       0        4        0        4        0
22603 ldm-themes                         	       0        1        0        0        1
22604 ldnsutils                          	       0       16        1       15        0
22605 ldp-docbook-dsssl                  	       0        1        0        0        1
22606 ldp-docbook-xsl                    	       0        1        0        0        1
22607 ldraw-mklist                       	       0        1        0        1        0
22608 ldraw-parts                        	       0        2        0        0        2
22609 ldtp                               	       0        1        0        1        0
22610 le                                 	       0        5        0        5        0
22611 leabook                            	       0        1        0        0        1
22612 leaff                              	       0        1        0        1        0
22613 leafnode                           	       0        4        0        4        0
22614 leafpad                            	       0       59        1       58        0
22615 leaktracer                         	       0        1        0        1        0
22616 leap-archive-keyring               	       0       10        0        0       10
22617 leave                              	       0        2        0        2        0
22618 lebiniou                           	       0        3        0        3        0
22619 lebiniou-data                      	       0        3        0        0        3
22620 lecm                               	       0        1        0        1        0
22621 ledger                             	       0       10        1        9        0
22622 ledger-wallets-udev                	       0        2        0        2        0
22623 ledmon                             	       0        7        4        3        0
22624 leela                              	       0        1        0        1        0
22625 leela-zero                         	       0        4        0        4        0
22626 legacylauncher                     	       0        4        0        4        0
22627 legcord                            	       0        1        0        1        0
22628 legit                              	       0        2        0        2        0
22629 lego                               	       0        2        0        2        0
22630 lemon                              	       0        4        0        4        0
22631 lemonbar                           	       0       20        1       19        0
22632 lenmus                             	       0        1        0        1        0
22633 lens                               	       0        1        0        1        0
22634 leocad                             	       0        8        0        8        0
22635 lepton-eda                         	       0       11        0       11        0
22636 leptonica-progs                    	       0        3        0        3        0
22637 less-dbgsym                        	       0        1        0        1        0
22638 lesstif2                           	       0       16        0        0       16
22639 lesstif2-dev                       	       0        1        0        1        0
22640 letodms                            	       0        1        0        1        0
22641 letsencrypt                        	       0       10        0        0       10
22642 letsencrypt.sh                     	       0        1        1        0        0
22643 letterize                          	       0        1        0        1        0
22644 levee                              	       0        1        0        1        0
22645 leveldb-doc                        	       0        1        0        0        1
22646 lexicon                            	       0        1        0        1        0
22647 lexmark-network-scan               	       0        1        1        0        0
22648 lexmark-ppd-files-lmado            	       0        1        0        0        1
22649 lfhex                              	       0        2        0        2        0
22650 lfm                                	       0        6        0        6        0
22651 lft                                	       0        7        0        7        0
22652 lg-all                             	       0        1        0        0        1
22653 lg-base                            	       0        1        0        0        1
22654 lg-issue01to08                     	       0        1        0        0        1
22655 lg-issue09                         	       0        1        0        0        1
22656 lg-issue10                         	       0        1        0        0        1
22657 lg-issue100                        	       0        1        0        0        1
22658 lg-issue101                        	       0        1        0        0        1
22659 lg-issue102                        	       0        1        0        0        1
22660 lg-issue103                        	       0        1        0        0        1
22661 lg-issue104                        	       0        1        0        0        1
22662 lg-issue105                        	       0        1        0        0        1
22663 lg-issue106                        	       0        1        0        0        1
22664 lg-issue107                        	       0        1        0        0        1
22665 lg-issue108                        	       0        1        0        0        1
22666 lg-issue109                        	       0        1        0        0        1
22667 lg-issue11                         	       0        1        0        0        1
22668 lg-issue110                        	       0        1        0        0        1
22669 lg-issue111                        	       0        1        0        0        1
22670 lg-issue112                        	       0        1        0        0        1
22671 lg-issue113                        	       0        1        0        0        1
22672 lg-issue12                         	       0        1        0        0        1
22673 lg-issue13                         	       0        1        0        0        1
22674 lg-issue14                         	       0        1        0        0        1
22675 lg-issue15                         	       0        1        0        0        1
22676 lg-issue16                         	       0        1        0        0        1
22677 lg-issue17                         	       0        1        0        0        1
22678 lg-issue18                         	       0        1        0        0        1
22679 lg-issue19                         	       0        1        0        0        1
22680 lg-issue20                         	       0        1        0        0        1
22681 lg-issue21                         	       0        1        0        0        1
22682 lg-issue22                         	       0        1        0        0        1
22683 lg-issue23                         	       0        1        0        0        1
22684 lg-issue24                         	       0        1        0        0        1
22685 lg-issue25                         	       0        1        0        0        1
22686 lg-issue26                         	       0        1        0        0        1
22687 lg-issue27                         	       0        1        0        0        1
22688 lg-issue28                         	       0        1        0        0        1
22689 lg-issue29                         	       0        1        0        0        1
22690 lg-issue30                         	       0        1        0        0        1
22691 lg-issue31                         	       0        1        0        0        1
22692 lg-issue32                         	       0        1        0        0        1
22693 lg-issue33                         	       0        1        0        0        1
22694 lg-issue34                         	       0        1        0        0        1
22695 lg-issue35                         	       0        1        0        0        1
22696 lg-issue36                         	       0        1        0        0        1
22697 lg-issue37                         	       0        1        0        0        1
22698 lg-issue38                         	       0        1        0        0        1
22699 lg-issue39                         	       0        1        0        0        1
22700 lg-issue40                         	       0        1        0        0        1
22701 lg-issue41                         	       0        1        0        0        1
22702 lg-issue42                         	       0        1        0        0        1
22703 lg-issue43                         	       0        1        0        0        1
22704 lg-issue44                         	       0        1        0        0        1
22705 lg-issue45                         	       0        1        0        0        1
22706 lg-issue46                         	       0        1        0        0        1
22707 lg-issue47                         	       0        1        0        0        1
22708 lg-issue48                         	       0        1        0        0        1
22709 lg-issue49                         	       0        1        0        0        1
22710 lg-issue50                         	       0        1        0        0        1
22711 lg-issue51                         	       0        1        0        0        1
22712 lg-issue52                         	       0        1        0        0        1
22713 lg-issue53                         	       0        1        0        0        1
22714 lg-issue54                         	       0        1        0        0        1
22715 lg-issue55                         	       0        1        0        0        1
22716 lg-issue56                         	       0        1        0        0        1
22717 lg-issue57                         	       0        1        0        0        1
22718 lg-issue58                         	       0        1        0        0        1
22719 lg-issue59                         	       0        1        0        0        1
22720 lg-issue60                         	       0        1        0        0        1
22721 lg-issue61                         	       0        1        0        0        1
22722 lg-issue62                         	       0        1        0        0        1
22723 lg-issue63                         	       0        1        0        0        1
22724 lg-issue64                         	       0        1        0        0        1
22725 lg-issue65                         	       0        1        0        0        1
22726 lg-issue66                         	       0        1        0        0        1
22727 lg-issue67                         	       0        1        0        0        1
22728 lg-issue68                         	       0        1        0        0        1
22729 lg-issue69                         	       0        1        0        0        1
22730 lg-issue70                         	       0        1        0        0        1
22731 lg-issue71                         	       0        1        0        0        1
22732 lg-issue72                         	       0        1        0        0        1
22733 lg-issue73                         	       0        1        0        0        1
22734 lg-issue74                         	       0        1        0        0        1
22735 lg-issue75                         	       0        1        0        0        1
22736 lg-issue76                         	       0        1        0        0        1
22737 lg-issue77                         	       0        1        0        0        1
22738 lg-issue78                         	       0        1        0        0        1
22739 lg-issue79                         	       0        1        0        0        1
22740 lg-issue80                         	       0        1        0        0        1
22741 lg-issue81                         	       0        1        0        0        1
22742 lg-issue82                         	       0        1        0        0        1
22743 lg-issue83                         	       0        1        0        0        1
22744 lg-issue84                         	       0        1        0        0        1
22745 lg-issue85                         	       0        1        0        0        1
22746 lg-issue86                         	       0        1        0        0        1
22747 lg-issue87                         	       0        1        0        0        1
22748 lg-issue88                         	       0        1        0        0        1
22749 lg-issue89                         	       0        1        0        0        1
22750 lg-issue90                         	       0        1        0        0        1
22751 lg-issue91                         	       0        1        0        0        1
22752 lg-issue92                         	       0        1        0        0        1
22753 lg-issue93                         	       0        1        0        0        1
22754 lg-issue94                         	       0        1        0        0        1
22755 lg-issue95                         	       0        1        0        0        1
22756 lg-issue96                         	       0        1        0        0        1
22757 lg-issue97                         	       0        1        0        0        1
22758 lg-issue98                         	       0        1        0        0        1
22759 lg-issue99                         	       0        1        0        0        1
22760 lgc-pg                             	       0        6        0        6        0
22761 lgeneral                           	       0        6        0        6        0
22762 lgeneral-data                      	       0        6        0        0        6
22763 lgogdownloader                     	       0        6        0        6        0
22764 lha                                	       0        2        1        1        0
22765 lhs2tex                            	       0        2        0        2        0
22766 lib++dfb-1.7-7                     	       0       13        0        0       13
22767 lib++dfb-1.7-7t64                  	       0        2        0        0        2
22768 lib-utils                          	       0        1        0        0        1
22769 lib-utils2                         	       0        3        0        0        3
22770 lib25519-1                         	       0        1        0        0        1
22771 lib2geom-dev                       	       0        1        0        1        0
22772 lib2geom1.1.0                      	       0        3        0        0        3
22773 lib2geom1.2.0                      	       0      391        0        3      388
22774 lib2geom1.2.0t64                   	       0       47        0        0       47
22775 lib32asan1                         	       0        5        0        0        5
22776 lib32asan3                         	       0        7        0        0        7
22777 lib32asan5                         	       0       18        0        0       18
22778 lib32asan5-x32-cross               	       0        1        0        0        1
22779 lib32asan6                         	       0       91        0        0       91
22780 lib32asan6-ppc64-cross             	       0        1        0        0        1
22781 lib32asan8                         	       0      140        0        0      140
22782 lib32asan8-x32-cross               	       0        4        0        0        4
22783 lib32atomic1                       	       0      188        0        0      188
22784 lib32atomic1-dbgsym                	       0        1        0        1        0
22785 lib32atomic1-ppc64-cross           	       0        1        0        0        1
22786 lib32atomic1-x32-cross             	       0        5        0        0        5
22787 lib32bz2-1.0                       	       0        6        0        0        6
22788 lib32cilkrts5                      	       0        9        0        0        9
22789 lib32cr0                           	       0        1        0        0        1
22790 lib32gcc-10-dev                    	       0       85        0        0       85
22791 lib32gcc-10-dev-ppc64-cross        	       0        1        0        0        1
22792 lib32gcc-11-dev                    	       0        8        0        0        8
22793 lib32gcc-12-dev                    	       0      127        0        0      127
22794 lib32gcc-12-dev-x32-cross          	       0        4        0        0        4
22795 lib32gcc-13-dev                    	       0       19        0        0       19
22796 lib32gcc-14-dev                    	       0       24        0        0       24
22797 lib32gcc-4.9-dev                   	       0        5        0        0        5
22798 lib32gcc-6-dev                     	       0        7        0        0        7
22799 lib32gcc-8-dev                     	       0       10        0        0       10
22800 lib32gcc-8-dev-x32-cross           	       0        1        0        0        1
22801 lib32gcc-9-dev                     	       0        3        0        0        3
22802 lib32gcc-s1                        	       0      536        0        0      536
22803 lib32gcc-s1-dbgsym                 	       0        1        0        1        0
22804 lib32gcc-s1-ppc64-cross            	       0        1        0        0        1
22805 lib32gcc-s1-x32-cross              	       0        4        0        0        4
22806 lib32gcc1                          	       0       38        0        0       38
22807 lib32gcc1-x32-cross                	       0        1        0        0        1
22808 lib32gfortran-10-dev               	       0       13        0        0       13
22809 lib32gfortran-11-dev               	       0        2        0        0        2
22810 lib32gfortran-12-dev               	       0       15        0        0       15
22811 lib32gfortran-12-dev-x32-cross     	       0        1        0        0        1
22812 lib32gfortran-13-dev               	       0        3        0        0        3
22813 lib32gfortran-14-dev               	       0        5        0        0        5
22814 lib32gfortran-4.9-dev              	       0        1        0        0        1
22815 lib32gfortran-6-dev                	       0        1        0        0        1
22816 lib32gfortran-8-dev                	       0        1        0        0        1
22817 lib32gfortran3                     	       0        1        0        0        1
22818 lib32gfortran5                     	       0       22        0        0       22
22819 lib32gfortran5-dbgsym              	       0        1        0        1        0
22820 lib32gfortran5-x32-cross           	       0        1        0        0        1
22821 lib32go-13-dev                     	       0        1        0        0        1
22822 lib32go22                          	       0        1        0        0        1
22823 lib32gomp1                         	       0      186        0        0      186
22824 lib32gomp1-dbgsym                  	       0        1        0        1        0
22825 lib32gomp1-ppc64-cross             	       0        1        0        0        1
22826 lib32gomp1-x32-cross               	       0        5        0        0        5
22827 lib32gphobos-13-dev                	       0        1        0        0        1
22828 lib32gphobos4                      	       0        1        0        0        1
22829 lib32gphobos4-dbgsym               	       0        1        0        1        0
22830 lib32itm1                          	       0      188        0        0      188
22831 lib32itm1-dbgsym                   	       0        1        0        1        0
22832 lib32itm1-ppc64-cross              	       0        1        0        0        1
22833 lib32itm1-x32-cross                	       0        5        0        0        5
22834 lib32mpx2                          	       0       23        0        0       23
22835 lib32ncurses-dev                   	       0       21        0       21        0
22836 lib32ncurses5                      	       0       13        0        0       13
22837 lib32ncurses6                      	       0       22        0        0       22
22838 lib32ncursesw6                     	       0       21        0        0       21
22839 lib32objc-12-dev                   	       0        1        0        0        1
22840 lib32objc-13-dev                   	       0        1        0        0        1
22841 lib32objc-14-dev                   	       0        1        0        0        1
22842 lib32objc-4.9-dev                  	       0        1        0        0        1
22843 lib32objc-6-dev                    	       0        1        0        0        1
22844 lib32objc4                         	       0        5        0        0        5
22845 lib32objc4-dbgsym                  	       0        1        0        1        0
22846 lib32quadmath0                     	       0      188        0        0      188
22847 lib32quadmath0-dbgsym              	       0        1        0        1        0
22848 lib32quadmath0-x32-cross           	       0        5        0        0        5
22849 lib32readline-dev                  	       0       13        0       13        0
22850 lib32readline5                     	       0        1        0        0        1
22851 lib32readline6                     	       0        1        0        0        1
22852 lib32readline7                     	       0        2        0        0        2
22853 lib32readline8                     	       0       12        0        0       12
22854 lib32stdc++-10-dev                 	       0       34        1       33        0
22855 lib32stdc++-10-dev-ppc64-cross     	       0        1        0        0        1
22856 lib32stdc++-11-dev                 	       0        5        0        5        0
22857 lib32stdc++-12-dev-x32-cross       	       0        1        0        0        1
22858 lib32stdc++-13-dev                 	       0        9        0        9        0
22859 lib32stdc++-4.9-dev                	       0        2        0        2        0
22860 lib32stdc++-6-dev                  	       0        1        0        1        0
22861 lib32stdc++-8-dev                  	       0        5        1        4        0
22862 lib32stdc++6                       	       0      564        0        1      563
22863 lib32stdc++6-10-dbg                	       0        1        0        1        0
22864 lib32stdc++6-12-dbg                	       0        1        0        1        0
22865 lib32stdc++6-dbgsym                	       0        1        0        1        0
22866 lib32stdc++6-ppc64-cross           	       0        1        0        0        1
22867 lib32stdc++6-x32-cross             	       0        5        0        0        5
22868 lib32tinfo-dev                     	       0        1        0        1        0
22869 lib32tinfo5                        	       0       15        0        0       15
22870 lib32tinfo6                        	       0       22        0        0       22
22871 lib32ubsan0                        	       0        9        0        0        9
22872 lib32ubsan1                        	       0      178        0        0      178
22873 lib32ubsan1-ppc64-cross            	       0        1        0        0        1
22874 lib32ubsan1-x32-cross              	       0        5        0        0        5
22875 lib32z1                            	       0       89        0        1       88
22876 lib32z1-dev                        	       0       24        0       24        0
22877 lib3ds-1-3                         	       0       31        0        0       31
22878 lib3ds-dev                         	       0        2        0        2        0
22879 lib3mf-dev                         	       0        2        1        1        0
22880 lib3mf-doc                         	       0        1        0        0        1
22881 lib3mf1                            	       0       69        1        3       65
22882 lib3mf1t64                         	       0        9        0        0        9
22883 lib4ti2-0                          	       0        9        0        0        9
22884 lib4ti2-0t64                       	       0        2        0        0        2
22885 lib64asan3                         	       0        1        0        0        1
22886 lib64asan5                         	       0        1        0        0        1
22887 lib64asan5-i386-cross              	       0        1        0        0        1
22888 lib64asan5-x32-cross               	       0        1        0        0        1
22889 lib64asan6                         	       0        2        0        0        2
22890 lib64asan6-i386-cross              	       0        1        0        0        1
22891 lib64asan8                         	       0        1        0        0        1
22892 lib64asan8-i386-cross              	       0        3        0        0        3
22893 lib64asan8-x32-cross               	       0        4        0        0        4
22894 lib64atomic1                       	       0       14        0        0       14
22895 lib64atomic1-i386-cross            	       0        4        0        0        4
22896 lib64atomic1-mips-cross            	       0        2        0        0        2
22897 lib64atomic1-mipsel-cross          	       0        1        0        0        1
22898 lib64atomic1-x32-cross             	       0        5        0        0        5
22899 lib64cilkrts5                      	       0        1        0        0        1
22900 lib64gcc-10-dev                    	       0        2        0        0        2
22901 lib64gcc-11-dev-i386-cross         	       0        1        0        0        1
22902 lib64gcc-12-dev                    	       0        1        0        0        1
22903 lib64gcc-12-dev-i386-cross         	       0        2        0        0        2
22904 lib64gcc-12-dev-mips-cross         	       0        1        0        0        1
22905 lib64gcc-12-dev-mipsel-cross       	       0        1        0        0        1
22906 lib64gcc-12-dev-x32-cross          	       0        4        0        0        4
22907 lib64gcc-14-dev-i386-cross         	       0        1        0        0        1
22908 lib64gcc-4.7-dev                   	       0        1        0        0        1
22909 lib64gcc-6-dev                     	       0        1        0        0        1
22910 lib64gcc-8-dev-i386-cross          	       0        1        0        0        1
22911 lib64gcc-8-dev-x32-cross           	       0        1        0        0        1
22912 lib64gcc-s1                        	       0       13        0        0       13
22913 lib64gcc-s1-i386-cross             	       0        3        0        0        3
22914 lib64gcc-s1-mips-cross             	       0        1        0        0        1
22915 lib64gcc-s1-mipsel-cross           	       0        1        0        0        1
22916 lib64gcc-s1-x32-cross              	       0        4        0        0        4
22917 lib64gcc1                          	       0        3        0        0        3
22918 lib64gcc1-i386-cross               	       0        1        0        0        1
22919 lib64gcc1-x32-cross                	       0        1        0        0        1
22920 lib64gfortran-12-dev-x32-cross     	       0        1        0        0        1
22921 lib64gfortran5-x32-cross           	       0        1        0        0        1
22922 lib64go-11-dev-i386-cross          	       0        1        0        0        1
22923 lib64go19-i386-cross               	       0        1        0        0        1
22924 lib64gomp1                         	       0        4        0        0        4
22925 lib64gomp1-i386-cross              	       0        4        0        0        4
22926 lib64gomp1-mips-cross              	       0        2        0        0        2
22927 lib64gomp1-mipsel-cross            	       0        1        0        0        1
22928 lib64gomp1-x32-cross               	       0        5        0        0        5
22929 lib64itm1                          	       0        4        0        0        4
22930 lib64itm1-i386-cross               	       0        4        0        0        4
22931 lib64itm1-x32-cross                	       0        5        0        0        5
22932 lib64mpx2                          	       0        1        0        0        1
22933 lib64mpx2-i386-cross               	       0        1        0        0        1
22934 lib64ncurses-dev                   	       0        2        0        2        0
22935 lib64ncurses6                      	       0        2        0        0        2
22936 lib64ncursesw6                     	       0        2        0        0        2
22937 lib64quadmath0                     	       0        4        0        0        4
22938 lib64quadmath0-i386-cross          	       0        4        0        0        4
22939 lib64quadmath0-x32-cross           	       0        5        0        0        5
22940 lib64readline-dev                  	       0        2        0        2        0
22941 lib64readline8                     	       0        2        0        0        2
22942 lib64stdc++-12-dev-mips-cross      	       0        1        0        0        1
22943 lib64stdc++-12-dev-mipsel-cross    	       0        1        0        0        1
22944 lib64stdc++-12-dev-x32-cross       	       0        1        0        0        1
22945 lib64stdc++-6-dev                  	       0        1        0        1        0
22946 lib64stdc++6                       	       0       15        0        0       15
22947 lib64stdc++6-i386-cross            	       0        4        0        0        4
22948 lib64stdc++6-mips-cross            	       0        1        0        0        1
22949 lib64stdc++6-mipsel-cross          	       0        1        0        0        1
22950 lib64stdc++6-x32-cross             	       0        5        0        0        5
22951 lib64tinfo6                        	       0        2        0        0        2
22952 lib64ubsan0                        	       0        1        0        0        1
22953 lib64ubsan1                        	       0        2        0        0        2
22954 lib64ubsan1-i386-cross             	       0        4        0        0        4
22955 lib64ubsan1-x32-cross              	       0        5        0        0        5
22956 lib64z1                            	       0        1        0        0        1
22957 liba52-0.7.4                       	       0     3086        5       15     3066
22958 libaa-bin                          	       0       12        0       12        0
22959 libaa1                             	       0     3404        4       12     3388
22960 libaa1-dev                         	       0       23        1       22        0
22961 libaacplus-dev                     	       0        1        0        1        0
22962 libaacplus2                        	       0       22        0        0       22
22963 libaacs-bin                        	       0        2        0        2        0
22964 libaacs-dev                        	       0       11        0       11        0
22965 libaacs0                           	       0     3284        0        0     3284
22966 libaal-dev                         	       0        1        0        1        0
22967 libabigail-dev                     	       0        1        1        0        0
22968 libabigail0                        	       0        3        0        0        3
22969 libabiword-2.9                     	       0        4        0        0        4
22970 libabiword-3.0                     	       0       95        0        1       94
22971 libabiword-dev                     	       0        1        0        1        0
22972 libabsl20210324                    	       0       12        0        0       12
22973 libabsl20220623t64                 	       0        4        0        0        4
22974 libabsl20240722                    	       0        2        0        0        2
22975 libabw-0.0-0                       	       0        1        0        0        1
22976 libabw-dev                         	       0        1        0        1        0
22977 libabz0                            	       0        1        0        0        1
22978 libacars2                          	       0        1        0        0        1
22979 libaccess-bridge-java              	       0        4        0        0        4
22980 libaccess-bridge-java-jni          	       0        3        0        0        3
22981 libaccessors-perl                  	       0        1        0        1        0
22982 libaccinj64-11.2                   	       0        4        0        0        4
22983 libaccinj64-11.8                   	       0       14        0        0       14
22984 libaccinj64-12.2                   	       0        3        0        0        3
22985 libaccinj64-9.2                    	       0        1        0        0        1
22986 libaccounts-glib-dev               	       0        1        0        1        0
22987 libaccounts-qt-doc                 	       0        1        0        0        1
22988 libaccounts-qt5-dev                	       0        1        0        1        0
22989 libaccounts-qt6-1                  	       0       41        2        2       37
22990 libaccountsservice-dev             	       0        1        0        1        0
22991 libaccountsservice-doc             	       0        2        0        0        2
22992 libace-6.0.3                       	       0        1        0        0        1
22993 libace-6.2.8                       	       0        1        0        0        1
22994 libace-6.3.3                       	       0        1        0        0        1
22995 libace-6.4.5                       	       0        1        0        0        1
22996 libace-6.5.12                      	       0        2        0        0        2
22997 libace-7.0.8                       	       0        4        0        0        4
22998 libace-8.0.1                       	       0        1        0        0        1
22999 libace-dev                         	       0        1        0        1        0
23000 libace-doc                         	       0        1        0        1        0
23001 libace-perl                        	       0        4        0        4        0
23002 libacme-bleach-perl                	       0        2        0        2        0
23003 libacme-brainfck-perl              	       0        2        0        2        0
23004 libacme-constant-perl              	       0        2        0        2        0
23005 libacme-damn-perl                  	       0        6        0        0        6
23006 libacme-eyedrops-perl              	       0        2        0        2        0
23007 libacme-poe-knee-perl              	       0        1        0        1        0
23008 libacpi-dev                        	       0        1        0        1        0
23009 libacpi0                           	       0       25        0        0       25
23010 libacr38u                          	       0        1        0        1        0
23011 libacsccid1                        	       0       12        2       10        0
23012 libactionlib-dev                   	       0        2        0        2        0
23013 libactionlib-msgs-dev              	       0        2        0        2        0
23014 libactionlib1d                     	       0        2        0        0        2
23015 libactivation-java                 	       0      817        0        0      817
23016 libactiverecord-ruby1.9.1          	       0        1        0        0        1
23017 libactivesupport-ruby1.9.1         	       0        1        0        0        1
23018 libad9361-0                        	       0       41        0        0       41
23019 libad9361-dev                      	       0        2        0        2        0
23020 libaddressable-ruby1.9.1           	       0        1        0        0        1
23021 libaddresses0                      	       0        2        0        2        0
23022 libaddressview0                    	       0        2        0        2        0
23023 libadduser-pluginloader-perl       	       0        1        0        1        0
23024 libadios-bin                       	       0        3        0        3        0
23025 libadios-dev                       	       0        3        0        3        0
23026 libadios-examples                  	       0        2        0        2        0
23027 libadios-openmpi-dev               	       0        2        0        0        2
23028 libadmesh1                         	       0        4        0        0        4
23029 libadms0                           	       0        3        0        0        3
23030 libadns1                           	       0       36        0        0       36
23031 libadns1-bin                       	       0        1        0        1        0
23032 libadns1-dev                       	       0        1        0        1        0
23033 libadns1t64                        	       0        5        0        1        4
23034 libadolc2                          	       0        1        0        0        1
23035 libadolc2t64                       	       0        1        0        0        1
23036 libadplug-2.2.1-0                  	       0        5        0        0        5
23037 libadplug-2.2.1-0v5                	       0        7        0        0        7
23038 libadplug-2.3.3-0                  	       0       67       13       18       36
23039 libadplug-dev                      	       0        3        0        3        0
23040 libadplug0c2a                      	       0        1        0        0        1
23041 libadwaita-1-doc                   	       0        1        0        0        1
23042 libadwaita-1-examples              	       0        1        0        1        0
23043 libadwaitaqt-dev                   	       0        2        0        2        0
23044 libadwaitaqt1                      	       0      112        3        7      102
23045 libadwaitaqt6-1                    	       0       19        0        0       19
23046 libadwaitaqt6-dev                  	       0        3        0        3        0
23047 libadwaitaqt6priv1                 	       0       19        0        0       19
23048 libadwaitaqtpriv1                  	       0      112        3        7      102
23049 libaec-tools                       	       0        2        0        2        0
23050 libaec0                            	       0      806        4       11      791
23051 libaether-ant-tasks-java           	       0        1        0        0        1
23052 libaether-java                     	       0        1        0        0        1
23053 libafflib-dev                      	       0        1        0        1        0
23054 libafflib0                         	       0        1        0        0        1
23055 libafflib0t64                      	       0       14        0        0       14
23056 libafflib0v5                       	       0      164        0        0      164
23057 libafs-pag-perl                    	       0        1        0        0        1
23058 libaften0                          	       0       33        0        1       32
23059 libafterburner.fx-java             	       0        1        0        0        1
23060 libafterimage0                     	       0       12        0        0       12
23061 libafterstep1                      	       0       10        0        0       10
23062 libagg-dev                         	       0        6        0        6        0
23063 libagg2                            	       0       22        0        0       22
23064 libagg2-dev                        	       0        2        0        2        0
23065 libagg2t64                         	       0        4        0        0        4
23066 libagress0                         	       0        1        0        0        1
23067 libags-audio3                      	       0        1        0        0        1
23068 libags-audio6t64                   	       0        1        0        0        1
23069 libags-gui3                        	       0        2        0        0        2
23070 libags-gui6t64                     	       0        1        0        0        1
23071 libags3                            	       0        2        0        0        2
23072 libags6t64                         	       0        1        0        0        1
23073 libahp-gt-dev                      	       0        2        0        2        0
23074 libahp-gt1                         	       0        2        0        0        2
23075 libai-decisiontree-perl            	       0        1        0        0        1
23076 libai-fann-perl                    	       0        1        0        0        1
23077 libaiksaurus-1.2-0c2a              	       0       11        0        0       11
23078 libaiksaurus-1.2-data              	       0       12        0        0       12
23079 libaiksaurus-1.2-dev               	       0        3        0        3        0
23080 libaiksaurusgtk-1.2-0c2a           	       0        6        0        0        6
23081 libaiksaurusgtk-1.2-dev            	       0        2        0        2        0
23082 libaio-dev                         	       0       49        1       48        0
23083 libaio1t64                         	       0      142        1        4      137
23084 libairspy-dev                      	       0        2        0        2        0
23085 libairspyhf-dev                    	       0        2        0        2        0
23086 libairspyhf1                       	       0       43        1       41        1
23087 libajantv2-dev                     	       0        1        0        1        0
23088 libakai0                           	       0        8        0        0        8
23089 libakode2-mpeg                     	       0        3        0        0        3
23090 libakonadi-calendar4               	       0        6        0        1        5
23091 libakonadi-contact4                	       0        6        1        5        0
23092 libakonadi-data                    	       0       13        2        0       11
23093 libakonadi-kabc4                   	       0       10        0        0       10
23094 libakonadi-kcal4                   	       0        6        0        0        6
23095 libakonadi-kde4                    	       0        7        1        6        0
23096 libakonadi-kmime4                  	       0        7        0        1        6
23097 libakonadi-notes4                  	       0        5        0        0        5
23098 libakonadicalendar-data            	       0        7        3        0        4
23099 libakonadiprotocolinternals1       	       0        7        0        1        6
23100 libakonadisearch-data              	       0        7        0        0        7
23101 libakonadisearch-plugins           	       0        7        4        2        1
23102 libalac-dev                        	       0        1        0        1        0
23103 libalac0                           	       0        1        0        0        1
23104 libalberta4                        	       0        2        0        0        2
23105 libalberta5                        	       0        1        0        0        1
23106 libaldmb1                          	       0       30        0        0       30
23107 libaldmb1-dev                      	       0        2        0        2        0
23108 libaldmb1t64                       	       0        1        0        0        1
23109 libalglib-dev                      	       0        2        0        2        0
23110 libalglib3.14                      	       0        1        0        0        1
23111 libalglib3.17                      	       0        3        0        0        3
23112 libalglib3.19                      	       0       19        0        0       19
23113 libalglib4.2                       	       0        3        0        0        3
23114 libalgorithm-backoff-perl          	       0        4        0        4        0
23115 libalgorithm-checkdigits-perl      	       0        4        1        3        0
23116 libalgorithm-combinatorics-perl    	       0        5        0        0        5
23117 libalgorithm-dependency-perl       	       0        2        0        2        0
23118 libalgorithm-diff-xs-perl          	       0     2136        0        0     2136
23119 libalgorithm-hyperloglog-perl      	       0        1        0        0        1
23120 libalgorithm-lbfgs-perl            	       0        1        0        0        1
23121 libalgorithm-munkres-perl          	       0        6        0        6        0
23122 libalgorithm-naivebayes-perl       	       0        1        0        1        0
23123 libalgorithm-numerical-sample-perl 	       0        1        0        1        0
23124 libalgorithm-permute-perl          	       0        3        0        0        3
23125 libalgorithm-svm-perl              	       0        1        0        0        1
23126 libalgorithms1                     	       0        6        0        0        6
23127 libalias-perl                      	       0        1        0        0        1
23128 libalien-build-perl                	       0        1        0        1        0
23129 libalien-gnuplot-perl              	       0        1        0        0        1
23130 libalien-sdl-dev-perl              	       0        4        0        0        4
23131 libalien-wxwidgets-perl            	       0       57        0        0       57
23132 libalkimia5                        	       0        1        0        0        1
23133 libalkimia5-7                      	       0        2        0        0        2
23134 libalkimia5-8                      	       0        5        0        0        5
23135 liballeggl4.4                      	       0        1        0        0        1
23136 liballegro-acodec5-dev             	       0        6        0        6        0
23137 liballegro-acodec5.0               	       0        1        0        0        1
23138 liballegro-acodec5.2               	       0       13        0        0       13
23139 liballegro-audio5-dev              	       0        6        0        6        0
23140 liballegro-audio5.0                	       0        1        0        0        1
23141 liballegro-audio5.2                	       0       13        0        0       13
23142 liballegro-dialog5-dev             	       0        5        0        5        0
23143 liballegro-dialog5.0               	       0        1        0        0        1
23144 liballegro-dialog5.2               	       0        6        0        0        6
23145 liballegro-image5-dev              	       0        5        0        5        0
23146 liballegro-image5.0                	       0        1        0        0        1
23147 liballegro-image5.2                	       0       12        0        0       12
23148 liballegro-physfs5-dev             	       0        4        0        4        0
23149 liballegro-physfs5.0               	       0        1        0        0        1
23150 liballegro-physfs5.2               	       0        4        0        0        4
23151 liballegro-ttf5-dev                	       0        6        0        6        0
23152 liballegro-ttf5.0                  	       0        1        0        0        1
23153 liballegro-ttf5.2                  	       0       13        0        0       13
23154 liballegro-video5-dev              	       0        4        0        4        0
23155 liballegro-video5.2                	       0        5        0        0        5
23156 liballegro4-dev                    	       0       13        0       13        0
23157 liballegro4.2                      	       0        1        0        1        0
23158 liballegro4.4                      	       0       71        0        0       71
23159 liballegro4.4t64                   	       0        3        0        0        3
23160 liballegro5-dev                    	       0        7        0        7        0
23161 liballegro5.0                      	       0        1        0        0        1
23162 liballegro5.2                      	       0       14        0        0       14
23163 liballegro5.2-dbgsym               	       0        1        0        1        0
23164 liballelecount-perl                	       0        1        0        1        0
23165 libalogg1                          	       0        1        0        0        1
23166 libalpm13                          	       0        3        0        0        3
23167 libalpm13t64                       	       0        1        0        0        1
23168 libalsa-ocaml                      	       0        2        0        2        0
23169 libalsa-ocaml-dev                  	       0        2        0        2        0
23170 libalsaplayer-dev                  	       0       11        0       11        0
23171 libalsaplayer0                     	       0       29        0        0       29
23172 libalt-base-perl                   	       0        1        0        1        0
23173 libalt-perl                        	       0        1        0        1        0
23174 libalure-dev                       	       0        5        0        5        0
23175 libalure1                          	       0       40        0        0       40
23176 libalut-dev                        	       0       23        1       22        0
23177 libalut0                           	       0      103        0        0      103
23178 libalzabo-perl                     	       0        1        0        1        0
23179 libamarok-trinity                  	       0        6        0        1        5
23180 libamazon-s3-perl                  	       0        1        0        1        0
23181 libamazon-sqs-simple-perl          	       0        1        0        1        0
23182 libambix-utils                     	       0        5        0        5        0
23183 libambix0                          	       0        8        0        0        8
23184 libamd-comgr-dev                   	       0        5        0        5        0
23185 libamd-comgr2                      	       0       47        0        0       47
23186 libamd2                            	       0     2594        0       14     2580
23187 libamd2.2.0                        	       0        7        0        0        7
23188 libamd2.3.1                        	       0       26        0        0       26
23189 libamd3                            	       0      133        0        2      131
23190 libamdhip64-5                      	       0       46        0        0       46
23191 libamdhip64-doc                    	       0        1        0        0        1
23192 libament-index-cpp-dev             	       0        1        0        1        0
23193 libament-index-cpp0d               	       0        2        0        0        2
23194 libaml0                            	       0        5        0        0        5
23195 libaml0t64                         	       0        6        0        0        6
23196 libamrita2-ruby1.9.1               	       0        1        0        0        1
23197 libamrnb3                          	       0        1        0        0        1
23198 libamrwb3                          	       0        1        0        0        1
23199 libamtk-5-0                        	       0      354        1        8      345
23200 libamtk-5-common                   	       0      354        0        0      354
23201 libanalitza7                       	       0        2        0        0        2
23202 libanalitza8                       	       0       46        0        0       46
23203 libanalitza9                       	       0        5        0        0        5
23204 libanalitzagui7                    	       0        2        0        0        2
23205 libanalitzagui8                    	       0       46        0        0       46
23206 libanalitzagui9                    	       0        5        0        0        5
23207 libanalitzaplot7                   	       0        2        0        0        2
23208 libanalitzaplot8                   	       0       45        0        0       45
23209 libanalitzaplot9                   	       0        5        0        0        5
23210 libanalitzawidgets7                	       0        2        0        0        2
23211 libanalitzawidgets8                	       0       45        0        0       45
23212 libanalitzawidgets9                	       0        5        0        0        5
23213 libancient-dev                     	       0        2        0        0        2
23214 libancient2                        	       0       14        0        0       14
23215 libandroid-23-java                 	       0       13        0        0       13
23216 libandroid-databinding-java        	       0        2        0        0        2
23217 libandroid-ddms-java               	       0        5        0        0        5
23218 libandroid-json-java               	       0       43        0        0       43
23219 libandroid-json-org-java           	       0       11        0        0       11
23220 libandroid-json-org-java-doc       	       0        1        0        0        1
23221 libandroid-layoutlib-api-java      	       0        2        0        0        2
23222 libandroid-tools-analytics-library-java	       0        2        0        0        2
23223 libandroid-tools-annotations-java  	       0        5        0        0        5
23224 libandroid-tools-common-java       	       0        5        0        0        5
23225 libandroid-tools-dvlib-java        	       0        2        0        0        2
23226 libandroid-tools-repository-java   	       0        2        0        0        2
23227 libandroid-tools-sdklib-java       	       0        2        0        0        2
23228 libandroid-uiautomator-23-java     	       0        6        0        0        6
23229 libandroidsdk-swtmenubar-java      	       0        1        0        0        1
23230 libangelscript2.35.1t64            	       0       13        0        0       13
23231 libangles-dev                      	       0        1        0        1        0
23232 libangular-maven-plugin-java       	       0        1        0        0        1
23233 libanjuta-3-0                      	       0       15        0        0       15
23234 libann-dev                         	       0        2        0        2        0
23235 libann0                            	       0     1633        0        0     1633
23236 libansilove-dev                    	       0        2        0        2        0
23237 libansilove1                       	       0        3        0        0        3
23238 libanthy0                          	       0        1        0        0        1
23239 libanthy1                          	       0       63        0        8       55
23240 libanthy1t64                       	       0        4        0        0        4
23241 libanthyinput0                     	       0       62        0        0       62
23242 libanthyinput0t64                  	       0        3        0        0        3
23243 libantic-dev                       	       0        1        0        1        0
23244 libantic0                          	       0       10        0        0       10
23245 libantlr-dev                       	       0        2        0        2        0
23246 libantlr-java                      	       0      151        0        0      151
23247 libantlr-java-gcj                  	       0        1        0        1        0
23248 libantlr-maven-plugin-java         	       0        2        0        0        2
23249 libantlr3-gunit-java               	       0        1        0        0        1
23250 libantlr3-runtime-java             	       0       88        0        0       88
23251 libantlr3.2-gunit-java             	       0        1        0        0        1
23252 libantlr3c-3.2-0                   	       0        1        0        0        1
23253 libantlr3c-3.4-0                   	       0       36        2        2       32
23254 libantlr3c-dev                     	       0        1        0        1        0
23255 libantlr4-runtime-dev              	       0        2        0        2        0
23256 libantlr4-runtime-java             	       0       37        0        0       37
23257 libantlr4-runtime4.9               	       0        4        0        1        3
23258 libany-moose-perl                  	       0       15        0       15        0
23259 libany-template-processdir-perl    	       0        3        0        3        0
23260 libany-uri-escape-perl             	       0       77        0       77        0
23261 libanydata-perl                    	       0        2        0        2        0
23262 libanyevent-aggressiveidle-perl    	       0        2        0        2        0
23263 libanyevent-aio-perl               	       0        2        0        2        0
23264 libanyevent-cachedns-perl          	       0        3        0        3        0
23265 libanyevent-callback-perl          	       0        2        0        2        0
23266 libanyevent-connection-perl        	       0        1        0        1        0
23267 libanyevent-connector-perl         	       0        1        0        1        0
23268 libanyevent-dbd-pg-perl            	       0        1        0        1        0
23269 libanyevent-dbi-perl               	       0        5        1        4        0
23270 libanyevent-fcgi-perl              	       0        1        0        1        0
23271 libanyevent-feed-perl              	       0        2        0        2        0
23272 libanyevent-fork-perl              	       0        5        1        4        0
23273 libanyevent-forkmanager-perl       	       0        1        0        1        0
23274 libanyevent-forkobject-perl        	       0        2        0        2        0
23275 libanyevent-handle-udp-perl        	       0        2        0        2        0
23276 libanyevent-http-perl              	       0        9        0        9        0
23277 libanyevent-http-scopedclient-perl 	       0        1        0        1        0
23278 libanyevent-httpd-perl             	       0        1        0        1        0
23279 libanyevent-irc-perl               	       0        7        0        7        0
23280 libanyevent-memcached-perl         	       0        1        0        1        0
23281 libanyevent-perl                   	       0      172        0        0      172
23282 libanyevent-processor-perl         	       0        1        0        1        0
23283 libanyevent-rabbitmq-perl          	       0        2        0        2        0
23284 libanyevent-redis-perl             	       0        1        0        1        0
23285 libanyevent-serialize-perl         	       0        2        0        2        0
23286 libanyevent-termkey-perl           	       0        3        0        3        0
23287 libanyevent-tools-perl             	       0        2        0        2        0
23288 libanyevent-websocket-client-perl  	       0        1        0        1        0
23289 libanyevent-xmpp-perl              	       0        1        0        1        0
23290 libanyevent-xspromises-perl        	       0        1        0        0        1
23291 libanyevent-yubico-perl            	       0        2        0        2        0
23292 libao-common                       	       0     2881        0        0     2881
23293 libao-dev                          	       0       27        0       27        0
23294 libao-ocaml                        	       0        1        0        1        0
23295 libao-ocaml-dev                    	       0        1        0        1        0
23296 libao-pulse                        	       0        2        0        0        2
23297 libaoflagger0                      	       0        2        0        0        2
23298 libaopalliance-java                	       0      467        0        0      467
23299 libaopalliance-java-doc            	       0        1        0        0        1
23300 libaosd-text2                      	       0        5        0        0        5
23301 libaosd2                           	       0        5        0        0        5
23302 libapache-admin-config-perl        	       0        1        0        1        0
23303 libapache-asp-perl                 	       0        1        0        1        0
23304 libapache-authenhook-perl          	       0        1        0        0        1
23305 libapache-authznetldap-perl        	       0        1        0        1        0
23306 libapache-dbi-perl                 	       0        9        1        8        0
23307 libapache-dbilogger-perl           	       0        1        0        1        0
23308 libapache-gallery-perl             	       0        1        0        1        0
23309 libapache-htgroup-perl             	       0        1        0        1        0
23310 libapache-htpasswd-perl            	       0        1        0        1        0
23311 libapache-jena-java                	       0        2        0        0        2
23312 libapache-logformat-compiler-perl  	       0       18        1       17        0
23313 libapache-mime4j-java              	       0        4        0        0        4
23314 libapache-mod-jk-doc               	       0        1        0        0        1
23315 libapache-mod-security             	       0        1        0        0        1
23316 libapache-opennlp-java             	       0        1        0        0        1
23317 libapache-poi-java                 	       0      335        0        0      335
23318 libapache-poi-java-doc             	       0        1        0        0        1
23319 libapache-pom-java                 	       0     1190        0        0     1190
23320 libapache-session-browseable-perl  	       0        1        0        1        0
23321 libapache-session-ldap-perl        	       0        1        0        1        0
23322 libapache-session-memcached-perl   	       0        1        0        1        0
23323 libapache-session-mongodb-perl     	       0        1        0        1        0
23324 libapache-session-perl             	       0        3        0        3        0
23325 libapache-session-sqlite3-perl     	       0        1        0        1        0
23326 libapache-session-wrapper-perl     	       0        1        0        1        0
23327 libapache-sessionx-perl            	       0        1        0        1        0
23328 libapache-singleton-perl           	       0        1        0        1        0
23329 libapache-ssllookup-perl           	       0        1        0        0        1
23330 libapache2-authcassimple-perl      	       0        1        0        1        0
23331 libapache2-authcookie-perl         	       0        1        0        1        0
23332 libapache2-mod-apparmor            	       0        2        0        2        0
23333 libapache2-mod-apreq2              	       0        2        2        0        0
23334 libapache2-mod-auth-gssapi         	       0        8        0        8        0
23335 libapache2-mod-auth-pgsql          	       0        1        1        0        0
23336 libapache2-mod-auth-plain          	       0        3        1        2        0
23337 libapache2-mod-auth-radius         	       0        1        0        1        0
23338 libapache2-mod-authn-sasl          	       0        3        1        2        0
23339 libapache2-mod-authn-yubikey       	       0        1        0        1        0
23340 libapache2-mod-authnz-external     	       0        9        2        7        0
23341 libapache2-mod-authnz-pam          	       0        1        0        1        0
23342 libapache2-mod-authz-unixgroup     	       0        2        1        1        0
23343 libapache2-mod-bw                  	       0        1        0        1        0
23344 libapache2-mod-encoding            	       0        3        0        3        0
23345 libapache2-mod-evasive             	       0       15        3       12        0
23346 libapache2-mod-fastcgi             	       0        5        1        4        0
23347 libapache2-mod-fcgid               	       0       41       12       29        0
23348 libapache2-mod-form                	       0        1        0        1        0
23349 libapache2-mod-geoip               	       0        6        3        3        0
23350 libapache2-mod-gnutls              	       0        1        0        1        0
23351 libapache2-mod-jk                  	       0        3        2        1        0
23352 libapache2-mod-lisp                	       0        1        1        0        0
23353 libapache2-mod-log-sql             	       0        3        0        3        0
23354 libapache2-mod-log-sql-mysql       	       0        3        0        3        0
23355 libapache2-mod-log-sql-ssl         	       0        3        0        3        0
23356 libapache2-mod-mapcache            	       0        3        1        2        0
23357 libapache2-mod-mime-xattr          	       0        1        0        1        0
23358 libapache2-mod-mono                	       0        1        0        1        0
23359 libapache2-mod-passenger           	       0       15        2       13        0
23360 libapache2-mod-perl2               	       0       32        5       27        0
23361 libapache2-mod-perl2-dev           	       0        3        0        3        0
23362 libapache2-mod-perl2-doc           	       0        2        0        0        2
23363 libapache2-mod-php                 	       0      143        0        0      143
23364 libapache2-mod-php5                	       0       43        6       37        0
23365 libapache2-mod-php5.6              	       0        6        4        2        0
23366 libapache2-mod-php7.0              	       0       20        3       17        0
23367 libapache2-mod-php7.1              	       0        2        0        2        0
23368 libapache2-mod-php7.2              	       0        1        0        1        0
23369 libapache2-mod-php7.3              	       0       38        3       35        0
23370 libapache2-mod-php7.4              	       0       71       21       50        0
23371 libapache2-mod-php8.0              	       0        9        0        9        0
23372 libapache2-mod-php8.1              	       0       10        1        9        0
23373 libapache2-mod-php8.3              	       0        9        0        9        0
23374 libapache2-mod-php8.4              	       0        5        3        2        0
23375 libapache2-mod-proxy-uwsgi         	       0        6        1        0        5
23376 libapache2-mod-python              	       0       19        1       18        0
23377 libapache2-mod-python-doc          	       0        4        0        0        4
23378 libapache2-mod-rivet               	       0        1        1        0        0
23379 libapache2-mod-rivet-doc           	       0        1        0        0        1
23380 libapache2-mod-rpaf                	       0        4        0        4        0
23381 libapache2-mod-ruid2               	       0        1        1        0        0
23382 libapache2-mod-scgi                	       0        2        0        2        0
23383 libapache2-mod-security2           	       0       19        5       14        0
23384 libapache2-mod-svn                 	       0       11        1       10        0
23385 libapache2-mod-upload-progress     	       0        3        1        2        0
23386 libapache2-mod-uwsgi               	       0        7        1        6        0
23387 libapache2-mod-watchcat            	       0        1        0        1        0
23388 libapache2-mod-wsgi                	       0        7        1        6        0
23389 libapache2-mod-wsgi-py3            	       0       18        3       15        0
23390 libapache2-mod-xforward            	       0        1        0        1        0
23391 libapache2-mod-xsendfile           	       0        2        1        1        0
23392 libapache2-modsecurity             	       0        3        0        0        3
23393 libapache2-mpm-itk                 	       0       11        3        8        0
23394 libapache2-reload-perl             	       0       32        1       31        0
23395 libapache2-request-perl            	       0        1        0        0        1
23396 libapache2-sitecontrol-perl        	       0        1        0        1        0
23397 libapbs3                           	       0        6        0        0        6
23398 libapertium-lex-tools1             	       0        3        0        0        3
23399 libapertium3                       	       0        4        0        0        4
23400 libapertium3-3.5-1                 	       0        1        0        0        1
23401 libapertium3-3.7-1                 	       0        2        0        0        2
23402 libaperture-0-0                    	       0        2        0        0        2
23403 libapiguardian-java                	       0        7        0        0        7
23404 libapksig-java                     	       0       49        0        0       49
23405 libapm-dev                         	       0        1        0        1        0
23406 libapm1                            	       0       18        0        0       18
23407 libapol4                           	       0        4        0        0        4
23408 libapophenia2                      	       0        1        0        0        1
23409 libapp-cache-perl                  	       0        1        0        1        0
23410 libapp-cell-perl                   	       0        1        0        1        0
23411 libapp-cli-perl                    	       0        1        0        1        0
23412 libapp-cmd-perl                    	       0       29        1       28        0
23413 libapp-cmd-plugin-prompt-perl      	       0        2        0        2        0
23414 libapp-control-perl                	       0        1        0        1        0
23415 libapp-cpants-lint-perl            	       0        1        0        1        0
23416 libapp-daemon-perl                 	       0        2        0        2        0
23417 libapp-fatpacker-perl              	       0        3        0        3        0
23418 libapp-info-perl                   	       0        1        0        1        0
23419 libapp-nopaste-perl                	       0        3        0        3        0
23420 libapp-options-perl                	       0        1        0        1        0
23421 libapp-perlrdf-command-query-perl  	       0        1        0        1        0
23422 libapp-rad-perl                    	       0        1        0        1        0
23423 libapp-repl-perl                   	       0        1        0        1        0
23424 libapp-st-perl                     	       0        1        0        1        0
23425 libapp-termcast-perl               	       0        1        0        1        0
23426 libapparmor-dev                    	       0        7        1        6        0
23427 libapparmor-perl                   	       0       20        0        1       19
23428 libapparmor1-dbgsym                	       0        1        0        1        0
23429 libappconfig-std-perl              	       0        1        0        1        0
23430 libappimage0                       	       0        1        0        0        1
23431 libappimage1.0abi1                 	       0      588        0        0      588
23432 libappindicator-dev                	       0        2        0        2        0
23433 libappindicator1                   	       0       87        0        0       87
23434 libappindicator3-0.1-cil           	       0       11        0        0       11
23435 libappindicator3-0.1-cil-dev       	       0        2        0        2        0
23436 libappindicator3-1                 	       0       84        0        3       81
23437 libappmenu-gtk-parser-dev-common   	       0        4        0        4        0
23438 libappmenu-gtk2-parser-dev         	       0        1        0        0        1
23439 libappmenu-gtk2-parser0            	       0       61        0        6       55
23440 libappmenu-gtk3-parser-dev         	       0        2        0        0        2
23441 libappmenu-gtk3-parser0            	       0       65        8       19       38
23442 libappstream-compose0              	       0        6        0        0        6
23443 libappstream-dev                   	       0        4        0        4        0
23444 libappstream1                      	       0        3        0        0        3
23445 libappstreamqt-dev                 	       0        5        0        5        0
23446 libappstreamqt5-3                  	       0       24        0       10       14
23447 libappstreamqt5-dev                	       0        1        0        1        0
23448 libapr1-dbg                        	       0        1        0        1        0
23449 libapr1-dev                        	       0       47        1       46        0
23450 libapr1t64                         	       0       82       10       24       48
23451 libapreq2-3                        	       0        1        1        0        0
23452 libapreq2-3t64                     	       0        1        0        0        1
23453 libapriltag3                       	       0        1        0        0        1
23454 libaprutil1-dbd-mysql              	       0       12        0        0       12
23455 libaprutil1-dbd-odbc               	       0        3        0        0        3
23456 libaprutil1-dbd-pgsql              	       0        5        0        0        5
23457 libaprutil1-dbd-sqlite3            	       0     1166        0        1     1165
23458 libaprutil1-dbg                    	       0        1        0        1        0
23459 libaprutil1-dev                    	       0       40        0       40        0
23460 libaprutil1-ldap                   	       0     1167        3        2     1162
23461 libaprutil1t64                     	       0       81       10       24       47
23462 libapt-inst1.5                     	       0      255        0        0      255
23463 libapt-inst2.0                     	       0      940        0        0      940
23464 libapt-pkg-doc                     	       0        4        0        0        4
23465 libapt-pkg-perl                    	       0     1141        0        0     1141
23466 libapt-pkg4.12                     	       0      270        0        0      270
23467 libapt-pkg5.0                      	       0      946        1        0      945
23468 libapt-pkg6.0-dbgsym               	       0        1        0        1        0
23469 libapulse1                         	       0        1        0        1        0
23470 libaqbanking-data                  	       0      109        1        2      106
23471 libaqbanking-dev                   	       0        3        1        2        0
23472 libaqbanking-doc                   	       0        2        0        0        2
23473 libaqbanking34                     	       0        3        0        0        3
23474 libaqbanking34-plugins             	       0        1        0        1        0
23475 libaqbanking35                     	       0       14        0        0       14
23476 libaqbanking35-plugins             	       0       13        0        5        8
23477 libaqbanking44                     	       0      100        1        5       94
23478 libaqebics0                        	       0       13        0        0       13
23479 libaqhbci20                        	       0        1        0        0        1
23480 libaqhbci22                        	       0        3        0        0        3
23481 libaqhbci23                        	       0        5        0        0        5
23482 libaqhbci24                        	       0        8        0        0        8
23483 libaqofxconnect7                   	       0       13        0        0       13
23484 libarb                             	       0        2        0        2        0
23485 libarchive-any-create-perl         	       0        1        0        1        0
23486 libarchive-any-lite-perl           	       0        1        0        1        0
23487 libarchive-any-perl                	       0        2        0        2        0
23488 libarchive-ar-perl                 	       0        1        0        1        0
23489 libarchive-peek-perl               	       0        2        0        2        0
23490 libarchive-tar-wrapper-perl        	       0        1        0        1        0
23491 libarchive1                        	       0        2        0        0        2
23492 libarchive12                       	       0        3        0        1        2
23493 libarcus3                          	       0       25        0        0       25
23494 libarcus5                          	       0        2        0        0        2
23495 libares0                           	       0        1        0        0        1
23496 libargon2-0                        	       0        6        0        0        6
23497 libargon2-dev                      	       0       15        0       15        0
23498 libargs4j-java                     	       0      343        0        0      343
23499 libargtable2-0                     	       0       24        0        0       24
23500 libargtable2-dev                   	       0        4        0        4        0
23501 libargtable2-docs                  	       0        4        0        0        4
23502 libaribb24-0                       	       0     1484       26      108     1350
23503 libaribb24-0t64                    	       0      141        5       13      123
23504 libaribb24-dev                     	       0       14        1       13        0
23505 libaribb25-0                       	       0       64        0        0       64
23506 libarkrpg0c2a                      	       0        1        0        1        0
23507 libarmadillo-dev                   	       0       40        0        0       40
23508 libarmadillo10                     	       0      137        0        2      135
23509 libarmadillo11                     	       0      464        3        7      454
23510 libarmadillo12                     	       0       16        0        1       15
23511 libarmadillo14                     	       0       55        0        0       55
23512 libarmadillo3                      	       0        2        0        0        2
23513 libarmadillo4                      	       0        3        0        0        3
23514 libarmadillo7                      	       0       13        0        0       13
23515 libarmadillo9                      	       0       55        0        0       55
23516 libarpack++2-dev                   	       0        2        0        2        0
23517 libarpack++2c2a                    	       0        2        0        0        2
23518 libarpack2                         	       0      662        3       10      649
23519 libarpack2t64                      	       0       61        0        0       61
23520 libarray-base-perl                 	       0        1        0        0        1
23521 libarray-compare-perl              	       0        6        0        6        0
23522 libarray-diff-perl                 	       0        2        0        2        0
23523 libarray-group-perl                	       0        2        0        2        0
23524 libarray-printcols-perl            	       0        2        0        2        0
23525 libarray-refelem-perl              	       0        1        0        0        1
23526 libarray-unique-perl               	       0       17        0       17        0
23527 libarray-utils-perl                	       0       10        0       10        0
23528 libarrayfire-cpu3                  	       0        1        0        0        1
23529 libarrayfire-dev                   	       0        2        0        2        0
23530 libarrayfire-doc                   	       0        1        0        1        0
23531 libarrayfire-opencl-dev            	       0        1        0        0        1
23532 libarrayfire-opencl3               	       0        1        0        0        1
23533 libarrayfire-unified-dev           	       0        1        0        0        1
23534 libarrayfire-unified3              	       0        1        0        0        1
23535 libart-2.0-dev                     	       0       17        0       17        0
23536 libart2                            	       0        1        0        0        1
23537 libart2.0-cil                      	       0        6        0        0        6
23538 libart2.0-cil-dev                  	       0        1        0        1        0
23539 libarts1-trinity-dev               	       0        1        0        1        0
23540 libarts1c2a                        	       0        2        0        2        0
23541 libartsc0                          	       0        5        0        0        5
23542 libartsc0-dev                      	       0        2        0        2        0
23543 libartsc0-trinity                  	       0       40        0        0       40
23544 libartsc0-trinity-dev              	       0        1        0        1        0
23545 libasa-perl                        	       0        6        0        6        0
23546 libasan0                           	       0       64        0        0       64
23547 libasan0-dbg                       	       0        1        0        0        1
23548 libasan1                           	       0      145        0        0      145
23549 libasan1-dbg                       	       0        2        0        0        2
23550 libasan2                           	       0        7        0        0        7
23551 libasan3                           	       0      380        0        0      380
23552 libasan3-arm64-cross               	       0        2        0        0        2
23553 libasan3-armhf-cross               	       0        1        0        0        1
23554 libasan3-dbg                       	       0        1        0        1        0
23555 libasan4                           	       0        9        0        0        9
23556 libasan5                           	       0      238        0        0      238
23557 libasan5-arm64-cross               	       0        8        0        0        8
23558 libasan5-armel-cross               	       0        4        0        0        4
23559 libasan5-armhf-cross               	       0        6        0        0        6
23560 libasan5-i386-cross                	       0        4        0        0        4
23561 libasan5-x32-cross                 	       0        2        0        0        2
23562 libasan6                           	       0     1044        0        0     1044
23563 libasan6-arm64-cross               	       0       10        0        0       10
23564 libasan6-armel-cross               	       0        8        0        0        8
23565 libasan6-armhf-cross               	       0       10        0        0       10
23566 libasan6-i386-cross                	       0        4        0        0        4
23567 libasan6-powerpc-cross             	       0        1        0        0        1
23568 libasan6-ppc64-cross               	       0        2        0        0        2
23569 libasan6-s390x-cross               	       0        1        0        0        1
23570 libasan6-sparc64-cross             	       0        1        0        0        1
23571 libasan8                           	       0     1944        0        0     1944
23572 libasan8-arm64-cross               	       0       25        0        0       25
23573 libasan8-armel-cross               	       0       14        0        0       14
23574 libasan8-armhf-cross               	       0       18        0        0       18
23575 libasan8-i386-cross                	       0        6        0        0        6
23576 libasan8-powerpc-cross             	       0        4        0        0        4
23577 libasan8-ppc64-cross               	       0        4        0        0        4
23578 libasan8-ppc64el-cross             	       0        1        0        0        1
23579 libasan8-riscv64-cross             	       0        8        0        0        8
23580 libasan8-s390x-cross               	       0        3        0        0        3
23581 libasan8-sparc64-cross             	       0        2        0        0        2
23582 libasan8-x32-cross                 	       0        4        0        0        4
23583 libasedrive-serial                 	       0        1        0        0        1
23584 libasio-dev                        	       0       24        0        0       24
23585 libasio-doc                        	       0        2        0        0        2
23586 libasis2019.1                      	       0        2        0        0        2
23587 libask                             	       0        1        0        1        0
23588 libasm-java                        	       0      378        0        0      378
23589 libasm0                            	       0        1        0        0        1
23590 libasm1                            	       0       92        0        0       92
23591 libasm1t64                         	       0       12        0        0       12
23592 libasm3-java                       	       0       10        0        0       10
23593 libasm4-java                       	       0       10        0        0       10
23594 libasmtools-java                   	       0        1        0        0        1
23595 libasn1-8-heimdal                  	       0       80        4        6       70
23596 libasn1-8t64-heimdal               	       0        2        0        0        2
23597 libasound2-data                    	       0     3733        0        0     3733
23598 libasound2-doc                     	       0       18        0        0       18
23599 libasound2-plugin-bluez            	       0       57        0        0       57
23600 libasound2-plugin-equal            	       0       28        0        0       28
23601 libasound2-plugin-smixer           	       0       11        0        0       11
23602 libasound2-plugins-dbgsym          	       0        1        0        1        0
23603 libaspect-perl                     	       0        1        0        1        0
23604 libaspect0                         	       0        1        0        0        1
23605 libaspectj-java                    	       0       13        0        0       13
23606 libaspectj-maven-plugin-java       	       0        1        0        0        1
23607 libaspell-dev                      	       0       26        1       25        0
23608 libasprintf0c2                     	       0       77        0        0       77
23609 libasprintf0v5                     	       0      101        0        0      101
23610 libasr-dev                         	       0        1        0        1        0
23611 libasr0                            	       0        2        0        0        2
23612 libass-dev                         	       0       48        1       47        0
23613 libass4                            	       0       17        0        0       17
23614 libass5                            	       0      144        0        1      143
23615 libassa-3.5-5v5                    	       0        2        0        0        2
23616 libassimp-dev                      	       0        8        0        8        0
23617 libassimp3v5                       	       0        1        0        0        1
23618 libassimp4                         	       0        4        0        0        4
23619 libassimp5                         	       0       77        0        0       77
23620 libassuan-mingw-w64-dev            	       0        1        0        1        0
23621 libast2                            	       0       30        0        0       30
23622 libast2-dev                        	       0        1        0        1        0
23623 libast2t64                         	       0        2        0        0        2
23624 libastcenc-dev                     	       0        1        0        1        0
23625 libastcenc3d                       	       0        1        0        0        1
23626 libasterisk-agi-perl               	       0        1        0        1        0
23627 libastring-ocaml                   	       0        1        0        1        0
23628 libastring-ocaml-dev               	       0        1        0        1        0
23629 libastro-fits-cfitsio-perl         	       0        4        0        0        4
23630 libastro-fits-header-perl          	       0        2        0        2        0
23631 libastro-perl                      	       0        1        0        1        0
23632 libastro1                          	       0      142        0        3      139
23633 libastrometry-dev                  	       0        2        0        2        0
23634 libastrometry0                     	       0       23        0        0       23
23635 libastrometry0t64                  	       0        6        0        0        6
23636 libastyle-dev                      	       0        1        0        1        0
23637 libastyle3                         	       0      100        0        3       97
23638 libastylej-jni                     	       0       85        1        1       83
23639 libasync-http-client-java          	       0        3        0        0        3
23640 libasync-interrupt-perl            	       0      169        0        0      169
23641 libasyncaudio1.6                   	       0        2        0        0        2
23642 libasyncaudio1.6t64                	       0        2        0        0        2
23643 libasynccore1.6                    	       0        2        0        0        2
23644 libasynccore1.6t64                 	       0        2        0        0        2
23645 libasynccpp1.6t64                  	       0        2        0        0        2
23646 libasyncns-dev                     	       0        4        1        3        0
23647 libasyncqt1.6                      	       0        2        0        0        2
23648 libasyncqt1.6t64                   	       0        2        0        0        2
23649 libatasmart-bin                    	       0        5        1        4        0
23650 libatasmart-dev                    	       0        3        0        3        0
23651 libatf-c++-2                       	       0        1        0        0        1
23652 libatf-c-1                         	       0        1        0        0        1
23653 libatf-dev                         	       0        1        0        1        0
23654 libatfs1                           	       0        3        0        3        0
23655 libatinject-jsr330-api-java        	       0      536        0        0      536
23656 libatinject-jsr330-api-java-doc    	       0        5        0        0        5
23657 libation                           	       0        1        0        1        0
23658 libatk-adaptor-data                	       0        4        0        0        4
23659 libatk-wrapper-java                	       0     1476        1        6     1469
23660 libatk-wrapper-java-jni            	       0     1475        0        0     1475
23661 libatk1-ruby                       	       0        1        0        0        1
23662 libatk1-ruby1.8                    	       0        2        0        1        1
23663 libatk1.0-doc                      	       0       73        0        0       73
23664 libatk3.0-cil                      	       0       60        0        0       60
23665 libatkmm-1.6-1                     	       0       10        0        1        9
23666 libatkmm-1.6-dev                   	       0       48        1       47        0
23667 libatkmm-1.6-doc                   	       0        3        0        0        3
23668 libatlas-base-dev                  	       0        9        0        9        0
23669 libatlas-cpp-0.6-1                 	       0        1        0        0        1
23670 libatlas-dev                       	       0        1        0        1        0
23671 libatlas-ecmwf-0                   	       0        1        0        0        1
23672 libatlas-test                      	       0        1        0        0        1
23673 libatlas3-base                     	       0      160        2       14      144
23674 libatlas3gf-base                   	       0        2        0        0        2
23675 libatm1                            	       0      134        0        0      134
23676 libatm1-dev                        	       0        3        0        3        0
23677 libatm1t64                         	       0        2        0        1        1
23678 libatombus-perl                    	       0        1        0        1        0
23679 libatomic-ops-dev                  	       0       28        0       28        0
23680 libatomic1-alpha-cross             	       0        3        0        0        3
23681 libatomic1-arm64-cross             	       0       38        0        0       38
23682 libatomic1-armel-cross             	       0       23        0        0       23
23683 libatomic1-armhf-cross             	       0       29        0        0       29
23684 libatomic1-hppa-cross              	       0        3        0        0        3
23685 libatomic1-i386-cross              	       0       13        0        0       13
23686 libatomic1-m68k-cross              	       0        1        0        0        1
23687 libatomic1-mips-cross              	       0        5        0        0        5
23688 libatomic1-mips64-cross            	       0        1        0        0        1
23689 libatomic1-mips64el-cross          	       0        1        0        0        1
23690 libatomic1-mips64r6el-cross        	       0        1        0        0        1
23691 libatomic1-mipsel-cross            	       0        5        0        0        5
23692 libatomic1-powerpc-cross           	       0        5        0        0        5
23693 libatomic1-ppc64-cross             	       0        6        0        0        6
23694 libatomic1-ppc64el-cross           	       0        1        0        0        1
23695 libatomic1-riscv64-cross           	       0       10        0        0       10
23696 libatomic1-s390x-cross             	       0        4        0        0        4
23697 libatomic1-sparc64-cross           	       0        3        0        0        3
23698 libatomic1-x32-cross               	       0        6        0        0        6
23699 libatomicparsley-dev               	       0        1        0        0        1
23700 libatomicparsley0                  	       0       11        0        0       11
23701 libatompub-perl                    	       0        1        0        1        0
23702 libatopology2                      	       0     2835        0        0     2835
23703 libatopology2t64                   	       0      224        0        0      224
23704 libatrilview3                      	       0     1909        5       24     1880
23705 libatrilview3t64                   	       0       84        1        1       82
23706 libatspi1.0-0                      	       0        3        0        0        3
23707 libattean-perl                     	       0        1        0        1        0
23708 libatteanx-compatibility-trine-perl	       0        1        0        1        0
23709 libatteanx-endpoint-perl           	       0        1        0        1        0
23710 libatteanx-parser-jsonld-perl      	       0        1        0        1        0
23711 libatteanx-store-ldf-perl          	       0        1        0        1        0
23712 libatteanx-store-sparql-perl       	       0        1        0        1        0
23713 libattica0.4                       	       0       48        1        1       46
23714 libattr1                           	       0     4164        4        7     4153
23715 libattribute-storage-perl          	       0        1        0        0        1
23716 libaubio-dev                       	       0       11        0       11        0
23717 libaubio-doc                       	       0        1        0        0        1
23718 libaubio5                          	       0       97        0        0       97
23719 libaudclient-dev                   	       0        2        0        2        0
23720 libaudclient2                      	       0       62        7        6       49
23721 libaudcore1                        	       0        2        0        0        2
23722 libaudcore2                        	       0        4        0        0        4
23723 libaudcore3                        	       0        9        0        0        9
23724 libaudcore5                        	       0      517        6       15      496
23725 libaudcore5t64                     	       0       30        0        0       30
23726 libaudgui3                         	       0        9        0        0        9
23727 libaudgui5                         	       0      516        6       14      496
23728 libaudgui5t64                      	       0       10        0        0       10
23729 libaudgui6                         	       0       21        0        0       21
23730 libaudio-cd-perl                   	       0       12        0        0       12
23731 libaudio-dev                       	       0       16        0       16        0
23732 libaudio-ecasound-perl             	       0        1        0        0        1
23733 libaudio-file-perl                 	       0        4        0        4        0
23734 libaudio-flac-decoder-perl         	       0        3        0        0        3
23735 libaudio-flac-header-perl          	       0       21        0        0       21
23736 libaudio-mixer-perl                	       0        2        0        0        2
23737 libaudio-moosic-perl               	       0        1        0        1        0
23738 libaudio-mpd-common-perl           	       0        5        0        5        0
23739 libaudio-mpd-perl                  	       0        5        0        5        0
23740 libaudio-musepack-perl             	       0        3        0        3        0
23741 libaudio-rpld-perl                 	       0        2        0        2        0
23742 libaudio-scan-perl                 	       0       16        0        0       16
23743 libaudio-wav-perl                  	       0        3        0        3        0
23744 libaudio-wma-perl                  	       0        2        0        2        0
23745 libaudiofile-dev                   	       0       20        0       20        0
23746 libaudiofile0                      	       0        9        0        0        9
23747 libaudiomask1                      	       0        1        0        0        1
23748 libaudit-common                    	       0     4164        0        0     4164
23749 libaudqt0                          	       0        8        0        0        8
23750 libaudqt2                          	       0      496        0        3      493
23751 libaudqt2t64                       	       0       10        0        0       10
23752 libaudqt3                          	       0       21        0        0       21
23753 libaudtag2                         	       0        9        0        0        9
23754 libaudtag3                         	       0      516        5       12      499
23755 libaudtag3t64                      	       0       30        0        0       30
23756 libaugeas-dev                      	       0        3        0        3        0
23757 libaugeas-ruby1.9.1                	       0        1        0        0        1
23758 libaugeas0                         	       0      396       14       53      329
23759 libauparse-dev                     	       0        1        0        1        0
23760 libauparse0                        	       0       42        9       16       17
23761 libauparse0t64                     	       0       19        1        3       15
23762 libauth-googleauth-perl            	       0        3        1        2        0
23763 libauth-yubikey-webclient-perl     	       0        1        0        1        0
23764 libauthen-cas-client-perl          	       0        4        1        3        0
23765 libauthen-dechpwd-perl             	       0        3        0        0        3
23766 libauthen-htpasswd-perl            	       0        1        0        1        0
23767 libauthen-libwrap-perl             	       0        5        0        0        5
23768 libauthen-ntlm-perl                	       0       20        0       20        0
23769 libauthen-pam-perl                 	       0       71       11       37       23
23770 libauthen-passphrase-perl          	       0        3        0        3        0
23771 libauthen-radius-perl              	       0        3        0        3        0
23772 libauthen-sasl-cyrus-perl          	       0        1        0        0        1
23773 libauthen-sasl-saslprep-perl       	       0        5        0        5        0
23774 libauthen-scram-perl               	       0        3        0        3        0
23775 libauthen-simple-pam-perl          	       0        2        0        2        0
23776 libauthen-simple-perl              	       0        3        0        3        0
23777 libauthen-simple-smb-perl          	       0        1        0        1        0
23778 libauthen-smb-perl                 	       0        1        0        0        1
23779 libauthen-u2f-perl                 	       0        1        0        1        0
23780 libauthen-u2f-tester-perl          	       0        1        0        1        0
23781 libautobox-core-perl               	       0        2        0        2        0
23782 libautobox-perl                    	       0        3        0        0        3
23783 libautobox-transform-perl          	       0        1        0        1        0
23784 libautocomplete-java               	       0        7        0        0        7
23785 libautomaton-java                  	       0        2        0        0        2
23786 libautotrace3                      	       0        3        0        0        3
23787 libautovivification-perl           	       0      117        0        0      117
23788 libavahi-client-dev                	       0       73        3       70        0
23789 libavahi-common-data               	       0     3972        0        1     3971
23790 libavahi-common-dev                	       0       77        3       74        0
23791 libavahi-compat-libdnssd-dev       	       0       19        0       19        0
23792 libavahi-compat-libdnssd1          	       0      143       10       21      112
23793 libavahi-core-dev                  	       0        8        0        8        0
23794 libavahi-core5                     	       0        1        0        0        1
23795 libavahi-core6                     	       0        1        0        0        1
23796 libavahi-glib-dev                  	       0       14        1       13        0
23797 libavahi-gobject0                  	       0      376        0        0      376
23798 libavahi-qt3-1                     	       0        2        0        0        2
23799 libavahi-tqt-dev                   	       0        1        0        1        0
23800 libavahi-ui-gtk3-0                 	       0      544       11       23      510
23801 libavahi-ui-gtk3-dev               	       0        6        0        6        0
23802 libavahi-ui0                       	       0        3        0        0        3
23803 libavalon-framework-java           	       0      110        0        0      110
23804 libavalon-framework-java-doc       	       0        8        0        0        8
23805 libavc1394-dev                     	       0       21        1       20        0
23806 libavc1394-tools                   	       0        8        0        8        0
23807 libavcall1                         	       0        2        0        0        2
23808 libavcodec-5-dev                   	       0        1        0        1        0
23809 libavcodec-extra                   	       0       86        0        0       86
23810 libavcodec-extra-55                	       0        1        0        0        1
23811 libavcodec-extra-56                	       0        3        0        0        3
23812 libavcodec-extra57                 	       0       14        0        0       14
23813 libavcodec-extra58                 	       0       32        1        9       22
23814 libavcodec-extra58-dbgsym          	       0        1        0        1        0
23815 libavcodec-extra59                 	       0       72       13       48       11
23816 libavcodec-extra60                 	       0       18        1        2       15
23817 libavcodec-extra61                 	       0        6        1        4        1
23818 libavcodec-ffmpeg56                	       0        1        0        0        1
23819 libavcodec0d                       	       0        3        0        0        3
23820 libavcodec52                       	       0        7        0        2        5
23821 libavcodec53                       	       0       18        0        0       18
23822 libavcodec54                       	       0        9        0        0        9
23823 libavcodec55                       	       0        5        0        0        5
23824 libavcodec56                       	       0       80        0        2       78
23825 libavcodec57                       	       0      332        0        2      330
23826 libavcodec58-dbgsym                	       0        1        0        1        0
23827 libavcodec60                       	       0      150       13       46       91
23828 libavcodec61-dbgsym                	       0        1        0        1        0
23829 libavdevice52                      	       0        2        0        0        2
23830 libavdevice53                      	       0        7        0        0        7
23831 libavdevice54                      	       0        5        0        0        5
23832 libavdevice55                      	       0       11        0        0       11
23833 libavdevice56                      	       0        4        0        0        4
23834 libavdevice57                      	       0       59        0        0       59
23835 libavdevice58                      	       0      415        4       16      395
23836 libavdevice58-dbgsym               	       0        1        0        1        0
23837 libavdevice60                      	       0       66        1        2       63
23838 libavdevice61                      	       0      129        4        7      118
23839 libavdevice61-dbgsym               	       0        1        0        1        0
23840 libavfilter-extra                  	       0        6        0        0        6
23841 libavfilter-extra10                	       0        1        0        0        1
23842 libavfilter-extra6                 	       0        2        0        0        2
23843 libavfilter-extra7                 	       0        5        0        0        5
23844 libavfilter-extra7-dbgsym          	       0        1        0        1        0
23845 libavfilter-extra8                 	       0        7        0        1        6
23846 libavfilter-extra9                 	       0        1        0        0        1
23847 libavfilter0                       	       0        1        0        0        1
23848 libavfilter1                       	       0        1        0        0        1
23849 libavfilter10                      	       0      200       12       28      160
23850 libavfilter10-dbgsym               	       0        1        0        1        0
23851 libavfilter2                       	       0        4        0        0        4
23852 libavfilter3                       	       0        7        0        0        7
23853 libavfilter5                       	       0       11        0        0       11
23854 libavfilter6                       	       0       95        0        0       95
23855 libavfilter7                       	       0      734        9       27      698
23856 libavfilter7-dbgsym                	       0        1        0        1        0
23857 libavfilter9                       	       0       83        3        8       72
23858 libavformat-extra59                	       0        3        0        0        3
23859 libavformat-extra60                	       0        1        0        0        1
23860 libavformat-extra61                	       0        3        0        0        3
23861 libavformat0d                      	       0        2        0        0        2
23862 libavformat52                      	       0        7        0        2        5
23863 libavformat53                      	       0       16        0        0       16
23864 libavformat54                      	       0        7        0        0        7
23865 libavformat55                      	       0        2        0        0        2
23866 libavformat56                      	       0       38        0        1       37
23867 libavformat57                      	       0      144        0        0      144
23868 libavformat58-dbgsym               	       0        1        0        1        0
23869 libavformat60                      	       0      106        3       13       90
23870 libavformat61                      	       0      213       12       31      170
23871 libavformat61-dbgsym               	       0        1        0        1        0
23872 libavidemux0                       	       0        1        0        0        1
23873 libavif-bin                        	       0       12        0       12        0
23874 libavif-gdk-pixbuf                 	       0       40        0        0       40
23875 libavif13                          	       0        1        0        0        1
23876 libavif7                           	       0        1        0        0        1
23877 libavif7-gdk-pixbuf                	       0        1        0        0        1
23878 libavifile-0.7-bin                 	       0        2        0        2        0
23879 libavifile-0.7-common              	       0       21        0        0       21
23880 libavifile-0.7-dev                 	       0        2        0        2        0
23881 libavifile-0.7c2                   	       0       19        0        0       19
23882 libavkys7                          	       0        2        0        0        2
23883 libavkys8                          	       0        8        0        0        8
23884 libavkys9                          	       0       43        0        0       43
23885 libavl-dev                         	       0        1        0        1        0
23886 libavl1                            	       0        1        0        0        1
23887 libavogadro-data                   	       0       38        0        0       38
23888 libavogadro1                       	       0        1        0        1        0
23889 libavogadro2-1                     	       0       45        0        0       45
23890 libavogadro2-1t64                  	       0        8        0        0        8
23891 libavresample-ffmpeg2              	       0        5        0        0        5
23892 libavresample1                     	       0        6        0        0        6
23893 libavresample2                     	       0       80        0        2       78
23894 libavresample3                     	       0      136        0        0      136
23895 libavresample4                     	       0      667        1        6      660
23896 libavresample4-dbgsym              	       0        1        0        1        0
23897 libavro-compiler-java              	       0        1        0        0        1
23898 libavro-java                       	       0        1        0        0        1
23899 libavro-maven-plugin-java          	       0        1        0        0        1
23900 libavtp0                           	       0      208        0        0      208
23901 libavutil-5-dev                    	       0        1        0        1        0
23902 libavutil-ffmpeg54                 	       0        6        0        0        6
23903 libavutil49                        	       0        7        0        2        5
23904 libavutil50                        	       0        6        0        3        3
23905 libavutil51                        	       0       25        0        0       25
23906 libavutil52                        	       0        6        0        0        6
23907 libavutil53                        	       0        9        0        0        9
23908 libavutil54                        	       0       88        0        2       86
23909 libavutil55                        	       0      348        0        2      346
23910 libavutil56-dbgsym                 	       0        1        0        1        0
23911 libavutil58                        	       0      188       14       49      125
23912 libavutil59-dbgsym                 	       0        1        0        1        0
23913 libawl-php                         	       0        2        0        2        0
23914 libaws-bin                         	       0        1        0        1        0
23915 libaws-signature4-perl             	       0        1        0        1        0
23916 libaws20-dev                       	       0        1        0        1        0
23917 libaws6                            	       0        1        0        0        1
23918 libax25                            	       0       16        0        0       16
23919 libax25-dev                        	       0        4        0        4        0
23920 libaxc0                            	       0        8        2        1        5
23921 libaxis-java                       	       0        2        0        0        2
23922 libaxmlrpc-java                    	       0        3        0        0        3
23923 libayatana-appindicator-dev        	       0        2        0        2        0
23924 libayatana-appindicator3-dev       	       0       11        1       10        0
23925 libayatana-common0                 	       0       10        2        3        5
23926 libayatana-ido3-dev                	       0        9        0        9        0
23927 libayatana-indicator-dev           	       0        2        0        2        0
23928 libayatana-indicator3-dev          	       0       12        1       11        0
23929 libayatana-indicator3-tools        	       0        2        0        0        2
23930 libb-debug-perl                    	       0        3        0        3        0
23931 libb-hooks-op-check-perl           	       0     1230        0        0     1230
23932 libb-hooks-op-ppaddr-perl          	       0        1        0        0        1
23933 libb-lint-perl                     	       0        1        0        1        0
23934 libb-perlreq-perl                  	       0        1        0        0        1
23935 libb-utils-perl                    	       0        4        0        0        4
23936 libb2-dev                          	       0        2        0        2        0
23937 libb64-0d                          	       0       81        2        7       72
23938 libb64-dev                         	       0        6        0        6        0
23939 libbabeltrace-ctf-dev              	       0        2        0        0        2
23940 libbabeltrace-ctf1                 	       0       37        0        0       37
23941 libbabeltrace1                     	       0      699        1        2      696
23942 libbabeltrace2-0                   	       0        1        0        0        1
23943 libbabeltrace2-python-plugin-provider	       0        1        0        0        1
23944 libbabl-0.0-0                      	       0        5        0        5        0
23945 libbabl-0.0-0-dev                  	       0        1        0        1        0
23946 libbabl-0.0-doc                    	       0        1        0        0        1
23947 libbabl-0.1-0                      	       0     2717        1       16     2700
23948 libbabl-dev                        	       0       23        0       23        0
23949 libbackport-util-concurrent-java   	       0        5        0        0        5
23950 libbackport9-java                  	       0        3        0        0        3
23951 libbacktrace-dev                   	       0        1        0        1        0
23952 libbacktrace0                      	       0        1        0        0        1
23953 libball1.5                         	       0        1        0        0        1
23954 libball1.5-data                    	       0        1        0        0        1
23955 libballview1.5                     	       0        1        0        0        1
23956 libbaloocore4                      	       0        5        0        0        5
23957 libbaloofiles4                     	       0        5        0        0        5
23958 libbaloopim4                       	       0        4        0        1        3
23959 libbalooqueryparser4               	       0        5        0        0        5
23960 libbaloowidgets4                   	       0        5        0        0        5
23961 libbalooxapian4                    	       0        5        0        0        5
23962 libbambamc0                        	       0        2        0        0        2
23963 libbamf3-2t64                      	       0       27        0        7       20
23964 libbamf3-dev                       	       0        1        0        1        0
23965 libbamtools2.4.0                   	       0        1        0        0        1
23966 libbarclay-java                    	       0        5        0        0        5
23967 libbarcode-code128-perl            	       0        3        0        3        0
23968 libbarcode-zbar-perl               	       0        3        0        0        3
23969 libbaresip                         	       0        1        0        0        1
23970 libbareword-filehandles-perl       	       0       24        0        0       24
23971 libbase-java                       	       0      743        0        0      743
23972 libbase-java-openoffice.org        	       0        1        0        0        1
23973 libbase1                           	       0        2        0        0        2
23974 libbase58-0                        	       0        1        0        0        1
23975 libbaseencode1                     	       0       13        0        0       13
23976 libbash                            	       0        1        0        1        0
23977 libbash-doc                        	       0        2        0        0        2
23978 libbasicobjects0                   	       0       13        0        7        6
23979 libbasicplayer-java                	       0        2        0        0        2
23980 libbasicusageenvironment0          	       0       25        0        1       24
23981 libbasicusageenvironment1          	       0      197        0        0      197
23982 libbasicusageenvironment2          	       0       39        0        1       38
23983 libbasix-dev                       	       0        1        0        1        0
23984 libbasix0.5                        	       0        1        0        0        1
23985 libbatteries-ocaml-dev             	       0        1        0        1        0
23986 libbatteries-ocaml-doc             	       0        1        0        0        1
23987 libbatterycontrol6                 	       0       25        7       14        4
23988 libbcel-java                       	       0       89        0        0       89
23989 libbcel-java-doc                   	       0        7        0        0        7
23990 libbcg729-0                        	       0      338        2        0      336
23991 libbcg729-dev                      	       0        2        0        2        0
23992 libbcmail-java                     	       0      803        0        0      803
23993 libbcmail-java-doc                 	       0        1        0        0        1
23994 libbcmail-java-gcj                 	       0        1        0        1        0
23995 libbcmatroska2-5                   	       0       27        1        0       26
23996 libbcpg-java                       	       0      132        0        0      132
23997 libbcpg-java-doc                   	       0        2        0        0        2
23998 libbcpkix-java                     	       0      816        0        0      816
23999 libbcpkix-java-doc                 	       0        2        0        0        2
24000 libbcprov-java                     	       0      994        0        0      994
24001 libbcprov-java-doc                 	       0        2        0        0        2
24002 libbcprov-java-gcj                 	       0        1        0        1        0
24003 libbctls-java                      	       0        3        0        0        3
24004 libbctoolbox-dev                   	       0        5        0        5        0
24005 libbctoolbox1                      	       0       57        1        2       54
24006 libbctoolbox1t64                   	       0        3        0        0        3
24007 libbctsp-java                      	       0        5        0        0        5
24008 libbcutil-java                     	       0      508        0        0      508
24009 libbdd0c2                          	       0        2        0        0        2
24010 libbde-utils                       	       0        2        0        2        0
24011 libbde1                            	       0       16        0        0       16
24012 libbdplus-dev                      	       0        1        0        1        0
24013 libbdplus0                         	       0     3202        0        0     3202
24014 libbeam-java                       	       0        2        0        0        2
24015 libbeansbinding-java               	       0        3        0        0        3
24016 libbearssl0                        	       0        1        0        0        1
24017 libbeckon-clojure                  	       0        1        0        0        1
24018 libbeecrypt-dev                    	       0        1        0        1        0
24019 libbeecrypt6                       	       0        2        0        0        2
24020 libbeecrypt7                       	       0        1        0        0        1
24021 libbeegfs-ib                       	       0        1        0        0        1
24022 libbeidpkcs11-0                    	       0        8        2        5        1
24023 libbeidpkcs11-bin                  	       0        8        2        6        0
24024 libbelcard-dev                     	       0        3        0        0        3
24025 libbelcard1                        	       0       32        1        2       29
24026 libbellesip-dev                    	       0        2        0        2        0
24027 libbellesip1                       	       0       26        1        2       23
24028 libbellesip2                       	       0        1        0        0        1
24029 libbellesip2t64                    	       0        3        0        0        3
24030 libbelr-dev                        	       0        2        0        2        0
24031 libbelr1                           	       0       32        1        2       29
24032 libbemenu-wayland                  	       0       10        0        0       10
24033 libbemenu-x11                      	       0        1        0        0        1
24034 libbemenu0                         	       0       11        0        0       11
24035 libbenchmark-dev                   	       0        6        0        6        0
24036 libbenchmark-timer-perl            	       0        4        0        4        0
24037 libbenchmark-tools                 	       0        1        0        0        1
24038 libbenchmark1                      	       0        2        0        0        2
24039 libbenchmark1debian                	       0       18        0        0       18
24040 libbencode-perl                    	       0        2        0        2        0
24041 libberkeleydb-perl                 	       0      546        8       15      523
24042 libberylsettings-dev               	       0        1        0        1        0
24043 libberylsettings0                  	       0        1        0        1        0
24044 libbetter-appframework-java        	       0        3        0        0        3
24045 libbfb0                            	       0       17        0        0       17
24046 libbfb0t64                         	       0        2        0        0        2
24047 libbfio-dev                        	       0        2        0        2        0
24048 libbfio1                           	       0      175        0        0      175
24049 libbg1                             	       0        2        0        0        2
24050 libbg1-doc                         	       0        1        0        0        1
24051 libbg2                             	       0        3        0        1        2
24052 libbgcode-dev                      	       0        1        0        1        0
24053 libbiblesync1.1                    	       0       13        0        0       13
24054 libbiblio-endnotestyle-perl        	       0        2        0        2        0
24055 libbiblio-thesaurus-perl           	       0        2        0        2        0
24056 libbibtex-parser-perl              	       0       23        1       22        0
24057 libbibutils1                       	       0        1        0        0        1
24058 libbibutils2                       	       0        3        0        0        3
24059 libbibutils7                       	       0        7        0        0        7
24060 libbibutils8                       	       0       10        0        0       10
24061 libbidi-clojure                    	       0        2        0        0        2
24062 libbigarray-compat-ocaml           	       0        3        0        2        1
24063 libbigarray-compat-ocaml-dev       	       0        2        0        2        0
24064 libbigwig0                         	       0        1        0        0        1
24065 libbind-config-parser-perl         	       0        1        0        1        0
24066 libbind-export-dev                 	       0        2        0        2        0
24067 libbind9-0                         	       0        3        0        0        3
24068 libbind9-140                       	       0      191        0        0      191
24069 libbind9-161                       	       0      308        0        0      308
24070 libbind9-60                        	       0       18        0        0       18
24071 libbind9-80                        	       0       21        0        0       21
24072 libbind9-90                        	       0       83        0        0       83
24073 libbindex-java                     	       0       46        0        0       46
24074 libbinio-dev                       	       0        4        0        4        0
24075 libbinio1ldbl                      	       0        6        0        0        6
24076 libbinio1v5                        	       0       76       13       18       45
24077 libbio-asn1-entrezgene-perl        	       0        3        0        3        0
24078 libbio-cluster-perl                	       0        3        0        3        0
24079 libbio-eutilities-perl             	       0        3        0        3        0
24080 libbio-featureio-perl              	       0        3        0        3        0
24081 libbio-perl-perl                   	       0        3        0        3        0
24082 libbio-perl-run-perl               	       0        3        0        3        0
24083 libbio-tools-run-alignment-clustalw-perl	       0        3        0        3        0
24084 libbio-tools-run-remoteblast-perl  	       0        3        0        3        0
24085 libbio-variation-perl              	       0        3        0        3        0
24086 libbiojava6-java                   	       0        1        0        0        1
24087 libbiosig2                         	       0        1        0        0        1
24088 libbiosig3                         	       0        2        0        0        2
24089 libbison-dev                       	       0       85        0        0       85
24090 libbit-vector-minimal-perl         	       0        2        0        2        0
24091 libbit-vector-perl                 	       0      444        0        0      444
24092 libbit4xpki                        	       0        4        1        3        0
24093 libbitcoinconsensus-dev            	       0        1        0        1        0
24094 libbitcoinconsensus0               	       0        1        0        0        1
24095 libbitmask-dev                     	       0        2        0        2        0
24096 libbitmask1                        	       0        2        0        0        2
24097 libbitstream-dev                   	       0        3        0        3        0
24098 libbitstring-ocaml                 	       0        3        0        3        0
24099 libbitstring-ocaml-dev             	       0        3        0        3        0
24100 libblacs-openmpi1                  	       0        1        0        0        1
24101 libbladerf-dev                     	       0        2        0        2        0
24102 libbladerf1                        	       0        1        0        1        0
24103 libblas-common                     	       0      160        0        0      160
24104 libblas3gf                         	       0       12        0        0       12
24105 libblas64-3                        	       0        4        0        0        4
24106 libblas64-dev                      	       0        3        0        3        0
24107 libblasr5.3.4                      	       0        1        0        0        1
24108 libblasr5.3.5                      	       0        1        0        0        1
24109 libblis3-openmp                    	       0        1        0        1        0
24110 libblis3-pthread                   	       0        1        0        0        1
24111 libblis3-serial                    	       0        1        0        0        1
24112 libbliss-dev                       	       0        1        0        0        1
24113 libbliss-dev-common                	       0        1        0        1        0
24114 libbliss2                          	       0        6        0        0        6
24115 libblitz-doc                       	       0        1        0        0        1
24116 libblitz0-dev                      	       0        1        0        1        0
24117 libblitz0v5                        	       0        2        0        0        2
24118 libblkid1-dbgsym                   	       0        2        0        2        0
24119 libblkio1                          	       0       47        0        0       47
24120 libblkmaker-0.1-6                  	       0        1        0        0        1
24121 libblockdev-btrfs2                 	       0       12        0        0       12
24122 libblockdev-btrfs3                 	       0        5        0        0        5
24123 libblockdev-dev                    	       0        2        0        2        0
24124 libblockdev-dm2                    	       0        1        0        0        1
24125 libblockdev-fs-dev                 	       0        1        0        1        0
24126 libblockdev-kbd2                   	       0        5        0        0        5
24127 libblockdev-lvm-dbus2              	       0        1        0        0        1
24128 libblockdev-lvm2                   	       0        6        0        0        6
24129 libblockdev-lvm3                   	       0        5        0        0        5
24130 libblockdev-mdraid2                	       0       10        2        4        4
24131 libblockdev-part-dev               	       0        1        0        1        0
24132 libblocksruntime-dev               	       0        2        0        2        0
24133 libblocksruntime0                  	       0        2        0        0        2
24134 libblocksruntime1                  	       0        1        0        0        1
24135 libbloom-dev                       	       0        1        0        1        0
24136 libbloom1                          	       0        4        0        3        1
24137 libbloom2                          	       0        1        1        0        0
24138 libblosc1                          	       0      619        3       10      606
24139 libblosc2-2                        	       0        1        0        0        1
24140 libblosc2-3                        	       0        1        0        0        1
24141 libblosc2-4                        	       0        7        0        0        7
24142 libbluedevil1                      	       0        1        0        1        0
24143 libbluedevil2                      	       0        2        0        0        2
24144 libbluetooth2                      	       0        2        0        0        2
24145 libbluray-bdj                      	       0       34        0        1       33
24146 libbluray-bin                      	       0       25        0       25        0
24147 libbluray-dev                      	       0       41        2       39        0
24148 libbluray-doc                      	       0        9        0        0        9
24149 libbluray0                         	       0        1        0        0        1
24150 libbluray1                         	       0      170        0        1      169
24151 libbmusb-dev                       	       0        1        0        1        0
24152 libbmusb6                          	       0        1        0        1        0
24153 libbobcat3                         	       0        1        0        0        1
24154 libbobcat4                         	       0        4        0        0        4
24155 libbobcat5                         	       0        3        0        0        3
24156 libbobcat6                         	       0       11        1        0       10
24157 libbogl-dev                        	       0        4        0        4        0
24158 libbogl0                           	       0        4        0        0        4
24159 libboilerpipe-java                 	       0        1        0        0        1
24160 libboinc-app7                      	       0        1        0        0        1
24161 libboinc7                          	       0       25        7       11        7
24162 libbolt-16-dev                     	       0        1        0        1        0
24163 libbond-dev                        	       0        1        0        1        0
24164 libbondcpp-dev                     	       0        1        0        1        0
24165 libbondcpp1d                       	       0        2        0        0        2
24166 libbonobo2-0                       	       0      166        0        0      166
24167 libbonobo2-bin                     	       0        1        0        1        0
24168 libbonobo2-common                  	       0      167        0        1      166
24169 libbonobo2-dev                     	       0        5        0        5        0
24170 libbonoboui2-0                     	       0       94        0        0       94
24171 libbonoboui2-common                	       0      129        0        0      129
24172 libbonoboui2-dev                   	       0        3        0        3        0
24173 libboo2.0.9-cil                    	       0        1        0        1        0
24174 libboogie-cil                      	       0        1        0        1        0
24175 libboolean-perl                    	       0       41        1       40        0
24176 libboost-all-dev                   	       0       59        0        0       59
24177 libboost-atomic-dev                	       0       67        0        0       67
24178 libboost-atomic1.55-dev            	       0        3        0        0        3
24179 libboost-atomic1.55.0              	       0        4        0        0        4
24180 libboost-atomic1.62-dev            	       0        3        0        0        3
24181 libboost-atomic1.62.0              	       0       24        0        0       24
24182 libboost-atomic1.67-dev            	       0        7        0        0        7
24183 libboost-atomic1.67.0              	       0      193        0        2      191
24184 libboost-atomic1.71-dev            	       0        1        0        0        1
24185 libboost-atomic1.71.0              	       0        1        0        0        1
24186 libboost-atomic1.71.0-dbgsym       	       0        1        0        1        0
24187 libboost-atomic1.74-dev            	       0      130        0        0      130
24188 libboost-atomic1.74.0              	       0      131        0        0      131
24189 libboost-atomic1.74.0-dbgsym       	       0        1        0        1        0
24190 libboost-atomic1.81-dev            	       0        3        0        0        3
24191 libboost-atomic1.81.0              	       0        3        0        0        3
24192 libboost-atomic1.83-dev            	       0       18        0        0       18
24193 libboost-atomic1.83.0              	       0       18        0        0       18
24194 libboost-chrono-dev                	       0       81        0        0       81
24195 libboost-chrono1.49-dev            	       0        1        0        1        0
24196 libboost-chrono1.49.0              	       0        3        0        0        3
24197 libboost-chrono1.55-dev            	       0        3        0        0        3
24198 libboost-chrono1.55.0              	       0        6        0        0        6
24199 libboost-chrono1.62-dev            	       0        3        0        0        3
24200 libboost-chrono1.62.0              	       0       50        1        3       46
24201 libboost-chrono1.67-dev            	       0        8        0        0        8
24202 libboost-chrono1.67.0              	       0      186        0        3      183
24203 libboost-chrono1.71-dev            	       0        1        0        0        1
24204 libboost-chrono1.71.0              	       0        2        0        0        2
24205 libboost-chrono1.71.0-dbgsym       	       0        1        0        1        0
24206 libboost-chrono1.74-dev            	       0      131        0        0      131
24207 libboost-chrono1.74.0              	       0      547        0        5      542
24208 libboost-chrono1.74.0-dbgsym       	       0        1        0        1        0
24209 libboost-chrono1.81-dev            	       0        2        0        0        2
24210 libboost-chrono1.81.0              	       0        2        0        0        2
24211 libboost-chrono1.83-dev            	       0       15        0        0       15
24212 libboost-chrono1.83.0              	       0        2        0        0        2
24213 libboost-chrono1.83.0t64           	       0       56        0        2       54
24214 libboost-container-dev             	       0       58        0        0       58
24215 libboost-container1.67-dev         	       0        5        0        0        5
24216 libboost-container1.67.0           	       0        7        0        0        7
24217 libboost-container1.74-dev         	       0       61        0        0       61
24218 libboost-container1.74.0           	       0       61        0        0       61
24219 libboost-container1.81-dev         	       0        2        0        0        2
24220 libboost-container1.81.0           	       0        2        0        0        2
24221 libboost-container1.83-dev         	       0       11        0        0       11
24222 libboost-container1.83.0           	       0       11        0        0       11
24223 libboost-context-dev               	       0       71        0        0       71
24224 libboost-context1.55-dev           	       0        2        0        0        2
24225 libboost-context1.55.0             	       0        3        0        0        3
24226 libboost-context1.62-dev           	       0        2        0        0        2
24227 libboost-context1.62.0             	       0       11        0        0       11
24228 libboost-context1.67-dev           	       0        5        0        0        5
24229 libboost-context1.67.0             	       0        9        0        0        9
24230 libboost-context1.74-dev           	       0       68        0        0       68
24231 libboost-context1.74.0             	       0      116       15       22       79
24232 libboost-context1.74.0-dbgsym      	       0        1        0        1        0
24233 libboost-context1.81-dev           	       0        2        0        0        2
24234 libboost-context1.81.0             	       0        2        0        0        2
24235 libboost-context1.83-dev           	       0       11        0        0       11
24236 libboost-context1.83.0             	       0       27        6        9       12
24237 libboost-contract-dev              	       0        1        0        0        1
24238 libboost-contract1.74-dev          	       0        5        0        0        5
24239 libboost-contract1.74.0            	       0        5        0        0        5
24240 libboost-coroutine-dev             	       0       69        0        0       69
24241 libboost-coroutine1.55-dev         	       0        2        0        0        2
24242 libboost-coroutine1.62-dev         	       0        2        0        0        2
24243 libboost-coroutine1.62.0           	       0        2        0        0        2
24244 libboost-coroutine1.67-dev         	       0        4        0        0        4
24245 libboost-coroutine1.67.0           	       0        7        0        0        7
24246 libboost-coroutine1.74-dev         	       0       64        0        0       64
24247 libboost-coroutine1.74.0           	       0       99       14       20       65
24248 libboost-coroutine1.74.0-dbgsym    	       0        1        0        1        0
24249 libboost-coroutine1.81-dev         	       0        2        0        0        2
24250 libboost-coroutine1.81.0           	       0        2        0        0        2
24251 libboost-coroutine1.83-dev         	       0       11        0        0       11
24252 libboost-coroutine1.83.0           	       0       26        6        9       11
24253 libboost-date-time-dev             	       0      107        0        0      107
24254 libboost-date-time1.33.1           	       0        1        0        0        1
24255 libboost-date-time1.34.1           	       0        1        0        0        1
24256 libboost-date-time1.42.0           	       0        2        0        0        2
24257 libboost-date-time1.49-dev         	       0        1        0        1        0
24258 libboost-date-time1.49.0           	       0        4        0        0        4
24259 libboost-date-time1.55-dev         	       0        3        0        0        3
24260 libboost-date-time1.55.0           	       0       17        0        0       17
24261 libboost-date-time1.58.0           	       0        2        0        0        2
24262 libboost-date-time1.62-dev         	       0        4        0        0        4
24263 libboost-date-time1.62.0           	       0       82        0        0       82
24264 libboost-date-time1.67-dev         	       0        8        0        0        8
24265 libboost-date-time1.67.0           	       0      178        0        1      177
24266 libboost-date-time1.71-dev         	       0        1        0        0        1
24267 libboost-date-time1.71.0           	       0        4        0        0        4
24268 libboost-date-time1.71.0-dbgsym    	       0        1        0        1        0
24269 libboost-date-time1.74-dev         	       0      147        0        0      147
24270 libboost-date-time1.74.0           	       0      150        0        0      150
24271 libboost-date-time1.74.0-dbgsym    	       0        1        0        1        0
24272 libboost-date-time1.81-dev         	       0        2        0        0        2
24273 libboost-date-time1.81.0           	       0        2        0        0        2
24274 libboost-date-time1.83-dev         	       0       18        0        0       18
24275 libboost-date-time1.83.0           	       0       18        0        0       18
24276 libboost-dev                       	       0      532        0        0      532
24277 libboost-doc                       	       0       11        0        0       11
24278 libboost-exception-dev             	       0       65        0        0       65
24279 libboost-exception1.55-dev         	       0        2        0        0        2
24280 libboost-exception1.62-dev         	       0        2        0        0        2
24281 libboost-exception1.67-dev         	       0        4        0        0        4
24282 libboost-exception1.74-dev         	       0       64        0        0       64
24283 libboost-exception1.81-dev         	       0        2        0        0        2
24284 libboost-exception1.83-dev         	       0       11        0        0       11
24285 libboost-fiber-dev                 	       0       62        0        0       62
24286 libboost-fiber1.62-dev             	       0        2        0        0        2
24287 libboost-fiber1.62.0               	       0        2        0        0        2
24288 libboost-fiber1.67-dev             	       0        5        0        0        5
24289 libboost-fiber1.67.0               	       0        8        0        0        8
24290 libboost-fiber1.74-dev             	       0       61        0        0       61
24291 libboost-fiber1.74.0               	       0       61        0        0       61
24292 libboost-fiber1.81-dev             	       0        2        0        0        2
24293 libboost-fiber1.81.0               	       0        2        0        0        2
24294 libboost-fiber1.83-dev             	       0       11        0        0       11
24295 libboost-fiber1.83.0               	       0       11        0        0       11
24296 libboost-filesystem-dev            	       0      122        0        0      122
24297 libboost-filesystem1.33.1          	       0        1        0        0        1
24298 libboost-filesystem1.34.1          	       0        1        0        0        1
24299 libboost-filesystem1.42.0          	       0        2        0        0        2
24300 libboost-filesystem1.49-dev        	       0        1        0        1        0
24301 libboost-filesystem1.49.0          	       0        5        0        0        5
24302 libboost-filesystem1.54.0          	       0        1        0        0        1
24303 libboost-filesystem1.55-dev        	       0        2        0        0        2
24304 libboost-filesystem1.55.0          	       0        9        0        0        9
24305 libboost-filesystem1.58.0          	       0        1        0        0        1
24306 libboost-filesystem1.61.0          	       0        2        0        0        2
24307 libboost-filesystem1.62-dev        	       0        3        0        0        3
24308 libboost-filesystem1.62.0          	       0      161        1        3      157
24309 libboost-filesystem1.67-dev        	       0       10        0        0       10
24310 libboost-filesystem1.67.0          	       0      188        0        3      185
24311 libboost-filesystem1.71-dev        	       0        1        0        0        1
24312 libboost-filesystem1.71.0          	       0        7        0        0        7
24313 libboost-filesystem1.71.0-dbgsym   	       0        1        0        1        0
24314 libboost-filesystem1.74-dev        	       0      140        0        0      140
24315 libboost-filesystem1.74.0-dbgsym   	       0        1        0        1        0
24316 libboost-filesystem1.81-dev        	       0        3        0        0        3
24317 libboost-filesystem1.81.0          	       0        3        0        0        3
24318 libboost-filesystem1.83-dev        	       0       18        0        0       18
24319 libboost-geometry-utils-perl       	       0       27        0        0       27
24320 libboost-graph-dev                 	       0       73        0        0       73
24321 libboost-graph-parallel-dev        	       0       64        0        0       64
24322 libboost-graph-parallel1.49-dev    	       0        1        0        1        0
24323 libboost-graph-parallel1.55-dev    	       0        2        0        0        2
24324 libboost-graph-parallel1.55.0      	       0        2        0        0        2
24325 libboost-graph-parallel1.62-dev    	       0        2        0        0        2
24326 libboost-graph-parallel1.62.0      	       0        2        0        0        2
24327 libboost-graph-parallel1.67-dev    	       0        5        0        0        5
24328 libboost-graph-parallel1.67.0      	       0        6        0        0        6
24329 libboost-graph-parallel1.74-dev    	       0       61        0        0       61
24330 libboost-graph-parallel1.74.0      	       0       61        0        0       61
24331 libboost-graph-parallel1.81-dev    	       0        2        0        0        2
24332 libboost-graph-parallel1.81.0      	       0        2        0        0        2
24333 libboost-graph-parallel1.83-dev    	       0       11        0        0       11
24334 libboost-graph-parallel1.83.0      	       0       11        0        0       11
24335 libboost-graph1.34.1               	       0        1        0        0        1
24336 libboost-graph1.49-dev             	       0        1        0        1        0
24337 libboost-graph1.49.0               	       0        2        0        0        2
24338 libboost-graph1.55-dev             	       0        2        0        0        2
24339 libboost-graph1.55.0               	       0        3        0        0        3
24340 libboost-graph1.62-dev             	       0        2        0        0        2
24341 libboost-graph1.62.0               	       0        2        0        0        2
24342 libboost-graph1.67-dev             	       0        6        0        0        6
24343 libboost-graph1.67.0               	       0        9        0        0        9
24344 libboost-graph1.74-dev             	       0       70        0        0       70
24345 libboost-graph1.74.0               	       0       70        0        0       70
24346 libboost-graph1.81-dev             	       0        2        0        0        2
24347 libboost-graph1.81.0               	       0        2        0        0        2
24348 libboost-graph1.83-dev             	       0       11        0        0       11
24349 libboost-graph1.83.0               	       0       11        0        0       11
24350 libboost-iostreams-dev             	       0       90        0        0       90
24351 libboost-iostreams1.34.1           	       0        1        0        0        1
24352 libboost-iostreams1.42.0           	       0       20        0        0       20
24353 libboost-iostreams1.46.1           	       0        2        0        0        2
24354 libboost-iostreams1.48.0           	       0        1        0        0        1
24355 libboost-iostreams1.49-dev         	       0        1        0        1        0
24356 libboost-iostreams1.49.0           	       0       62        0        0       62
24357 libboost-iostreams1.53.0           	       0        1        0        0        1
24358 libboost-iostreams1.54.0           	       0        4        0        0        4
24359 libboost-iostreams1.55-dev         	       0        2        0        0        2
24360 libboost-iostreams1.55.0           	       0      215        0        0      215
24361 libboost-iostreams1.58.0           	       0        1        0        0        1
24362 libboost-iostreams1.62-dev         	       0        3        0        0        3
24363 libboost-iostreams1.62.0           	       0      160        0        0      160
24364 libboost-iostreams1.67-dev         	       0        5        0        0        5
24365 libboost-iostreams1.67.0           	       0      231        0        1      230
24366 libboost-iostreams1.71.0           	       0        4        0        0        4
24367 libboost-iostreams1.74-dev         	       0       86        0        0       86
24368 libboost-iostreams1.74.0-dbgsym    	       0        1        0        1        0
24369 libboost-iostreams1.81-dev         	       0        2        0        0        2
24370 libboost-iostreams1.81.0           	       0        3        0        0        3
24371 libboost-iostreams1.83-dev         	       0       12        0        0       12
24372 libboost-iostreams1.83.0           	       0      245       11       17      217
24373 libboost-json-dev                  	       0        6        0        0        6
24374 libboost-json1.83-dev              	       0       10        0        0       10
24375 libboost-json1.83.0                	       0       10        0        0       10
24376 libboost-locale-dev                	       0       78        0        0       78
24377 libboost-locale1.49-dev            	       0        1        0        1        0
24378 libboost-locale1.49.0              	       0        2        0        0        2
24379 libboost-locale1.55-dev            	       0        2        0        0        2
24380 libboost-locale1.55.0              	       0        5        0        0        5
24381 libboost-locale1.62-dev            	       0        3        0        0        3
24382 libboost-locale1.62.0              	       0       25        0        0       25
24383 libboost-locale1.67-dev            	       0        4        0        0        4
24384 libboost-locale1.67.0              	       0      161        0        0      161
24385 libboost-locale1.71-dev            	       0        1        0        0        1
24386 libboost-locale1.71.0              	       0        4        0        0        4
24387 libboost-locale1.71.0-dbgsym       	       0        1        0        1        0
24388 libboost-locale1.74-dev            	       0       73        0        0       73
24389 libboost-locale1.74.0-dbgsym       	       0        1        0        1        0
24390 libboost-locale1.81-dev            	       0        2        0        0        2
24391 libboost-locale1.81.0              	       0        3        0        0        3
24392 libboost-locale1.83-dev            	       0       11        0        0       11
24393 libboost-locale1.83.0              	       0      196        3        4      189
24394 libboost-log-dev                   	       0       70        0        0       70
24395 libboost-log1.55-dev               	       0        2        0        0        2
24396 libboost-log1.55.0                 	       0        2        0        0        2
24397 libboost-log1.62-dev               	       0        2        0        0        2
24398 libboost-log1.62.0                 	       0        3        0        0        3
24399 libboost-log1.67-dev               	       0        4        0        0        4
24400 libboost-log1.67.0                 	       0       20        0        0       20
24401 libboost-log1.74-dev               	       0       67        0        0       67
24402 libboost-log1.74.0                 	       0      112        0        4      108
24403 libboost-log1.74.0-dbgsym          	       0        1        0        1        0
24404 libboost-log1.81-dev               	       0        2        0        0        2
24405 libboost-log1.81.0                 	       0        2        0        0        2
24406 libboost-log1.83-dev               	       0       12        0        0       12
24407 libboost-log1.83.0                 	       0       15        0        1       14
24408 libboost-math-dev                  	       0       66        0        0       66
24409 libboost-math1.49-dev              	       0        1        0        1        0
24410 libboost-math1.49.0                	       0        2        0        0        2
24411 libboost-math1.55-dev              	       0        2        0        0        2
24412 libboost-math1.55.0                	       0        3        0        0        3
24413 libboost-math1.62-dev              	       0        2        0        0        2
24414 libboost-math1.62.0                	       0        2        0        0        2
24415 libboost-math1.67-dev              	       0        5        0        0        5
24416 libboost-math1.67.0                	       0        8        0        0        8
24417 libboost-math1.74-dev              	       0       66        0        0       66
24418 libboost-math1.74.0                	       0       66        0        0       66
24419 libboost-math1.81-dev              	       0        2        0        0        2
24420 libboost-math1.81.0                	       0        2        0        0        2
24421 libboost-math1.83-dev              	       0       11        0        0       11
24422 libboost-math1.83.0                	       0       11        0        0       11
24423 libboost-mpi-dev                   	       0       62        0        0       62
24424 libboost-mpi-python-dev            	       0       60        0        0       60
24425 libboost-mpi-python1.55-dev        	       0        1        0        0        1
24426 libboost-mpi-python1.55.0          	       0        1        0        1        0
24427 libboost-mpi-python1.62-dev        	       0        2        0        0        2
24428 libboost-mpi-python1.62.0          	       0        2        0        2        0
24429 libboost-mpi-python1.67-dev        	       0        2        0        0        2
24430 libboost-mpi-python1.67.0          	       0        2        0        2        0
24431 libboost-mpi-python1.74-dev        	       0       56        0        0       56
24432 libboost-mpi-python1.74.0          	       0       56        0       56        0
24433 libboost-mpi-python1.81-dev        	       0        2        0        0        2
24434 libboost-mpi-python1.81.0          	       0        2        0        2        0
24435 libboost-mpi-python1.83-dev        	       0        7        0        0        7
24436 libboost-mpi1.55-dev               	       0        1        0        0        1
24437 libboost-mpi1.55.0                 	       0        2        0        0        2
24438 libboost-mpi1.62-dev               	       0        2        0        0        2
24439 libboost-mpi1.62.0                 	       0        3        0        0        3
24440 libboost-mpi1.67-dev               	       0        3        0        0        3
24441 libboost-mpi1.67.0                 	       0        6        0        0        6
24442 libboost-mpi1.74-dev               	       0       59        0        0       59
24443 libboost-mpi1.74.0                 	       0       63        0        0       63
24444 libboost-mpi1.81-dev               	       0        2        0        0        2
24445 libboost-mpi1.81.0                 	       0        2        0        0        2
24446 libboost-mpi1.83-dev               	       0       10        0        0       10
24447 libboost-mpi1.83.0                 	       0       11        0        0       11
24448 libboost-nowide-dev                	       0       57        0        0       57
24449 libboost-nowide1.74-dev            	       0       61        0        0       61
24450 libboost-nowide1.74.0              	       0       83        0        0       83
24451 libboost-nowide1.74.0-dbgsym       	       0        1        0        1        0
24452 libboost-nowide1.81-dev            	       0        2        0        0        2
24453 libboost-nowide1.81.0              	       0        2        0        0        2
24454 libboost-nowide1.83-dev            	       0       11        0        0       11
24455 libboost-nowide1.83.0              	       0       12        0        0       12
24456 libboost-numpy-dev                 	       0       57        0        0       57
24457 libboost-numpy1.67-dev             	       0        6        0        0        6
24458 libboost-numpy1.67.0               	       0        7        0        0        7
24459 libboost-numpy1.74-dev             	       0       60        0        0       60
24460 libboost-numpy1.74.0               	       0       60        0        0       60
24461 libboost-numpy1.81-dev             	       0        2        0        0        2
24462 libboost-numpy1.81.0               	       0        2        0        0        2
24463 libboost-numpy1.83-dev             	       0       11        0        0       11
24464 libboost-numpy1.83.0               	       0       12        0        0       12
24465 libboost-program-options-dev       	       0      111        0        0      111
24466 libboost-program-options1.34.1     	       0        1        0        0        1
24467 libboost-program-options1.42.0     	       0        1        0        0        1
24468 libboost-program-options1.49-dev   	       0        1        0        1        0
24469 libboost-program-options1.49.0     	       0        7        0        0        7
24470 libboost-program-options1.55-dev   	       0        2        0        0        2
24471 libboost-program-options1.55.0     	       0       13        0        0       13
24472 libboost-program-options1.58.0     	       0        1        0        0        1
24473 libboost-program-options1.62-dev   	       0        3        0        0        3
24474 libboost-program-options1.62.0     	       0       84        1        3       80
24475 libboost-program-options1.67-dev   	       0        8        0        0        8
24476 libboost-program-options1.67.0     	       0       92        0        1       91
24477 libboost-program-options1.71.0     	       0        5        0        0        5
24478 libboost-program-options1.74-dev   	       0      131        0        0      131
24479 libboost-program-options1.74.0     	       0     1485       24       53     1408
24480 libboost-program-options1.74.0-dbgsym	       0        1        0        1        0
24481 libboost-program-options1.81-dev   	       0        2        0        0        2
24482 libboost-program-options1.81.0     	       0        2        0        0        2
24483 libboost-program-options1.83-dev   	       0       16        0        0       16
24484 libboost-program-options1.83.0     	       0      134        6       15      113
24485 libboost-python-dev                	       0       77        0        0       77
24486 libboost-python1.33.1              	       0        1        0        0        1
24487 libboost-python1.34.1              	       0        2        0        0        2
24488 libboost-python1.42.0              	       0        3        0        0        3
24489 libboost-python1.49-dev            	       0        1        0        1        0
24490 libboost-python1.49.0              	       0        4        0        0        4
24491 libboost-python1.55-dev            	       0        2        0        2        0
24492 libboost-python1.55.0              	       0       13        0        0       13
24493 libboost-python1.58.0              	       0        1        0        0        1
24494 libboost-python1.62-dev            	       0        2        0        2        0
24495 libboost-python1.62.0              	       0       11        0        0       11
24496 libboost-python1.67-dev            	       0        3        0        0        3
24497 libboost-python1.67.0              	       0       39        0        0       39
24498 libboost-python1.74-dev            	       0       71        0        0       71
24499 libboost-python1.74.0              	       0      356        5        5      346
24500 libboost-python1.74.0-dbgsym       	       0        1        0        1        0
24501 libboost-python1.81-dev            	       0        2        0        0        2
24502 libboost-python1.81.0              	       0        2        0        0        2
24503 libboost-python1.83-dev            	       0       12        0        0       12
24504 libboost-python1.83.0              	       0       47        1        0       46
24505 libboost-random-dev                	       0       73        0        0       73
24506 libboost-random1.49-dev            	       0        1        0        1        0
24507 libboost-random1.49.0              	       0        2        0        0        2
24508 libboost-random1.55-dev            	       0        2        0        0        2
24509 libboost-random1.55.0              	       0        4        0        0        4
24510 libboost-random1.62-dev            	       0        2        0        0        2
24511 libboost-random1.62.0              	       0       37        0        0       37
24512 libboost-random1.67-dev            	       0        5        0        0        5
24513 libboost-random1.67.0              	       0       35        0        0       35
24514 libboost-random1.71-dev            	       0        1        0        0        1
24515 libboost-random1.71.0              	       0        1        0        0        1
24516 libboost-random1.71.0-dbgsym       	       0        1        0        1        0
24517 libboost-random1.74-dev            	       0       70        0        0       70
24518 libboost-random1.74.0              	       0      113        2        0      111
24519 libboost-random1.74.0-dbgsym       	       0        1        0        1        0
24520 libboost-random1.81-dev            	       0        2        0        0        2
24521 libboost-random1.81.0              	       0        2        0        0        2
24522 libboost-random1.83-dev            	       0       11        0        0       11
24523 libboost-random1.83.0              	       0       20        0        0       20
24524 libboost-regex-dev                 	       0      112        0        0      112
24525 libboost-regex1.33.1               	       0        1        0        0        1
24526 libboost-regex1.34.1               	       0        1        0        0        1
24527 libboost-regex1.42.0               	       0        5        0        0        5
24528 libboost-regex1.49-dev             	       0        1        0        1        0
24529 libboost-regex1.49.0               	       0        6        0        0        6
24530 libboost-regex1.54.0               	       0        1        0        0        1
24531 libboost-regex1.55-dev             	       0        2        0        0        2
24532 libboost-regex1.55.0               	       0       12        0        0       12
24533 libboost-regex1.62-dev             	       0        3        0        0        3
24534 libboost-regex1.62.0               	       0       48        1        3       44
24535 libboost-regex1.67-dev             	       0        6        0        0        6
24536 libboost-regex1.67.0               	       0       92        0        1       91
24537 libboost-regex1.71-dev             	       0        1        0        0        1
24538 libboost-regex1.71.0               	       0        4        0        0        4
24539 libboost-regex1.71.0-dbgsym        	       0        1        0        1        0
24540 libboost-regex1.74-dev             	       0      139        0        0      139
24541 libboost-regex1.74.0               	       0      808       22       44      742
24542 libboost-regex1.74.0-dbgsym        	       0        1        0        1        0
24543 libboost-regex1.81-dev             	       0        2        0        0        2
24544 libboost-regex1.81.0               	       0        2        0        0        2
24545 libboost-regex1.83-dev             	       0       19        0        0       19
24546 libboost-regex1.83.0               	       0       19        0        0       19
24547 libboost-serialization-dev         	       0       79        0        0       79
24548 libboost-serialization1.34.1       	       0        1        0        0        1
24549 libboost-serialization1.42.0       	       0        2        0        0        2
24550 libboost-serialization1.49-dev     	       0        1        0        1        0
24551 libboost-serialization1.49.0       	       0        5        0        0        5
24552 libboost-serialization1.55-dev     	       0        3        0        0        3
24553 libboost-serialization1.55.0       	       0        6        0        0        6
24554 libboost-serialization1.62-dev     	       0        4        0        0        4
24555 libboost-serialization1.62.0       	       0       11        0        0       11
24556 libboost-serialization1.67-dev     	       0       11        0        0       11
24557 libboost-serialization1.67.0       	       0       26        0        0       26
24558 libboost-serialization1.71-dev     	       0        1        0        0        1
24559 libboost-serialization1.71.0       	       0        1        0        0        1
24560 libboost-serialization1.71.0-dbgsym	       0        1        0        1        0
24561 libboost-serialization1.74-dev     	       0      150        0        0      150
24562 libboost-serialization1.74.0       	       0      214        1        4      209
24563 libboost-serialization1.74.0-dbgsym	       0        1        0        1        0
24564 libboost-serialization1.81-dev     	       0        2        0        0        2
24565 libboost-serialization1.81.0       	       0        2        0        0        2
24566 libboost-serialization1.83-dev     	       0       19        0        0       19
24567 libboost-serialization1.83.0       	       0       31        0        2       29
24568 libboost-signals-dev               	       0        6        0        0        6
24569 libboost-signals1.34.1             	       0        1        0        0        1
24570 libboost-signals1.42.0             	       0        1        0        0        1
24571 libboost-signals1.49.0             	       0        2        0        0        2
24572 libboost-signals1.55-dev           	       0        2        0        0        2
24573 libboost-signals1.55.0             	       0        8        0        0        8
24574 libboost-signals1.62-dev           	       0        2        0        0        2
24575 libboost-signals1.62.0             	       0       15        0        0       15
24576 libboost-signals1.67-dev           	       0        5        0        0        5
24577 libboost-signals1.67.0             	       0       10        0        0       10
24578 libboost-stacktrace-dev            	       0       59        0        0       59
24579 libboost-stacktrace1.67-dev        	       0        5        0        0        5
24580 libboost-stacktrace1.67.0          	       0        7        0        0        7
24581 libboost-stacktrace1.74-dev        	       0       62        0        0       62
24582 libboost-stacktrace1.74.0          	       0       62        0        0       62
24583 libboost-stacktrace1.81-dev        	       0        2        0        0        2
24584 libboost-stacktrace1.81.0          	       0        2        0        0        2
24585 libboost-stacktrace1.83-dev        	       0       11        0        0       11
24586 libboost-stacktrace1.83.0          	       0       11        0        0       11
24587 libboost-system-dev                	       0      121        0        0      121
24588 libboost-system1.42.0              	       0        3        0        0        3
24589 libboost-system1.49-dev            	       0        1        0        1        0
24590 libboost-system1.49.0              	       0        6        0        0        6
24591 libboost-system1.54.0              	       0        3        0        0        3
24592 libboost-system1.55-dev            	       0        3        0        0        3
24593 libboost-system1.55.0              	       0       44        0        0       44
24594 libboost-system1.58.0              	       0        1        0        0        1
24595 libboost-system1.61.0              	       0        2        0        0        2
24596 libboost-system1.62-dev            	       0        3        0        0        3
24597 libboost-system1.62.0              	       0      174        1        3      170
24598 libboost-system1.67-dev            	       0       12        0        0       12
24599 libboost-system1.67.0              	       0      261        0        3      258
24600 libboost-system1.71-dev            	       0        1        0        0        1
24601 libboost-system1.71.0              	       0        1        0        0        1
24602 libboost-system1.71.0-dbgsym       	       0        1        0        1        0
24603 libboost-system1.74-dev            	       0      152        0        0      152
24604 libboost-system1.74.0              	       0      158        0        0      158
24605 libboost-system1.74.0-dbgsym       	       0        1        0        1        0
24606 libboost-system1.81-dev            	       0        3        0        0        3
24607 libboost-system1.81.0              	       0        3        0        0        3
24608 libboost-system1.83-dev            	       0       19        0        0       19
24609 libboost-system1.83.0              	       0       19        0        0       19
24610 libboost-test-dev                  	       0       84        0        0       84
24611 libboost-test1.34.1                	       0        1        0        0        1
24612 libboost-test1.49-dev              	       0        1        0        1        0
24613 libboost-test1.49.0                	       0        2        0        0        2
24614 libboost-test1.55-dev              	       0        2        0        0        2
24615 libboost-test1.55.0                	       0        3        0        0        3
24616 libboost-test1.62-dev              	       0        2        0        0        2
24617 libboost-test1.62.0                	       0        7        0        0        7
24618 libboost-test1.67-dev              	       0        9        0        0        9
24619 libboost-test1.67.0                	       0       16        0        0       16
24620 libboost-test1.74-dev              	       0      114        0        0      114
24621 libboost-test1.74.0                	       0      117        0        0      117
24622 libboost-test1.74.0-dbgsym         	       0        1        0        1        0
24623 libboost-test1.81-dev              	       0        3        0        0        3
24624 libboost-test1.81.0                	       0        3        0        0        3
24625 libboost-test1.83-dev              	       0       16        0        0       16
24626 libboost-test1.83.0                	       0       16        0        0       16
24627 libboost-thread-dev                	       0      104        0        0      104
24628 libboost-thread1.33.1              	       0        1        0        0        1
24629 libboost-thread1.34.1              	       0        1        0        0        1
24630 libboost-thread1.42.0              	       0        2        0        0        2
24631 libboost-thread1.49-dev            	       0        1        0        1        0
24632 libboost-thread1.49.0              	       0        6        0        0        6
24633 libboost-thread1.54.0              	       0        1        0        0        1
24634 libboost-thread1.55-dev            	       0        3        0        0        3
24635 libboost-thread1.55.0              	       0       31        0        0       31
24636 libboost-thread1.62-dev            	       0        3        0        0        3
24637 libboost-thread1.62.0              	       0       81        1        3       77
24638 libboost-thread1.67-dev            	       0        6        0        0        6
24639 libboost-thread1.67.0              	       0      194        0        3      191
24640 libboost-thread1.71-dev            	       0        1        0        0        1
24641 libboost-thread1.71.0              	       0        6        0        0        6
24642 libboost-thread1.71.0-dbgsym       	       0        1        0        1        0
24643 libboost-thread1.74-dev            	       0      128        0        0      128
24644 libboost-thread1.74.0-dbgsym       	       0        1        0        1        0
24645 libboost-thread1.81-dev            	       0        2        0        0        2
24646 libboost-thread1.81.0              	       0        3        0        0        3
24647 libboost-thread1.83-dev            	       0       15        0        0       15
24648 libboost-thread1.83.0              	       0      222       10       14      198
24649 libboost-timer-dev                 	       0       68        0        0       68
24650 libboost-timer1.49-dev             	       0        1        0        1        0
24651 libboost-timer1.49.0               	       0        2        0        0        2
24652 libboost-timer1.55-dev             	       0        2        0        0        2
24653 libboost-timer1.55.0               	       0        3        0        0        3
24654 libboost-timer1.62-dev             	       0        2        0        0        2
24655 libboost-timer1.62.0               	       0        7        0        0        7
24656 libboost-timer1.67-dev             	       0        5        0        0        5
24657 libboost-timer1.67.0               	       0       15        0        0       15
24658 libboost-timer1.74-dev             	       0       68        0        0       68
24659 libboost-timer1.74.0               	       0       68        0        0       68
24660 libboost-timer1.81-dev             	       0        2        0        0        2
24661 libboost-timer1.81.0               	       0        2        0        0        2
24662 libboost-timer1.83-dev             	       0       11        0        0       11
24663 libboost-timer1.83.0               	       0       12        0        0       12
24664 libboost-tools-dev                 	       0       67        0        0       67
24665 libboost-type-erasure-dev          	       0       62        0        0       62
24666 libboost-type-erasure1.62-dev      	       0        2        0        0        2
24667 libboost-type-erasure1.62.0        	       0        2        0        0        2
24668 libboost-type-erasure1.67-dev      	       0        4        0        0        4
24669 libboost-type-erasure1.67.0        	       0        7        0        0        7
24670 libboost-type-erasure1.74-dev      	       0       61        0        0       61
24671 libboost-type-erasure1.74.0        	       0       61        0        0       61
24672 libboost-type-erasure1.81-dev      	       0        2        0        0        2
24673 libboost-type-erasure1.81.0        	       0        2        0        0        2
24674 libboost-type-erasure1.83-dev      	       0       11        0        0       11
24675 libboost-type-erasure1.83.0        	       0       11        0        0       11
24676 libboost-url-dev                   	       0        6        0        0        6
24677 libboost-url1.83-dev               	       0       10        0        0       10
24678 libboost-url1.83.0                 	       0       10        0        0       10
24679 libboost-wave-dev                  	       0       66        0        0       66
24680 libboost-wave1.34.1                	       0        1        0        0        1
24681 libboost-wave1.49-dev              	       0        1        0        1        0
24682 libboost-wave1.49.0                	       0        2        0        0        2
24683 libboost-wave1.55-dev              	       0        2        0        0        2
24684 libboost-wave1.55.0                	       0        2        0        0        2
24685 libboost-wave1.62-dev              	       0        3        0        0        3
24686 libboost-wave1.62.0                	       0        4        0        0        4
24687 libboost-wave1.67-dev              	       0        4        0        0        4
24688 libboost-wave1.67.0                	       0        7        0        0        7
24689 libboost-wave1.74-dev              	       0       63        0        0       63
24690 libboost-wave1.74.0                	       0       63        0        0       63
24691 libboost-wave1.81-dev              	       0        2        0        0        2
24692 libboost-wave1.81.0                	       0        2        0        0        2
24693 libboost-wave1.83-dev              	       0       12        0        0       12
24694 libboost-wave1.83.0                	       0       12        0        0       12
24695 libboost1.46-doc                   	       0        1        0        1        0
24696 libboost1.49-dev                   	       0        1        0        1        0
24697 libboost1.49-doc                   	       0        1        0        1        0
24698 libboost1.55-dev                   	       0        5        0        5        0
24699 libboost1.55-tools-dev             	       0        2        0        2        0
24700 libboost1.62-dev                   	       0        6        0        6        0
24701 libboost1.62-tools-dev             	       0        2        0        2        0
24702 libboost1.67-dev                   	       0       15        1       14        0
24703 libboost1.67-doc                   	       0        1        0        1        0
24704 libboost1.67-tools-dev             	       0        6        0        6        0
24705 libboost1.71-dev                   	       0        1        0        1        0
24706 libboost1.71-doc                   	       0        1        0        1        0
24707 libboost1.74-all-dev               	       0        5        0        0        5
24708 libboost1.74-doc                   	       0        8        0        8        0
24709 libboost1.74-tools-dev             	       0       65        0       65        0
24710 libboost1.81-all-dev               	       0        2        0        0        2
24711 libboost1.81-dev                   	       0        3        0        3        0
24712 libboost1.81-doc                   	       0        1        0        1        0
24713 libboost1.81-tools-dev             	       0        3        0        3        0
24714 libboost1.83-all-dev               	       0        1        0        0        1
24715 libbos-ocaml                       	       0        1        0        1        0
24716 libbos-ocaml-dev                   	       0        1        0        1        0
24717 libbotan-1.10-0                    	       0        2        0        0        2
24718 libbotan-1.10-1                    	       0        7        0        0        7
24719 libbotan-2-15                      	       0        1        0        0        1
24720 libbotan-2-17                      	       0       46        2        1       43
24721 libbotan-2-18                      	       0        2        0        0        2
24722 libbotan-2-9                       	       0       44        0        0       44
24723 libbotan-2-dev                     	       0       10        0       10        0
24724 libbotan-2-doc                     	       0        4        0        0        4
24725 libbotan-kpxc-2                    	       0        1        0        1        0
24726 libbox2d-dev                       	       0        4        1        3        0
24727 libbox2d-doc                       	       0        1        0        0        1
24728 libbox2d2                          	       0     2196        0        0     2196
24729 libbox2d2.3.0                      	       0       19        0        0       19
24730 libboxfort-dev                     	       0        1        0        1        0
24731 libbpf-dev                         	       0       12        1       11        0
24732 libbpf-tools                       	       0        2        0        2        0
24733 libbpf0                            	       0     1049        0        0     1049
24734 libbpf4.19                         	       0        1        0        0        1
24735 libbpfcc                           	       0        8        0        0        8
24736 libbpfcc-dev                       	       0        1        0        1        0
24737 libbpfjit-dev                      	       0        1        0        1        0
24738 libbpfjit1                         	       0        1        0        0        1
24739 libbpg-bin                         	       0        1        0        1        0
24740 libbpp-core4                       	       0        1        0        0        1
24741 libbpp-phyl-omics3                 	       0        1        0        0        1
24742 libbpp-phyl12                      	       0        1        0        0        1
24743 libbpp-seq-omics3                  	       0        1        0        0        1
24744 libbpp-seq12                       	       0        1        0        0        1
24745 libbrahe-1.3-3                     	       0        2        0        0        2
24746 libbrahe-dev                       	       0        2        0        2        0
24747 libbraiding-dev                    	       0        8        0        8        0
24748 libbraiding0                       	       0        9        0        0        9
24749 libbrasero-media3-1                	       0      597        0        5      592
24750 libbrasero-media3-dev              	       0        1        0        1        0
24751 libbrial-dev                       	       0        8        0        8        0
24752 libbrial-groebner-dev              	       0        8        0        8        0
24753 libbrial-groebner3                 	       0       10        0        0       10
24754 libbrial3                          	       0       10        0        0       10
24755 libbrlapi-dev                      	       0        9        1        8        0
24756 libbrlapi0.5                       	       0        7        0        0        7
24757 libbrlapi0.6                       	       0      272        0        1      271
24758 libbrlapi0.7                       	       0        3        0        0        3
24759 libbrlapi0.8-dbgsym                	       0        1        0        1        0
24760 libbroadvoice1                     	       0        1        0        0        1
24761 libbrowser-open-perl               	       0        3        0        3        0
24762 libbs2b-dev                        	       0       18        0       18        0
24763 libbsapi                           	       0        1        0        1        0
24764 libbsc-dev                         	       0        1        0        1        0
24765 libbsc3                            	       0        1        0        0        1
24766 libbsd-arc4random-perl             	       0        1        0        0        1
24767 libbsd-resource-perl               	       0       88        0        0       88
24768 libbsf-java                        	       0      125        0        0      125
24769 libbsf-java-doc                    	       0        1        0        0        1
24770 libbsh-java                        	       0      637        0        0      637
24771 libbson-1.0-0                      	       0       61        0        0       61
24772 libbson-1.0-0t64                   	       0        6        0        0        6
24773 libbson-doc                        	       0        1        0        0        1
24774 libbson-perl                       	       0        3        0        3        0
24775 libbson-xs-perl                    	       0        1        0        0        1
24776 libbt-dev                          	       0        1        0        0        1
24777 libbt0                             	       0       18        0        0       18
24778 libbt0v5                           	       0        3        0        0        3
24779 libbtbb-dev                        	       0        3        0        3        0
24780 libbtbb1                           	       0        9        0        0        9
24781 libbtf1                            	       0       92        0        0       92
24782 libbtf1.1.0                        	       0        1        0        0        1
24783 libbtf1.2.0                        	       0        1        0        0        1
24784 libbtf2                            	       0        6        0        0        6
24785 libbtparse1                        	       0        8        0        0        8
24786 libbtparse2                        	       0      119        0        0      119
24787 libbtrfs-dev                       	       0        3        1        2        0
24788 libbtrfs0                          	       0       17        1        0       16
24789 libbtrfs0t64                       	       0        4        0        0        4
24790 libbtrfsutil-dev                   	       0        1        0        1        0
24791 libbtrfsutil1                      	       0        2        0        0        2
24792 libbtrfsutil1t64                   	       0        2        0        0        2
24793 libbudgie-appindexer0              	       0        5        1        0        4
24794 libbudgie-plugin0                  	       0       13        1        0       12
24795 libbudgie-private0                 	       0        7        1        0        6
24796 libbudgie-raven-plugin0            	       0        5        1        0        4
24797 libbudgietheme0                    	       0        7        1        0        6
24798 libbuild-helper-maven-plugin-java  	       0        2        0        0        2
24799 libbuilder-ruby1.9.1               	       0        1        0        0        1
24800 libbullet-dev                      	       0        7        1        6        0
24801 libbullet-extras-dev               	       0        1        0        1        0
24802 libbullet-extras3.06               	       0        1        0        0        1
24803 libbullet-extras3.24               	       0        1        0        0        1
24804 libbullet3.06                      	       0        4        0        0        4
24805 libbullet3.24                      	       0       16        0        0       16
24806 libbullet3.24t64                   	       0        1        0        0        1
24807 libbulletcollision2.82             	       0        1        0        0        1
24808 libbulletdynamics2.82              	       0        1        0        0        1
24809 libbulletml-dev                    	       0        1        0        1        0
24810 libbulletml0v5                     	       0       16        0        0       16
24811 libbulletsoftbody2.82              	       0        1        0        0        1
24812 libbunny-ruby1.9.1                 	       0        1        0        0        1
24813 libburn-dev                        	       0        3        0        3        0
24814 libburn4                           	       0     2066        0        0     2066
24815 libburn4t64                        	       0      155        0        0      155
24816 libburner-media3-1                 	       0       12        0        0       12
24817 libbusiness-creditcard-perl        	       0        1        0        1        0
24818 libbusiness-onlinepayment-authorizenet-perl	       0        1        0        1        0
24819 libbusiness-onlinepayment-payflowpro-perl	       0        1        0        1        0
24820 libbusiness-onlinepayment-perl     	       0        1        0        1        0
24821 libbyte-buddy-java                 	       0        8        0        0        8
24822 libbytelist-java                   	       0        6        0        0        6
24823 libbytesize-common                 	       0      251        0        0      251
24824 libbzip3-0                         	       0       17        0        0       17
24825 libbzip3-1                         	       0        1        0        0        1
24826 libbzip3-dev                       	       0        3        0        3        0
24827 libbzrtp-dev                       	       0        1        0        1        0
24828 libbzrtp0                          	       0       50        1        2       47
24829 libbzrtp1                          	       0        4        0        0        4
24830 libc++-11-dev                      	       0        4        0        3        1
24831 libc++-14-dev                      	       0        5        0        5        0
24832 libc++-14-dev-wasm32               	       0        4        0        4        0
24833 libc++-16-dev                      	       0        3        0        3        0
24834 libc++-19-dev                      	       0        2        1        1        0
24835 libc++-19-dev-wasm32               	       0        2        0        2        0
24836 libc++-7-dev                       	       0        1        0        1        0
24837 libc++-9-dev                       	       0        1        1        0        0
24838 libc++-dev                         	       0        6        0        0        6
24839 libc++-dev-wasm32                  	       0        4        0        0        4
24840 libc++1                            	       0       32        0        0       32
24841 libc++1-11                         	       0        8        0        7        1
24842 libc++1-14                         	       0       25        0       25        0
24843 libc++1-16t64                      	       0       18        0       18        0
24844 libc++1-19                         	       0       23        1       22        0
24845 libc++1-7                          	       0        4        0        4        0
24846 libc++1-9                          	       0        1        0        1        0
24847 libc++abi-11-dev                   	       0        4        0        3        1
24848 libc++abi-13-dev                   	       0        1        0        1        0
24849 libc++abi-14-dev-wasm32            	       0        4        0        4        0
24850 libc++abi-19-dev                   	       0        2        1        1        0
24851 libc++abi-19-dev-wasm32            	       0        2        0        2        0
24852 libc++abi-9-dev                    	       0        1        0        1        0
24853 libc++abi-dev                      	       0        1        0        0        1
24854 libc++abi1-11                      	       0        8        0        7        1
24855 libc++abi1-13                      	       0        1        0        1        0
24856 libc++abi1-14                      	       0       25        0       25        0
24857 libc++abi1-16t64                   	       0       18        0       18        0
24858 libc++abi1-19                      	       0       23        1       22        0
24859 libc++abi1-7                       	       0        4        0        4        0
24860 libc++abi1-9                       	       0        1        0        1        0
24861 libc-ares-dev                      	       0       15        0       15        0
24862 libc-bin-dbgsym                    	       0        1        0        1        0
24863 libc-client2007e                   	       0       97       14       23       60
24864 libc-client2007e-dev               	       0       18        0       18        0
24865 libc-icap-mod-squidclamav          	       0        1        0        1        0
24866 libc-icap-mod-virus-scan           	       0        4        0        0        4
24867 libc3p0-java                       	       0        8        0        0        8
24868 libc3p0-java-doc                   	       0        1        0        0        1
24869 libc6-amd64                        	       0       19        0        0       19
24870 libc6-amd64-cross                  	       0        3        0        0        3
24871 libc6-amd64-i386-cross             	       0        5        0        0        5
24872 libc6-amd64-x32-cross              	       0        6        0        0        6
24873 libc6-arm64-cross                  	       0       38        0        0       38
24874 libc6-armel-cross                  	       0       25        0        0       25
24875 libc6-armhf-cross                  	       0       31        0        0       31
24876 libc6-dev-amd64                    	       0        6        0        6        0
24877 libc6-dev-amd64-cross              	       0        1        0        1        0
24878 libc6-dev-amd64-i386-cross         	       0        4        0        4        0
24879 libc6-dev-amd64-x32-cross          	       0        5        0        5        0
24880 libc6-dev-arm64-cross              	       0       37        2       35        0
24881 libc6-dev-armel-cross              	       0       23        0       23        0
24882 libc6-dev-armhf-cross              	       0       27        1       26        0
24883 libc6-dev-hppa-cross               	       0        1        0        1        0
24884 libc6-dev-i386-amd64-cross         	       0        1        0        1        0
24885 libc6-dev-i386-cross               	       0       13        1       12        0
24886 libc6-dev-i386-x32-cross           	       0        5        0        5        0
24887 libc6-dev-m68k-cross               	       0        1        0        1        0
24888 libc6-dev-mips-cross               	       0        5        0        5        0
24889 libc6-dev-mips64-cross             	       0        1        0        1        0
24890 libc6-dev-mips64-mips-cross        	       0        2        0        2        0
24891 libc6-dev-mips64-mipsel-cross      	       0        1        0        1        0
24892 libc6-dev-mips64el-cross           	       0        1        0        1        0
24893 libc6-dev-mips64r6el-cross         	       0        1        0        1        0
24894 libc6-dev-mipsel-cross             	       0        5        0        5        0
24895 libc6-dev-mipsn32-mips-cross       	       0        2        0        2        0
24896 libc6-dev-mipsn32-mipsel-cross     	       0        1        0        1        0
24897 libc6-dev-powerpc-cross            	       0        3        0        3        0
24898 libc6-dev-powerpc-ppc64-cross      	       0        1        0        1        0
24899 libc6-dev-ppc64-cross              	       0        4        0        4        0
24900 libc6-dev-ppc64el-cross            	       0        1        0        1        0
24901 libc6-dev-riscv64-cross            	       0        7        1        6        0
24902 libc6-dev-s390x-cross              	       0        2        0        2        0
24903 libc6-dev-sparc64-cross            	       0        1        0        1        0
24904 libc6-dev-x32-cross                	       0        6        0        6        0
24905 libc6-dev-x32-i386-cross           	       0        4        0        4        0
24906 libc6-hppa-cross                   	       0        3        0        0        3
24907 libc6-i386                         	       0      636        0        1      635
24908 libc6-i386-amd64-cross             	       0        2        0        0        2
24909 libc6-i386-cross                   	       0       17        0        0       17
24910 libc6-i386-x32-cross               	       0        5        0        0        5
24911 libc6-i686                         	       0        9        2        1        6
24912 libc6-m68k-cross                   	       0        1        0        0        1
24913 libc6-mips-cross                   	       0        5        0        0        5
24914 libc6-mips64-cross                 	       0        1        0        0        1
24915 libc6-mips64-mips-cross            	       0        2        0        0        2
24916 libc6-mips64-mipsel-cross          	       0        1        0        0        1
24917 libc6-mips64el-cross               	       0        1        0        0        1
24918 libc6-mips64r6el-cross             	       0        1        0        0        1
24919 libc6-mipsel-cross                 	       0        5        0        0        5
24920 libc6-mipsn32-mips-cross           	       0        2        0        0        2
24921 libc6-mipsn32-mipsel-cross         	       0        1        0        0        1
24922 libc6-powerpc-cross                	       0        5        0        0        5
24923 libc6-powerpc-ppc64-cross          	       0        1        0        0        1
24924 libc6-ppc64-cross                  	       0        6        0        0        6
24925 libc6-ppc64el-cross                	       0        1        0        0        1
24926 libc6-riscv64-cross                	       0       10        0        0       10
24927 libc6-s390x-cross                  	       0        4        0        0        4
24928 libc6-sparc64-cross                	       0        3        0        0        3
24929 libc6-x32                          	       0      200        0        0      200
24930 libc6-x32-cross                    	       0        7        0        0        7
24931 libc6-x32-i386-cross               	       0        4        0        0        4
24932 libc6.1-alpha-cross                	       0        4        0        0        4
24933 libc6.1-dev-alpha-cross            	       0        2        0        2        0
24934 libcacard-dev                      	       0        6        1        5        0
24935 libcacard0                         	       0      730       17       35      678
24936 libcache-fastmmap-perl             	       0        8        0        0        8
24937 libcache-lru-perl                  	       0        5        0        5        0
24938 libcache-memcached-fast-perl       	       0        2        1        1        0
24939 libcache-memcached-fast-safe-perl  	       0        2        0        2        0
24940 libcache-memcached-perl            	       0       17        2       15        0
24941 libcache-perl                      	       0       15        0       15        0
24942 libcache-simple-timedexpiry-perl   	       0        2        0        2        0
24943 libcaf-openmpi-3                   	       0       93        0        0       93
24944 libcaf-openmpi-3t64                	       0        8        0        0        8
24945 libcaffe-cpu1                      	       0        1        0        0        1
24946 libcairo-5c-dev                    	       0        1        0        0        1
24947 libcairo-5c0                       	       0        8        0        0        8
24948 libcairo-gobject-perl              	       0     2409        2        4     2403
24949 libcairo-ocaml                     	       0        1        0        1        0
24950 libcairo-perl                      	       0     2600        2        4     2594
24951 libcairo-ruby                      	       0        1        0        0        1
24952 libcairo-ruby1.8                   	       0        2        0        1        1
24953 libcairo1.10-cil                   	       0       60        0        0       60
24954 libcairo2-doc                      	       0       19        0        0       19
24955 libcairo2-ocaml                    	       0        6        0        6        0
24956 libcairo2-ocaml-dev                	       0        5        0        5        0
24957 libcairomm-1.0-1                   	       0       10        0        1        9
24958 libcairomm-1.0-dev                 	       0       52        1       51        0
24959 libcairomm-1.0-doc                 	       0        1        0        0        1
24960 libcairomm-1.16-1                  	       0      173        1        7      165
24961 libcairomm-1.16-dev                	       0        8        0        8        0
24962 libcairomm-1.16-doc                	       0        1        0        0        1
24963 libcaja-extension-dev              	       0        1        0        1        0
24964 libcal3d12                         	       0        2        0        2        0
24965 libcaldav                          	       0       32        0        0       32
24966 libcalendar-ocaml                  	       0        1        0        1        0
24967 libcalendar-ocaml-dev              	       0        1        0        1        0
24968 libcalendar-simple-perl            	       0       16        0       16        0
24969 libcalendaring                     	       0        1        0        0        1
24970 libcalendarsupport-data            	       0        7        0        0        7
24971 libcalendarsupport4                	       0        4        0        1        3
24972 libcallaudio-0-1                   	       0        5        2        1        2
24973 libcallback1                       	       0        2        0        0        2
24974 libcam-pdf-perl                    	       0        1        0        1        0
24975 libcamd2                           	       0     2593        0       14     2579
24976 libcamd2.2.0                       	       0        2        0        0        2
24977 libcamd2.3.1                       	       0       27        0        0       27
24978 libcamd3                           	       0      131        0        2      129
24979 libcamel-1.2-33                    	       0        6        0        0        6
24980 libcamel-1.2-43                    	       0        1        0        0        1
24981 libcamel-1.2-49                    	       0        8        0        0        8
24982 libcamel-1.2-54                    	       0        1        0        0        1
24983 libcamel-1.2-59                    	       0       15        0        0       15
24984 libcamel-1.2-62                    	       0       96        2       30       64
24985 libcamel-1.2-63                    	       0        5        0        1        4
24986 libcamel1.2-dev                    	       0        6        0        6        0
24987 libcamera-calibration-parsers-dev  	       0        1        0        1        0
24988 libcamera-calibration-parsers0d    	       0        1        0        0        1
24989 libcamera-doc                      	       0        1        0        0        1
24990 libcamera-info-manager-dev         	       0        1        0        1        0
24991 libcamera-info-manager0d           	       0        1        0        0        1
24992 libcamera-ipa                      	       0       17        0        0       17
24993 libcamera-v4l2                     	       0        8        0        0        8
24994 libcamera0.0.3                     	       0       24        1       10       13
24995 libcamera0.1                       	       0        1        0        0        1
24996 libcamera0.3                       	       0        9        1        2        6
24997 libcamera0.4                       	       0       10        0        3        7
24998 libcamitk4                         	       0        1        0        1        0
24999 libcamlp-streams-ocaml             	       0       13        0        3       10
25000 libcamlp-streams-ocaml-dev         	       0        1        0        1        0
25001 libcamlp4-ocaml-dev                	       0       16        0       16        0
25002 libcamomile-ocaml-data             	       0        5        0        0        5
25003 libcamomile-ocaml-dev              	       0        2        0        2        0
25004 libcamp0.8                         	       0        1        0        0        1
25005 libcanary-stability-perl           	       0        1        0        1        0
25006 libcanberra-doc                    	       0        3        0        0        3
25007 libcanberra-gstreamer              	       0        5        0        0        5
25008 libcanberra-gtk-common-dev         	       0       17        1       16        0
25009 libcanberra-gtk-dev                	       0        3        0        0        3
25010 libcanberra-gtk-module             	       0      169        0        4      165
25011 libcanberra-gtk3-0t64              	       0       10        0        1        9
25012 libcanberra-gtk3-dev               	       0       14        0        0       14
25013 libcanberra0t64                    	       0       20        0        9       11
25014 libcanl-c2                         	       0        1        0        0        1
25015 libcanl-c4                         	       0        1        0        0        1
25016 libcanlock-dev                     	       0        1        0        1        0
25017 libcanlock2                        	       0       29        0        0       29
25018 libcanlock3                        	       0      992        1        3      988
25019 libcanna1g                         	       0      268        0        0      268
25020 libcantorlibs-data                 	       0       42        0        0       42
25021 libcantorlibs28                    	       0        8        0        0        8
25022 libcantorlibs28abi1                	       0       31        0        0       31
25023 libcantorlibs28abi1t64             	       0       11        0        0       11
25024 libcantorlibs28abi2                	       0        1        0        0        1
25025 libcap-dev                         	       0      112        3      109        0
25026 libcap-ng-utils                    	       0        5        0        5        0
25027 libcap1                            	       0       10        0        1        9
25028 libcapi20-3                        	       0      502        0        1      501
25029 libcapi20-3t64                     	       0       66        0        0       66
25030 libcapi20-dev                      	       0       13        0       13        0
25031 libcapnp-0.7.0                     	       0       12        0        1       11
25032 libcapnp-0.8.0                     	       0        1        0        0        1
25033 libcapnp-0.9.1                     	       0        1        0        0        1
25034 libcapnp-0.9.2                     	       0       28        0        0       28
25035 libcapnp-1.0.1                     	       0        1        0        0        1
25036 libcapnp-1.1.0                     	       0        1        0        0        1
25037 libcapnp-dev                       	       0        4        0        4        0
25038 libcapstone-dev                    	       0       17        2       15        0
25039 libcapstone3                       	       0       55        0        1       54
25040 libcapstone5                       	       0       24        1        0       23
25041 libcapsule-maven-nextflow-java     	       0        1        0        0        1
25042 libcaptcha-recaptcha-perl          	       0        2        0        2        0
25043 libcarddav                         	       0       32        0        0       32
25044 libcaribou-common                  	       0      315        0        0      315
25045 libcaribou-gtk-module              	       0       10        0        0       10
25046 libcaribou-gtk3-module             	       0       12        0        0       12
25047 libcaribou0                        	       0      314        1       13      300
25048 libcarp-always-perl                	       0       25        0       25        0
25049 libcarp-assert-more-perl           	       0       28        1       27        0
25050 libcarp-assert-perl                	       0       20        1       19        0
25051 libcarp-object-perl                	       0        1        0        1        0
25052 libcarrotsearch-hppc-java          	       0        1        0        0        1
25053 libcasa-casa2                      	       0        2        0        0        2
25054 libcasa-casa5                      	       0        5        0        0        5
25055 libcasa-casa7                      	       0        1        0        0        1
25056 libcasa-coordinates2               	       0        1        0        0        1
25057 libcasa-coordinates5               	       0        4        0        0        4
25058 libcasa-coordinates7               	       0        1        0        0        1
25059 libcasa-derivedmscal2              	       0        1        0        0        1
25060 libcasa-derivedmscal5              	       0        4        0        0        4
25061 libcasa-derivedmscal7              	       0        1        0        0        1
25062 libcasa-fits2                      	       0        1        0        0        1
25063 libcasa-fits5                      	       0        4        0        0        4
25064 libcasa-fits7                      	       0        1        0        0        1
25065 libcasa-images2                    	       0        1        0        0        1
25066 libcasa-images5                    	       0        4        0        0        4
25067 libcasa-images7                    	       0        1        0        0        1
25068 libcasa-lattices2                  	       0        1        0        0        1
25069 libcasa-lattices5                  	       0        4        0        0        4
25070 libcasa-lattices7                  	       0        1        0        0        1
25071 libcasa-meas2                      	       0        1        0        0        1
25072 libcasa-meas5                      	       0        4        0        0        4
25073 libcasa-meas7                      	       0        1        0        0        1
25074 libcasa-measures2                  	       0        1        0        0        1
25075 libcasa-measures5                  	       0        5        0        0        5
25076 libcasa-measures7                  	       0        1        0        0        1
25077 libcasa-mirlib2                    	       0        1        0        0        1
25078 libcasa-mirlib5                    	       0        4        0        0        4
25079 libcasa-mirlib7                    	       0        1        0        0        1
25080 libcasa-ms2                        	       0        1        0        0        1
25081 libcasa-ms5                        	       0        5        0        0        5
25082 libcasa-ms7                        	       0        1        0        0        1
25083 libcasa-msfits2                    	       0        1        0        0        1
25084 libcasa-msfits5                    	       0        1        0        0        1
25085 libcasa-python3-5                  	       0        4        0        0        4
25086 libcasa-python3-7                  	       0        1        0        0        1
25087 libcasa-scimath-f2                 	       0        1        0        0        1
25088 libcasa-scimath-f5                 	       0        5        0        0        5
25089 libcasa-scimath-f7                 	       0        1        0        0        1
25090 libcasa-scimath2                   	       0        1        0        0        1
25091 libcasa-scimath5                   	       0        5        0        0        5
25092 libcasa-scimath7                   	       0        1        0        0        1
25093 libcasa-tables2                    	       0        1        0        0        1
25094 libcasa-tables5                    	       0        5        0        0        5
25095 libcasa-tables7                    	       0        1        0        0        1
25096 libcassie-dev                      	       0        1        0        1        0
25097 libcassie-doc                      	       0        1        0        0        1
25098 libcassie1v5                       	       0        3        0        0        3
25099 libcastor-core-java                	       0        3        0        0        3
25100 libcastor-java-doc                 	       0        1        0        0        1
25101 libcastor-xml-java                 	       0        3        0        0        3
25102 libcatalyst-action-renderview-perl 	       0        2        1        1        0
25103 libcatalyst-authentication-credential-authen-simple-perl	       0        1        0        1        0
25104 libcatalyst-authentication-credential-http-perl	       0        1        1        0        0
25105 libcatalyst-authentication-store-dbix-class-perl	       0        1        0        1        0
25106 libcatalyst-component-instancepercontext-perl	       0        2        1        1        0
25107 libcatalyst-controller-formbuilder-perl	       0        1        0        1        0
25108 libcatalyst-controller-html-formfu-perl	       0        1        0        1        0
25109 libcatalyst-devel-perl             	       0        2        1        1        0
25110 libcatalyst-dispatchtype-regex-perl	       0        2        1        1        0
25111 libcatalyst-log-log4perl-perl      	       0        1        0        1        0
25112 libcatalyst-model-dbi-perl         	       0        1        0        1        0
25113 libcatalyst-model-dbic-schema-perl 	       0        2        1        1        0
25114 libcatalyst-modules-perl           	       0        1        0        0        1
25115 libcatalyst-perl                   	       0        3        1        2        0
25116 libcatalyst-plugin-authentication-perl	       0        2        1        1        0
25117 libcatalyst-plugin-authorization-acl-perl	       0        1        0        1        0
25118 libcatalyst-plugin-authorization-roles-perl	       0        1        0        1        0
25119 libcatalyst-plugin-cache-perl      	       0        1        0        1        0
25120 libcatalyst-plugin-cache-store-fastmmap-perl	       0        1        0        1        0
25121 libcatalyst-plugin-configloader-perl	       0        2        1        1        0
25122 libcatalyst-plugin-i18n-perl       	       0        1        0        1        0
25123 libcatalyst-plugin-log-dispatch-perl	       0        1        1        0        0
25124 libcatalyst-plugin-session-perl    	       0        2        1        1        0
25125 libcatalyst-plugin-session-state-cookie-perl	       0        2        1        1        0
25126 libcatalyst-plugin-session-store-cache-perl	       0        1        0        1        0
25127 libcatalyst-plugin-session-store-dbi-perl	       0        1        0        1        0
25128 libcatalyst-plugin-session-store-dbic-perl	       0        1        0        1        0
25129 libcatalyst-plugin-session-store-delegate-perl	       0        1        0        1        0
25130 libcatalyst-plugin-session-store-fastmmap-perl	       0        2        1        1        0
25131 libcatalyst-plugin-session-store-file-perl	       0        1        0        1        0
25132 libcatalyst-plugin-stacktrace-perl 	       0        2        1        1        0
25133 libcatalyst-plugin-static-simple-perl	       0        2        1        1        0
25134 libcatalyst-plugin-subrequest-perl 	       0        1        0        1        0
25135 libcatalyst-plugin-unicode-perl    	       0        1        0        1        0
25136 libcatalyst-view-email-perl        	       0        1        0        1        0
25137 libcatalyst-view-json-perl         	       0        1        0        1        0
25138 libcatalyst-view-mason-perl        	       0        1        0        1        0
25139 libcatalyst-view-petal-perl        	       0        1        1        0        0
25140 libcatalyst-view-tt-perl           	       0        1        0        1        0
25141 libcatalystx-component-traits-perl 	       0        2        1        1        0
25142 libcatmandu-marc-perl              	       0        1        0        1        0
25143 libcatmandu-perl                   	       0        2        0        2        0
25144 libcatmandu-store-elasticsearch-perl	       0        1        0        1        0
25145 libcattle-1.0-0                    	       0        2        0        0        2
25146 libcava-java                       	       0        1        0        0        1
25147 libcbf1                            	       0        4        0        0        4
25148 libcbor-dev                        	       0        3        0        3        0
25149 libcbor-xs-perl                    	       0        6        0        0        6
25150 libcbor0                           	       0      714        0        0      714
25151 libcbor0-dbgsym                    	       0        1        0        1        0
25152 libcc1-0                           	       0     2546        0        0     2546
25153 libcc1-0-dbgsym                    	       0        1        0        1        0
25154 libccd-dev                         	       0        1        0        1        0
25155 libccd2                            	       0       38        0        0       38
25156 libccfits-dev                      	       0        4        0        4        0
25157 libccfits-doc                      	       0        1        0        0        1
25158 libccfits0v5                       	       0        5        0        0        5
25159 libccgnu2-1.7-0                    	       0        2        0        0        2
25160 libccgnu2-1.8-0                    	       0        1        0        0        1
25161 libccgnu2-1.8-0v5                  	       0        2        0        0        2
25162 libccolamd2                        	       0     2593        0       14     2579
25163 libccolamd2.7.1                    	       0        2        0        0        2
25164 libccolamd2.8.0                    	       0       27        0        0       27
25165 libccolamd3                        	       0      131        0        2      129
25166 libccp4-data                       	       0        1        0        0        1
25167 libccp4-dev                        	       0        1        0        1        0
25168 libccp4c0                          	       0        1        0        0        1
25169 libccp4f0                          	       0        1        0        0        1
25170 libccrtp-dev                       	       0        3        0        3        0
25171 libccrtp-doc                       	       0        1        0        1        0
25172 libccrtp0                          	       0        1        0        0        1
25173 libccrtp1-1.7-0                    	       0        2        0        0        2
25174 libccrtp2                          	       0        1        0        0        1
25175 libccrtp2t64                       	       0        2        1        0        1
25176 libccrtp2v5                        	       0       17        1        0       16
25177 libcctz-dev                        	       0        1        0        1        0
25178 libcctz-doc                        	       0        1        0        0        1
25179 libcctz2                           	       0        1        0        0        1
25180 libcdaudio-dev                     	       0        3        0        3        0
25181 libcdaudio1                        	       0       48        0        0       48
25182 libcdb-dev                         	       0        1        0        1        0
25183 libcdb-file-perl                   	       0        2        0        0        2
25184 libcdb1                            	       0       17        1        0       16
25185 libcdd-dev                         	       0        9        0        9        0
25186 libcdd-doc                         	       0        9        0        0        9
25187 libcdd-tools                       	       0       10        0       10        0
25188 libcdd0d                           	       0       15        0        0       15
25189 libcdd0t64                         	       0        2        0        0        2
25190 libcddb-file-perl                  	       0        4        0        4        0
25191 libcddb-get-perl                   	       0       34        0       34        0
25192 libcddb-perl                       	       0       15        0       15        0
25193 libcddb2                           	       0     1863        1        7     1855
25194 libcddb2-dev                       	       0       15        1       14        0
25195 libcdi-api-java                    	       0      466        0        0      466
25196 libcdi-dev                         	       0        1        0        1        0
25197 libcdi0                            	       0        5        0        0        5
25198 libcdio++-dev                      	       0        1        0        0        1
25199 libcdio++1t64                      	       0        1        0        0        1
25200 libcdio-cdda-dev                   	       0       39        1       38        0
25201 libcdio-cdda0                      	       0        5        0        0        5
25202 libcdio-cdda1                      	       0      122        0        0      122
25203 libcdio-cdda2t64                   	       0      189        9       12      168
25204 libcdio-dev                        	       0       47        1       46        0
25205 libcdio-paranoia-dev               	       0       38        1       37        0
25206 libcdio-paranoia0                  	       0        5        0        0        5
25207 libcdio-paranoia1                  	       0      121        0        0      121
25208 libcdio-paranoia2t64               	       0      189        9       12      168
25209 libcdio10                          	       0        9        0        0        9
25210 libcdio13                          	       0      150        0        0      150
25211 libcdio18                          	       0      182        0        1      181
25212 libcdio19t64                       	       0      229       11       14      204
25213 libcdio6                           	       0        2        0        0        2
25214 libcdio7                           	       0        3        0        0        3
25215 libcdk-java                        	       0        2        0        0        2
25216 libcdk-perl                        	       0        3        0        0        3
25217 libcdk5                            	       0        5        0        0        5
25218 libcdk5-dev                        	       0        5        1        4        0
25219 libcdk5-doc                        	       0        2        1        1        0
25220 libcdk5nc6                         	       0       65        0        0       65
25221 libcdk5t64                         	       0       13        0        0       13
25222 libcdparanoia-dev                  	       0        9        0        9        0
25223 libcdparanoia0                     	       0     3275        0        2     3273
25224 libcdr-0.0-0                       	       0        1        0        0        1
25225 libcdr-dev                         	       0        1        1        0        0
25226 libcdr-tools                       	       0        1        0        1        0
25227 libcds-healpix-java                	       0        2        0        0        2
25228 libcds-moc-java                    	       0        1        0        0        1
25229 libcds-savot-java                  	       0        1        0        0        1
25230 libcdt4                            	       0        7        0        0        7
25231 libcdt5                            	       0     1734        0        0     1734
25232 libcec-dev                         	       0        8        0        8        0
25233 libcec-platform1v5                 	       0        1        0        0        1
25234 libcec1                            	       0        1        0        0        1
25235 libcec2                            	       0        3        0        0        3
25236 libcec4                            	       0        8        0        0        8
25237 libcec6                            	       0      102        2       12       88
25238 libcegui-mk2-0.7.6                 	       0        1        0        0        1
25239 libcegui-mk2-0.8.7                 	       0        2        0        0        2
25240 libcegui-mk2-data                  	       0        2        0        0        2
25241 libcegui-mk2-dev                   	       0        2        0        2        0
25242 libcelestia1.7                     	       0        1        0        0        1
25243 libcelt0-0                         	       0        7        0        0        7
25244 libcephfs-dev                      	       0        2        0        2        0
25245 libcephfs1                         	       0        3        0        0        3
25246 libcephfs2                         	       0      288        0        0      288
25247 libcereal-dev                      	       0        5        1        0        4
25248 libceres-dev                       	       0        2        0        2        0
25249 libceres1                          	       0        3        0        0        3
25250 libceres3                          	       0        1        0        0        1
25251 libceres4t64                       	       0        1        0        0        1
25252 libcerf1                           	       0        7        0        0        7
25253 libcerf2                           	       0        2        0        0        2
25254 libcext-dev                        	       0        2        0        2        0
25255 libcext0                           	       0        3        0        0        3
25256 libcf0                             	       0        1        0        0        1
25257 libcfg-dev                         	       0       12        0       12        0
25258 libcfg4                            	       0        3        0        0        3
25259 libcfg6                            	       0       14        0        0       14
25260 libcfg7                            	       0        5        0        2        3
25261 libcfitsio-bin                     	       0       34        1       33        0
25262 libcfitsio-doc                     	       0       48        0        0       48
25263 libcfitsio10                       	       0      778        7       14      757
25264 libcfitsio10t64                    	       0      103        1        0      102
25265 libcfitsio2                        	       0        4        0        0        4
25266 libcfitsio3                        	       0        1        0        0        1
25267 libcfitsio5                        	       0        5        0        0        5
25268 libcfitsio7                        	       0       28        0        0       28
25269 libcfitsio8                        	       0        1        0        0        1
25270 libcfitsio9                        	       0      206        0        4      202
25271 libcg                              	       0       10        0        0       10
25272 libcg3-1                           	       0        6        0        0        6
25273 libcg3-dev                         	       0        1        0        1        0
25274 libcgal-demo                       	       0        2        0        0        2
25275 libcgal-dev                        	       0       19        1       18        0
25276 libcgal-ipelets                    	       0        1        0        1        0
25277 libcgal-qt5-dev                    	       0        2        0        2        0
25278 libcgal10                          	       0        1        0        0        1
25279 libcgal12                          	       0        4        0        0        4
25280 libcgal13                          	       0        9        0        0        9
25281 libcggl                            	       0       10        0        0       10
25282 libcgi-ajax-perl                   	       0        1        0        1        0
25283 libcgi-application-dispatch-perl   	       0        2        0        2        0
25284 libcgi-application-perl            	       0       10        0       10        0
25285 libcgi-compile-perl                	       0       16        2       14        0
25286 libcgi-compress-gzip-perl          	       0        1        0        1        0
25287 libcgi-emulate-psgi-perl           	       0       16        2       14        0
25288 libcgi-expand-perl                 	       0        2        0        2        0
25289 libcgi-formbuilder-perl            	       0        6        0        6        0
25290 libcgi-formbuilder-source-perl-perl	       0        1        0        1        0
25291 libcgi-psgi-perl                   	       0        1        0        1        0
25292 libcgi-session-driver-memcached-perl	       0        2        0        2        0
25293 libcgi-session-perl                	       0       11        1       10        0
25294 libcgi-simple-perl                 	       0        9        1        8        0
25295 libcgi-struct-xs-perl              	       0        3        0        0        3
25296 libcgic-dev                        	       0        1        0        1        0
25297 libcgic2                           	       0        1        0        0        1
25298 libcgicc-dev                       	       0        2        0        2        0
25299 libcgicc-doc                       	       0        1        0        1        0
25300 libcgicc3                          	       0        2        0        0        2
25301 libcgif0                           	       0       13        1        0       12
25302 libcglib-java                      	       0      283        0        0      283
25303 libcglib-nodep-java                	       0        2        0        0        2
25304 libcglib3-java                     	       0        4        0        0        4
25305 libcglm-dev                        	       0        1        0        1        0
25306 libcglm0                           	       0        1        0        0        1
25307 libcgmanager0                      	       0      131        4       22      105
25308 libcgns-dev                        	       0        2        0        2        0
25309 libcgns3.3                         	       0        1        0        0        1
25310 libcgns3.4                         	       0        4        0        0        4
25311 libcgraph5                         	       0        3        0        0        3
25312 libcgraph6                         	       0     1734        0        0     1734
25313 libcgroup-dev                      	       0        5        0        5        0
25314 libcgroup1                         	       0       17        0        0       17
25315 libcgroup2                         	       0       30        0        0       30
25316 libcgroup3                         	       0        6        0        0        6
25317 libchafa-dev                       	       0        2        0        2        0
25318 libchafa0                          	       0      384        0        0      384
25319 libchafa0t64                       	       0       29        0        0       29
25320 libchamplain-0.12-0                	       0      226        2       22      202
25321 libchamplain-0.12-dev              	       0        2        0        2        0
25322 libchamplain-gtk-0.12-0            	       0      225        2       22      201
25323 libchamplain-gtk-0.12-dev          	       0        2        0        0        2
25324 libchardet1                        	       0        6        0        0        6
25325 libchardet1t64                     	       0        3        0        0        3
25326 libcharls-dev                      	       0       16        0       16        0
25327 libcharls1                         	       0        7        0        0        7
25328 libcharls2                         	       0      534        0        5      529
25329 libchart-gnuplot-perl              	       0        1        0        1        0
25330 libchart-perl                      	       0        1        0        1        0
25331 libchatbot-eliza-perl              	       0        1        0        1        0
25332 libchealpix-dev                    	       0        3        0        3        0
25333 libchealpix0                       	       0        3        0        0        3
25334 libcheck-isa-perl                  	       0        2        0        2        0
25335 libchecker-framework-java          	       0        2        0        0        2
25336 libcheese-dev                      	       0        1        0        1        0
25337 libcheese-doc                      	       0        3        0        0        3
25338 libcheese-gtk-dev                  	       0        1        0        1        0
25339 libcheese-gtk25                    	       0      535        0        2      533
25340 libcheese8                         	       0      551        0        2      549
25341 libchemps2-3                       	       0        1        0        0        1
25342 libcherokee-base0                  	       0        2        0        0        2
25343 libcherokee-mod-libssl             	       0        2        0        0        2
25344 libcherokee-mod-mysql              	       0        2        0        0        2
25345 libcherokee-server0                	       0        2        0        0        2
25346 libcheshire-clojure                	       0        1        0        0        1
25347 libchewing3                        	       0        4        0        0        4
25348 libchewing3-data                   	       0        4        0        0        4
25349 libchi-perl                        	       0        6        0        6        0
25350 libchicken-dev                     	       0        7        0        7        0
25351 libchicken11                       	       0        6        0        0        6
25352 libchicken11t64                    	       0        1        0        0        1
25353 libchipcard-data                   	       0        4        0        0        4
25354 libchipcard-libgwenhywfar60-plugins	       0        2        0        0        2
25355 libchipcard-tools                  	       0        2        0        2        0
25356 libchipcard6                       	       0        3        0        0        3
25357 libchipmunk-dev                    	       0        1        0        1        0
25358 libchipmunk7                       	       0       11        0        0       11
25359 libchm-bin                         	       0        7        0        7        0
25360 libchm-dev                         	       0        5        0        5        0
25361 libcholmod1.7.1                    	       0        2        0        0        2
25362 libcholmod2.1.2                    	       0       25        0        0       25
25363 libcholmod3                        	       0     2593        0       14     2579
25364 libcholmod4                        	       0       13        0        0       13
25365 libcholmod5                        	       0      122        0        2      120
25366 libchromaprint-dev                 	       0       20        1       19        0
25367 libchromaprint-tools               	       0       66        1       65        0
25368 libchromaprint0                    	       0       26        0        0       26
25369 libcib27                           	       0        2        0        2        0
25370 libcib4                            	       0        4        0        0        4
25371 libcifpp-data                      	       0        1        0        0        1
25372 libcifpp5                          	       0        1        0        0        1
25373 libciftools-java                   	       0        1        0        0        1
25374 libcilkrts5                        	       0      425        0        0      425
25375 libcilkrts5-dbg                    	       0        3        0        3        0
25376 libcimcclient0                     	       0        1        0        0        1
25377 libcinnamon-control-center-dev     	       0        1        0        1        0
25378 libcinnamon-desktop-dev            	       0        2        0        2        0
25379 libcinnamon-menu-3-dev             	       0        1        0        1        0
25380 libcitadel2                        	       0        1        0        0        1
25381 libcitadel3                        	       0        1        0        0        1
25382 libcitadel4                        	       0        4        0        0        4
25383 libcitygml-dev                     	       0        1        0        1        0
25384 libcitygml2                        	       0        1        0        0        1
25385 libcivetweb1                       	       0        4        1        1        2
25386 libcjose0                          	       0        1        0        0        1
25387 libcjs-dev                         	       0        1        0        1        0
25388 libcjson-dev                       	       0       10        0       10        0
25389 libck-connector-dev                	       0        1        0        1        0
25390 libckyapplet1                      	       0        6        0        0        6
25391 libclalsadrv-dev                   	       0        7        0        7        0
25392 libclalsadrv2                      	       0       22        0        0       22
25393 libclamav11t64                     	       0        1        0        0        1
25394 libclamav12                        	       0       13        0       12        1
25395 libclamav5                         	       0        1        0        0        1
25396 libclamav6                         	       0        3        0        0        3
25397 libclamav7                         	       0        6        0        0        6
25398 libclamav9                         	       0       68        3       17       48
25399 libclamunrar                       	       0       18        0        0       18
25400 libclamunrar11                     	       0       16        4       10        2
25401 libclamunrar12                     	       0        1        0        1        0
25402 libclamunrar6                      	       0        1        0        0        1
25403 libclamunrar9                      	       0        8        0        1        7
25404 libclan2c2a-mikmod                 	       0        1        0        0        1
25405 libclan2c2a-sound                  	       0        1        0        0        1
25406 libclanapp-1.0v5                   	       0        5        0        0        5
25407 libclang-11-dev                    	       0       10        1        9        0
25408 libclang-13-dev                    	       0        3        0        3        0
25409 libclang-14-dev                    	       0       40        2       38        0
25410 libclang-15-dev                    	       0        2        0        2        0
25411 libclang-16-dev                    	       0        8        0        8        0
25412 libclang-17-dev                    	       0        3        0        3        0
25413 libclang-18-dev                    	       0        1        0        1        0
25414 libclang-19-dev                    	       0        9        1        8        0
25415 libclang-20-dev                    	       0        1        0        1        0
25416 libclang-7-dev                     	       0        1        0        1        0
25417 libclang-9-dev                     	       0        1        0        1        0
25418 libclang-common-10-dev             	       0        5        0        5        0
25419 libclang-common-11-dev             	       0      117        1      115        1
25420 libclang-common-13-dev             	       0       28        1       27        0
25421 libclang-common-16-dev             	       0       56        1       55        0
25422 libclang-common-20-dev             	       0        2        0        2        0
25423 libclang-common-3.5-dev            	       0       10        0       10        0
25424 libclang-common-3.6-dev            	       0        1        0        1        0
25425 libclang-common-3.8-dev            	       0        5        0        5        0
25426 libclang-common-3.9-dev            	       0        2        0        2        0
25427 libclang-common-6.0-dev            	       0        7        0        7        0
25428 libclang-common-7-dev              	       0       18        0       18        0
25429 libclang-common-8-dev              	       0        2        0        2        0
25430 libclang-common-9-dev              	       0       33        1       32        0
25431 libclang-cpp-dev                   	       0        8        0        0        8
25432 libclang-cpp10                     	       0        5        0        5        0
25433 libclang-cpp11-dev                 	       0        2        0        2        0
25434 libclang-cpp13                     	       0       35        2       33        0
25435 libclang-cpp13-dev                 	       0        3        0        3        0
25436 libclang-cpp14-dev                 	       0        4        0        4        0
25437 libclang-cpp14t64                  	       0       14        0       14        0
25438 libclang-cpp15-dev                 	       0        3        0        3        0
25439 libclang-cpp15t64                  	       0       10        0       10        0
25440 libclang-cpp16                     	       0       28        2       26        0
25441 libclang-cpp16-dev                 	       0        3        0        3        0
25442 libclang-cpp16t64                  	       0       35        0       35        0
25443 libclang-cpp17                     	       0        1        0        1        0
25444 libclang-cpp17-dev                 	       0        3        0        3        0
25445 libclang-cpp18-dev                 	       0        1        0        1        0
25446 libclang-cpp19-dev                 	       0        2        1        1        0
25447 libclang-cpp20                     	       0        2        0        1        1
25448 libclang-cpp9                      	       0       49        2       47        0
25449 libclang-dev                       	       0       59        0        0       59
25450 libclang-perl                      	       0        3        0        0        3
25451 libclang-rt-14-dev-wasm32          	       0        3        0        3        0
25452 libclang-rt-15-dev                 	       0        8        0        8        0
25453 libclang-rt-16-dev                 	       0       50        1       49        0
25454 libclang-rt-19-dev-wasm32          	       0        1        0        1        0
25455 libclang-rt-20-dev                 	       0        2        0        2        0
25456 libclang-rt-dev                    	       0        1        0        0        1
25457 libclang-rt-dev-wasm32             	       0        3        0        0        3
25458 libclang1                          	       0       10        0        0       10
25459 libclang1-10                       	       0        5        0        5        0
25460 libclang1-11                       	       0      159        4      154        1
25461 libclang1-13                       	       0       31        1       30        0
25462 libclang1-14t64                    	       0       11        0       11        0
25463 libclang1-15t64                    	       0       11        0       11        0
25464 libclang1-16                       	       0       24        1       23        0
25465 libclang1-16t64                    	       0       36        0       36        0
25466 libclang1-17                       	       0        1        0        1        0
25467 libclang1-20                       	       0        2        0        2        0
25468 libclang1-3.5                      	       0       12        0       12        0
25469 libclang1-3.8                      	       0        5        0        5        0
25470 libclang1-3.9                      	       0       11        0       11        0
25471 libclang1-6.0                      	       0       21        0       21        0
25472 libclang1-7                        	       0       32        0       32        0
25473 libclang1-8                        	       0        2        0        2        0
25474 libclang1-9                        	       0        4        1        3        0
25475 libclanlib-dev                     	       0        1        0        1        0
25476 libclanlib2c2a                     	       0        1        0        0        1
25477 libclansdl-1.0v5                   	       0        2        0        0        2
25478 libclass-accessor-grouped-perl     	       0        7        1        6        0
25479 libclass-accessor-lite-perl        	       0        6        0        6        0
25480 libclass-adapter-perl              	       0        3        0        3        0
25481 libclass-autouse-perl              	       0        2        0        2        0
25482 libclass-c3-adopt-next-perl        	       0        3        1        2        0
25483 libclass-c3-componentised-perl     	       0        7        1        6        0
25484 libclass-c3-xs-perl                	       0      653        0        0      653
25485 libclass-container-perl            	       0        3        0        3        0
25486 libclass-csv-perl                  	       0        1        0        1        0
25487 libclass-data-accessor-perl        	       0        3        0        3        0
25488 libclass-dbi-abstractsearch-perl   	       0       23        0       23        0
25489 libclass-dbi-mysql-perl            	       0       22        1       21        0
25490 libclass-dbi-perl                  	       0       29        1       28        0
25491 libclass-dbi-pg-perl               	       0        2        0        2        0
25492 libclass-dbi-plugin-perl           	       0        1        0        1        0
25493 libclass-dbi-sqlite-perl           	       0        3        0        3        0
25494 libclass-errorhandler-perl         	       0       14        0       14        0
25495 libclass-factory-util-perl         	       0       36        1       35        0
25496 libclass-inner-perl                	       0        1        0        1        0
25497 libclass-load-perl                 	       0      146        5      141        0
25498 libclass-load-xs-perl              	       0      108        0        0      108
25499 libclass-loader-dev                	       0        1        0        0        1
25500 libclass-loader1d                  	       0        1        0        0        1
25501 libclass-loader3d                  	       0        2        0        0        2
25502 libclass-makemethods-perl          	       0        7        1        6        0
25503 libclass-measure-perl              	       0        5        0        5        0
25504 libclass-methodmaker-perl          	       0       34        0        0       34
25505 libclass-mix-perl                  	       0       23        0       23        0
25506 libclass-returnvalue-perl          	       0        6        1        5        0
25507 libclass-std-fast-perl             	       0       11        0       11        0
25508 libclass-std-perl                  	       0       12        0       12        0
25509 libclass-throwable-perl            	       0        1        0        1        0
25510 libclass-tiny-chained-perl         	       0        2        1        1        0
25511 libclass-trigger-perl              	       0       32        1       31        0
25512 libclass-unload-perl               	       0        6        1        5        0
25513 libclass-virtual-perl              	       0        2        0        2        0
25514 libclass-xsaccessor-perl           	       0     1137        4        6     1127
25515 libclassmate-java                  	       0        2        0        0        2
25516 libclassworlds-java                	       0        5        0        0        5
25517 libclassworlds-java-doc            	       0        2        0        0        2
25518 libclassycle-java                  	       0        1        0        0        1
25519 libclaw-application1               	       0        1        0        0        1
25520 libclaw-application1v5             	       0        3        0        0        3
25521 libclaw-configuration-file1        	       0        1        0        0        1
25522 libclaw-configuration-file1v5      	       0        3        0        0        3
25523 libclaw-dev                        	       0        1        0        1        0
25524 libclaw-dynamic-library1           	       0        1        0        0        1
25525 libclaw-dynamic-library1v5         	       0        3        0        0        3
25526 libclaw-graphic1                   	       0        1        0        0        1
25527 libclaw-graphic1v5                 	       0        4        0        0        4
25528 libclaw-logger1                    	       0        1        0        0        1
25529 libclaw-logger1v5                  	       0        3        0        0        3
25530 libclaw-net1                       	       0        1        0        0        1
25531 libclaw-net1v5                     	       0        3        0        0        3
25532 libclaw-tween1                     	       0        1        0        0        1
25533 libclaw-tween1v5                   	       0        3        0        0        3
25534 libclblas-dev                      	       0        4        0        4        0
25535 libclblas-doc                      	       0        2        0        0        2
25536 libclblas2                         	       0        4        0        0        4
25537 libclblast-dev                     	       0        3        0        3        0
25538 libclblast1                        	       0        3        0        0        3
25539 libclc-16                          	       0        1        0        1        0
25540 libclc-16-dev                      	       0        2        0        2        0
25541 libclc-17                          	       0        1        0        1        0
25542 libclc-17-dev                      	       0        3        1        2        0
25543 libclc-18                          	       0        1        0        1        0
25544 libclc-18-dev                      	       0        1        0        1        0
25545 libclc-19                          	       0        3        0        3        0
25546 libclc-19-dev                      	       0        3        0        3        0
25547 libclc-amdgcn                      	       0       12        0       12        0
25548 libclc-dev                         	       0       15        0       15        0
25549 libclc-r600                        	       0       12        0       12        0
25550 libcld2-0                          	       0       15        0        1       14
25551 libcld2-dev                        	       0        4        0        4        0
25552 libclfft-dev                       	       0        3        0        3        0
25553 libclfft-doc                       	       0        1        0        0        1
25554 libclfft2                          	       0        5        0        0        5
25555 libcli-osprey-perl                 	       0        3        0        3        0
25556 libcli1.10                         	       0        5        0        0        5
25557 libcli1.10t64                      	       0        2        0        0        2
25558 libcli1.9                          	       0        4        0        0        4
25559 libcli11-dev                       	       0        1        0        0        1
25560 libclipboard-perl                  	       0        7        0        7        0
25561 libclipper-dev                     	       0        1        0        1        0
25562 libclipper2                        	       0        1        0        0        1
25563 libclippoly0                       	       0        1        0        0        1
25564 libclips                           	       0        5        0        0        5
25565 libcliquer-dev                     	       0        8        0        8        0
25566 libcliquer1                        	       0       14        0        0       14
25567 libclj-digest-clojure              	       0        1        0        0        1
25568 libclj-time-clojure                	       0        3        0        0        3
25569 libclj-yaml-clojure                	       0        1        0        0        1
25570 libcln-dev                         	       0        8        1        7        0
25571 libcln4                            	       0        1        0        0        1
25572 libcln5                            	       0        1        0        0        1
25573 libcln6                            	       0       40        0        1       39
25574 libclojure-java                    	       0       18        0        0       18
25575 libclojure-maven-plugin-java       	       0        1        0        0        1
25576 libclone-choose-perl               	       0      123        2      121        0
25577 libclone-perl                      	       0     3350        9       27     3314
25578 libclone-pp-perl                   	       0        1        0        1        0
25579 libcloog-isl4                      	       0      162        0        0      162
25580 libcloog-ppl-dev                   	       0        1        0        1        0
25581 libcloog-ppl1                      	       0        5        0        0        5
25582 libclosure-compiler-java           	       0        6        0        0        6
25583 libclosure-compiler-java-doc       	       0        1        0        0        1
25584 libcloudflare-ddns2                	       0        1        0        0        1
25585 libcloudproviders-doc              	       0        1        0        0        1
25586 libclout-clojure                   	       0        1        0        0        1
25587 libclsparse-dev                    	       0        1        0        1        0
25588 libclsparse1                       	       0        1        0        0        1
25589 libclthreads-dev                   	       0        5        0        5        0
25590 libclthreads2                      	       0       49        0        0       49
25591 libclthreads2t64                   	       0        2        0        0        2
25592 libclucene-contribs1               	       0       13        0        0       13
25593 libclucene-contribs1t64            	       0      190        3        3      184
25594 libclucene-core1                   	       0       16        0        0       16
25595 libclucene-core1t64                	       0      191        3        3      185
25596 libclucene-dev                     	       0        2        0        2        0
25597 libclucene0ldbl                    	       0        5        0        0        5
25598 libclustalo-dev                    	       0        1        0        1        0
25599 libclustalo-doc                    	       0        1        0        0        1
25600 libclutter-1.0-0                   	       0      825        2       27      796
25601 libclutter-1.0-common              	       0      786        0        5      781
25602 libclutter-1.0-dev                 	       0        6        0        6        0
25603 libclutter-1.0-doc                 	       0        3        0        0        3
25604 libclutter-gst-1.0-0               	       0        4        0        0        4
25605 libclutter-gst-2.0-0               	       0       10        0        0       10
25606 libclutter-gst-3.0-0               	       0      579        0        3      576
25607 libclutter-gst-3.0-dev             	       0        1        0        1        0
25608 libclutter-gst-3.0-doc             	       0        1        0        0        1
25609 libclutter-gtk-0.10-0              	       0        1        0        0        1
25610 libclutter-gtk-1.0-0               	       0      788        2       27      759
25611 libclutter-gtk-1.0-dev             	       0        6        0        6        0
25612 libclutter-gtk-1.0-doc             	       0        2        0        0        2
25613 libclutter-imcontext-0.1-0         	       0       70        0        0       70
25614 libcluttergesture-0.0.2-0          	       0        6        0        0        6
25615 libclxclient-dev                   	       0        5        0        5        0
25616 libclxclient3                      	       0       48        0        0       48
25617 libclxclient3t64                   	       0        2        0        0        2
25618 libcmap4                           	       0       11        1        3        7
25619 libcmark-dev                       	       0        4        0        4        0
25620 libcmark-gfm-dev                   	       0        2        0        2        0
25621 libcmark-gfm-extensions-dev        	       0        2        0        2        0
25622 libcmark-gfm-extensions0           	       0       48        0        0       48
25623 libcmark-gfm-extensions0.29.0.gfm.13	       0        1        0        0        1
25624 libcmark-gfm-extensions0.29.0.gfm.2	       0        2        0        0        2
25625 libcmark-gfm-extensions0.29.0.gfm.3	       0        4        0        0        4
25626 libcmark-gfm-extensions0.29.0.gfm.6	       0       24        0        0       24
25627 libcmark-gfm0                      	       0       48        0        0       48
25628 libcmark-gfm0.29.0.gfm.13          	       0        1        0        0        1
25629 libcmark-gfm0.29.0.gfm.2           	       0        2        0        0        2
25630 libcmark-gfm0.29.0.gfm.3           	       0        4        0        0        4
25631 libcmark-gfm0.29.0.gfm.6           	       0       24        0        0       24
25632 libcmark0                          	       0        4        0        0        4
25633 libcmark0.29.0                     	       0       18        0        0       18
25634 libcmdliner-ocaml-dev              	       0        1        0        1        0
25635 libcminpack-dev                    	       0        4        0        4        0
25636 libcminpack1                       	       0       19        0        0       19
25637 libcmis-0.2-0                      	       0        8        0        0        8
25638 libcmis-0.4-4                      	       0       14        0        0       14
25639 libcmis-0.5-5v5                    	       0      646        0        0      646
25640 libcmis-0.6-6                      	       0        5        0        0        5
25641 libcmis-0.6-6t64                   	       0      190        0        0      190
25642 libcmis-dev                        	       0        1        0        1        0
25643 libcmlxom-java                     	       0        2        0        0        2
25644 libcmocka-dev                      	       0       21        1       20        0
25645 libcmocka0                         	       0       22        0        0       22
25646 libcmocka0-dbgsym                  	       0        1        0        1        0
25647 libcmpicppimpl0                    	       0        1        0        0        1
25648 libcneartree-dev                   	       0        1        0        1        0
25649 libcneartree7                      	       0        4        0        0        4
25650 libcoarrays-openmpi-dev            	       0      101        0        0      101
25651 libcob4                            	       0        5        0        0        5
25652 libcob4-dev                        	       0       11        0       11        0
25653 libcob4t64                         	       0        6        0        0        6
25654 libcob5                            	       0        2        0        0        2
25655 libcob5-dev                        	       0        4        0        4        0
25656 libcob5t64                         	       0        2        0        0        2
25657 libcobertura-java                  	       0        1        0        0        1
25658 libcobra-java                      	       0        1        0        0        1
25659 libcoda-dev                        	       0        1        0        1        0
25660 libcoda15                          	       0        4        0        0        4
25661 libcoda16                          	       0        1        0        0        1
25662 libcode-tidyall-perl               	       0        1        0        1        0
25663 libcode-tidyall-plugin-clangformat-perl	       0        1        0        1        0
25664 libcodeblocks0                     	       0       62        0        2       60
25665 libcodeblocks0t64                  	       0        4        0        0        4
25666 libcodec2-0.4                      	       0        4        0        0        4
25667 libcodec2-0.8.1                    	       0      208        2        3      203
25668 libcodec2-1.1                      	       0        8        0        2        6
25669 libcodec2-dev                      	       0       23        0       23        0
25670 libcodec21                         	       0        1        0        0        1
25671 libcodecserver                     	       0        1        0        0        1
25672 libcodemodel-java                  	       0      335        0        0      335
25673 libcodenarc-groovy-java            	       0        1        0        0        1
25674 libcodesize-java                   	       0        4        0        0        4
25675 libcofoja-java                     	       0        1        0        0        1
25676 libcogl-common                     	       0      789        0        4      785
25677 libcogl-dev                        	       0        7        0        7        0
25678 libcogl-doc                        	       0        1        0        0        1
25679 libcogl-pango-dev                  	       0        6        0        6        0
25680 libcogl-pango0                     	       0        1        0        0        1
25681 libcogl-pango20                    	       0      828        2       27      799
25682 libcogl-path-dev                   	       0        7        0        0        7
25683 libcogl-path20                     	       0      828        2       27      799
25684 libcogl20                          	       0      830        2       27      801
25685 libcogl9                           	       0        1        0        0        1
25686 libcoin-dev                        	       0        7        0        7        0
25687 libcoin80                          	       0        1        0        0        1
25688 libcoin80c                         	       0      227        1        1      225
25689 libcoin80t64                       	       0       31        0        0       31
25690 libcoin80v5                        	       0        7        0        0        7
25691 libcolamd2                         	       0     2794        0       14     2780
25692 libcolamd2.7.1                     	       0        7        0        0        7
25693 libcolamd2.8.0                     	       0       30        0        0       30
25694 libcolamd3                         	       0      213        0        2      211
25695 libcollada-dom-dev                 	       0        2        0        2        0
25696 libcollada-dom2.4-dp-dev           	       0        1        0        0        1
25697 libcollada-dom2.4-dp0              	       0        1        0        0        1
25698 libcollada-dom2.5-dp0              	       0      164        0        0      164
25699 libcollada-parser-dev              	       0        1        0        1        0
25700 libcollada-parser1d                	       0        1        0        0        1
25701 libcollada-urdf-dev                	       0        1        0        1        0
25702 libcollada-urdf1d                  	       0        1        0        0        1
25703 libcollada2gltfconvert-dev         	       0        1        0        1        0
25704 libcollectdclient1                 	       0        7        0        0        7
25705 libcollection4                     	       0       13        0        7        6
25706 libcolor-ansi-util-perl            	       0        1        0        1        0
25707 libcolor-rgb-util-perl             	       0        1        0        1        0
25708 libcolorblind0                     	       0        3        0        0        3
25709 libcolord-gtk-dev                  	       0        2        0        0        2
25710 libcolord-gtk-headers              	       0        3        0        3        0
25711 libcolord-gtk1                     	       0      212        0        2      210
25712 libcolord-gtk1t64                  	       0       20        0        0       20
25713 libcolord-gtk4-1t64                	       0       18        0        0       18
25714 libcolord-gtk4-dev                 	       0        1        0        0        1
25715 libcolord1                         	       0       14        0        0       14
25716 libcolorhug2                       	       0     2856        0        0     2856
25717 libcolorpicker-java                	       0        5        0        0        5
25718 libcolpack-dev                     	       0        2        0        2        0
25719 libcolpack0t64                     	       0        1        0        0        1
25720 libcolpack0v5                      	       0        2        0        0        2
25721 libcolt-free-java                  	       0        1        0        0        1
25722 libcom-dev                         	       0        2        0        0        2
25723 libcom-err2t64                     	       0       20        0        1       19
25724 libcom3.17.6                       	       0        2        0        0        2
25725 libcombblas1.16.0                  	       0       56        0        0       56
25726 libcombblas2.0.0                   	       0        2        0        0        2
25727 libcombblas2.0.0t64                	       0        2        0        0        2
25728 libcomedi-dev                      	       0        1        0        1        0
25729 libcomedi0                         	       0       24        0       24        0
25730 libcomerr2                         	       0      741        0        0      741
25731 libcomidi-clojure                  	       0        1        0        0        1
25732 libcommon-sense-perl               	       0     1039        0        0     1039
25733 libcommoncpp2-dev                  	       0        1        0        1        0
25734 libcommons-beanutils-java          	       0       33        0        0       33
25735 libcommons-cli-java                	       0      508        0        0      508
25736 libcommons-codec-java              	       0      546        0        0      546
25737 libcommons-codec-java-doc          	       0        1        0        0        1
25738 libcommons-collections-java        	       0        1        0        0        1
25739 libcommons-collections3-java       	       0      798        0        0      798
25740 libcommons-collections3-java-doc   	       0        7        0        0        7
25741 libcommons-collections4-java       	       0      296        0        0      296
25742 libcommons-compress-java           	       0      497        0        0      497
25743 libcommons-configuration-java      	       0       13        0        0       13
25744 libcommons-configuration-java-doc  	       0        1        0        0        1
25745 libcommons-configuration2-java     	       0       20        0        0       20
25746 libcommons-csv-java                	       0       14        0        0       14
25747 libcommons-daemon-java             	       0       14        0        1       13
25748 libcommons-dbcp-java               	       0       23        0        0       23
25749 libcommons-dbcp-java-doc           	       0        1        0        0        1
25750 libcommons-dbcp2-java              	       0       19        0        0       19
25751 libcommons-digester-java           	       0       32        0        0       32
25752 libcommons-discovery-java          	       0        3        0        0        3
25753 libcommons-el-java                 	       0        2        0        0        2
25754 libcommons-exec-java               	       0       98        0        0       98
25755 libcommons-fileupload-java         	       0        7        0        0        7
25756 libcommons-httpclient-java         	       0       27        0        0       27
25757 libcommons-httpclient-java-doc     	       0        2        0        0        2
25758 libcommons-io-java                 	       0      919        0        0      919
25759 libcommons-io-java-doc             	       0       10        0        0       10
25760 libcommons-jexl-java               	       0        2        0        0        2
25761 libcommons-jexl2-java              	       0       13        0        0       13
25762 libcommons-jxpath-java             	       0        2        0        0        2
25763 libcommons-lang-java               	       0      114        0        0      114
25764 libcommons-lang-java-doc           	       0        3        0        0        3
25765 libcommons-lang3-java              	       0      713        0        0      713
25766 libcommons-lang3-java-doc          	       0        2        0        0        2
25767 libcommons-launcher-java           	       0        1        0        1        0
25768 libcommons-logging-java            	       0     1098        0        0     1098
25769 libcommons-logging-java-doc        	       0       10        0        0       10
25770 libcommons-math-java               	       0       35        0        0       35
25771 libcommons-math3-java              	       0      302        0        0      302
25772 libcommons-modeler-java            	       0        1        0        0        1
25773 libcommons-net-java                	       0      131        0        0      131
25774 libcommons-net-java-doc            	       0        4        0        0        4
25775 libcommons-net1-java               	       0        1        0        0        1
25776 libcommons-net2-java               	       0        1        0        0        1
25777 libcommons-parent-java             	       0     1190        0        0     1190
25778 libcommons-pool-java               	       0       24        0        0       24
25779 libcommons-pool-java-doc           	       0        1        0        0        1
25780 libcommons-pool2-java              	       0       22        0        0       22
25781 libcommons-text-java               	       0       51        0        0       51
25782 libcommons-validator-java          	       0       13        0        0       13
25783 libcommons-vfs-java                	       0        3        0        0        3
25784 libcompel1                         	       0       11        0        0       11
25785 libcompfaceg1                      	       0      485        8       35      442
25786 libcompfaceg1-dev                  	       0        6        1        5        0
25787 libcompizconfig0-trinity           	       0        2        0        2        0
25788 libcompojure-clojure               	       0        1        0        0        1
25789 libcompress-bzip2-perl             	       0       56        0        0       56
25790 libcompress-lz4-perl               	       0        7        0        0        7
25791 libcompress-lzf-java               	       0        5        0        0        5
25792 libcompress-raw-bzip2-perl         	       0       31        1        3       27
25793 libcompress-raw-lzma-perl          	       0       64        1        3       60
25794 libcompress-raw-zlib-perl          	       0       34        3        5       26
25795 libcompress-snappy-perl            	       0        7        0        0        7
25796 libcomps0                          	       0        3        0        0        3
25797 libconcord4                        	       0        1        0        0        1
25798 libconcord6                        	       0        2        0        0        2
25799 libconcurrent-java                 	       0        2        0        0        2
25800 libconfdb-dev                      	       0        1        0        1        0
25801 libconfdb4                         	       0        1        0        0        1
25802 libconfig++-dev                    	       0        5        0        0        5
25803 libconfig++11                      	       0       32        0        0       32
25804 libconfig++9                       	       0        1        0        0        1
25805 libconfig++9v5                     	       0      277        1        1      275
25806 libconfig-any-perl                 	       0       16        2       14        0
25807 libconfig-apacheformat-perl        	       0        1        0        1        0
25808 libconfig-auto-perl                	       0       39        2       37        0
25809 libconfig-autoconf-perl            	       0        1        0        1        0
25810 libconfig-crontab-perl             	       0        1        0        1        0
25811 libconfig-dev                      	       0       23        0       23        0
25812 libconfig-doc                      	       0       18        0        0       18
25813 libconfig-file-perl                	       0       35        3       32        0
25814 libconfig-find-perl                	       0        2        0        2        0
25815 libconfig-general-perl             	       0      102        3       99        0
25816 libconfig-gitlike-perl             	       0        3        0        3        0
25817 libconfig-grammar-perl             	       0       17        1       16        0
25818 libconfig-identity-perl            	       0        1        0        1        0
25819 libconfig-ini-perl                 	       0       11        0       11        0
25820 libconfig-ini-reader-ordered-perl  	       0        1        0        1        0
25821 libconfig-inihash-perl             	       0        1        0        1        0
25822 libconfig-model-approx-perl        	       0       19        0       19        0
25823 libconfig-model-backend-yaml-perl  	       0       20        0       20        0
25824 libconfig-model-cursesui-perl      	       0        1        0        1        0
25825 libconfig-model-dpkg-perl          	       0       18        0       18        0
25826 libconfig-model-lcdproc-perl       	       0       23        1       22        0
25827 libconfig-model-openssh-perl       	       0       19        0       19        0
25828 libconfig-model-perl               	       0       26        1       25        0
25829 libconfig-model-systemd-perl       	       0       19        0       19        0
25830 libconfig-model-tkui-perl          	       0       19        0       19        0
25831 libconfig-mvp-perl                 	       0        1        0        1        0
25832 libconfig-mvp-reader-ini-perl      	       0        1        0        1        0
25833 libconfig-onion-perl               	       0        2        0        2        0
25834 libconfig-std-perl                 	       0        1        0        1        0
25835 libconfig-yaml-perl                	       0        3        0        3        0
25836 libconfig11                        	       0       12        3        0        9
25837 libconfigreader-perl               	       0        1        0        1        0
25838 libconfigreader-simple-perl        	       0        3        0        3        0
25839 libconfuse-common                  	       0      294        0        0      294
25840 libconfuse-dev                     	       0       12        0       12        0
25841 libconfuse-doc                     	       0        9        0        0        9
25842 libconfuse0                        	       0        5        0        0        5
25843 libconfuse1                        	       0        7        0        0        7
25844 libconfuse2                        	       0      281       15       41      225
25845 libconsole                         	       0       10        0        0       10
25846 libconsole-bridge-dev              	       0        3        0        3        0
25847 libconsole-bridge0.4               	       0        2        0        0        2
25848 libconsole-bridge1.0               	       0        3        0        0        3
25849 libconsolekit1                     	       0        2        0        0        2
25850 libconstant-defer-perl             	       0       27        0       27        0
25851 libconstantine-java                	       0        2        0        0        2
25852 libcontext-preserve-perl           	       0        8        2        6        0
25853 libcontrolsfx-java                 	       0       20        0        0       20
25854 libconversant-disruptor-java       	       0        5        0        0        5
25855 libconvert-base32-perl             	       0        3        1        2        0
25856 libconvert-ber-perl                	       0        1        0        1        0
25857 libconvert-binary-c-perl           	       0        4        0        4        0
25858 libconvert-color-perl              	       0       45        0       45        0
25859 libconvert-pem-perl                	       0        1        0        1        0
25860 libconvert-scalar-perl             	       0        5        0        0        5
25861 libconvert-tnef-perl               	       0       28        1       27        0
25862 libconvert-uulib-perl              	       0       29        0        0       29
25863 libcookie-baker-perl               	       0       17        2       15        0
25864 libcookie-baker-xs-perl            	       0       12        1        1       10
25865 libcoq-core-ocaml                  	       0        3        0        3        0
25866 libcoq-stdlib                      	       0        3        0        3        0
25867 libcore-async-clojure              	       0        1        0        0        1
25868 libcore-cache-clojure              	       0        1        0        0        1
25869 libcore-memoize-clojure            	       0        1        0        0        1
25870 libcore-specs-alpha-clojure        	       0       18        0        0       18
25871 libcork16                          	       0        4        1        3        0
25872 libcorkipset1                      	       0        4        1        3        0
25873 libcoroipcc-dev                    	       0        1        0        1        0
25874 libcoroipcc4                       	       0        6        0        0        6
25875 libcoroipcs-dev                    	       0        1        0        1        0
25876 libcoroipcs4                       	       0        1        0        0        1
25877 libcorosync-common-dev             	       0       12        0       12        0
25878 libcorosync-common4                	       0       23        1        3       19
25879 libcorosync-dev                    	       0        1        0        0        1
25880 libcortado-java                    	       0       19        0        0       19
25881 libcos4-2                          	       0        2        0        0        2
25882 libcotp12                          	       0       13        0        0       13
25883 libcotp3                           	       0        4        0        0        4
25884 libcourier-unicode1                	       0        2        0        0        2
25885 libcourier-unicode4                	       0       31        5        3       23
25886 libcoverart-dev                    	       0        2        0        2        0
25887 libcoverart1                       	       0        7        0        0        7
25888 libcoverartcc1v5                   	       0        7        0        0        7
25889 libcpan-changes-perl               	       0       93        1       92        0
25890 libcpan-common-index-perl          	       0        4        0        4        0
25891 libcpan-distnameinfo-perl          	       0       42        0       42        0
25892 libcpan-meta-check-perl            	       0       41        0       41        0
25893 libcpan-meta-requirements-perl     	       0        5        0        5        0
25894 libcpan-meta-yaml-perl             	       0        3        0        3        0
25895 libcpan-mini-perl                  	       0        1        0        1        0
25896 libcpan-sqlite-perl                	       0        1        0        1        0
25897 libcpandb-perl                     	       0        1        0        1        0
25898 libcpanplus-dist-build-perl        	       0        3        0        3        0
25899 libcpath-clojure                   	       0        1        0        0        1
25900 libcpdb-dev                        	       0        1        0        1        0
25901 libcpdb-frontend-dev               	       0        1        0        1        0
25902 libcpdb-frontend2t64               	       0       50        0        0       50
25903 libcpdb-libs-common1               	       0        4        0        0        4
25904 libcpdb2t64                        	       0       51        0        0       51
25905 libcpg-dev                         	       0       12        0       12        0
25906 libcpg4                            	       0       21        0        2       19
25907 libcpgplot0                        	       0        6        0        0        6
25908 libcpl-dev                         	       0        2        0        2        0
25909 libcplcore26                       	       0        3        0        0        3
25910 libcpldfs26                        	       0        3        0        0        3
25911 libcpldrs26                        	       0        3        0        0        3
25912 libcplui26                         	       0        3        0        0        3
25913 libcpp-common0d                    	       0        4        0        0        4
25914 libcpp-hocon-dev                   	       0        1        0        1        0
25915 libcpp-hocon0.1.6                  	       0        1        0        0        1
25916 libcpp-hocon0.1.7                  	       0       10        0        0       10
25917 libcpp-hocon0.3.0                  	       0        4        0        0        4
25918 libcpp-httplib-dev                 	       0        1        0        1        0
25919 libcpp-httplib0.11                 	       0       26        1        1       24
25920 libcpp-httplib0.13                 	       0        2        0        0        2
25921 libcpp-httplib0.14t64              	       0        1        0        1        0
25922 libcpp-httplib0.16                 	       0        1        0        0        1
25923 libcppad-dev                       	       0        2        0        0        2
25924 libcppad-lib1456.0                 	       0        1        0        0        1
25925 libcppad-lib340.3                  	       0        1        0        0        1
25926 libcppgenerate-dev                 	       0        1        0        1        0
25927 libcppgenerate-dev-dbgsym          	       0        1        0        1        0
25928 libcppnetlib-doc                   	       0        1        0        1        0
25929 libcpprest-dev                     	       0        5        0        5        0
25930 libcpprest2.10                     	       0       28        0        1       27
25931 libcpprest2.9                      	       0        1        0        0        1
25932 libcpprspserver3                   	       0        2        0        0        2
25933 libcpptest-dev                     	       0        1        0        1        0
25934 libcpptest1                        	       0        1        0        0        1
25935 libcppunit-1.13-0v5                	       0        2        0        0        2
25936 libcppunit-1.14-0                  	       0       13        0        0       13
25937 libcppunit-1.15-0                  	       0       67        0        0       67
25938 libcppunit-dev                     	       0       69        2       67        0
25939 libcppunit-doc                     	       0        4        0        4        0
25940 libcpputest-dev                    	       0        9        0        9        0
25941 libcpu-features-dev                	       0        1        0        1        0
25942 libcpufreq-dev                     	       0        4        1        3        0
25943 libcpufreq0                        	       0      321       10       18      293
25944 libcpuid-dev                       	       0        2        0        2        0
25945 libcpuid15                         	       0        6        0        0        6
25946 libcpuid16                         	       0       50        0        0       50
25947 libcpuid17                         	       0        3        0        0        3
25948 libcpuinfo-dev                     	       0        1        0        1        0
25949 libcpuinfo0                        	       0      150        1        0      149
25950 libcpupower-dev                    	       0        2        0        2        0
25951 libcpuset-dev                      	       0        2        0        2        0
25952 libcpuset1                         	       0        2        0        0        2
25953 libcql-parser-perl                 	       0        3        0        3        0
25954 libcqrlib2                         	       0        4        0        0        4
25955 libcr-dev                          	       0        2        0        2        0
25956 libcr0                             	       0        7        0        0        7
25957 libcreaterepo-c0                   	       0        2        0        0        2
25958 libcreg-utils                      	       0        2        0        2        0
25959 libcreg1                           	       0       15        0        0       15
25960 libcriterion-dev                   	       0        1        0        1        0
25961 libcriterion3                      	       0        2        0        0        2
25962 libcriticism-perl                  	       0        1        0        1        0
25963 libcriu2                           	       0        1        0        0        1
25964 libcrmcluster29                    	       0        2        0        2        0
25965 libcrmcluster4                     	       0        4        0        0        4
25966 libcrmcommon3                      	       0        4        0        0        4
25967 libcrmcommon34                     	       0        2        0        2        0
25968 libcrmservice28                    	       0        2        0        2        0
25969 libcrmservice3                     	       0        4        0        0        4
25970 libcroco-tools                     	       0        1        0        1        0
25971 libcroco3                          	       0      463        2        2      459
25972 libcroco3-dev                      	       0        2        1        1        0
25973 libcrossguid-dev                   	       0        1        0        1        0
25974 libcrossguid0                      	       0       93        2       10       81
25975 libcrypt-blowfish-perl             	       0       11        0        0       11
25976 libcrypt-cast5-perl                	       0        2        0        0        2
25977 libcrypt-cbc-perl                  	       0       10        1        9        0
25978 libcrypt-ciphersaber-perl          	       0        1        0        1        0
25979 libcrypt-cracklib-perl             	       0        2        0        0        2
25980 libcrypt-des-ede3-perl             	       0        1        0        1        0
25981 libcrypt-des-perl                  	       0       15        0        0       15
25982 libcrypt-dh-gmp-perl               	       0        5        0        0        5
25983 libcrypt-dh-perl                   	       0        3        0        3        0
25984 libcrypt-dsa-perl                  	       0        1        0        1        0
25985 libcrypt-ecb-perl                  	       0        1        0        1        0
25986 libcrypt-eksblowfish-perl          	       0       22        3        1       18
25987 libcrypt-format-perl               	       0        1        0        1        0
25988 libcrypt-gcrypt-perl               	       0        1        0        0        1
25989 libcrypt-generatepassword-perl     	       0        1        0        1        0
25990 libcrypt-gpg-perl                  	       0        2        0        2        0
25991 libcrypt-hcesha-perl               	       0        2        0        2        0
25992 libcrypt-jwt-perl                  	       0        4        0        4        0
25993 libcrypt-mysql-perl                	       0        4        0        0        4
25994 libcrypt-openssl-bignum-perl       	       0      163       19       51       93
25995 libcrypt-openssl-dsa-perl          	       0        8        0        0        8
25996 libcrypt-openssl-pkcs10-perl       	       0        2        0        0        2
25997 libcrypt-openssl-random-perl       	       0      155        0        0      155
25998 libcrypt-openssl-rsa-perl          	       0      162       19       51       92
25999 libcrypt-openssl-x509-perl         	       0       12        0        0       12
26000 libcrypt-passwdmd5-perl            	       0       40        2       38        0
26001 libcrypt-pbkdf2-perl               	       0        8        0        8        0
26002 libcrypt-random-source-perl        	       0        3        1        2        0
26003 libcrypt-rijndael-perl             	       0       43        0        0       43
26004 libcrypt-rsa-parse-perl            	       0        1        0        1        0
26005 libcrypt-saltedhash-perl           	       0        1        0        1        0
26006 libcrypt-simple-perl               	       0        1        0        1        0
26007 libcrypt-smbhash-perl              	       0       13        1       12        0
26008 libcrypt-smime-perl                	       0        3        0        0        3
26009 libcrypt-ssleay-perl               	       0      195        0        0      195
26010 libcrypt-twofish-perl              	       0        2        0        0        2
26011 libcrypt-u2f-server-perl           	       0        1        0        0        1
26012 libcrypt-unixcrypt-perl            	       0        1        0        1        0
26013 libcrypt-unixcrypt-xs-perl         	       0        4        0        0        4
26014 libcrypt-urandom-perl              	       0       12        0       10        2
26015 libcrypt-util-perl                 	       0        2        1        1        0
26016 libcrypt-x509-perl                 	       0        2        0        2        0
26017 libcrypt2                          	       0        2        0        0        2
26018 libcryptgps-ocaml-dev              	       0        2        0        2        0
26019 libcrypto++-dev                    	       0       23        0       23        0
26020 libcrypto++-doc                    	       0        2        0        0        2
26021 libcrypto++-utils                  	       0        5        0        5        0
26022 libcrypto++6                       	       0       29        0        0       29
26023 libcrypto++6-dbg                   	       0        1        0        1        0
26024 libcrypto++8                       	       0       92        3        7       82
26025 libcrypto++8t64                    	       0       19        0        3       16
26026 libcrypto++9                       	       0        8        0        1        7
26027 libcrypto-equality-clojure         	       0        2        0        0        2
26028 libcrypto-random-clojure           	       0        2        0        0        2
26029 libcryptokit-ocaml                 	       0        2        0        1        1
26030 libcryptokit-ocaml-dev             	       0        2        0        2        0
26031 libcryptominisat5-5.11             	       0        1        0        0        1
26032 libcryptsetup-dev                  	       0        4        1        3        0
26033 libcryptsetup4                     	       0      115        0        0      115
26034 libcryptui-dev                     	       0        1        0        1        0
26035 libcryptui-doc                     	       0        1        0        0        1
26036 libcryptui0a                       	       0       65        0        0       65
26037 libcryptx-perl                     	       0       87        2        6       79
26038 libcrystalhd-dev                   	       0       11        0       11        0
26039 libcrystalhd3                      	       0      513        2        2      509
26040 libcsdr0                           	       0        1        0        0        1
26041 libcsfml-audio2.5                  	       0        4        0        0        4
26042 libcsfml-audio2.6                  	       0        1        0        0        1
26043 libcsfml-dev                       	       0        5        0        5        0
26044 libcsfml-doc                       	       0        1        0        0        1
26045 libcsfml-graphics2.5               	       0        4        0        0        4
26046 libcsfml-graphics2.6               	       0        1        0        0        1
26047 libcsfml-network2.5                	       0        4        0        0        4
26048 libcsfml-network2.6                	       0        1        0        0        1
26049 libcsfml-system2.5                 	       0        4        0        0        4
26050 libcsfml-system2.6                 	       0        1        0        0        1
26051 libcsfml-window2.5                 	       0        4        0        0        4
26052 libcsfml-window2.6                 	       0        1        0        0        1
26053 libcsiro0                          	       0        1        0        0        1
26054 libcsirocsa0                       	       0        9        0        0        9
26055 libcsironn0                        	       0        9        0        0        9
26056 libcsmith-dev                      	       0        3        1        2        0
26057 libcsmith0                         	       0        3        0        0        3
26058 libcsnd6-6.0v5                     	       0        7        0        0        7
26059 libcsnd6-java                      	       0        2        0        1        1
26060 libcsound64-6.0                    	       0       34        0        2       32
26061 libcsound64-dev                    	       0        1        0        1        0
26062 libcsparse2.2.3                    	       0        1        0        0        1
26063 libcsparse3.1.2                    	       0        1        0        0        1
26064 libcss-dom-perl                    	       0        3        0        3        0
26065 libcss-parser-pp0v5                	       0        4        0        0        4
26066 libcss-parser0                     	       0        5        0        0        5
26067 libcss-perl                        	       0        3        0        3        0
26068 libcss-squish-perl                 	       0        1        0        1        0
26069 libcsv-java                        	       0        9        0        0        9
26070 libcsv-ocaml-dev                   	       0        1        0        1        0
26071 libcsv3                            	       0       20        0        0       20
26072 libcsxcad0                         	       0        6        0        0        6
26073 libct3                             	       0        1        0        0        1
26074 libct4                             	       0       65        0        1       64
26075 libctemplate-dev                   	       0        3        0        3        0
26076 libctemplate2                      	       0        3        0        0        3
26077 libctemplate3                      	       0        7        0        0        7
26078 libctemplate3t64                   	       0        1        0        0        1
26079 libctf-nobfd0                      	       0     2522        0        0     2522
26080 libctf0                            	       0     2522        0        0     2522
26081 libctl7                            	       0        6        0        0        6
26082 libctl7t64                         	       0        2        0        0        2
26083 libctpl-data                       	       0       58        0        0       58
26084 libctpl-dev                        	       0        1        0        1        0
26085 libctpl2                           	       0       67        0        0       67
26086 libctpl2t64                        	       0        2        0        0        2
26087 libctpp2-2v5                       	       0        1        0        0        1
26088 libctpp2-dev                       	       0        1        0        1        0
26089 libctypes-ocaml                    	       0        3        0        2        1
26090 libctypes-ocaml-dev                	       0        3        0        3        0
26091 libcub-dev                         	       0       23        0        0       23
26092 libcubeb-dev                       	       0        1        0        1        0
26093 libcubeb-doc                       	       0        1        0        0        1
26094 libcubeb0                          	       0       14        0        0       14
26095 libcublas-11-7                     	       0        1        0        0        1
26096 libcublas-12-4                     	       0        2        0        0        2
26097 libcublas-12-6                     	       0        4        0        0        4
26098 libcublas-12-8                     	       0        1        0        0        1
26099 libcublas-dev-11-7                 	       0        1        0        1        0
26100 libcublas-dev-12-4                 	       0        2        0        2        0
26101 libcublas-dev-12-8                 	       0        1        0        1        0
26102 libcublas11                        	       0       18        0        0       18
26103 libcublas12                        	       0        3        0        0        3
26104 libcublas4                         	       0        1        0        0        1
26105 libcublas5.5                       	       0        1        0        0        1
26106 libcublas6.0                       	       0        1        0        0        1
26107 libcublas6.5                       	       0        1        0        0        1
26108 libcublas8.0                       	       0        1        0        0        1
26109 libcublas9.2                       	       0        2        0        0        2
26110 libcublaslt11                      	       0       18        0        0       18
26111 libcublaslt12                      	       0        3        0        0        3
26112 libcucul0                          	       0        1        0        0        1
26113 libcucumber-tagexpressions-perl    	       0        1        0        1        0
26114 libcuda1                           	       0      177        3        7      167
26115 libcuda1-i386                      	       0        3        0        0        3
26116 libcudadebugger1                   	       0       10        0        0       10
26117 libcudart-old-versions             	       0        1        0        0        1
26118 libcudart11.0                      	       0       18        0        0       18
26119 libcudart12                        	       0        3        0        0        3
26120 libcudart4                         	       0        2        0        0        2
26121 libcudart5.5                       	       0        1        0        0        1
26122 libcudart6.0                       	       0        1        0        0        1
26123 libcudart6.5                       	       0        1        0        0        1
26124 libcudart8.0                       	       0        1        0        0        1
26125 libcudart9.2                       	       0        2        0        0        2
26126 libcudnn8                          	       0        2        0        0        2
26127 libcudnn8-dev                      	       0        2        0        2        0
26128 libcudnn8-samples                  	       0        1        0        1        0
26129 libcudnn9-cuda-12                  	       0        1        0        0        1
26130 libcudnn9-static-cuda-12           	       0        1        0        0        1
26131 libcue-dev                         	       0        2        0        2        0
26132 libcue1                            	       0       16        0        0       16
26133 libcue2                            	       0      745        0        2      743
26134 libcufft-11-7                      	       0        1        0        0        1
26135 libcufft-12-4                      	       0        2        0        0        2
26136 libcufft-12-6                      	       0        4        0        0        4
26137 libcufft-12-8                      	       0        1        0        0        1
26138 libcufft-dev-11-7                  	       0        1        0        1        0
26139 libcufft-dev-12-4                  	       0        2        0        2        0
26140 libcufft-dev-12-8                  	       0        1        0        1        0
26141 libcufft10                         	       0       19        0        0       19
26142 libcufft11                         	       0        3        0        0        3
26143 libcufft4                          	       0        1        0        0        1
26144 libcufft5.5                        	       0        1        0        0        1
26145 libcufft6.0                        	       0        1        0        0        1
26146 libcufft6.5                        	       0        1        0        0        1
26147 libcufft8.0                        	       0        1        0        0        1
26148 libcufft9.2                        	       0        1        0        0        1
26149 libcufftw10                        	       0       18        0        0       18
26150 libcufftw11                        	       0        3        0        0        3
26151 libcufftw5.5                       	       0        1        0        0        1
26152 libcufftw6.0                       	       0        1        0        0        1
26153 libcufftw6.5                       	       0        1        0        0        1
26154 libcufftw8.0                       	       0        1        0        0        1
26155 libcufftw9.2                       	       0        1        0        0        1
26156 libcufile-11-7                     	       0        1        0        0        1
26157 libcufile-12-4                     	       0        2        0        0        2
26158 libcufile-12-6                     	       0        4        0        0        4
26159 libcufile-12-8                     	       0        1        0        0        1
26160 libcufile-dev-11-7                 	       0        1        0        1        0
26161 libcufile-dev-12-4                 	       0        2        0        2        0
26162 libcufile-dev-12-8                 	       0        1        0        1        0
26163 libcuinj64-11.2                    	       0        4        0        0        4
26164 libcuinj64-11.8                    	       0       14        0        0       14
26165 libcuinj64-12.2                    	       0        2        0        0        2
26166 libcuneiform-dev                   	       0        2        0        2        0
26167 libcuneiform0                      	       0       20        0        0       20
26168 libcunit1                          	       0       10        0        1        9
26169 libcunit1-dev                      	       0        9        1        8        0
26170 libcunit1-doc                      	       0        1        0        1        0
26171 libcunit1-ncurses                  	       0        2        0        0        2
26172 libcunit1-ncurses-dev              	       0        2        0        2        0
26173 libcups2-dev                       	       0       67        1       66        0
26174 libcupscgi1                        	       0       76        0        0       76
26175 libcupsdriver1                     	       0        2        0        0        2
26176 libcupsfilters-dev                 	       0       54        0       54        0
26177 libcupsfilters2                    	       0        7        0        0        7
26178 libcupsfilters2-common             	       0        7        0        0        7
26179 libcupsimage2                      	       0      801        0        1      800
26180 libcupsimage2-dev                  	       0       67        1       66        0
26181 libcupsimage2t64                   	       0       44        0        0       44
26182 libcupsmime1                       	       0       77        0        1       76
26183 libcupsppdc1                       	       0       77        0        0       77
26184 libcupt-common                     	       0        6        0        0        6
26185 libcupt3-0                         	       0        2        0        2        0
26186 libcupt3-0-downloadmethod-curl     	       0        1        0        1        0
26187 libcupt4-2                         	       0        3        0        3        0
26188 libcupt4-2-downloadmethod-curl     	       0        2        0        2        0
26189 libcupt4-2-downloadmethod-wget     	       0        2        0        2        0
26190 libcupt4-2t64                      	       0        3        0        3        0
26191 libcupti11.2                       	       0        5        0        0        5
26192 libcupti11.8                       	       0       16        0        0       16
26193 libcupti12                         	       0        5        0        0        5
26194 libcupti6.0                        	       0        1        0        0        1
26195 libcupti6.5                        	       0        1        0        0        1
26196 libcupti7.5                        	       0        1        0        0        1
26197 libcurand-11-7                     	       0        1        0        0        1
26198 libcurand-12-4                     	       0        2        0        0        2
26199 libcurand-12-6                     	       0        4        0        0        4
26200 libcurand-12-8                     	       0        1        0        0        1
26201 libcurand-dev-11-7                 	       0        1        0        1        0
26202 libcurand-dev-12-4                 	       0        2        0        2        0
26203 libcurand-dev-12-8                 	       0        1        0        1        0
26204 libcurand10                        	       0       21        0        0       21
26205 libcurand4                         	       0        1        0        0        1
26206 libcurand5.5                       	       0        1        0        0        1
26207 libcurand6.0                       	       0        1        0        0        1
26208 libcurand6.5                       	       0        1        0        0        1
26209 libcurand8.0                       	       0        1        0        0        1
26210 libcurand9.2                       	       0        1        0        0        1
26211 libcurl-ocaml                      	       0        1        0        1        0
26212 libcurl3                           	       0      124        0        1      123
26213 libcurl3-nss                       	       0      314        0        0      314
26214 libcurl4-doc                       	       0       21        0        0       21
26215 libcurl4-nss-dev                   	       0       10        0       10        0
26216 libcurlpp-dev                      	       0        4        0        4        0
26217 libcurlpp0                         	       0        4        0        0        4
26218 libcurlpp0t64                      	       0        2        0        0        2
26219 libcurry-perl                      	       0        3        0        3        0
26220 libcurses-ocaml                    	       0        1        0        1        0
26221 libcurses-perl                     	       0      232        0        0      232
26222 libcurvesapi-java                  	       0      294        0        0      294
26223 libcusolver-11-7                   	       0        1        0        0        1
26224 libcusolver-12-4                   	       0        2        0        0        2
26225 libcusolver-12-6                   	       0        4        0        0        4
26226 libcusolver-12-8                   	       0        1        0        0        1
26227 libcusolver-dev-11-7               	       0        1        0        1        0
26228 libcusolver-dev-12-4               	       0        2        0        2        0
26229 libcusolver-dev-12-8               	       0        1        0        1        0
26230 libcusolver11                      	       0       21        0        0       21
26231 libcusolver8.0                     	       0        1        0        0        1
26232 libcusolver9.2                     	       0        1        0        0        1
26233 libcusolvermg11                    	       0       21        0        0       21
26234 libcusparse-11-7                   	       0        1        0        0        1
26235 libcusparse-12-4                   	       0        2        0        0        2
26236 libcusparse-12-6                   	       0        4        0        0        4
26237 libcusparse-12-8                   	       0        1        0        0        1
26238 libcusparse-dev-11-7               	       0        1        0        1        0
26239 libcusparse-dev-12-4               	       0        2        0        2        0
26240 libcusparse-dev-12-8               	       0        1        0        1        0
26241 libcusparse11                      	       0       18        0        0       18
26242 libcusparse12                      	       0        4        0        0        4
26243 libcusparse4                       	       0        1        0        0        1
26244 libcusparse5.5                     	       0        1        0        0        1
26245 libcusparse6.0                     	       0        1        0        0        1
26246 libcusparse8.0                     	       0        1        0        0        1
26247 libcusparse9.2                     	       0        1        0        0        1
26248 libcutl-1.10                       	       0        1        0        0        1
26249 libcutlass-dev                     	       0        1        0        1        0
26250 libcv-bridge2d                     	       0        1        0        0        1
26251 libcv-dev                          	       0        1        0        1        0
26252 libcvaux-dev                       	       0        1        0        1        0
26253 libcvc4-7                          	       0        2        0        0        2
26254 libcvc4parser7                     	       0        2        0        0        2
26255 libcvc5-1                          	       0        1        0        0        1
26256 libcvc5parser1                     	       0        1        0        0        1
26257 libcvd-dev                         	       0        1        0        1        0
26258 libcvd-tools                       	       0        2        1        1        0
26259 libcvd2                            	       0        2        0        0        2
26260 libcvector2                        	       0        4        0        0        4
26261 libcvm1                            	       0        2        0        0        2
26262 libcvs-perl                        	       0        1        0        1        0
26263 libcw6                             	       0        7        0        3        4
26264 libcw7                             	       0       11        0        1       10
26265 libcw8                             	       0        3        0        1        2
26266 libcwidget-doc                     	       0        5        0        0        5
26267 libcwidget3                        	       0       56        0        0       56
26268 libcwidget3v5                      	       0      173        0        0      173
26269 libcwidget4                        	       0      948        3       17      928
26270 libcwidget4-dbgsym                 	       0        1        0        1        0
26271 libcwiid-dev                       	       0        9        0        9        0
26272 libcwiid1                          	       0       81        2        0       79
26273 libcwiid1t64                       	       0        3        0        0        3
26274 libcxl1                            	       0        1        0        0        1
26275 libcxsparse2.2.3                   	       0        2        0        0        2
26276 libcxsparse3                       	       0      101        0        0      101
26277 libcxsparse3.1.2                   	       0        1        0        0        1
26278 libcxsparse4                       	       0       10        0        0       10
26279 libcxx-serial1                     	       0        5        0        0        5
26280 libcxxopts-dev                     	       0        1        0        1        0
26281 libcxxtools-dev                    	       0        3        0        3        0
26282 libcxxtools10                      	       0        1        0        0        1
26283 libcxxtools8                       	       0        1        0        0        1
26284 libcxxtools9                       	       0        1        0        0        1
26285 libcxxtools9v5                     	       0        3        0        0        3
26286 libcypher-parser8                  	       0        1        0        0        1
26287 libcyrus-imap-perl                 	       0        3        0        3        0
26288 libczmq-dev                        	       0        2        0        2        0
26289 libczmq4                           	       0        5        0        0        5
26290 libczmq4-dbgsym                    	       0        1        0        1        0
26291 libd3dadapter9-mesa                	       0       12        0        0       12
26292 libdaemon-control-perl             	       0        1        0        1        0
26293 libdaemon-dev                      	       0        4        0        4        0
26294 libdaemon-doc                      	       0        1        0        0        1
26295 libdaemon-generic-perl             	       0        1        0        1        0
26296 libdancer-perl                     	       0        1        0        1        0
26297 libdancer-plugin-dbic-perl         	       0        1        0        1        0
26298 libdancer-xml0                     	       0        1        0        0        1
26299 libdancer2-perl                    	       0        3        0        3        0
26300 libdanga-socket-perl               	       0        1        0        1        0
26301 libdap-bin                         	       0        1        0        1        0
26302 libdap-dev                         	       0       16        0       16        0
26303 libdap11                           	       0        5        0        0        5
26304 libdap23                           	       0       14        0        0       14
26305 libdap25                           	       0       55        0        0       55
26306 libdap27                           	       0      160        0        2      158
26307 libdap27t64                        	       0        1        0        0        1
26308 libdapclient3                      	       0        4        0        0        4
26309 libdapclient6t64                   	       0        1        0        0        1
26310 libdapclient6v5                    	       0      193        0        2      191
26311 libdapserver7                      	       0        1        0        0        1
26312 libdapserver7t64                   	       0        1        0        0        1
26313 libdapserver7v5                    	       0       80        0        0       80
26314 libdaq0                            	       0        1        0        0        1
26315 libdaq2                            	       0        8        1        1        6
26316 libdar64-5000                      	       0        2        0        0        2
26317 libdar64-6000                      	       0       16        0        0       16
26318 libdar64-6000t64                   	       0        2        0        0        2
26319 libdata-alias-perl                 	       0        1        0        0        1
26320 libdata-amf-perl                   	       0        5        0        5        0
26321 libdata-binary-perl                	       0        1        0        1        0
26322 libdata-bitmask-perl               	       0        3        0        3        0
26323 libdata-buffer-perl                	       0        1        0        1        0
26324 libdata-csv-clojure                	       0        1        0        0        1
26325 libdata-dump-streamer-perl         	       0        1        0        0        1
26326 libdata-dumper-compact-perl        	       0        1        0        1        0
26327 libdata-dumper-concise-perl        	       0       16        1       15        0
26328 libdata-dumper-simple-perl         	       0        5        0        5        0
26329 libdata-entropy-perl               	       0       13        0       13        0
26330 libdata-float-perl                 	       0       13        0       13        0
26331 libdata-format-html-perl           	       0        1        0        1        0
26332 libdata-generators-clojure         	       0        1        0        0        1
26333 libdata-guid-perl                  	       0        4        1        3        0
26334 libdata-hexdump-perl               	       0        1        0        1        0
26335 libdata-ical-perl                  	       0        5        1        4        0
26336 libdata-ieee754-perl               	       0        5        0        5        0
26337 libdata-integer-perl               	       0        3        0        3        0
26338 libdata-json-clojure               	       0        1        0        0        1
26339 libdata-messagepack-perl           	       0      619        0        0      619
26340 libdata-objectdriver-perl          	       0        2        0        2        0
26341 libdata-password-perl              	       0        8        0        8        0
26342 libdata-peek-perl                  	       0        3        0        0        3
26343 libdata-perl-perl                  	       0      109        2      107        0
26344 libdata-printer-perl               	       0        8        0        8        0
26345 libdata-priority-map-clojure       	       0        1        0        0        1
26346 libdata-random-perl                	       0        5        0        5        0
26347 libdata-record-perl                	       0        5        1        4        0
26348 libdata-rmap-perl                  	       0        1        0        1        0
26349 libdata-section-simple-perl        	       0        2        0        2        0
26350 libdata-serializer-perl            	       0        8        0        8        0
26351 libdata-stag-perl                  	       0        4        0        4        0
26352 libdata-stream-bulk-perl           	       0        2        0        2        0
26353 libdata-streamdeserializer-perl    	       0        2        0        0        2
26354 libdata-streamserializer-perl      	       0        2        0        0        2
26355 libdata-structure-util-perl        	       0        1        0        0        1
26356 libdata-table-perl                 	       0        1        0        1        0
26357 libdata-tablereader-perl           	       0        1        0        1        0
26358 libdata-treedumper-oo-perl         	       0        1        0        1        0
26359 libdata-treedumper-perl            	       0        2        0        2        0
26360 libdata-treedumper-renderer-gtk-perl	       0        1        0        1        0
26361 libdata-url-java                   	       0       24        0        0       24
26362 libdata-util-perl                  	       0        2        0        2        0
26363 libdata-uuid-libuuid-perl          	       0        1        0        0        1
26364 libdata-uuid-perl                  	       0        4        0        0        4
26365 libdata-visitor-perl               	       0        7        1        6        0
26366 libdata-visitor-perl-build-deps    	       0        1        0        0        1
26367 libdatachannel0.21                 	       0        2        0        0        2
26368 libdataobjects-postgres-ruby1.9.1  	       0        1        0        0        1
26369 libdataobjects-ruby1.9.1           	       0        1        0        0        1
26370 libdataobjects-sqlite3-ruby1.9.1   	       0        1        0        0        1
26371 libdataquay-dev                    	       0        1        0        1        0
26372 libdataquay0                       	       0        2        0        0        2
26373 libdate-calc-xs-perl               	       0      427        1        3      423
26374 libdate-extract-perl               	       0        1        0        1        0
26375 libdate-iso8601-perl               	       0        1        0        1        0
26376 libdate-pcalc-perl                 	       0        1        0        0        1
26377 libdate-simple-perl                	       0      119        0        0      119
26378 libdatetime-astro-sunrise-perl     	       0        3        1        2        0
26379 libdatetime-event-ical-perl        	       0        6        0        6        0
26380 libdatetime-event-recurrence-perl  	       0        6        0        6        0
26381 libdatetime-event-sunrise-perl     	       0        3        0        3        0
26382 libdatetime-format-dateparse-perl  	       0        7        0        7        0
26383 libdatetime-format-duration-perl   	       0        1        0        1        0
26384 libdatetime-format-flexible-perl   	       0       16        0       16        0
26385 libdatetime-format-http-perl       	       0        2        0        2        0
26386 libdatetime-format-human-duration-perl	       0        1        0        1        0
26387 libdatetime-format-ical-perl       	       0        6        0        6        0
26388 libdatetime-format-mysql-perl      	       0        8        1        7        0
26389 libdatetime-format-natural-perl    	       0       15        0       15        0
26390 libdatetime-format-pg-perl         	       0        2        0        2        0
26391 libdatetime-format-sqlite-perl     	       0       17        1       16        0
26392 libdatetime-format-w3cdtf-perl     	       0       26        0       26        0
26393 libdatetime-hires-perl             	       0       10        0       10        0
26394 libdatetime-perl                   	       0      380        3        2      375
26395 libdatetime-set-perl               	       0        9        0        9        0
26396 libdatetimex-easy-perl             	       0        4        0        4        0
26397 libdatovka8                        	       0        1        0        0        1
26398 libdatrie-doc                      	       0        3        0        0        3
26399 libdav1d1                          	       0        8        0        0        8
26400 libdav1d5                          	       0       37        3       18       16
26401 libdavix0v5                        	       0        1        0        0        1
26402 libdavs2-16                        	       0       75       14       45       16
26403 libdavs2-dev                       	       0        2        0        2        0
26404 libdazzle-1.0-0                    	       0      120        2        4      114
26405 libdazzle-1.0-dev                  	       0        2        0        2        0
26406 libdazzle-common                   	       0       78        0        0       78
26407 libdazzle-doc                      	       0        1        0        0        1
26408 libdazzle-tools                    	       0        1        0        1        0
26409 libdb++-dev                        	       0        7        0        0        7
26410 libdb-dev                          	       0       67        0        0       67
26411 libdb-file-lock-perl               	       0        3        0        3        0
26412 libdb-java                         	       0        7        0        0        7
26413 libdb-je-java                      	       0       16        0        0       16
26414 libdb-sql-dev                      	       0        1        0        0        1
26415 libdb1-compat                      	       0        2        0        2        0
26416 libdb3                             	       0        1        0        0        1
26417 libdb4.2                           	       0        3        0        0        3
26418 libdb4.3                           	       0        3        1        0        2
26419 libdb4.4                           	       0        2        0        0        2
26420 libdb4.5                           	       0        6        0        0        6
26421 libdb4.6                           	       0       23        0        0       23
26422 libdb4.7                           	       0       17        0        0       17
26423 libdb4.7-java                      	       0        1        0        0        1
26424 libdb4.8                           	       0       30        0        1       29
26425 libdb4.8++                         	       0        5        0        0        5
26426 libdb4o-cil-dev                    	       0        2        0        2        0
26427 libdb4o8.0-cil                     	       0        4        0        4        0
26428 libdb5.1                           	       0       57        0        2       55
26429 libdb5.1++                         	       0        1        0        0        1
26430 libdb5.1-java                      	       0        2        0        0        2
26431 libdb5.1-java-jni                  	       0        6        0        0        6
26432 libdb5.1-sql                       	       0        1        0        0        1
26433 libdb5.3++                         	       0       51        1        1       49
26434 libdb5.3++-dev                     	       0       10        0       10        0
26435 libdb5.3++t64                      	       0        2        0        0        2
26436 libdb5.3-dbg                       	       0        1        0        1        0
26437 libdb5.3-dev                       	       0       80        5       75        0
26438 libdb5.3-java                      	       0       12        0        0       12
26439 libdb5.3-java-dev                  	       0        1        0        0        1
26440 libdb5.3-java-jni                  	       0       12        0        0       12
26441 libdb5.3-sql                       	       0        5        0        0        5
26442 libdb5.3-sql-dev                   	       0        2        0        2        0
26443 libdb5.3-stl                       	       0        2        0        0        2
26444 libdb5.3-stl-dev                   	       0        2        0        2        0
26445 libdb5.3-tcl                       	       0        2        0        0        2
26446 libdballe8                         	       0        1        0        0        1
26447 libdbd-ldap-perl                   	       0        1        0        1        0
26448 libdbd-mariadb-perl                	       0      177        0        0      177
26449 libdbd-mock-perl                   	       0        2        0        2        0
26450 libdbd-mysql                       	       0        8        1        1        6
26451 libdbd-mysql-perl                  	       0      266        6        9      251
26452 libdbd-mysql-ruby1.9.1             	       0        1        0        0        1
26453 libdbd-odbc-perl                   	       0        3        0        0        3
26454 libdbd-pg-perl                     	       0      126        2        1      123
26455 libdbd-pg-ruby1.9.1                	       0        2        0        0        2
26456 libdbd-pgsql                       	       0        9        0        1        8
26457 libdbd-sqlite                      	       0        1        0        0        1
26458 libdbd-sqlite2-perl                	       0        1        0        0        1
26459 libdbd-sqlite3                     	       0        8        0        2        6
26460 libdbd-sqlite3-perl                	       0      224        1        1      222
26461 libdbd-sqlite3-ruby1.9.1           	       0        1        0        0        1
26462 libdbd-sybase-perl                 	       0        3        0        0        3
26463 libdbd-xbase-perl                  	       0        7        0        7        0
26464 libdbi-dev                         	       0        8        1        7        0
26465 libdbi-ruby1.9.1                   	       0        1        0        0        1
26466 libdbi0                            	       0        3        0        3        0
26467 libdbi1                            	       0      281       13       30      238
26468 libdbi1t64                         	       0       18        0        2       16
26469 libdbicx-sugar-perl                	       0        1        0        1        0
26470 libdbix-class-cursor-cached-perl   	       0        2        1        1        0
26471 libdbix-class-dynamicdefault-perl  	       0        2        0        2        0
26472 libdbix-class-perl                 	       0        7        2        5        0
26473 libdbix-class-schema-loader-perl   	       0        4        1        3        0
26474 libdbix-class-schema-populatemore-perl	       0        2        0        2        0
26475 libdbix-class-timestamp-perl       	       0        2        0        2        0
26476 libdbix-class-uuidcolumns-perl     	       0        3        0        3        0
26477 libdbix-connector-perl             	       0        5        0        5        0
26478 libdbix-contextualfetch-perl       	       0       29        1       28        0
26479 libdbix-dbschema-perl              	       0        2        0        2        0
26480 libdbix-multistatementdo-perl      	       0        1        0        1        0
26481 libdbix-runsql-perl                	       0        2        0        2        0
26482 libdbix-safe-perl                  	       0        1        0        1        0
26483 libdbix-searchbuilder-perl         	       0        2        0        2        0
26484 libdbix-simple-perl                	       0       56        1       55        0
26485 libdbus-1-3-dbgsym                 	       0        1        0        1        0
26486 libdbus-1-tqt-dev                  	       0        2        0        2        0
26487 libdbus-c++-1-0v5                  	       0       28        0        2       26
26488 libdbus-c++-bin                    	       0        4        0        4        0
26489 libdbus-c++-dev                    	       0        4        0        4        0
26490 libdbus-c++-doc                    	       0        1        0        0        1
26491 libdbus-cpp-dev                    	       0        1        0        1        0
26492 libdbus-cpp5                       	       0        2        0        0        2
26493 libdbus-glib-1-doc                 	       0        4        0        0        4
26494 libdbus-glib1.0-cil                	       0        1        0        0        1
26495 libdbus-glib2.0-cil                	       0       16        0        0       16
26496 libdbus-java                       	       0        2        0        0        2
26497 libdbus-tqt-1-1c2                  	       0        4        0        0        4
26498 libdbus1.0-cil                     	       0        1        0        0        1
26499 libdbus2.0-cil                     	       0       17        0        0       17
26500 libdbusextended-qt5-1              	       0        2        0        0        2
26501 libdbusextended-qt5-dev            	       0        1        0        1        0
26502 libdbuskit0                        	       0        2        0        2        0
26503 libdbusmenu-glib-dev               	       0       22        1       21        0
26504 libdbusmenu-glib3                  	       0        1        0        0        1
26505 libdbusmenu-gtk-dev                	       0        1        0        1        0
26506 libdbusmenu-gtk-doc                	       0        1        0        0        1
26507 libdbusmenu-gtk3                   	       0        1        0        0        1
26508 libdbusmenu-gtk3-dev               	       0        7        0        7        0
26509 libdbusmenu-lxqt0                  	       0        6        0        4        2
26510 libdbusmenu-qt2                    	       0       48        1        0       47
26511 libdbusmenu-qt5-dev                	       0        9        0        9        0
26512 libdbusmenu-qt5-doc                	       0        2        0        0        2
26513 libdbustest1                       	       0        2        0        0        2
26514 libdc1394-13                       	       0        3        0        0        3
26515 libdc1394-22                       	       0      312        0        0      312
26516 libdc1394-22-dev                   	       0        6        0        3        3
26517 libdc1394-utils                    	       0        2        0        2        0
26518 libdca-dev                         	       0       26        1       25        0
26519 libdca-utils                       	       0        2        0        2        0
26520 libdca0                            	       0     3137        4       14     3119
26521 libdcmtk14                         	       0       19        0        0       19
26522 libdcmtk15                         	       0       54        1        0       53
26523 libdcmtk16                         	       0        1        0        0        1
26524 libdcmtk17                         	       0      138        0        2      136
26525 libdcmtk17t64                      	       0        4        0        0        4
26526 libdcmtk18                         	       0       11        0        0       11
26527 libdcmtk19                         	       0        1        0        0        1
26528 libdcmtk2                          	       0        5        0        0        5
26529 libdcmtk2-dev                      	       0        1        0        1        0
26530 libdcmtk8                          	       0        3        0        0        3
26531 libdconf-dev                       	       0        2        0        2        0
26532 libdconf-doc                       	       0        2        0        0        2
26533 libdconf0                          	       0       12        0        0       12
26534 libdconf1-dbgsym                   	       0        1        0        1        0
26535 libdd-plist-java                   	       0       49        0        0       49
26536 libddccontrol0                     	       0       19        2       12        5
26537 libddcutil3                        	       0       13        0        5        8
26538 libddcutil4                        	       0       17        0        6       11
26539 libdds0                            	       0        9        0        0        9
26540 libddsc0debian                     	       0        1        0        0        1
26541 libde265-examples                  	       0        2        0        2        0
26542 libdebconf-kde0                    	       0        1        0        0        1
26543 libdebconf-kde1                    	       0      550        0        0      550
26544 libdebconfclient0                  	       0     4164        0        0     4164
26545 libdebian-copyright-perl           	       0        4        0        4        0
26546 libdebian-dpkgcross-perl           	       0       27        2       25        0
26547 libdebian-installer-extra4         	       0       17        0        0       17
26548 libdebian-installer4               	       0       27        0        0       27
26549 libdebug-client-perl               	       0        1        0        1        0
26550 libdebug-trace-perl                	       0        1        0        1        0
26551 libdebug0                          	       0        2        0        0        2
26552 libdebuginfod-common               	       0      915        0        0      915
26553 libdebuginfod1t64                  	       0       97        0        0       97
26554 libdecaf0                          	       0       33        1        0       32
26555 libdecor-0-plugin-1-cairo          	       0     2374        0        0     2374
26556 libdecor-0-plugin-1-gtk            	       0      107        0        0      107
26557 libdecoration0-trinity             	       0        3        0        0        3
26558 libdee-1.0-4                       	       0      516       13       47      456
26559 libdee-dev                         	       0        1        0        1        0
26560 libdelimmatch-perl                 	       0        1        0        1        0
26561 libdeprecated-ruby1.9.1            	       0        1        0        0        1
26562 libdesktop-notify-perl             	       0        3        2        1        0
26563 libdevel-argnames-perl             	       0       14        1       13        0
26564 libdevel-callchecker-perl          	       0     1225        2        0     1223
26565 libdevel-caller-perl               	       0      583        0        0      583
26566 libdevel-confess-perl              	       0        4        0        4        0
26567 libdevel-cycle-perl                	       0        3        0        3        0
26568 libdevel-declare-perl              	       0        4        0        0        4
26569 libdevel-dprof-perl                	       0        7        0        7        0
26570 libdevel-dumpvar-perl              	       0        1        0        1        0
26571 libdevel-gdb-perl                  	       0        1        0        1        0
26572 libdevel-hide-perl                 	       0        6        1        5        0
26573 libdevel-lexalias-perl             	       0      582        0        0      582
26574 libdevel-mat-dumper-perl           	       0       18        0        0       18
26575 libdevel-nytprof-perl              	       0        3        0        3        0
26576 libdevel-overloadinfo-perl         	       0      106        4      102        0
26577 libdevel-partialdump-perl          	       0      101        3       98        0
26578 libdevel-patchperl-perl            	       0        5        0        5        0
26579 libdevel-profile-perl              	       0        5        0        5        0
26580 libdevel-ptkdb-perl                	       0        1        0        1        0
26581 libdevel-refactor-perl             	       0        1        0        1        0
26582 libdevel-repl-perl                 	       0        1        0        1        0
26583 libdevel-size-perl                 	       0      624        0        0      624
26584 libdevel-stacktrace-ashtml-perl    	       0       18        1       17        0
26585 libdevel-symdump-perl              	       0       47        0       47        0
26586 libdevel-trace-perl                	       0        1        0        1        0
26587 libdevhelp-3-0                     	       0        1        0        0        1
26588 libdevhelp-3-2                     	       0        3        0        0        3
26589 libdevhelp-3-6                     	       0       59        0        0       59
26590 libdeviceinfo0                     	       0        1        0        0        1
26591 libdevil-dev                       	       0        6        0        6        0
26592 libdevil1c2                        	       0       20        0       20        0
26593 libdevmapper-event1.02.1           	       0     1298        1        3     1294
26594 libdex-1-1                         	       0        1        0        0        1
26595 libdexx-java                       	       0        2        0        0        2
26596 libdframeworkdbus2                 	       0       12        0        2       10
26597 libdfu1                            	       0        1        0        0        1
26598 libdhash1                          	       0       13        0       10        3
26599 libdiagnostic-msgs-dev             	       0        1        0        1        0
26600 libdialog15                        	       0      121        0        1      120
26601 libdico2                           	       0        2        1        0        1
26602 libdico2t64                        	       0        2        0        1        1
26603 libdicomscope-jni                  	       0       10        0        0       10
26604 libdictzip-java                    	       0        5        0        0        5
26605 libdieharder3                      	       0        9        0        0        9
26606 libdifflcs-ruby1.9.1               	       0        1        0        0        1
26607 libdiffutils-java                  	       0        2        0        0        2
26608 libdigest-bcrypt-perl              	       0        2        0        2        0
26609 libdigest-crc-perl                 	       0        9        0        0        9
26610 libdigest-jhash-perl               	       0        7        0        0        7
26611 libdigest-md2-perl                 	       0        1        0        0        1
26612 libdigest-md4-perl                 	       0       17        0        0       17
26613 libdigest-md5-file-perl            	       0       25        0       25        0
26614 libdigest-murmurhash3-pureperl-perl	       0        1        0        1        0
26615 libdigest-sha-perl                 	       0       10        0       10        0
26616 libdigest-sha3-perl                	       0       13        0       13        0
26617 libdigest-whirlpool-perl           	       0        1        0        1        0
26618 libdigidocpp-common                	       0        1        0        0        1
26619 libdigidocpp-tools                 	       0        1        0        1        0
26620 libdigidocpp1                      	       0        1        0        0        1
26621 libdigiham0                        	       0        1        0        0        1
26622 libdime-dev                        	       0        3        0        3        0
26623 libdime1                           	       0        5        0        0        5
26624 libdiodon0                         	       0       53        6       21       26
26625 libdirac-decoder0                  	       0       18        0        1       17
26626 libdirac-dev                       	       0        5        0        5        0
26627 libdirac-doc                       	       0        1        0        0        1
26628 libdirac-encoder0                  	       0       43        0        1       42
26629 libdirectfb-0.9-25                 	       0        1        0        1        0
26630 libdirectfb-1.0-0                  	       0        4        0        0        4
26631 libdirectfb-1.2-0                  	       0        1        0        0        1
26632 libdirectfb-1.2-9                  	       0      118        0        0      118
26633 libdirectfb-1.7-7                  	       0     2576        0        4     2572
26634 libdirectfb-1.7-7t64               	       0      143        0        1      142
26635 libdirectfb-bin                    	       0        4        0        4        0
26636 libdirectfb-dev                    	       0       17        1       16        0
26637 libdirectfb-extra                  	       0       31        0        0       31
26638 libdirectory-scratch-perl          	       0        1        0        1        0
26639 libdirectory-scratch-structured-perl	       0        1        0        1        0
26640 libdirgra-java                     	       0        4        0        0        4
26641 libdirgra-java-doc                 	       0        1        0        0        1
26642 libdisasm0                         	       0       37        0        0       37
26643 libdiscid-dev                      	       0        3        0        3        0
26644 libdiscid0                         	       0      535        0        4      531
26645 libdiscover-dev                    	       0        1        0        1        0
26646 libdiscover2                       	       0     3982        0        0     3982
26647 libdislocker0.7                    	       0       27        0        0       27
26648 libdislocker0.7t64                 	       0        2        0        0        2
26649 libdisorder0                       	       0        1        0        0        1
26650 libdispatch-class-perl             	       0        2        0        2        0
26651 libdispatch1                       	       0        1        0        0        1
26652 libdisplay-info1                   	       0        6        0        0        6
26653 libdisruptor-java                  	       0        6        0        0        6
26654 libdistorm3-3                      	       0        7        0        0        7
26655 libdivsufsort-dev                  	       0        2        0        2        0
26656 libdivsufsort3                     	       0        5        0        0        5
26657 libdjinterop0                      	       0        4        0        0        4
26658 libdjvulibre-text                  	       0     3392        0        0     3392
26659 libdjvulibre15                     	       0        1        0        0        1
26660 libdjvulibre21                     	       0     3388        4       18     3366
26661 libdleyna-connector-dbus-1.0-1     	       0      104        0        0      104
26662 libdleyna-core-1.0-3               	       0       31        0        0       31
26663 libdleyna-core-1.0-5               	       0       76        0        0       76
26664 libdleyna-core-1.0-6               	       0        1        0        0        1
26665 libdlib-data                       	       0        8        0        0        8
26666 libdlib-dev                        	       0        2        0        2        0
26667 libdlib19                          	       0        3        0        0        3
26668 libdlib19.1                        	       0        5        0        4        1
26669 libdlm3                            	       0        7        1        1        5
26670 libdlmcontrol3                     	       0        1        0        0        1
26671 libdlrestrictions-dev              	       0        1        0        1        0
26672 libdlrestrictions1                 	       0       70        1        1       68
26673 libdlt-dev                         	       0        1        0        1        0
26674 libdlt2                            	       0       27        5        7       15
26675 libdm0                             	       0        1        0        0        1
26676 libdmalloc-dev                     	       0        2        0        2        0
26677 libdmalloc5                        	       0        2        0        0        2
26678 libdmapsharing-3.0-2               	       0      451        1        7      443
26679 libdmapsharing-4.0-3               	       0        2        0        0        2
26680 libdmapsharing-4.0-3t64            	       0       32        0        0       32
26681 libdmlc0                           	       0        1        0        0        1
26682 libdmr0.1                          	       0        5        0        0        5
26683 libdmraid1.0.0.rc16                	       0       33        0        0       33
26684 libdmrconf0                        	       0        4        0        0        4
26685 libdmrconf0.10                     	       0        3        0        0        3
26686 libdmtx-dev                        	       0        3        0        3        0
26687 libdmtx-utils                      	       0        1        0        0        1
26688 libdmtx0a                          	       0       10        0        1        9
26689 libdmx1                            	       0       56        0        0       56
26690 libdnet                            	       0        8        0        0        8
26691 libdnet-dev                        	       0        2        0        2        0
26692 libdnf2                            	       0        3        0        0        3
26693 libdnf2-common                     	       0        3        0        0        3
26694 libdnlib2.1-cil                    	       0       20        0       20        0
26695 libdnnl2                           	       0       13        0        0       13
26696 libdnnl3                           	       0       40        0        0       40
26697 libdnnl3.6                         	       0       17        0        0       17
26698 libdns-export100                   	       0      219        0        0      219
26699 libdns-export1100                  	       0        1        0        0        1
26700 libdns-export1104                  	       0      526        0        1      525
26701 libdns-export1109                  	       0        1        0        1        0
26702 libdns-export162                   	       0      479        0        1      478
26703 libdns-export169                   	       0        1        0        0        1
26704 libdns-export190                   	       0        1        0        0        1
26705 libdns-ruby                        	       0        1        0        0        1
26706 libdns100                          	       0       84        0        0       84
26707 libdns1104                         	       0      301        0        0      301
26708 libdns1109                         	       0        1        0        0        1
26709 libdns1110                         	       0       58        0        0       58
26710 libdns162                          	       0      191        0        0      191
26711 libdns22                           	       0        4        0        0        4
26712 libdns69                           	       0       18        0        0       18
26713 libdns81                           	       0        2        0        0        2
26714 libdns88                           	       0       21        0        0       21
26715 libdnsjava-java                    	       0        3        0        0        3
26716 libdnssec2                         	       0        1        0        0        1
26717 libdnssec6                         	       0        2        0        0        2
26718 libdnssec7                         	       0        1        0        0        1
26719 libdnssec8                         	       0        4        0        0        4
26720 libdnssec9                         	       0       12        3        4        5
26721 libdnssec9t64                      	       0        2        0        2        0
26722 libdnswire-dev                     	       0        1        0        1        0
26723 libdnswire1t64                     	       0        1        0        0        1
26724 libdockapp-dev                     	       0        7        0        7        0
26725 libdockapp2                        	       0        2        0        0        2
26726 libdockapp3                        	       0       31        3        8       20
26727 libdocopt0                         	       0       11        0        0       11
26728 libdogleg-dev                      	       0        1        0        1        0
26729 libdogleg2                         	       0        1        0        0        1
26730 libdokujclient-java                	       0        3        0        0        3
26731 libdolfinx-dev                     	       0        1        0        1        0
26732 libdolfinx-real-dev                	       0        1        0        0        1
26733 libdolfinx-real0.5                 	       0        1        0        0        1
26734 libdom4j-java                      	       0      477        0        0      477
26735 libdom4j-java-doc                  	       0        1        0        0        1
26736 libdomain-publicsuffix-perl        	       0        8        0        8        0
26737 libdoodle1                         	       0        1        0        0        1
26738 libdotconf-dev                     	       0        1        0        1        0
26739 libdotconf1.0                      	       0        4        0        0        4
26740 libdouble-conversion-dev           	       0       29        1       28        0
26741 libdouble-conversion1              	       0      226        2        1      223
26742 libdovi3                           	       0        3        0        0        3
26743 libdoxia-core-java                 	       0       17        0        0       17
26744 libdoxia-java                      	       0       12        0        0       12
26745 libdoxia-java-doc                  	       0        1        0        0        1
26746 libdoxia-sitetools-java            	       0       10        0        0       10
26747 libdoxygen-filter-perl             	       0        1        0        1        0
26748 libdpdk-dev                        	       0        2        0        2        0
26749 libdpkg-parse-perl                 	       0        6        0        6        0
26750 libdqlite0                         	       0       10        2        6        2
26751 libdraco-dev                       	       0        8        0        8        0
26752 libdraco1                          	       0        1        0        0        1
26753 libdraco1a                         	       0        1        0        0        1
26754 libdraco3                          	       0        2        0        0        2
26755 libdraco4                          	       0        1        0        0        1
26756 libdraco7                          	       0       55        1        0       54
26757 libdraco8                          	       0       10        0        0       10
26758 libdrilbo-common                   	       0        5        0        0        5
26759 libdrilbo-dev                      	       0        1        0        1        0
26760 libdrm-amdgpu-amdgpu1              	       0       17        4        9        4
26761 libdrm-amdgpu-common               	       0       17        0        0       17
26762 libdrm-amdgpu-dev                  	       0        7        0        7        0
26763 libdrm-amdgpu-radeon1              	       0       11        3        5        3
26764 libdrm-amdgpu-static               	       0        1        0        0        1
26765 libdrm-amdgpu-utils                	       0        1        0        1        0
26766 libdrm-common                      	       0     3644        0        0     3644
26767 libdrm-etnaviv1                    	       0        5        0        0        5
26768 libdrm-exynos1                     	       0        1        0        0        1
26769 libdrm-freedreno1                  	       0        3        0        0        3
26770 libdrm-nouveau1                    	       0        1        0        0        1
26771 libdrm-nouveau1a                   	       0       13        0        0       13
26772 libdrm-omap1                       	       0        1        0        0        1
26773 libdrm-tegra0                      	       0        3        0        0        3
26774 libdrm2-amdgpu                     	       0       17        4       13        0
26775 libdrmaa1.0-java                   	       0        1        0        0        1
26776 libdropwizard-metrics-java         	       0        4        0        0        4
26777 libdrpm0                           	       0        2        0        0        2
26778 libdrumstick-alsa1                 	       0        7        0        0        7
26779 libdrumstick-alsa2                 	       0       24        0        0       24
26780 libdrumstick-alsa2t64              	       0        6        0        0        6
26781 libdrumstick-file1                 	       0        3        0        0        3
26782 libdrumstick-file2                 	       0        8        0        0        8
26783 libdrumstick-file2t64              	       0        1        0        0        1
26784 libdrumstick-plugins               	       0        9        0        0        9
26785 libdrumstick-rt-backends           	       0       25        0        0       25
26786 libdrumstick-rt1                   	       0        5        0        0        5
26787 libdrumstick-rt2                   	       0       19        0        0       19
26788 libdrumstick-rt2t64                	       0        6        0        0        6
26789 libdrumstick-widgets2              	       0       19        0        0       19
26790 libdrumstick-widgets2t64           	       0        6        0        0        6
26791 libdsdcc1                          	       0        4        0        0        4
26792 libdsdcc1t64                       	       0        2        0        0        2
26793 libdsdp-5.8gf                      	       0        9        0        0        9
26794 libdsfmt-19937-1                   	       0       17        0        0       17
26795 libdsfmt-216091-1                  	       0        1        0        0        1
26796 libdshconfig1                      	       0        5        0        0        5
26797 libdsk-utils                       	       0        4        0        4        0
26798 libdsk4                            	       0        8        0        0        8
26799 libdsk4-dev                        	       0        1        0        1        0
26800 libdsm3                            	       0       11        0        0       11
26801 libdsocksd0                        	       0        4        0        0        4
26802 libdsocksd0t64                     	       0        2        0        0        2
26803 libdspam7                          	       0        1        0        0        1
26804 libdspam7-drv-mysql                	       0        1        0        0        1
26805 libdspy-1-1                        	       0        1        0        0        1
26806 libdssialsacompat-dev              	       0        1        0        1        0
26807 libdssialsacompat0                 	       0        1        0        0        1
26808 libdtd-parser-java                 	       0      338        0        0      338
26809 libdtdinst-java                    	       0        1        0        0        1
26810 libdtkcommon                       	       0       14        0        0       14
26811 libdtkcore2                        	       0        4        0        0        4
26812 libdtkcore5                        	       0       16        1        9        6
26813 libdtkgui5                         	       0       16        1        9        6
26814 libdtkwidget2                      	       0        4        0        0        4
26815 libdtkwidget5                      	       0       16        0        2       14
26816 libdtkwm2                          	       0        2        0        0        2
26817 libdtkwm5                          	       0        5        0        0        5
26818 libdts-dev                         	       0        5        0        5        0
26819 libdublintraceroute0               	       0        4        0        0        4
26820 libdujour-version-check-clojure    	       0        1        0        0        1
26821 libduktape202                      	       0        1        0        0        1
26822 libduktape203                      	       0       50        0        0       50
26823 libduktape205                      	       0      182        1        0      181
26824 libduktape206                      	       0        5        0        0        5
26825 libdumb1                           	       0       85        0        0       85
26826 libdumb1-dbgsym                    	       0        1        0        1        0
26827 libdumb1-dev                       	       0        8        0        8        0
26828 libdumb1t64                        	       0        5        0        0        5
26829 libdumbnet-dev                     	       0        2        0        2        0
26830 libdumbnet1                        	       0       60        1        1       58
26831 libdune-common-dev                 	       0        3        1        2        0
26832 libdune-grid-glue-dev              	       0        1        0        0        1
26833 libdune-uggrid-dev                 	       0        3        0        3        0
26834 libdv-bin                          	       0       28        1       27        0
26835 libdv4                             	       0     2964        3        8     2953
26836 libdv4-dev                         	       0       11        0       11        0
26837 libdv4t64                          	       0      224        1        2      221
26838 libdvbcsa-dev                      	       0        7        0        7        0
26839 libdvbcsa1                         	       0       16        2        5        9
26840 libdvbpsi-dev                      	       0       13        1       12        0
26841 libdvbpsi10                        	       0     1640        3       15     1622
26842 libdvbpsi4                         	       0        1        0        0        1
26843 libdvbpsi6                         	       0        3        0        0        3
26844 libdvbpsi7                         	       0        6        0        0        6
26845 libdvbpsi9                         	       0       26        0        1       25
26846 libdvbv5-0                         	       0       34        0        0       34
26847 libdvbv5-0t64                      	       0        4        0        0        4
26848 libdvbv5-dev                       	       0        2        0        2        0
26849 libdvbv5-doc                       	       0        2        0        0        2
26850 libdvd-pkg                         	       0      167       31      136        0
26851 libdvdcss                          	       0        1        0        1        0
26852 libdvdcss-dev                      	       0      161        1      160        0
26853 libdvdcss2                         	       0      232        0        4      228
26854 libdvdcss2-dbgsym                  	       0      150        0      149        1
26855 libdvdnav-dev                      	       0       41        1       40        0
26856 libdvdnav-doc                      	       0        5        0        0        5
26857 libdvdnav4                         	       0     3180       35      114     3031
26858 libdvdread-dev                     	       0       46        1       45        0
26859 libdvdread3                        	       0        1        0        0        1
26860 libdvdread4                        	       0      303        0        2      301
26861 libdvdread7                        	       0        2        0        0        2
26862 libdvdread8                        	       0     2727       22       90     2615
26863 libdvdread8t64                     	       0      241       14       34      193
26864 libdvilib2-16                      	       0        1        0        0        1
26865 libdwarf++0                        	       0        6        0        0        6
26866 libdwarf-dev                       	       0        8        0        8        0
26867 libdwarf1                          	       0       17        0        0       17
26868 libdx4                             	       0        7        0        7        0
26869 libdx4-dev                         	       0        1        0        1        0
26870 libdx4t64                          	       0        1        1        0        0
26871 libdxflib-2.2.0.0                  	       0        1        0        0        1
26872 libdxflib-dev                      	       0        4        0        4        0
26873 libdxflib3                         	       0       22        0        0       22
26874 libdxfrw-bin                       	       0        1        0        1        0
26875 libdxfrw-dev                       	       0        1        0        1        0
26876 libdxfrw0                          	       0        1        0        0        1
26877 libdynamic-reconfigure-config-init-mutex-dev	       0        1        0        1        0
26878 libdynamic-reconfigure-config-init-mutex0d	       0        2        0        0        2
26879 libdynamite0                       	       0        6        0        0        6
26880 libdynapath-clojure                	       0        1        0        0        1
26881 libdynarmic6                       	       0        4        0        0        4
26882 libdynarmic6.7                     	       0        1        0        0        1
26883 libe-book-0.0-0                    	       0        1        0        0        1
26884 libeac3                            	       0       46        6       14       26
26885 libeantic-dev                      	       0        1        0        1        0
26886 libeantic1                         	       0       10        0        0       10
26887 libeantic3                         	       0        2        0        0        2
26888 libear                             	       0       12        0        0       12
26889 libeasybind-java                   	       0        1        0        0        1
26890 libeasyloggingpp-dev               	       0        1        0        1        0
26891 libeasymock-java                   	       0       10        0        0       10
26892 libeasymock-java-doc               	       0        1        0        0        1
26893 libeatmydata1                      	       0      107        1        0      106
26894 libeb16                            	       0       31        1        1       29
26895 libeb16t64                         	       0        4        0        0        4
26896 libebackend-1.2-10                 	       0       93        2       30       61
26897 libebackend-1.2-2                  	       0        4        0        0        4
26898 libebackend-1.2-6                  	       0        1        0        0        1
26899 libebackend-1.2-7                  	       0        8        0        0        8
26900 libebackend1.2-dev                 	       0        4        0        4        0
26901 libebml0                           	       0        3        0        0        3
26902 libebml3                           	       0        5        0        0        5
26903 libebml4                           	       0       13        0        1       12
26904 libebml4v5                         	       0      174        0        0      174
26905 libebml5                           	       0     1512        5       17     1490
26906 libebook-1.2-13                    	       0        2        0        0        2
26907 libebook-1.2-14                    	       0        3        0        0        3
26908 libebook-1.2-16                    	       0       12        0        0       12
26909 libebook-1.2-19                    	       0       14        0        1       13
26910 libebook-1.2-20                    	       0       64        2       29       33
26911 libebook-contacts-1.2-0            	       0        3        0        0        3
26912 libebook-contacts-1.2-2            	       0       35        0        1       34
26913 libebook-contacts-1.2-3            	       0       76        2       29       45
26914 libebook-contacts1.2-dev           	       0        4        0        4        0
26915 libebook-tools-perl                	       0        3        0        3        0
26916 libebook1.2-dev                    	       0        4        0        4        0
26917 libebur128-1                       	       0      407        2        8      397
26918 libebur128-dev                     	       0        6        0        6        0
26919 libec-dev                          	       0        9        0        9        0
26920 libec10                            	       0       10        0        0       10
26921 libec4                             	       0        1        0        0        1
26922 libecal-1.2-11                     	       0        5        0        0        5
26923 libecal-1.2-16                     	       0        6        0        0        6
26924 libecal-1.2-19                     	       0       28        0        1       27
26925 libecal-2.0-1                      	       0       62        2       29       31
26926 libecap2                           	       0        2        0        0        2
26927 libecap3                           	       0       50        7       31       12
26928 libecasoundc-dev                   	       0        1        0        1        0
26929 libecasoundc1v5                    	       0        9        0        0        9
26930 libeccodes-data                    	       0       12        0        0       12
26931 libeccodes-dev                     	       0        4        0        4        0
26932 libeccodes-tools                   	       0        3        0        3        0
26933 libeccodes0                        	       0       12        0        0       12
26934 libecholib1.3                      	       0        2        0        0        2
26935 libecholib1.3t64                   	       0        2        0        0        2
26936 libechonest2.1                     	       0        1        0        0        1
26937 libecj-java                        	       0       47        0        0       47
26938 libecj-java-gcj                    	       0        5        0        5        0
26939 libeckit-dev                       	       0        1        0        1        0
26940 libeckit-utils                     	       0        1        0        1        0
26941 libeckit0d                         	       0        6        0        0        6
26942 libecl-dev                         	       0       18        0       18        0
26943 libecl21.2                         	       0       18        0        0       18
26944 libecl21.2t64                      	       0        1        0        0        1
26945 libecl24.5t64                      	       0        1        0        0        1
26946 libeclipse-aether-java             	       0        2        0        0        2
26947 libeclipse-cdt-java                	       0        1        0        0        1
26948 libeclipse-core-commands-java      	       0        5        1        4        0
26949 libeclipse-core-net-java           	       0        1        0        1        0
26950 libeclipse-core-runtime-java       	       0        5        1        4        0
26951 libeclipse-e4-ui-ide-java          	       0        1        0        1        0
26952 libeclipse-jdt-annotation-java     	       0       58        2       56        0
26953 libeclipse-jdt-core-compiler-batch-java	       0        8        0        8        0
26954 libeclipse-jface-java              	       0        5        1        4        0
26955 libeclipse-osgi-java               	       0        5        1        4        0
26956 libeclipse-swtchart-java           	       0        4        0        0        4
26957 libeclipse-ui-forms-java           	       0        5        1        4        0
26958 libeclipse-ui-ide-application-java 	       0        1        0        1        0
26959 libeclipse-ui-ide-java             	       0        1        0        1        0
26960 libeclipse-ui-java                 	       0        1        0        1        0
26961 libeclipse-ui-navigator-java       	       0        1        0        1        0
26962 libeclipse-ui-views-java           	       0        1        0        1        0
26963 libeclipselink-java                	       0        3        0        0        3
26964 libeclipselink-java-doc            	       0        1        0        0        1
26965 libecm-dev                         	       0       11        0        0       11
26966 libecm1                            	       0       18        0        0       18
26967 libecm1-dev                        	       0       14        0        0       14
26968 libecm1-dev-common                 	       0       14        0       14        0
26969 libecore-audio1                    	       0       35        0       11       24
26970 libecore-con1                      	       0       38        0       10       28
26971 libecore-con1t64                   	       0        4        0        1        3
26972 libecore-drm2-1                    	       0       38        0       11       27
26973 libecore-evas1                     	       0       40        0       11       29
26974 libecore-fb1                       	       0       40        0        0       40
26975 libecore-file1                     	       0       42        0       11       31
26976 libecore-imf1                      	       0       38        0       11       27
26977 libecore-input1                    	       0       41        0       11       30
26978 libecore-ipc1                      	       0       40        0       11       29
26979 libecore-wl2-1                     	       0       37        0       11       26
26980 libecore-x1                        	       0       41        0       11       30
26981 libecore1                          	       0       68        0       11       57
26982 libecpg-compat3                    	       0        8        0        0        8
26983 libecpg6                           	       0        9        0        0        9
26984 libector1                          	       0       38        0       11       27
26985 libectrans-0                       	       0        1        0        0        1
26986 libedac1                           	       0       30        0        0       30
26987 libedata-book-1.2-20               	       0        3        0        0        3
26988 libedata-book-1.2-25               	       0       26        0        1       25
26989 libedata-book-1.2-26               	       0       64        2       29       33
26990 libedata-book1.2-dev               	       0        4        0        4        0
26991 libedata-cal-1.2-15                	       0        3        0        0        3
26992 libedata-cal-1.2-23                	       0        5        0        0        5
26993 libedata-cal-1.2-28                	       0        6        0        0        6
26994 libedata-cal-1.2-29                	       0        9        0        1        8
26995 libedata-cal-2.0-1                 	       0       56        2       29       25
26996 libedataserver-1.2-16              	       0        8        0        0        8
26997 libedataserver-1.2-17              	       0        1        0        0        1
26998 libedataserver-1.2-18              	       0        8        0        0        8
26999 libedataserver-1.2-21              	       0        1        0        0        1
27000 libedataserver-1.2-22              	       0       15        0        0       15
27001 libedataserver-1.2-23              	       0       24        0        1       23
27002 libedataserver-1.2-24              	       0        1        0        0        1
27003 libedataserver-1.2-25              	       0       79        2       29       48
27004 libedataserver-1.2-26              	       0        5        0        1        4
27005 libedataserver1.2-dev              	       0        5        0        5        0
27006 libedataserverui-1.2-1             	       0        6        0        0        6
27007 libedataserverui-1.2-2             	       0       65        2       30       33
27008 libedataserverui-1.2-3             	       0        1        0        0        1
27009 libedataserverui-3.0-1             	       0        2        0        0        2
27010 libedataserverui4-1.0-0t64         	       0        7        0        0        7
27011 libedbus1                          	       0        1        0        0        1
27012 libedf1                            	       0        2        0        0        2
27013 libedfmt0                          	       0        1        0        0        1
27014 libedgetpu-dev                     	       0        1        0        1        0
27015 libedgetpu1-max                    	       0        1        0        1        0
27016 libeditline-dev                    	       0        1        0        1        0
27017 libeditline0                       	       0        4        0        0        4
27018 libeditorconfig-dev                	       0        1        0        1        0
27019 libedje1                           	       0       38        0       11       27
27020 libedlib-dev                       	       0        1        0        1        0
27021 libedlib0                          	       0        1        0        0        1
27022 libedlib1                          	       0        1        0        0        1
27023 libeduvpn-common                   	       0        3        0        0        3
27024 libee0                             	       0        7        0        0        7
27025 libeel2-data                       	       0        1        0        0        1
27026 libeet-bin                         	       0        1        0        1        0
27027 libeet1                            	       0       43        0       11       32
27028 libeeze1                           	       0       42        0       11       31
27029 libefiboot-dev                     	       0        1        0        1        0
27030 libefiboot1t64                     	       0      267        0        0      267
27031 libefisec1                         	       0        1        0        0        1
27032 libefisec1t64                      	       0        2        0        0        2
27033 libefivar-dev                      	       0        1        0        1        0
27034 libefivar0                         	       0        9        0        0        9
27035 libefivar1t64                      	       0      267        0        0      267
27036 libefreet1a                        	       0       40        0       11       29
27037 libeggdbus-1-0                     	       0        4        0        0        4
27038 libegl-nvidia-legacy-390xx0        	       0       14        2        1       11
27039 libegl-nvidia-tesla-450-0          	       0        2        0        0        2
27040 libegl-nvidia-tesla-470-0          	       0       14        3        6        5
27041 libegl1-amdgpu-mesa                	       0        7        2        4        1
27042 libegl1-amdgpu-mesa-dev            	       0        1        0        1        0
27043 libegl1-amdgpu-mesa-drivers        	       0        7        0        0        7
27044 libegl1-glvnd-nvidia               	       0        2        0        0        2
27045 libegl1-mesa                       	       0      462        0        0      462
27046 libegl1-mesa-drivers               	       0       39        0        0       39
27047 libegl1-nvidia                     	       0        3        0        0        3
27048 libegl1-nvidia-legacy-340xx        	       0        8        0        1        7
27049 libegl1-nvidia-legacy-390xx        	       0        2        0        0        2
27050 libehcache-java                    	       0      741        0        0      741
27051 libei1                             	       0       14        0        0       14
27052 libeidviewer0                      	       0        8        0        0        8
27053 libeigen-stl-containers-dev        	       0        1        0        1        0
27054 libeigen2-dev                      	       0        2        0        2        0
27055 libeigen3-dev                      	       0       87        3       84        0
27056 libeigen3-doc                      	       0        3        0        0        3
27057 libeina-bin                        	       0        1        0        1        0
27058 libeina1                           	       0        5        0        0        5
27059 libeina1a                          	       0       61        0       10       51
27060 libeina1t64                        	       0        4        0        1        3
27061 libeinfo-dev                       	       0        1        0        1        0
27062 libeio1                            	       0       40        0       11       29
27063 libeis-dev                         	       0        1        0        1        0
27064 libeiskaltdcpp2.4                  	       0        8        1        0        7
27065 libel-api-java                     	       0      808        0        0      808
27066 libelemental0                      	       0        7        0        0        7
27067 libelementary-data                 	       0       36        0        9       27
27068 libelementary1                     	       0       34        0       11       23
27069 libelementary2                     	       0        1        0        0        1
27070 libelf++0                          	       0        6        0        0        6
27071 libelfg0                           	       0       37        0        0       37
27072 libelfin-dev                       	       0        1        0        0        1
27073 libelfsh0                          	       0        1        0        0        1
27074 libelk0                            	       0        1        0        0        1
27075 libell-dev                         	       0        2        1        1        0
27076 libell0                            	       0       61        5       17       39
27077 libelocation1                      	       0        2        0        0        2
27078 libelogind-compat                  	       0     2872        0        0     2872
27079 libelogind-dev-doc                 	       0        3        0        0        3
27080 libelogind0-dbgsym                 	       0        1        0        1        0
27081 libelpa15                          	       0        1        0        0        1
27082 libelput1                          	       0       38        0       11       27
27083 libemail-abstract-perl             	       0       79        3       76        0
27084 libemail-address-list-perl         	       0        1        0        1        0
27085 libemail-address-perl              	       0       52        4       48        0
27086 libemail-address-xs-perl           	       0      672       15       42      615
27087 libemail-date-perl                 	       0        4        0        4        0
27088 libemail-find-perl                 	       0       24        2       22        0
27089 libemail-messageid-perl            	       0       80        7       73        0
27090 libemail-mime-contenttype-perl     	       0       80        7       73        0
27091 libemail-mime-createhtml-perl      	       0        1        0        1        0
27092 libemail-mime-encodings-perl       	       0       82        7       75        0
27093 libemail-mime-modifier-perl        	       0        1        0        0        1
27094 libemail-mime-perl                 	       0       80        7       73        0
27095 libemail-outlook-message-perl      	       0        9        0        9        0
27096 libemail-received-perl             	       0        1        0        1        0
27097 libemail-reply-perl                	       0        1        0        1        0
27098 libemail-send-io-perl              	       0        1        0        1        0
27099 libemail-send-perl                 	       0        1        0        1        0
27100 libemail-sender-perl               	       0       76        3       73        0
27101 libemail-simple-perl               	       0       89        8       81        0
27102 libemail-stuffer-perl              	       0        2        1        1        0
27103 libembree-doc                      	       0        1        0        0        1
27104 libembree3-3                       	       0      117        0        1      116
27105 libembree4-4                       	       0       12        0        0       12
27106 libembryo0                         	       0        1        0        0        1
27107 libembryo1                         	       0       38        0       11       27
27108 libemf-dev                         	       0        3        0        3        0
27109 libemf-doc                         	       0        1        0        0        1
27110 libemf1                            	       0      269        0        0      269
27111 libemf2svg-dev                     	       0        1        0        1        0
27112 libemf2svg1                        	       0        2        0        0        2
27113 libemile1                          	       0       39        0       11       28
27114 libemma-java                       	       0        1        0        0        1
27115 libemos-bin                        	       0        1        0        1        0
27116 libemos-data                       	       0        3        0        0        3
27117 libemos-dev                        	       0        2        0        2        0
27118 libemos0d                          	       0        2        0        0        2
27119 libemotion1                        	       0       35        0       11       24
27120 libenca-dev                        	       0       14        0       14        0
27121 libenca0                           	       0      779        5        8      766
27122 libenchant-2-dev                   	       0       21        1       20        0
27123 libenchant-2-voikko                	       0        9        0        1        8
27124 libenchant-dev                     	       0        7        0        7        0
27125 libenchant-voikko                  	       0        6        0        0        6
27126 libenchant1c2a                     	       0      322        1        2      319
27127 libencode-detect-perl              	       0       47        2        6       39
27128 libencode-eucjpascii-perl          	       0      101        0        0      101
27129 libencode-eucjpms-perl             	       0      117        0        0      117
27130 libencode-hanextra-perl            	       0      133        0        0      133
27131 libencode-imaputf7-perl            	       0       11        0       11        0
27132 libencode-jis2k-perl               	       0      117        0        0      117
27133 libencode-perl                     	       0      258       15       21      222
27134 libenet-dev                        	       0       14        1       13        0
27135 libenet-doc                        	       0        9        0        0        9
27136 libenet1a                          	       0        1        0        0        1
27137 libenet7                           	       0      108        0        2      106
27138 libenet7-dbgsym                    	       0        1        0        1        0
27139 libengine-gost-openssl             	       0       17        0        0       17
27140 libengine-gost-openssl1.1          	       0       21        0        0       21
27141 libengine-pkcs11-openssl           	       0       18        0        2       16
27142 libengine-tpm2-tss-openssl         	       0        1        0        0        1
27143 libenv-path-perl                   	       0        2        0        2        0
27144 libenv-sanctify-perl               	       0       10        0       10        0
27145 libepc-1.0-3                       	       0        4        0        0        4
27146 libepc-common                      	       0        7        0        0        7
27147 libepc-ui-1.0-3                    	       0        3        0        0        3
27148 libepr-api-dev                     	       0        3        0        3        0
27149 libepr-api2                        	       0        3        0        0        3
27150 libepsilon-dev                     	       0       14        0       14        0
27151 libepsilon0                        	       0        1        0        0        1
27152 libepsilon1                        	       0      188        0        2      186
27153 libept1.4.12                       	       0       73        0        0       73
27154 libept1.5.0                        	       0      197        0        0      197
27155 libept1.6.0t64                     	       0      130        0        3      127
27156 libepub-dev                        	       0        2        0        2        0
27157 libepub0                           	       0      850        0        2      848
27158 libepubgen-0.0-0                   	       0        2        0        0        2
27159 libepubgen-dev                     	       0        1        0        1        0
27160 libequeue-ocaml                    	       0        1        0        0        1
27161 libequinox-common-java             	       0        5        1        4        0
27162 libequinox-jsp-jasper-java         	       0        1        0        1        0
27163 libequinox-osgi-java               	       0       25        0        2       23
27164 libequinox-p2-artifact-repository-java	       0        1        0        1        0
27165 libequinox-p2-core-java            	       0        1        0        1        0
27166 libequinox-p2-engine-java          	       0        1        0        1        0
27167 libequinox-p2-jarprocessor-java    	       0        1        0        1        0
27168 libequinox-p2-metadata-java        	       0        1        0        1        0
27169 libequinox-p2-metadata-repository-java	       0        1        0        1        0
27170 libequinox-p2-repository-java      	       0        1        0        1        0
27171 libequinox-security-java           	       0        1        0        1        0
27172 liberasurecode-dev                 	       0        1        0        1        0
27173 liberasurecode1                    	       0        1        0        0        1
27174 liberfa-dev                        	       0        5        0        5        0
27175 liberfa1                           	       0       46        0        0       46
27176 liberis-1.3-14                     	       0        1        0        0        1
27177 liberror-prone-java                	       0      236        0        0      236
27178 liberty-jessie-archive-keyring     	       0        1        0        0        1
27179 liberubis-ruby1.9.1                	       0        1        0        0        1
27180 libescapevelocity-java             	       0        2        0        0        2
27181 libescpr1                          	       0        1        0        0        1
27182 libesd0                            	       0       29        0        1       28
27183 libesd0-dev                        	       0        7        0        7        0
27184 libesedb-utils                     	       0        2        0        2        0
27185 libesedb1                          	       0       16        0        0       16
27186 libesmtp-dev                       	       0        2        0        2        0
27187 libesmtp6                          	       0       49        0       20       29
27188 libesnacc-dev                      	       0        1        0        1        0
27189 libesnacc180                       	       0        1        0        0        1
27190 libespeak-dev                      	       0        1        0        1        0
27191 libespeak-ng-dev                   	       0        1        1        0        0
27192 libespeak-ng-libespeak-dev         	       0        1        1        0        0
27193 libespeak1                         	       0     1073        0        1     1072
27194 libestools-dev                     	       0        6        1        5        0
27195 libestools2.1                      	       0        7        0        0        7
27196 libestools2.4                      	       0       17        0        0       17
27197 libestools2.5                      	       0       94        0        1       93
27198 libestraier-dev                    	       0        1        0        1        0
27199 libestraier8                       	       0        5        0        0        5
27200 libethumb-client1                  	       0       35        0       11       24
27201 libethumb1                         	       0       35        0       11       24
27202 libetonyek-0.0-0                   	       0        1        0        0        1
27203 libetonyek-dev                     	       0        2        0        2        0
27204 libetonyek-tools                   	       0        3        0        3        0
27205 libetpan-dev                       	       0       11        1       10        0
27206 libetpan-doc                       	       0        2        0        2        0
27207 libetpan15                         	       0        1        0        0        1
27208 libetpan17                         	       0        7        0        1        6
27209 libetpan20                         	       0      167        6       27      134
27210 libetpan20t64                      	       0       15        1        3       11
27211 libetrace0                         	       0        1        0        0        1
27212 libetsf-io-doc                     	       0        1        0        0        1
27213 libeuclid-java                     	       0        2        0        0        2
27214 libeudev1-dbgsym                   	       0        2        0        2        0
27215 libev-dev                          	       0       40        0       40        0
27216 libev-perl                         	       0      268        1        2      265
27217 libev4t64                          	       0       59        5        4       50
27218 libeval-context-perl               	       0        2        0        2        0
27219 libeval0                           	       0        3        0        0        3
27220 libevas-loaders                    	       0       38        0        0       38
27221 libevas1                           	       0       41        0       11       30
27222 libevas1-engines-drm               	       0       36        0        0       36
27223 libevas1-engines-fb                	       0       32        0        0       32
27224 libevas1-engines-wayland           	       0       36        0       11       25
27225 libevas1-engines-x                 	       0       39        0       11       28
27226 libevd-0.2-0                       	       0        1        0        0        1
27227 libevd-0.2-0t64                    	       0        1        1        0        0
27228 libevdev-tools                     	       0        9        0        9        0
27229 libevdi0                           	       0        7        0        0        7
27230 libevdi1                           	       0        1        0        0        1
27231 libevdocument3-4t64                	       0       71        0        1       70
27232 libevemu1                          	       0        2        0        0        2
27233 libevemu3                          	       0       84        0        0       84
27234 libevemu3t64                       	       0       19        0        0       19
27235 libevent-1.4-2                     	       0       19        0        0       19
27236 libevent-2.0-5                     	       0      202        1        1      200
27237 libevent-2.1-6                     	       0      267        3        5      259
27238 libevent-2.1.8                     	       0        1        0        1        0
27239 libevent-core-1.4-2                	       0        2        0        0        2
27240 libevent-core-2.0-5                	       0       17        0        0       17
27241 libevent-core-2.1-6                	       0      148        0        0      148
27242 libevent-core2                     	       0        1        0        0        1
27243 libevent-dev                       	       0      189        2      187        0
27244 libevent-execflow-perl             	       0        1        0        1        0
27245 libevent-extra-1.4-2               	       0        2        0        0        2
27246 libevent-extra-2.0-5               	       0       13        0        0       13
27247 libevent-extra-2.1-6               	       0       13        0        0       13
27248 libevent-extra-2.1-7               	       0      162        2        5      155
27249 libevent-extra-2.1-7t64            	       0       22        0        1       21
27250 libevent-extra2                    	       0        1        0        0        1
27251 libevent-openssl-2.0-5             	       0       13        0        0       13
27252 libevent-openssl-2.1-6             	       0       13        0        0       13
27253 libevent-openssl-2.1-7             	       0      162        2        5      155
27254 libevent-openssl-2.1-7t64          	       0       22        0        1       21
27255 libevent-pthreads-2.0-5            	       0       15        0        0       15
27256 libevent-pthreads-2.1-6            	       0      147        1        1      145
27257 libevent-pthreads-2.1-7            	       0      567        9       26      532
27258 libevent-pthreads-2.1-7t64         	       0       53        1        6       46
27259 libevent-pthreads0                 	       0        1        0        0        1
27260 libevent-rpc-perl                  	       0        3        0        3        0
27261 libevent1                          	       0        6        0        0        6
27262 libevent2                          	       0        1        0        0        1
27263 libeventviews-data                 	       0        7        0        0        7
27264 libeventviews4                     	       0        2        0        0        2
27265 libevocosm-4.0-4                   	       0        1        0        0        1
27266 libevocosm-dev                     	       0        1        0        1        0
27267 libevs-dev                         	       0        1        0        1        0
27268 libevs4                            	       0        1        0        0        1
27269 libevt-utils                       	       0        2        0        2        0
27270 libevt1                            	       0       16        0        0       16
27271 libevtlog0                         	       0        5        0        1        4
27272 libevtx-utils                      	       0        2        0        2        0
27273 libevtx1                           	       0       16        0        0       16
27274 libevview3-3                       	       0     1091        6       14     1071
27275 libevview3-3t64                    	       0       71        0        1       70
27276 libewf-dev                         	       0        1        0        1        0
27277 libewf1                            	       0        2        0        0        2
27278 libewf2                            	       0      179        0        0      179
27279 libex-monkeypatched-perl           	       0        2        0        2        0
27280 libexactimage-perl                 	       0        2        0        0        2
27281 libexadrums2                       	       0        1        0        0        1
27282 libexcalibur-logger-java           	       0        2        0        0        2
27283 libexcalibur-logkit-java           	       0       25        0        0       25
27284 libexcel-template-perl             	       0        1        0        1        0
27285 libexcel-writer-xlsx-perl          	       0        3        0        3        0
27286 libexec-maven-plugin-java          	       0       16        0        0       16
27287 libexecline2.5                     	       0        2        0        0        2
27288 libexecline2.7                     	       0        4        0        0        4
27289 libexecline2.9                     	       0       10        0        1        9
27290 libexecs0                          	       0      544        0        0      544
27291 libexecs1                          	       0       57        0        0       57
27292 libexempi-dev                      	       0        3        0        3        0
27293 libexempi3                         	       0       60        0        0       60
27294 libexif-doc                        	       0       38        0        0       38
27295 libexif-gtk-dev                    	       0        1        0        1        0
27296 libexif-gtk3-5                     	       0        3        0        0        3
27297 libexif-gtk5                       	       0       48        0        0       48
27298 libexif-ruby1.9.1                  	       0        1        0        0        1
27299 libexiv2-12                        	       0       16        0        0       16
27300 libexiv2-13                        	       0       26        0        1       25
27301 libexiv2-14                        	       0      295        0        1      294
27302 libexiv2-28                        	       0      132        9       25       98
27303 libexiv2-9                         	       0        7        0        0        7
27304 libexiv2-data                      	       0      132        0        0      132
27305 libexiv2-doc                       	       0        1        0        1        0
27306 libexo-0.3-0                       	       0        3        0        0        3
27307 libexo-1-0                         	       0      110        0        0      110
27308 libexo-1-dev                       	       0        1        0        1        0
27309 libexo-2-0-dbgsym                  	       0        1        0        1        0
27310 libexo-2-dev                       	       0        5        1        4        0
27311 libexo-common                      	       0     1943        0        0     1943
27312 libexo-helpers                     	       0      116        0        0      116
27313 libexodusii5                       	       0        1        0        0        1
27314 libexosip2-11                      	       0        3        0        3        0
27315 libexosip2-4                       	       0        1        0        1        0
27316 libexosip2-7                       	       0        2        0        2        0
27317 libexosip2-dev                     	       0        1        0        1        0
27318 libexpect-perl                     	       0       26        0       26        0
27319 libexpect-simple-perl              	       0        2        0        2        0
27320 libexperimental-perl               	       0        1        0        1        0
27321 libexplain-dev                     	       0        1        0        1        0
27322 libexplain30                       	       0        1        0        0        1
27323 libexplain51                       	       0        6        0        0        6
27324 libexport-attrs-perl               	       0        1        0        1        0
27325 libexporter-easy-perl              	       0        2        0        2        0
27326 libexporter-lite-perl              	       0       71        3       68        0
27327 libexporter-tidy-perl              	       0        2        0        2        0
27328 libextlib-ruby1.9.1                	       0        2        0        0        2
27329 libextractor-dev                   	       0        4        0        4        0
27330 libextractor-plugin-archive        	       0       13        0        0       13
27331 libextractor-plugin-av             	       0        1        0        0        1
27332 libextractor-plugin-exiv2          	       0       13        0        0       13
27333 libextractor-plugin-flac           	       0       13        0        0       13
27334 libextractor-plugin-gif            	       0       13        0        0       13
27335 libextractor-plugin-gstreamer      	       0       14        0        0       14
27336 libextractor-plugin-html           	       0       13        0        0       13
27337 libextractor-plugin-jpeg           	       0       13        0        0       13
27338 libextractor-plugin-midi           	       0       13        0        0       13
27339 libextractor-plugin-mpeg           	       0       13        0        0       13
27340 libextractor-plugin-ogg            	       0       13        0        0       13
27341 libextractor-plugin-ole2           	       0       13        0        0       13
27342 libextractor-plugin-pdf            	       0       13        0        0       13
27343 libextractor-plugin-rpm            	       0       13        0        0       13
27344 libextractor-plugin-thumbnailgtk   	       0       14        0        0       14
27345 libextractor-plugin-tiff           	       0       14        0        0       14
27346 libextractor-plugins-all           	       0       13        0        0       13
27347 libextractor-plugins-misc          	       0       27        0        0       27
27348 libextractor3                      	       0       38        0        1       37
27349 libexttextcat-data                 	       0     2949        0        0     2949
27350 libexttextcat-dev                  	       0        1        0        1        0
27351 libexttextcat0                     	       0        1        0        0        1
27352 libextutils-autoinstall-perl       	       0        3        1        2        0
27353 libextutils-config-perl            	       0        3        0        3        0
27354 libextutils-f77-perl               	       0        1        0        1        0
27355 libextutils-helpers-perl           	       0        3        0        3        0
27356 libextutils-installpaths-perl      	       0        3        0        3        0
27357 libeztrace0                        	       0        1        0        0        1
27358 libf2c2                            	       0       15        0        0       15
27359 libf2c2-dev                        	       0       14        0       14        0
27360 libf2fs-dev                        	       0        1        0        1        0
27361 libf2fs-format-dev                 	       0        1        0        1        0
27362 libf2fs-format4                    	       0       13        0        0       13
27363 libf2fs0                           	       0        3        0        0        3
27364 libf2fs5                           	       0       13        0        0       13
27365 libf2j-java                        	       0        1        0        0        1
27366 libf95getdata7                     	       0        1        0        0        1
27367 libfaac-dev                        	       0       14        0       14        0
27368 libfaac0                           	       0      132        0        2      130
27369 libfaad-dev                        	       0       41        1       40        0
27370 libfaad-ocaml                      	       0        1        0        1        0
27371 libfaad-ocaml-dev                  	       0        1        0        1        0
27372 libfaad0                           	       0        1        0        0        1
27373 libfaad2                           	       0     3340       29       71     3240
27374 libfabric1                         	       0      338        0        6      332
27375 libfacets-ruby1.9.1                	       0        1        0        0        1
27376 libfacter3.10.0                    	       0        1        0        0        1
27377 libfacter3.11.0                    	       0       10        0        0       10
27378 libfacter3.14.12                   	       0        3        0        0        3
27379 libfaifa0                          	       0        3        0        0        3
27380 libfakechroot                      	       0       77        0        0       77
27381 libfakefs-ruby1.9.1                	       0        1        0        0        1
27382 libfakekey-dev                     	       0        1        0        1        0
27383 libfakeroot                        	       0     2231        0        0     2231
27384 libfaketime                        	       0       33        0        0       33
27385 libfalabaac2                       	       0        1        0        0        1
27386 libfalcosecurity0                  	       0        1        0        0        1
27387 libfam-dev                         	       0        1        0        1        0
27388 libfame-0.9                        	       0        1        0        0        1
27389 libfame-0.9-1                      	       0        4        0        0        4
27390 libfann-dev                        	       0        4        0        4        0
27391 libfann2                           	       0        8        0        0        8
27392 libfarstream-0.1-0                 	       0        4        0        1        3
27393 libfarstream-0.2-5                 	       0      461       16       25      420
27394 libfarstream-0.2-dev               	       0        2        1        1        0
27395 libfastahack0                      	       0        1        0        0        1
27396 libfastinfoset-java                	       0      336        0        0      336
27397 libfastjet-dev                     	       0        1        0        1        0
27398 libfastjet-fortran-dev             	       0        1        0        0        1
27399 libfastjet-fortran0                	       0        1        0        0        1
27400 libfastjet0v5                      	       0        1        0        0        1
27401 libfastjettools-dev                	       0        1        0        0        1
27402 libfastjettools0                   	       0        1        0        0        1
27403 libfastlz                          	       0        1        0        1        0
27404 libfasttext0                       	       0        2        0        0        2
27405 libfastutil-java                   	       0       10        0        0       10
27406 libfathom1                         	       0        3        0        0        3
27407 libfaudio-dev                      	       0        3        0        3        0
27408 libfaudio0                         	       0      117        0        0      117
27409 libfaust2                          	       0       21        0        0       21
27410 libfaust2t64                       	       0        6        0        0        6
27411 libfbclient2                       	       0      769        3        2      764
27412 libfbembed2.5                      	       0       16        0        0       16
27413 libfcft3                           	       0        1        0        1        0
27414 libfcft4                           	       0       41        2        5       34
27415 libfcft4t64                        	       0       11        0        1       10
27416 libfcgi-async-perl                 	       0        1        0        1        0
27417 libfcgi-dev                        	       0        5        0        5        0
27418 libfcgi-perl                       	       0     1233        2        1     1230
27419 libfcgi-procmanager-perl           	       0       17        1       16        0
27420 libfcgi-ruby1.9.1                  	       0        1        0        0        1
27421 libfcgi0ldbl                       	       0      926        6       10      910
27422 libfcgi0t64                        	       0       85        0        0       85
27423 libfcitx-config4                   	       0       28        2        8       18
27424 libfcitx-core0                     	       0       25        2        8       15
27425 libfcitx-gclient1                  	       0       27        1       10       16
27426 libfcitx-qt5-1                     	       0       25        0        0       25
27427 libfcitx-qt5-data                  	       0       25        0        0       25
27428 libfcitx-utils0                    	       0       29        2       11       16
27429 libfcitx5-qt-data                  	       0       27        0        0       27
27430 libfcitx5-qt6-1                    	       0       18        0        0       18
27431 libfcitx5-qt6-dev                  	       0        1        0        1        0
27432 libfcitx5config-dev                	       0        2        0        2        0
27433 libfcitx5core-dev                  	       0        2        0        2        0
27434 libfcitx5gclient1                  	       0        5        0        1        4
27435 libfcitx5gclient2                  	       0       20        1       10        9
27436 libfcitx5utils-dev                 	       0        2        0        2        0
27437 libfckit0d                         	       0        1        0        0        1
27438 libfcl0.7                          	       0        1        0        0        1
27439 libfcml0                           	       0        2        0        0        2
27440 libfcml0t64                        	       0        1        0        0        1
27441 libfdb5-0d                         	       0        1        0        0        1
27442 libfdisk-dev                       	       0        4        1        3        0
27443 libfdisk1-dbgsym                   	       0        1        0        1        0
27444 libfdk-aac-dev                     	       0       23        0       23        0
27445 libfdk-aac0                        	       0       10        0        0       10
27446 libfdk-aac1                        	       0       30        0        0       30
27447 libfdk-aac2                        	       0      130       15       47       68
27448 libfdkaac-ocaml                    	       0        1        0        1        0
27449 libfdkaac-ocaml-dev                	       0        1        0        1        0
27450 libfdkaac-ocaml-dynlink            	       0        1        0        1        0
27451 libfdt-dev                         	       0       22        1       21        0
27452 libfec-dev                         	       0        1        0        1        0
27453 libfec0                            	       0        8        0        0        8
27454 libfeed-find-perl                  	       0       12        0       12        0
27455 libfeedback-0.0-0                  	       0        9        1        2        6
27456 libfelix-bundlerepository-java     	       0        9        0        0        9
27457 libfelix-framework-java            	       0       65        0        0       65
27458 libfelix-framework-java-doc        	       0        6        0        0        6
27459 libfelix-gogo-command-java         	       0        5        0        0        5
27460 libfelix-gogo-runtime-java         	       0       56        0        0       56
27461 libfelix-gogo-shell-java           	       0        5        0        0        5
27462 libfelix-main-java                 	       0       20        0        0       20
27463 libfelix-main-java-doc             	       0        6        0        0        6
27464 libfelix-osgi-obr-java             	       0       51        0        0       51
27465 libfelix-resolver-java             	       0       53        0        0       53
27466 libfelix-shell-java                	       0        6        0        0        6
27467 libfelix-utils-java                	       0        8        0        0        8
27468 libfetk1.9                         	       0        4        0        0        4
27469 libffado-dev                       	       0        2        0        2        0
27470 libffcall-dev                      	       0        2        0        2        0
27471 libffcall1                         	       0        8        0        0        8
27472 libffcall1-dev                     	       0        1        0        0        1
27473 libffcall1b                        	       0       44        0        1       43
27474 libffi-checklib-perl               	       0        3        0        3        0
27475 libffi-platypus-perl               	       0        2        0        2        0
27476 libffi-ruby1.9.1                   	       0        1        0        0        1
27477 libffi5                            	       0       38        0        0       38
27478 libffi6                            	       0     1152        6       17     1129
27479 libffi7-dbgsym                     	       0        1        0        1        0
27480 libffindex0                        	       0        3        0        0        3
27481 libffmpeg-nvenc-dev                	       0       18        0       18        0
27482 libffmpeg-ocaml                    	       0        2        0        2        0
27483 libffmpegthumbnailer-dev           	       0        9        0        9        0
27484 libffmpegthumbnailer4              	       0        4        0        0        4
27485 libffmpegthumbnailer4v5            	       0      956        5       22      929
27486 libffms2-4                         	       0       33        0        0       33
27487 libffms2-5                         	       0       85        0        0       85
27488 libffms2-dev                       	       0        3        0        3        0
27489 libfftw3-3                         	       0        8        0        0        8
27490 libfftw3-doc                       	       0        8        0        0        8
27491 libfftw3-double3                   	       0     3511       68      170     3273
27492 libfftw3-long3                     	       0      228        0        0      228
27493 libfftw3-mpi-dev                   	       0        3        0        3        0
27494 libfftw3-mpi3                      	       0       59        0        0       59
27495 libfftw3-quad3                     	       0      182        0        0      182
27496 libfftw3-single3                   	       0     3361        5       18     3338
27497 libfgetdata6                       	       0        1        0        0        1
27498 libfiat-0                          	       0        1        0        0        1
27499 libfido2-1                         	       0     3654        0        2     3652
27500 libfido2-dev                       	       0        8        1        7        0
27501 libfido2-doc                       	       0        2        0        0        2
27502 libfifechan-dev                    	       0        1        0        0        1
27503 libfifechan0.1.3                   	       0        1        0        0        1
27504 libfifechan0.1.5                   	       0        6        0        0        6
27505 libfifechan0.1.5t64                	       0        2        0        0        2
27506 libfile-bom-perl                   	       0        2        1        1        0
27507 libfile-changenotify-perl          	       0        2        1        1        0
27508 libfile-chmod-perl                 	       0       17        0       17        0
27509 libfile-configdir-perl             	       0        5        1        4        0
27510 libfile-copy-link-perl             	       0        4        0        4        0
27511 libfile-fcntllock-perl             	       0     2639        0        0     2639
27512 libfile-find-object-perl           	       0        1        0        1        0
27513 libfile-find-rule-perl-perl        	       0        3        0        3        0
27514 libfile-find-rule-vcs-perl         	       0        1        0        1        0
27515 libfile-find-wanted-perl           	       0        3        0        3        0
27516 libfile-flat-perl                  	       0        2        0        2        0
27517 libfile-flock-perl                 	       0        1        0        1        0
27518 libfile-fnmatch-perl               	       0      222        0        0      222
27519 libfile-grep-perl                  	       0        1        0        1        0
27520 libfile-inplace-perl               	       0        2        0        2        0
27521 libfile-keepass-perl               	       0       11        0       11        0
27522 libfile-lchown-perl                	       0        2        0        0        2
27523 libfile-libmagic-perl              	       0       18        0        0       18
27524 libfile-map-perl                   	       0        2        0        0        2
27525 libfile-mmagic-perl                	       0        5        0        5        0
27526 libfile-modified-perl              	       0        3        1        2        0
27527 libfile-ncopy-perl                 	       0       24        4       20        0
27528 libfile-next-perl                  	       0       31        1       30        0
27529 libfile-nfslock-perl               	       0       17        0       17        0
27530 libfile-path-expand-perl           	       0        2        0        2        0
27531 libfile-pid-perl                   	       0        2        0        2        0
27532 libfile-policy-perl                	       0        1        0        1        0
27533 libfile-pushd-perl                 	       0       61        2       59        0
27534 libfile-rsync-perl                 	       0        2        0        2        0
27535 libfile-rsyncp-perl                	       0        1        0        0        1
27536 libfile-scan-perl                  	       0        1        0        1        0
27537 libfile-searchpath-perl            	       0        2        0        2        0
27538 libfile-share-perl                 	       0        7        0        7        0
27539 libfile-sharedir-install-perl      	       0        2        0        2        0
27540 libfile-slurp-tiny-perl            	       0        9        0        9        0
27541 libfile-slurp-unicode-perl         	       0        4        0        4        0
27542 libfile-sort-perl                  	       0        3        0        3        0
27543 libfile-spec-native-perl           	       0        1        0        1        0
27544 libfile-tail-perl                  	       0       23        0       23        0
27545 libfile-treecreate-perl            	       0        1        0        1        0
27546 libfile-type-perl                  	       0        4        0        4        0
27547 libfile-userconfig-perl            	       0        1        0        1        0
27548 libfile-xdg-perl                   	       0        1        0        1        0
27549 libfile-zglob-perl                 	       0        1        0        1        0
27550 libfileclasses1                    	       0        7        0        0        7
27551 libfilehandle-fmode-perl           	       0        1        0        0        1
27552 libfilehandle-unget-perl           	       0       17        0       17        0
27553 libfilesys-df-perl                 	       0       86        1        4       81
27554 libfilesys-diskspace-perl          	       0        2        0        2        0
27555 libfilesys-notify-simple-perl      	       0       18        1       17        0
27556 libfilesys-smbclient-perl          	       0        1        0        0        1
27557 libfilesystem-ruby1.9.1            	       0        1        0        1        0
27558 libfileutils-ocaml-dev             	       0        1        0        1        0
27559 libfilezilla-common                	       0      222        0        0      222
27560 libfilezilla-dev                   	       0        3        0        0        3
27561 libfilezilla0                      	       0       19        0        0       19
27562 libfilezilla11                     	       0       61        0        1       60
27563 libfilezilla22                     	       0        2        0        0        2
27564 libfilezilla23                     	       0        1        0        0        1
27565 libfilezilla24                     	       0        2        0        0        2
27566 libfilezilla25                     	       0        1        0        0        1
27567 libfilezilla30                     	       0        1        0        0        1
27568 libfilezilla32                     	       0        1        0        0        1
27569 libfilezilla34                     	       0      197        2        2      193
27570 libfilezilla40                     	       0        3        0        0        3
27571 libfilezilla41                     	       0        5        0        0        5
27572 libfilezilla42                     	       0        1        0        0        1
27573 libfilezilla42t64                  	       0        1        0        0        1
27574 libfilezilla43t64                  	       0        1        0        0        1
27575 libfilezilla45                     	       0        6        0        0        6
27576 libfilezilla46                     	       0       11        0        0       11
27577 libfilter-signatures-perl          	       0        2        1        1        0
27578 libfinance-quotehist-perl          	       0        1        0        1        0
27579 libfinance-yahooquote-perl         	       0        2        0        2        0
27580 libfindbin-libs-perl               	       0        4        0        4        0
27581 libfindbugs-annotations-java       	       0        3        0        0        3
27582 libfindbugs-ant-java               	       0        3        0        0        3
27583 libfindbugs-java                   	       0       49        0        0       49
27584 libfindlib-ocaml                   	       0       43        0       37        6
27585 libfindlib-ocaml-dev               	       0       38        0       38        0
27586 libfirefox-marionette-perl         	       0        1        0        1        0
27587 libfishsound1                      	       0       27        0        0       27
27588 libfishsound1-dev                  	       0        1        0        1        0
27589 libfits-java                       	       0        2        0        0        2
27590 libfiu0                            	       0        1        0        1        0
27591 libfixbuf-tools                    	       0        1        0        1        0
27592 libfixbuf9t64                      	       0        1        0        0        1
27593 libfixposix-dev                    	       0        6        0        6        0
27594 libfixposix3                       	       0        5        0        0        5
27595 libfixposix4t64                    	       0        1        0        0        1
27596 libfizmo-common                    	       0        5        0        0        5
27597 libfizmo-dev                       	       0        1        0        1        0
27598 libfko0                            	       0        1        0        0        1
27599 libfko3                            	       0        2        0        0        2
27600 libfl2                             	       0     1409        1        7     1401
27601 libflac++-dev                      	       0       13        0       13        0
27602 libflac++10                        	       0      510        2        3      505
27603 libflac++11                        	       0        6        0        0        6
27604 libflac++5                         	       0        1        0        0        1
27605 libflac++6                         	       0        6        0        0        6
27606 libflac++6v5                       	       0      245        0        0      245
27607 libflac-doc                        	       0        2        0        0        2
27608 libflac-ocaml                      	       0        2        0        2        0
27609 libflac-ocaml-dev                  	       0        2        0        2        0
27610 libflac7                           	       0        3        0        0        3
27611 libflake-dev                       	       0        2        0        2        0
27612 libflam3-0                         	       0        2        0        0        2
27613 libflamingo-java                   	       0        3        0        0        3
27614 libflang-17-dev                    	       0        1        0        1        0
27615 libflang-19-dev                    	       0        1        0        1        0
27616 libflann-dev                       	       0        1        0        1        0
27617 libflann1.9                        	       0        6        0        0        6
27618 libflash-swfplayer                 	       0        2        0        2        0
27619 libflash0c2                        	       0        2        0        0        2
27620 libflashrom-dev                    	       0        2        0        2        0
27621 libflashsupport                    	       0        1        0        0        1
27622 libflatpak-dev                     	       0        4        0        4        0
27623 libflatpak-doc                     	       0        1        0        0        1
27624 libflatzebra-0.1-2                 	       0        1        0        0        1
27625 libflatzebra-0.1-2v5               	       0       11        0        0       11
27626 libflatzebra-0.2                   	       0        1        0        0        1
27627 libflatzebra-dev                   	       0        1        0        1        0
27628 libflexdock-java                   	       0       16        0        0       16
27629 libflexmock-ruby1.9.1              	       0        2        0        0        2
27630 libflext-pd0                       	       0        4        0        0        4
27631 libflickcurl-dev                   	       0        1        0        1        0
27632 libflickcurl0                      	       0        8        0        0        8
27633 libflickr-api-perl                 	       0        1        0        1        0
27634 libflickr-upload-perl              	       0        1        0        1        0
27635 libflickrnet2.2-cil                	       0        1        0        1        0
27636 libflif0                           	       0        1        0        0        1
27637 libflightcrew0v5                   	       0        4        0        0        4
27638 libflint-2.5.2                     	       0        3        0        0        3
27639 libflint-2.6.3                     	       0        1        0        0        1
27640 libflint-2.8.5                     	       0        1        0        0        1
27641 libflint-arb-dev                   	       0       10        0       10        0
27642 libflint-arb2                      	       0       13        0        0       13
27643 libflint-dev                       	       0       11        0       11        0
27644 libflint17                         	       0       14        0        0       14
27645 libflint19                         	       0        2        0        0        2
27646 libflorence-1.0-1                  	       0        7        0        0        7
27647 libflowcanvas5v5                   	       0        2        0        0        2
27648 libfltk-cairo1.3                   	       0       48        0        0       48
27649 libfltk-cairo1.3t64                	       0        4        0        0        4
27650 libfltk-forms1.3                   	       0       52        0        0       52
27651 libfltk-forms1.3t64                	       0        4        0        0        4
27652 libfltk-gl1.3                      	       0      140        0        0      140
27653 libfltk-gl1.3t64                   	       0       10        0        0       10
27654 libfltk-images1.3                  	       0      288        4        5      279
27655 libfltk-images1.3t64               	       0       25        0        0       25
27656 libfltk1.1                         	       0      224        1        1      222
27657 libfltk1.1-dev                     	       0        2        0        2        0
27658 libfltk1.3                         	       0      511        6        9      496
27659 libfltk1.3-compat-headers          	       0        5        0        5        0
27660 libfltk1.3t64                      	       0       61        0        0       61
27661 libfluidsynth-dev                  	       0       46        1       45        0
27662 libfluidsynth1                     	       0      236        0        0      236
27663 libfluidsynth2                     	       0      511        4        4      503
27664 libfluidsynth3                     	       0     2416       15       24     2377
27665 libflute-java                      	       0      744        0        0      744
27666 libflute-java-doc                  	       0        1        0        0        1
27667 libflxmlrpc-dev                    	       0        3        0        3        0
27668 libflxmlrpc1                       	       0        8        0        0        8
27669 libfm-dbg                          	       0        1        0        1        0
27670 libfm-dev                          	       0        4        0        4        0
27671 libfm-doc                          	       0        2        0        0        2
27672 libfm-extra-dev                    	       0        4        0        4        0
27673 libfm-extra4t64                    	       0       30        1        3       26
27674 libfm-gtk-data                     	       0      336        0        0      336
27675 libfm-gtk-dbg                      	       0        1        0        1        0
27676 libfm-gtk-dev                      	       0        4        0        0        4
27677 libfm-gtk3-4                       	       0        2        0        0        2
27678 libfm-gtk3-4t64                    	       0       19        1        3       15
27679 libfm-modules-dbg                  	       0        1        0        1        0
27680 libfm-qt13                         	       0        4        2        2        0
27681 libfm-qt14                         	       0        4        1        2        1
27682 libfm-qt3                          	       0        2        0        0        2
27683 libfm-qt6                          	       0       12        0        0       12
27684 libfm-qt6-15                       	       0        6        0        4        2
27685 libfm-tools                        	       0       11        0       11        0
27686 libfm-tools-dbgsym                 	       0        1        0        1        0
27687 libfm3                             	       0        1        0        0        1
27688 libfm4t64                          	       0       20        1        3       16
27689 libfml0                            	       0        1        0        0        1
27690 libfmt-dev                         	       0       67        0       67        0
27691 libfmt-doc                         	       0        1        0        0        1
27692 libfmt-ocaml                       	       0        1        0        1        0
27693 libfmt-ocaml-dev                   	       0        1        0        1        0
27694 libfmt10                           	       0       79        6        5       68
27695 libfmt7                            	       0       65        0        4       61
27696 libfmt8                            	       0        5        0        0        5
27697 libfnlib0                          	       0        1        0        0        1
27698 libfolia14                         	       0        4        0        0        4
27699 libfolia9                          	       0        1        0        0        1
27700 libfolks-eds25                     	       0       21        0        0       21
27701 libfolks-eds26                     	       0      109        0        1      108
27702 libfolks-telepathy25               	       0        8        0        0        8
27703 libfolks-telepathy26               	       0        2        0        0        2
27704 libfolks25                         	       0       24        0        0       24
27705 libfolks26                         	       0      112        1        1      110
27706 libfollowupreminder4               	       0        2        0        1        1
27707 libfoma0                           	       0        3        0        0        3
27708 libfont-freetype-perl              	       0       27        0        0       27
27709 libfontawesomefx-java              	       0       63        0        0       63
27710 libfontbox-java                    	       0      418        0        0      418
27711 libfontbox-java-doc                	       0        3        0        0        3
27712 libfontbox2-java                   	       0      141        0        0      141
27713 libfontchooser-java                	       0        5        0        0        5
27714 libfontconfig-doc                  	       0        2        0        0        2
27715 libfontconfig1-dev                 	       0      452        1       58      393
27716 libfontembed-dev                   	       0        3        0        3        0
27717 libfontembed1                      	       0     2641        0        0     2641
27718 libfontembed1t64                   	       0      177        0        0      177
27719 libfontforge1                      	       0        1        0        0        1
27720 libfontforge2                      	       0        8        0        0        8
27721 libfontforge4                      	       0      105        0        0      105
27722 libfontforge4-dbgsym               	       0        1        0        1        0
27723 libfonts-java                      	       0      742        0        0      742
27724 libfonts-java-doc                  	       0        6        0        0        6
27725 libfop-java                        	       0      102        0        0      102
27726 libforge-dev                       	       0        1        0        1        0
27727 libforge1                          	       0        1        0        0        1
27728 libforks-perl                      	       0        4        0        0        4
27729 libforms-bin                       	       0        4        0        4        0
27730 libforms-doc                       	       0        4        0        0        4
27731 libforms2                          	       0       98        0        0       98
27732 libforms2t64                       	       0        6        0        0        6
27733 libformsgl-dev                     	       0        1        0        1        0
27734 libformsgl2                        	       0        1        0        0        1
27735 libformula-java                    	       0      742        0        0      742
27736 libformula-java-doc                	       0        6        0        0        6
27737 libfortune-perl                    	       0        3        0        3        0
27738 libfosfat0                         	       0        2        0        0        2
27739 libfosgra0                         	       0        2        0        0        2
27740 libfox-1.6-0                       	       0       80        0        4       76
27741 libfox-1.6-dev                     	       0        4        0        4        0
27742 libfox-1.6-doc                     	       0        2        0        0        2
27743 libfparser-dev                     	       0        1        0        0        1
27744 libfparser4                        	       0        7        0        0        7
27745 libfpath-ocaml                     	       0        1        0        1        0
27746 libfpath-ocaml-dev                 	       0        1        0        1        0
27747 libfpdf-tpl-php                    	       0        1        1        0        0
27748 libfpdi-php                        	       0        1        1        0        0
27749 libfpga0                           	       0        5        0        0        5
27750 libfplll-dev                       	       0        9        0        9        0
27751 libfplll5                          	       0        1        0        0        1
27752 libfplll8                          	       0       10        0        0       10
27753 libfplll8-data                     	       0       10        0        0       10
27754 libfprint-2-doc                    	       0        1        0        0        1
27755 libfprint-dev                      	       0        1        0        1        0
27756 libfprint0                         	       0        5        0        5        0
27757 libfpx1                            	       0        2        0        0        2
27758 libfpzip1                          	       0        1        0        0        1
27759 libfreebob0                        	       0        1        0        0        1
27760 libfreebsd-glue-0                  	       0        4        0        0        4
27761 libfreecad-python2-0.18            	       0        2        0        2        0
27762 libfreecad-python3-0.19            	       0       22        0       22        0
27763 libfreecad-python3-0.20            	       0       78        2       76        0
27764 libfreecell-solver-dev             	       0        1        0        1        0
27765 libfreecell-solver0                	       0       90        0        6       84
27766 libfreecontact0v5                  	       0        1        0        0        1
27767 libfreefare-bin                    	       0        1        0        1        0
27768 libfreefare0                       	       0        1        0        0        1
27769 libfreefem++                       	       0        1        0        1        0
27770 libfreefem-dev                     	       0        2        0        0        2
27771 libfreefem0                        	       0        4        0        0        4
27772 libfreehand-0.0-0                  	       0        1        0        0        1
27773 libfreehdl0                        	       0        3        0        3        0
27774 libfreehdl0-dev                    	       0        3        0        3        0
27775 libfreehep-export-java             	       0       11        0        0       11
27776 libfreehep-graphics2d-java         	       0       77        0        0       77
27777 libfreehep-graphicsbase-java       	       0       35        0        0       35
27778 libfreehep-graphicsio-emf-java     	       0       43        0        0       43
27779 libfreehep-graphicsio-java         	       0       75        0        0       75
27780 libfreehep-graphicsio-pdf-java     	       0       34        0        0       34
27781 libfreehep-graphicsio-ps-java      	       0       32        0        0       32
27782 libfreehep-graphicsio-svg-java     	       0       68        0        0       68
27783 libfreehep-graphicsio-swf-java     	       0        1        0        0        1
27784 libfreehep-graphicsio-tests-java   	       0       10        0        0       10
27785 libfreehep-io-java                 	       0       77        0        0       77
27786 libfreehep-swing-java              	       0       40        0        0       40
27787 libfreehep-util-java               	       0       45        0        0       45
27788 libfreehep-xml-java                	       0       37        0        0       37
27789 libfreeimage-dev                   	       0       36        0       36        0
27790 libfreeimage3                      	       0      273        0        6      267
27791 libfreeimageplus-dev               	       0        1        0        1        0
27792 libfreeimageplus3                  	       0        2        0        0        2
27793 libfreeipmi16                      	       0       12        0        0       12
27794 libfreeipmi17                      	       0      101        4        7       90
27795 libfreemarker-java                 	       0        9        0        0        9
27796 libfreenect-bin                    	       0        1        0        1        0
27797 libfreenect-demos                  	       0        1        0        0        1
27798 libfreenect-dev                    	       0        1        0        1        0
27799 libfreenect-doc                    	       0        1        0        0        1
27800 libfreenect0.5                     	       0        6        0        6        0
27801 libfreeradius-client2              	       0        6        0        0        6
27802 libfreeradius-dev                  	       0        1        0        1        0
27803 libfreeradius3                     	       0       34        3       31        0
27804 libfreerdp-cache1.1                	       0       54        0        0       54
27805 libfreerdp-client1.1               	       0       46        0        0       46
27806 libfreerdp-client2-2               	       0      535       10       19      506
27807 libfreerdp-client2-2t64            	       0       10        0        0       10
27808 libfreerdp-client3-3               	       0       49        2        1       46
27809 libfreerdp-codec1.1                	       0       55        0        0       55
27810 libfreerdp-common1.1.0             	       0       58        0        0       58
27811 libfreerdp-core1.1                 	       0       55        0        0       55
27812 libfreerdp-crypto1.1               	       0       56        0        0       56
27813 libfreerdp-dev                     	       0        2        0        2        0
27814 libfreerdp-gdi1.1                  	       0       53        0        0       53
27815 libfreerdp-locale1.1               	       0       55        0        0       55
27816 libfreerdp-plugins-standard        	       0       27        0        0       27
27817 libfreerdp-primitives1.1           	       0       55        0        0       55
27818 libfreerdp-rail1.1                 	       0       45        0        0       45
27819 libfreerdp-server-proxy3-3         	       0        1        0        0        1
27820 libfreerdp-server2-2               	       0      316        1        1      314
27821 libfreerdp-server2-2t64            	       0        2        0        0        2
27822 libfreerdp-server3-3               	       0       19        0        0       19
27823 libfreerdp-shadow-subsystem2-2     	       0       19        1        1       17
27824 libfreerdp-shadow-subsystem3-3     	       0        1        0        0        1
27825 libfreerdp-shadow2-2               	       0       19        1        1       17
27826 libfreerdp-shadow3-3               	       0        1        0        0        1
27827 libfreerdp-utils1.1                	       0       60        0        0       60
27828 libfreerdp0                        	       0        1        0        0        1
27829 libfreerdp2-2                      	       0      687       10       20      657
27830 libfreerdp2-2t64                   	       0       15        0        0       15
27831 libfreerdp3-3                      	       0       58        2        1       55
27832 libfreesrp0                        	       0       40        0        3       37
27833 libfreeswitch1                     	       0        1        0        0        1
27834 libfreetype6-dbgsym                	       0        1        0        1        0
27835 libfreetype6-dev                   	       0      451        2       63      386
27836 libfreexl1                         	       0      665        3       10      652
27837 libfrei0r-ocaml                    	       0        1        0        0        1
27838 libfrei0r-ocaml-dev                	       0        1        0        1        0
27839 libfribidi-bin                     	       0       26        0       26        0
27840 libfrobby-dev                      	       0        1        0        1        0
27841 libfrobby0                         	       0        1        0        0        1
27842 libfrog1                           	       0        1        0        0        1
27843 libfrog2                           	       0        3        0        0        3
27844 libfrontier-rpc-perl               	       0        3        0        3        0
27845 libfs6                             	       0      190        0        0      190
27846 libfsapfs-utils                    	       0        2        0        2        0
27847 libfsapfs1                         	       0       16        0        0       16
27848 libfsext-utils                     	       0        1        0        1        0
27849 libfsext1                          	       0       14        0        0       14
27850 libfsharp-core4.3-cil              	       0        3        0        3        0
27851 libfsharp-core4.5-cil              	       0        5        0        5        0
27852 libfsharp-core5.0-cil              	       0        5        0        5        0
27853 libfsharp-data-typeproviders4.4-cil	       0        1        0        1        0
27854 libfshfs-utils                     	       0        2        1        1        0
27855 libfshfs1                          	       0       14        0        0       14
27856 libfshfs1t64                       	       0        1        0        0        1
27857 libfskit                           	       0        1        0        1        0
27858 libfskit-fuse                      	       0        1        0        1        0
27859 libfsntfs-dbg                      	       0        1        0        1        0
27860 libfsntfs-dev                      	       0        4        0        4        0
27861 libfsntfs-utils                    	       0       22        1       21        0
27862 libfsntfs1                         	       0       36        0        0       36
27863 libfsntfs1t64                      	       0        2        0        0        2
27864 libfso-glib2                       	       0        2        1        0        1
27865 libfsobasics3                      	       0        2        1        0        1
27866 libfsoframework3                   	       0        2        1        0        1
27867 libfsoresource3                    	       0        2        0        0        2
27868 libfsplib0                         	       0      141        0        0      141
27869 libfsplib0t64                      	       0       13        0        1       12
27870 libfssm-ruby1.9.1                  	       0        1        0        0        1
27871 libfst22                           	       0        3        0        0        3
27872 libfstrcmp-dev                     	       0        1        0        1        0
27873 libfstrcmp0                        	       0       91        2       10       79
27874 libfstrm-dev                       	       0        3        0        3        0
27875 libfstrm0                          	       0     3811       42      103     3666
27876 libfsverity-dev                    	       0        3        0        3        0
27877 libfsverity0                       	       0      177        0        0      177
27878 libfswatch11                       	       0        1        0        0        1
27879 libfsxfs-dev                       	       0        1        0        1        0
27880 libfsxfs-utils                     	       0        2        0        2        0
27881 libfsxfs1                          	       0       16        0        0       16
27882 libftdi1                           	       0      162        0        1      161
27883 libftdi1-dev                       	       0       16        0       16        0
27884 libftdi1-doc                       	       0       14        0        0       14
27885 libftdipp1-3                       	       0        6        0        0        6
27886 libftdipp1-dev                     	       0        1        0        0        1
27887 libftgl-dev                        	       0       13        0       13        0
27888 libftgl2                           	       0       90        0        1       89
27889 libftl0                            	       0        6        0        0        6
27890 libftp-dev                         	       0        1        0        1        0
27891 libftp4                            	       0      989        0        0      989
27892 libfunction-parameters-perl        	       0        2        0        0        2
27893 libfungw-dev                       	       0        1        0        1        0
27894 libfungw1                          	       0       13        0        0       13
27895 libfuntools1                       	       0       12        0        0       12
27896 libfuntools1t64                    	       0        2        0        0        2
27897 libfuse-dev                        	       0       52        0       52        0
27898 libfuse-perl                       	       0       19        0        0       19
27899 libfuse3-dev                       	       0       16        1       15        0
27900 libfusioninventory-agent-task-deploy-perl	       0        1        0        0        1
27901 libfusioninventory-agent-task-esx-perl	       0        1        0        0        1
27902 libfusioninventory-agent-task-netinventory-perl	       0        1        0        0        1
27903 libfusioninventory-agent-task-network-perl	       0        1        0        0        1
27904 libfusioninventory-agent-task-snmpquery-perl	       0        1        0        0        1
27905 libfuture-asyncawait-perl          	       0      115        0        0      115
27906 libfuture-io-perl                  	       0        1        0        1        0
27907 libfuture-xs-perl                  	       0        8        0        0        8
27908 libfuturesql6-0                    	       0       11        0        0       11
27909 libfuzzer-19-dev                   	       0        1        0        1        0
27910 libfuzzylite6.0                    	       0        3        0        0        3
27911 libfvde-utils                      	       0        1        0        1        0
27912 libfvde1                           	       0       15        0        0       15
27913 libfwnt1                           	       0       14        0        0       14
27914 libfwsi1                           	       0       14        0        0       14
27915 libfwup1                           	       0        2        0        0        2
27916 libfwupd1                          	       0        5        0        0        5
27917 libfwupdplugin7                    	       0        1        1        0        0
27918 libfyba0                           	       0      592        3       10      579
27919 libfyba0t64                        	       0       60        0        0       60
27920 libg15-1                           	       0       11        2        1        8
27921 libg15-dev                         	       0        2        0        2        0
27922 libg15daemon-client-dev            	       0        2        0        2        0
27923 libg15daemon-client1               	       0        9        0        0        9
27924 libg15render-dev                   	       0        2        0        2        0
27925 libg15render1                      	       0       11        2        1        8
27926 libg20                             	       0        2        0        0        2
27927 libg2c-dev                         	       0        2        0        2        0
27928 libg2c0d                           	       0        7        0        0        7
27929 libg3d-doc                         	       0        1        0        0        1
27930 libg3d-plugin-gdkpixbuf            	       0        3        0        0        3
27931 libg3d-plugins                     	       0        9        0        0        9
27932 libg3d0                            	       0       10        0        0       10
27933 libg810-led0                       	       0        5        0        0        5
27934 libga-dev                          	       0        2        0        2        0
27935 libga2                             	       0        2        0        0        2
27936 libgadap-dev                       	       0        1        0        1        0
27937 libgadu-dev                        	       0        3        1        2        0
27938 libgadu3                           	       0      446       15       15      416
27939 libgadu3t64                        	       0       32        0        3       29
27940 libgail-3-dev                      	       0        2        0        2        0
27941 libgail-3-doc                      	       0        1        0        0        1
27942 libgail-dev                        	       0        8        0        8        0
27943 libgail-doc                        	       0        1        0        0        1
27944 libgail17                          	       0        1        0        0        1
27945 libgail18t64                       	       0      206        4        7      195
27946 libgalago3                         	       0        2        0        0        2
27947 libgama2                           	       0        1        0        0        1
27948 libgambit4                         	       0        2        0        0        2
27949 libgambit4-dev                     	       0        4        0        4        0
27950 libgambit4t64                      	       0        2        0        0        2
27951 libgamemode0                       	       0       80        2        0       78
27952 libgamemodeauto0                   	       0       64        1        0       63
27953 libgamin-dev                       	       0        5        0        5        0
27954 libgamin0                          	       0       65        6        6       53
27955 libgaminggear-common               	       0        1        0        1        0
27956 libgaminggear0                     	       0        1        0        1        0
27957 libgammu-i18n                      	       0       13        0        0       13
27958 libgammu0                          	       0        1        0        0        1
27959 libgammu7                          	       0        1        0        0        1
27960 libgammu8                          	       0       11        0        0       11
27961 libgammu8t64                       	       0        2        0        0        2
27962 libganglia1                        	       0        9        2        5        2
27963 libganv-1-1v5                      	       0       11        0        0       11
27964 libganv-dev                        	       0        1        0        1        0
27965 libganymed-ssh2-java               	       0        3        0        0        3
27966 libgap-dev                         	       0       12        1       11        0
27967 libgap8                            	       0       10        0        0       10
27968 libgap9                            	       0        2        0        0        2
27969 libgarcon-1-0-dbgsym               	       0        1        0        1        0
27970 libgarcon-1-0-dev                  	       0        5        0        0        5
27971 libgarcon-1-dev                    	       0        9        1        8        0
27972 libgarcon-common                   	       0     1826        0        0     1826
27973 libgarcon-gtk3-1-0-dbgsym          	       0        1        0        1        0
27974 libgarcon-gtk3-1-dev               	       0        5        1        4        0
27975 libgarmin-dev                      	       0        1        0        1        0
27976 libgarmin0                         	       0       15        0        0       15
27977 libgatbcore3                       	       0        2        0        0        2
27978 libgatk-native-bindings-java       	       0        5        0        0        5
27979 libgatos0                          	       0        1        0        0        1
27980 libgauche-0.97-0                   	       0        1        0        0        1
27981 libgav1-bin                        	       0        2        0        2        0
27982 libgav1-dev                        	       0        1        0        1        0
27983 libgaviotatb1                      	       0       13        0        0       13
27984 libgavl-dev                        	       0        1        0        1        0
27985 libgavl-doc                        	       0        1        0        0        1
27986 libgavl1                           	       0       78        0        0       78
27987 libgavl2                           	       0      194        0        0      194
27988 libgbinder                         	       0        7        0        0        7
27989 libgbm-amdgpu-dev                  	       0        1        0        1        0
27990 libgbm1-amdgpu                     	       0        7        2        4        1
27991 libgbtools0                        	       0        2        0        0        2
27992 libgc1                             	       0     2584       15       25     2544
27993 libgc1c2                           	       0      345        0        0      345
27994 libgcab-dev                        	       0        1        0        1        0
27995 libgcab-doc                        	       0        1        0        0        1
27996 libgcab-tests                      	       0        1        0        0        1
27997 libgcc-10-dev                      	       0      988        0        0      988
27998 libgcc-10-dev-alpha-cross          	       0        1        0        0        1
27999 libgcc-10-dev-arm64-cross          	       0        8        0        0        8
28000 libgcc-10-dev-armel-cross          	       0        6        0        0        6
28001 libgcc-10-dev-armhf-cross          	       0        8        0        0        8
28002 libgcc-10-dev-hppa-cross           	       0        1        0        0        1
28003 libgcc-10-dev-i386-cross           	       0        3        0        0        3
28004 libgcc-10-dev-mips-cross           	       0        1        0        0        1
28005 libgcc-10-dev-mipsel-cross         	       0        1        0        0        1
28006 libgcc-10-dev-powerpc-cross        	       0        1        0        0        1
28007 libgcc-10-dev-ppc64-cross          	       0        2        0        0        2
28008 libgcc-10-dev-riscv64-cross        	       0        3        0        0        3
28009 libgcc-10-dev-s390x-cross          	       0        1        0        0        1
28010 libgcc-10-dev-sparc64-cross        	       0        1        0        0        1
28011 libgcc-11-dev                      	       0       99        0        0       99
28012 libgcc-11-dev-armel-cross          	       0        1        0        0        1
28013 libgcc-11-dev-armhf-cross          	       0        1        0        0        1
28014 libgcc-11-dev-i386-cross           	       0        1        0        0        1
28015 libgcc-12-dev                      	       0     1831        0        0     1831
28016 libgcc-12-dev-alpha-cross          	       0        1        0        0        1
28017 libgcc-12-dev-arm64-cross          	       0       19        0        0       19
28018 libgcc-12-dev-armel-cross          	       0       13        0        0       13
28019 libgcc-12-dev-armhf-cross          	       0       13        0        0       13
28020 libgcc-12-dev-hppa-cross           	       0        1        0        0        1
28021 libgcc-12-dev-i386-cross           	       0        4        0        0        4
28022 libgcc-12-dev-m68k-cross           	       0        1        0        0        1
28023 libgcc-12-dev-mips-cross           	       0        4        0        0        4
28024 libgcc-12-dev-mips64-cross         	       0        1        0        0        1
28025 libgcc-12-dev-mips64r6el-cross     	       0        1        0        0        1
28026 libgcc-12-dev-mipsel-cross         	       0        3        0        0        3
28027 libgcc-12-dev-powerpc-cross        	       0        2        0        0        2
28028 libgcc-12-dev-ppc64-cross          	       0        1        0        0        1
28029 libgcc-12-dev-riscv64-cross        	       0        6        0        0        6
28030 libgcc-12-dev-s390x-cross          	       0        2        0        0        2
28031 libgcc-12-dev-sparc64-cross        	       0        1        0        0        1
28032 libgcc-12-dev-x32-cross            	       0        4        0        0        4
28033 libgcc-13-dev                      	       0      152        0        0      152
28034 libgcc-13-dev-arm64-cross          	       0        2        0        0        2
28035 libgcc-13-dev-armhf-cross          	       0        1        0        0        1
28036 libgcc-13-dev-ppc64el-cross        	       0        1        0        0        1
28037 libgcc-14-dev                      	       0      203        0        0      203
28038 libgcc-14-dev-alpha-cross          	       0        1        0        0        1
28039 libgcc-14-dev-arm64-cross          	       0        5        0        0        5
28040 libgcc-14-dev-armel-cross          	       0        1        0        0        1
28041 libgcc-14-dev-armhf-cross          	       0        4        0        0        4
28042 libgcc-14-dev-hppa-cross           	       0        1        0        0        1
28043 libgcc-14-dev-i386-cross           	       0        2        0        0        2
28044 libgcc-14-dev-powerpc-cross        	       0        2        0        0        2
28045 libgcc-14-dev-ppc64-cross          	       0        3        0        0        3
28046 libgcc-14-dev-ppc64el-cross        	       0        1        0        0        1
28047 libgcc-14-dev-riscv64-cross        	       0        2        0        0        2
28048 libgcc-14-dev-s390x-cross          	       0        1        0        0        1
28049 libgcc-14-dev-sparc64-cross        	       0        1        0        0        1
28050 libgcc-15-dev                      	       0        2        0        0        2
28051 libgcc-4.7-dev                     	       0        7        0        0        7
28052 libgcc-4.8-dev                     	       0       64        0        0       64
28053 libgcc-4.9-dev                     	       0      144        0        0      144
28054 libgcc-5-dev                       	       0        7        0        0        7
28055 libgcc-6-dev                       	       0      379        0        0      379
28056 libgcc-6-dev-arm64-cross           	       0        2        0        0        2
28057 libgcc-6-dev-armhf-cross           	       0        1        0        0        1
28058 libgcc-7-dev                       	       0        8        0        0        8
28059 libgcc-8-dev                       	       0      171        0        0      171
28060 libgcc-8-dev-arm64-cross           	       0        7        0        0        7
28061 libgcc-8-dev-armel-cross           	       0        3        0        0        3
28062 libgcc-8-dev-armhf-cross           	       0        4        0        0        4
28063 libgcc-8-dev-i386-cross            	       0        4        0        0        4
28064 libgcc-8-dev-mips-cross            	       0        1        0        0        1
28065 libgcc-8-dev-mips64el-cross        	       0        1        0        0        1
28066 libgcc-8-dev-mipsel-cross          	       0        1        0        0        1
28067 libgcc-8-dev-x32-cross             	       0        2        0        0        2
28068 libgcc-9-dev                       	       0       36        0        0       36
28069 libgcc-9-dev-arm64-cross           	       0        1        0        0        1
28070 libgcc-9-dev-armel-cross           	       0        1        0        0        1
28071 libgcc-9-dev-armhf-cross           	       0        2        0        0        2
28072 libgcc-s1-alpha-cross              	       0        3        0        0        3
28073 libgcc-s1-arm64-cross              	       0       33        0        0       33
28074 libgcc-s1-armel-cross              	       0       21        0        0       21
28075 libgcc-s1-armhf-cross              	       0       28        0        0       28
28076 libgcc-s1-i386-cross               	       0       13        0        0       13
28077 libgcc-s1-mips-cross               	       0        4        0        0        4
28078 libgcc-s1-mips64-cross             	       0        1        0        0        1
28079 libgcc-s1-mips64r6el-cross         	       0        1        0        0        1
28080 libgcc-s1-mipsel-cross             	       0        4        0        0        4
28081 libgcc-s1-powerpc-cross            	       0        5        0        0        5
28082 libgcc-s1-ppc64-cross              	       0        6        0        0        6
28083 libgcc-s1-ppc64el-cross            	       0        1        0        0        1
28084 libgcc-s1-riscv64-cross            	       0       10        0        0       10
28085 libgcc-s1-s390x-cross              	       0        4        0        0        4
28086 libgcc-s1-sparc64-cross            	       0        3        0        0        3
28087 libgcc-s1-x32-cross                	       0        5        0        0        5
28088 libgcc-s2-m68k-cross               	       0        1        0        0        1
28089 libgcc-s4-hppa-cross               	       0        3        0        0        3
28090 libgcc1                            	       0     1123        0        2     1121
28091 libgcc1-arm64-cross                	       0        5        0        0        5
28092 libgcc1-armel-cross                	       0        3        0        0        3
28093 libgcc1-armhf-cross                	       0        2        0        0        2
28094 libgcc1-dbg                        	       0        9        0        9        0
28095 libgcc1-i386-cross                 	       0        2        0        0        2
28096 libgcc1-mips-cross                 	       0        1        0        0        1
28097 libgcc1-mips64el-cross             	       0        1        0        0        1
28098 libgcc1-mipsel-cross               	       0        1        0        0        1
28099 libgcc1-x32-cross                  	       0        1        0        0        1
28100 libgccjit-10-dev                   	       0        2        0        0        2
28101 libgccjit-11-dev                   	       0        4        0        0        4
28102 libgccjit-11-doc                   	       0        1        0        0        1
28103 libgccjit-12-dev                   	       0       16        0        0       16
28104 libgccjit-13-doc                   	       0        1        0        0        1
28105 libgccjit-9-dev                    	       0        1        0        0        1
28106 libgccjit0                         	       0      364       19       63      282
28107 libgcin                            	       0        4        1        0        3
28108 libgcj-bc                          	       0       54        0        0       54
28109 libgcj-common                      	       0       65        0       65        0
28110 libgcj-doc                         	       0        1        0        0        1
28111 libgcj10                           	       0        1        0        0        1
28112 libgcj10-awt                       	       0        1        0        0        1
28113 libgcj12                           	       0        4        0        0        4
28114 libgcj13                           	       0        4        0        0        4
28115 libgcj13-awt                       	       0        2        0        0        2
28116 libgcj14                           	       0        1        0        0        1
28117 libgcj15                           	       0        9        0        0        9
28118 libgcj15-awt                       	       0        3        0        0        3
28119 libgcj15-dbg                       	       0        1        0        0        1
28120 libgcj15-dev                       	       0        1        0        1        0
28121 libgcj17                           	       0       60        0        0       60
28122 libgcj17-awt                       	       0        6        0        0        6
28123 libgcj17-dev                       	       0        2        0        2        0
28124 libgcj6                            	       0        1        0        0        1
28125 libgcj7-1                          	       0        1        0        0        1
28126 libgcj7-1-awt                      	       0        1        0        0        1
28127 libgcj7-jar                        	       0        1        0        0        1
28128 libgcj8-1                          	       0        1        0        0        1
28129 libgcj8-1-awt                      	       0        1        0        0        1
28130 libgcj8-jar                        	       0        1        0        0        1
28131 libgcj9-0                          	       0        1        0        0        1
28132 libgcj9-0-awt                      	       0        1        0        0        1
28133 libgcj9-jar                        	       0        1        0        0        1
28134 libgck-1-dev                       	       0       12        1       11        0
28135 libgck-1-doc                       	       0        1        0        0        1
28136 libgck-2-doc                       	       0        1        0        0        1
28137 libgclib2                          	       0        1        0        0        1
28138 libgclib3                          	       0        2        0        0        2
28139 libgcobol-15-dev                   	       0        1        0        0        1
28140 libgcobol4                         	       0        1        0        0        1
28141 libgconf-2-4                       	       0      479        5       17      457
28142 libgconf2-4                        	       0       10        0        0       10
28143 libgconf2-dev                      	       0       21        0       21        0
28144 libgconf2-doc                      	       0       21        0        0       21
28145 libgconf2.0-cil                    	       0       18        0        0       18
28146 libgconfmm-2.6-1c2                 	       0        1        0        0        1
28147 libgconfmm-2.6-1v5                 	       0        5        0        0        5
28148 libgconfmm-2.6-dev                 	       0        1        0        1        0
28149 libgconfmm-2.6-doc                 	       0        1        0        0        1
28150 libgcr-3-1                         	       0        1        0        0        1
28151 libgcr-3-common                    	       0      111        0        0      111
28152 libgcr-3-dev                       	       0       12        1       11        0
28153 libgcr-3-doc                       	       0        1        0        0        1
28154 libgcr0                            	       0        3        0        0        3
28155 libgcroots-dev                     	       0        1        0        1        0
28156 libgcrypt-bin                      	       0        1        0        1        0
28157 libgcrypt-mingw-w64-dev            	       0        2        0        2        0
28158 libgcrypt11                        	       0       83        0        0       83
28159 libgcrypt11-dev                    	       0       17        0        0       17
28160 libgcrypt20-doc                    	       0        6        0        0        6
28161 libgctp-2.0.0                      	       0        3        0        0        3
28162 libgctp-dev                        	       0        2        0        2        0
28163 libgctp0d                          	       0        1        0        0        1
28164 libgcu0v5                          	       0        8        1        7        0
28165 libgd-barcode-perl                 	       0        5        1        4        0
28166 libgd-securityimage-perl           	       0        1        0        1        0
28167 libgd-svg-perl                     	       0        1        0        1        0
28168 libgd-text-perl                    	       0       24        1       23        0
28169 libgd-tools                        	       0       12        1       11        0
28170 libgd1-noxpm                       	       0        1        0        0        1
28171 libgd2-noxpm                       	       0        2        0        0        2
28172 libgd2-xpm                         	       0       18        0        0       18
28173 libgd2-xpm-dev                     	       0        1        0        0        1
28174 libgda-5.0-4                       	       0       52        1        5       46
28175 libgda-5.0-4t64                    	       0        2        0        0        2
28176 libgda-5.0-bin                     	       0        1        0        1        0
28177 libgda-5.0-common                  	       0       54        1       13       40
28178 libgda-5.0-doc                     	       0        1        0        0        1
28179 libgda-5.0-mysql                   	       0        1        0        0        1
28180 libgda-5.0-postgres                	       0        2        0        0        2
28181 libgda2-3                          	       0        2        0        2        0
28182 libgda2-bin                        	       0        1        0        1        0
28183 libgda2-common                     	       0        2        0        0        2
28184 libgda2-dev                        	       0        1        0        1        0
28185 libgda2-doc                        	       0        1        0        0        1
28186 libgda3-3                          	       0        2        0        0        2
28187 libgda3-bin                        	       0        2        0        2        0
28188 libgda3-common                     	       0        2        0        0        2
28189 libgdal-doc                        	       0        3        0        0        3
28190 libgdal-grass                      	       0       12        0        3        9
28191 libgdal1h                          	       0        1        0        0        1
28192 libgdal20                          	       0       62        0        0       62
28193 libgdal26                          	       0        1        0        0        1
28194 libgdal27                          	       0        2        0        0        2
28195 libgdal28                          	       0      123        0        2      121
28196 libgdal29                          	       0        1        0        0        1
28197 libgdal30                          	       0        6        0        0        6
28198 libgdal31                          	       0        3        0        0        3
28199 libgdal32                          	       0      461        3        7      451
28200 libgdal33                          	       0       10        0        1        9
28201 libgdal34                          	       0        8        0        0        8
28202 libgdal34t64                       	       0        2        0        0        2
28203 libgdal35                          	       0       34        0        0       34
28204 libgdal36                          	       0       27        0        0       27
28205 libgdamm-5.0-13                    	       0        1        0        0        1
28206 libgdata-common                    	       0     1669        0        0     1669
28207 libgdata-dev                       	       0        5        1        4        0
28208 libgdata-doc                       	       0        1        0        0        1
28209 libgdata-google1.2-1               	       0        3        0        0        3
28210 libgdata1.2-1                      	       0        3        0        0        3
28211 libgdata1.4-cil                    	       0        1        0        1        0
28212 libgdata1.8-cil                    	       0        1        0        1        0
28213 libgdata13                         	       0        7        0        0        7
28214 libgdata19                         	       0        8        0        0        8
28215 libgdata2.1-cil                    	       0        8        0        8        0
28216 libgdata22                         	       0     1662        2       34     1626
28217 libgdata7                          	       0        1        0        0        1
28218 libgdbm-compat-dev                 	       0       12        0       12        0
28219 libgdbm-compat4                    	       0     3642        0        0     3642
28220 libgdbm-compat4t64                 	       0      283        0        0      283
28221 libgdbm-dev                        	       0       87        4       83        0
28222 libgdbm3                           	       0      726        0        0      726
28223 libgdbm5                           	       0        1        0        0        1
28224 libgdbm6                           	       0     3643       18       58     3567
28225 libgdbm6t64                        	       0      284        5        3      276
28226 libgdbussyncevo0                   	       0        2        0        0        2
28227 libgdchart-gd2-noxpm               	       0        2        0        0        2
28228 libgdcm-cil                        	       0        1        0        0        1
28229 libgdcm-java                       	       0        1        0        0        1
28230 libgdcm-tools                      	       0        4        0        4        0
28231 libgdcm2.6                         	       0        2        0        0        2
28232 libgdcm2.6-dbg                     	       0        1        0        1        0
28233 libgdcm2.8                         	       0       34        0        0       34
28234 libgdcm3.0                         	       0      408        0        3      405
28235 libgdcm3.0t64                      	       0       45        0        0       45
28236 libgdf0                            	       0        2        0        0        2
28237 libgdict-1.0-10                    	       0        6        0        0        6
28238 libgdict-1.0-6                     	       0        6        0        0        6
28239 libgdict-common                    	       0       10        0        0       10
28240 libgdk-pixbuf-xlib-2.0-0           	       0     1221        3        7     1211
28241 libgdk-pixbuf-xlib-2.0-dev         	       0      124        1      123        0
28242 libgdk-pixbuf2                     	       0        1        0        1        0
28243 libgdk-pixbuf2-ruby                	       0        1        0        0        1
28244 libgdk-pixbuf2-ruby1.8             	       0        2        0        1        1
28245 libgdk-pixbuf2.0-0                 	       0     1573        0        2     1571
28246 libgdk-pixbuf2.0-dev               	       0      156        0       38      118
28247 libgdk-pixbuf2.0-doc               	       0        7        0        0        7
28248 libgdk3.0-cil                      	       0       60        0        0       60
28249 libgdk3.0-cil-dev                  	       0       16        0       16        0
28250 libgdl-1-common                    	       0        1        0        0        1
28251 libgdl-3-5                         	       0      159        0        1      158
28252 libgdl-3-common                    	       0      160        0        0      160
28253 libgdl-3-dev                       	       0        1        1        0        0
28254 libgdm-dev                         	       0        3        0        3        0
28255 libgdome2-0                        	       0       27        0        5       22
28256 libgdome2-cpp-smart0c2a            	       0        1        0        0        1
28257 libgdome2-cpp-smart0v5             	       0        9        0        0        9
28258 libgdraw4                          	       0        1        0        0        1
28259 libgdraw5                          	       0        7        0        0        7
28260 libgdsii0                          	       0        6        0        0        6
28261 libgdu-gtk0                        	       0        4        0        0        4
28262 libgdu0                            	       0        6        0        0        6
28263 libgearman-client-async-perl       	       0        1        0        1        0
28264 libgearman-client-perl             	       0        1        0        1        0
28265 libgearman8                        	       0        1        0        0        1
28266 libgecode41v5                      	       0        1        0        0        1
28267 libgecode49                        	       0        1        0        0        1
28268 libgecode49t64                     	       0        1        0        0        1
28269 libgecodeflatzinc41v5              	       0        1        0        0        1
28270 libgecodeflatzinc49                	       0        1        0        0        1
28271 libgecodeflatzinc49t64             	       0        1        0        0        1
28272 libgecodegist41v5                  	       0        1        0        0        1
28273 libgecodegist49                    	       0        1        0        0        1
28274 libgecodegist49t64                 	       0        1        0        0        1
28275 libgeda-common                     	       0       13        0        0       13
28276 libgeda-dev                        	       0        1        0        1        0
28277 libgeda42                          	       0       12        0        0       12
28278 libgedit-amtk-5-0                  	       0       32        0        0       32
28279 libgedit-amtk-5-common             	       0       32        0        0       32
28280 libgedit-gfls-1-0                  	       0        5        0        0        5
28281 libgedit-gfls-common               	       0        5        0        0        5
28282 libgedit-gtksourceview-300-0       	       0       28        0        0       28
28283 libgedit-gtksourceview-300-3       	       0        5        0        0        5
28284 libgedit-gtksourceview-300-common  	       0       32        0        0       32
28285 libgedit-tepl-6-2                  	       0        5        0        0        5
28286 libgee-0.8-dev                     	       0        8        0        8        0
28287 libgee2                            	       0        9        0        0        9
28288 libgegl-0.0-0                      	       0        1        0        1        0
28289 libgegl-0.0-doc                    	       0        1        0        0        1
28290 libgegl-0.2-0                      	       0       20        0        0       20
28291 libgegl-0.3-0                      	       0       73        0        0       73
28292 libgegl-0.4-0                      	       0     2525        1       14     2510
28293 libgegl-0.4-0t64                   	       0      107        0        2      105
28294 libgegl-common                     	       0     2639        0        6     2633
28295 libgegl-dev                        	       0       21        0       21        0
28296 libgegl-doc                        	       0        1        0        0        1
28297 libgeier0                          	       0        1        0        1        0
28298 libgemrb                           	       0        1        0        1        0
28299 libgen-ocaml                       	       0        1        0        1        0
28300 libgen-ocaml-dev                   	       0        1        0        1        0
28301 libgenders0                        	       0        6        0        0        6
28302 libgenht1-dev                      	       0        1        0        1        0
28303 libgensio4                         	       0        3        0        2        1
28304 libgeo-calc-perl                   	       0        1        0        1        0
28305 libgeo-coder-osm-perl              	       0        2        0        2        0
28306 libgeo-constants-perl              	       0        1        0        1        0
28307 libgeo-coordinates-transform-perl  	       0        3        0        3        0
28308 libgeo-distance-perl               	       0        3        0        3        0
28309 libgeo-ellipsoids-perl             	       0        1        0        1        0
28310 libgeo-functions-perl              	       0        1        0        1        0
28311 libgeo-google-mapobject-perl       	       0        1        0        1        0
28312 libgeo-googleearth-pluggable-perl  	       0        3        0        3        0
28313 libgeo-gpx-perl                    	       0        3        0        3        0
28314 libgeo-inverse-perl                	       0        1        0        1        0
28315 libgeo-ip-perl                     	       0        9        0        1        8
28316 libgeo-ipfree-perl                 	       0        3        1        2        0
28317 libgeo-metar-perl                  	       0        4        1        3        0
28318 libgeo-osm-tiles-perl              	       0        2        0        2        0
28319 libgeo-shapelib-perl               	       0        1        0        0        1
28320 libgeoclue-2-dev                   	       0        2        0        2        0
28321 libgeoclue-doc                     	       0        1        0        0        1
28322 libgeoclue0                        	       0       14        0        0       14
28323 libgeocode-glib-dev                	       0        2        0        2        0
28324 libgeocode-glib0                   	       0      166        2       30      134
28325 libgeocoding8                      	       0        2        0        0        2
28326 libgeographic-dev                  	       0        2        0        2        0
28327 libgeographic19                    	       0        2        0        0        2
28328 libgeographiclib23                 	       0        3        0        0        3
28329 libgeographiclib26                 	       0        1        0        0        1
28330 libgeography-countries-perl        	       0        1        0        1        0
28331 libgeoip-dev                       	       0       14        0       14        0
28332 libgeoip1                          	       0     1773       17       36     1720
28333 libgeoip1t64                       	       0       81        1        4       76
28334 libgeoip2-perl                     	       0        4        0        4        0
28335 libgeometric-shapes-dev            	       0        1        0        1        0
28336 libgeometric-shapes5d              	       0        1        0        0        1
28337 libgeometry-msgs-dev               	       0        2        0        2        0
28338 libgeomview-1.9.4                  	       0        1        0        0        1
28339 libgeomview-1.9.5                  	       0       17        0        0       17
28340 libgeomview-1.9.5t64               	       0        3        0        0        3
28341 libgeomview-dev                    	       0        1        0        1        0
28342 libgeos-3.2.0                      	       0        1        0        0        1
28343 libgeos-3.3.3                      	       0        2        0        0        2
28344 libgeos-3.4.2                      	       0        4        0        0        4
28345 libgeos-3.5.1                      	       0       13        0        0       13
28346 libgeos-3.7.1                      	       0       40        0        0       40
28347 libgeos-3.9.0                      	       0      125        0        2      123
28348 libgeos-3.9.1                      	       0        1        0        0        1
28349 libgeos-c1                         	       0        1        0        0        1
28350 libgeos-c1t64                      	       0       64        0        0       64
28351 libgeos-c1v5                       	       0      609        3       10      596
28352 libgeos-doc                        	       0        2        0        0        2
28353 libgeos3.10.1                      	       0        2        0        0        2
28354 libgeos3.10.2                      	       0        3        0        0        3
28355 libgeos3.11.0                      	       0        2        0        0        2
28356 libgeos3.11.1                      	       0      473        3        8      462
28357 libgeos3.12.0                      	       0        6        0        0        6
28358 libgeos3.12.1                      	       0        5        0        0        5
28359 libgeos3.12.1t64                   	       0        3        0        0        3
28360 libgeos3.12.2                      	       0       11        0        0       11
28361 libgeos3.13.0                      	       0       54        0        0       54
28362 libgeos3.13.1                      	       0        1        0        0        1
28363 libgeotiff-epsg                    	       0        2        0        0        2
28364 libgeotiff2                        	       0       67        0        0       67
28365 libgeotiff5                        	       0      624        3       10      611
28366 libgeotranz3.7                     	       0        5        0        0        5
28367 libgepub-0.6-0                     	       0        7        0        1        6
28368 libgepub-0.7-0                     	       0       29        0        0       29
28369 libgepub0                          	       0        4        0        0        4
28370 libgeronimo-annotation-1.3-spec-java	       0      466        0        0      466
28371 libgeronimo-ejb-3.0-spec-java      	       0        1        0        0        1
28372 libgeronimo-ejb-3.2-spec-java      	       0        9        0        0        9
28373 libgeronimo-interceptor-3.0-spec-java	       0      467        0        0      467
28374 libgeronimo-j2ee-connector-1.5-spec-java	       0        9        0        0        9
28375 libgeronimo-jacc-1.1-spec-java     	       0        1        0        0        1
28376 libgeronimo-jms-1.1-spec-java      	       0       19        0        0       19
28377 libgeronimo-jpa-2.0-spec-java      	       0        5        0        0        5
28378 libgeronimo-jta-1.1-spec-java      	       0        5        0        0        5
28379 libgeronimo-jta-1.2-spec-java      	       0        8        0        0        8
28380 libgeronimo-osgi-support-java      	       0        8        0        0        8
28381 libgeronimo-osgi-support-java-doc  	       0        1        0        0        1
28382 libgeronimo-stax-1.2-spec-java     	       0        1        0        0        1
28383 libgeronimo-validation-1.0-spec-java	       0       71        0        0       71
28384 libgeronimo-validation-1.0-spec-java-doc	       0        1        0        0        1
28385 libgeronimo-validation-1.1-spec-java	       0       56        0        0       56
28386 libges-1.0-0                       	       0      430        1        2      427
28387 libgetdata++7                      	       0        3        0        0        3
28388 libgetdata-dev                     	       0        1        0        1        0
28389 libgetdata-perl                    	       0        1        0        0        1
28390 libgetdata-tools                   	       0        1        0        1        0
28391 libgetdata8                        	       0        3        0        0        3
28392 libgetdns1                         	       0        1        0        0        1
28393 libgetdns10                        	       0        5        0        0        5
28394 libgetdns10t64                     	       0        1        0        0        1
28395 libgetopt-argvfile-perl            	       0       25        0       25        0
28396 libgetopt-euclid-perl              	       0        6        0        6        0
28397 libgetopt-java                     	       0       11        0        0       11
28398 libgetopt-mixed-perl               	       0        3        0        3        0
28399 libgetopt-simple-perl              	       0       10        1        9        0
28400 libgetopt-tabular-perl             	       0        7        0        7        0
28401 libgettext-activerecord-ruby1.9.1  	       0        1        0        0        1
28402 libgettext-commons-java            	       0       77        0        0       77
28403 libgettext-maven-plugin-java       	       0        1        0        0        1
28404 libgettext-ocaml                   	       0        2        0        2        0
28405 libgettext-ocaml-dev               	       0        1        0        1        0
28406 libgettext-ruby1.8                 	       0        1        0        0        1
28407 libgettext-ruby1.9.1               	       0        1        0        0        1
28408 libgettextpo0                      	       0      235        0        0      235
28409 libgexiv2-0                        	       0        1        0        0        1
28410 libgexiv2-1                        	       0        4        0        0        4
28411 libgexiv2-dev                      	       0        5        0        5        0
28412 libgf-complete1                    	       0        1        0        0        1
28413 libgf2x-dev                        	       0        9        0        9        0
28414 libgf2x1                           	       0        4        0        0        4
28415 libgf2x3                           	       0       27        0        0       27
28416 libgfapi0                          	       0      708        2        2      704
28417 libgfbgraph-0.2-0                  	       0       43        0        0       43
28418 libgfchangelog0                    	       0       12        0        0       12
28419 libgfdb0                           	       0        1        0        0        1
28420 libgflags-dev                      	       0       21        0       21        0
28421 libgflags2.2                       	       0       43        0        2       41
28422 libgfortran-10-dev                 	       0      174        0        0      174
28423 libgfortran-11-dev                 	       0       14        0        0       14
28424 libgfortran-12-dev                 	       0      249        0        0      249
28425 libgfortran-12-dev-x32-cross       	       0        1        0        0        1
28426 libgfortran-13-dev                 	       0       25        0        0       25
28427 libgfortran-14-dev                 	       0       32        0        0       32
28428 libgfortran-15-dev                 	       0        1        0        0        1
28429 libgfortran-4.7-dev                	       0        1        0        0        1
28430 libgfortran-4.8-dev                	       0        1        0        0        1
28431 libgfortran-4.9-dev                	       0       10        0        0       10
28432 libgfortran-5-dev                  	       0        1        0        0        1
28433 libgfortran-6-dev                  	       0       32        0        0       32
28434 libgfortran-8-dev                  	       0       31        0        0       31
28435 libgfortran-9-dev                  	       0        6        0        0        6
28436 libgfortran3                       	       0      189        0        0      189
28437 libgfortran3-dbg                   	       0        6        0        5        1
28438 libgfortran4                       	       0        4        0        0        4
28439 libgfortran5-dbg                   	       0        1        0        1        0
28440 libgfortran5-dbgsym                	       0        1        0        1        0
28441 libgfortran5-x32-cross             	       0        1        0        0        1
28442 libgfrpc0                          	       0      708        2        2      704
28443 libgfs-1.3-2                       	       0        2        0        0        2
28444 libgfsgl0                          	       0        1        0        0        1
28445 libgfshare2                        	       0        2        0        0        2
28446 libgfxdr0                          	       0      708        2        2      704
28447 libgg2                             	       0        1        0        0        1
28448 libggadget-1.0-0b                  	       0        1        0        0        1
28449 libggadget-qt-1.0-0b               	       0        1        0        0        1
28450 libggi-target-x                    	       0        3        0        3        0
28451 libggi2                            	       0        3        0        0        3
28452 libggiwmh0                         	       0        1        0        0        1
28453 libggiwmh0-target-x                	       0        1        0        1        0
28454 libggz-gtk1                        	       0        1        0        0        1
28455 libggz2                            	       0        2        0        1        1
28456 libggzcore9                        	       0        2        0        0        2
28457 libggzdmod++1                      	       0        1        0        0        1
28458 libggzdmod6                        	       0        1        0        1        0
28459 libggzmod4                         	       0        2        0        0        2
28460 libghc-adjunctions-dev             	       0        5        0        5        0
28461 libghc-adjunctions-doc             	       0        2        0        2        0
28462 libghc-adjunctions-prof            	       0        3        0        0        3
28463 libghc-aeson-pretty-dev            	       0        2        0        2        0
28464 libghc-aeson-prof                  	       0        2        0        0        2
28465 libghc-ansi-terminal-prof          	       0        4        0        0        4
28466 libghc-ansi-wl-pprint-dev          	       0        3        0        3        0
28467 libghc-ansi-wl-pprint-prof         	       0        1        0        0        1
28468 libghc-appar-dev                   	       0        3        1        2        0
28469 libghc-asn1-encoding-dev           	       0        3        0        3        0
28470 libghc-asn1-encoding-prof          	       0        1        0        0        1
28471 libghc-asn1-parse-dev              	       0        3        0        3        0
28472 libghc-asn1-parse-prof             	       0        1        0        0        1
28473 libghc-asn1-types-dev              	       0        3        0        3        0
28474 libghc-asn1-types-prof             	       0        1        0        0        1
28475 libghc-assoc-prof                  	       0        1        0        0        1
28476 libghc-async-doc                   	       0        1        0        1        0
28477 libghc-async-prof                  	       0        6        0        0        6
28478 libghc-attoparsec-doc              	       0        2        0        2        0
28479 libghc-attoparsec-prof             	       0        7        0        0        7
28480 libghc-auto-update-dev             	       0        3        0        3        0
28481 libghc-auto-update-prof            	       0        1        0        0        1
28482 libghc-base-compat-batteries-prof  	       0        1        0        0        1
28483 libghc-base-compat-doc             	       0        1        0        1        0
28484 libghc-base-compat-prof            	       0        4        0        0        4
28485 libghc-base-orphans-prof           	       0        4        0        0        4
28486 libghc-base16-bytestring-dev       	       0        4        1        3        0
28487 libghc-base16-bytestring-prof      	       0        1        0        0        1
28488 libghc-base64-bytestring-dev       	       0        3        0        3        0
28489 libghc-base64-bytestring-prof      	       0        1        0        0        1
28490 libghc-base64-dev                  	       0        1        0        1        0
28491 libghc-base64-doc                  	       0        1        0        1        0
28492 libghc-basement-dev                	       0        3        1        2        0
28493 libghc-bifunctors-doc              	       0        2        0        2        0
28494 libghc-bifunctors-prof             	       0        4        0        0        4
28495 libghc-binary-orphans-dev          	       0        2        0        2        0
28496 libghc-binary-orphans-prof         	       0        1        0        0        1
28497 libghc-blaze-builder-prof          	       0        7        0        0        7
28498 libghc-blaze-html-doc              	       0        1        0        1        0
28499 libghc-blaze-html-prof             	       0        7        0        0        7
28500 libghc-blaze-markup-doc            	       0        1        0        1        0
28501 libghc-blaze-markup-prof           	       0        7        0        0        7
28502 libghc-bmp-dev                     	       0        1        0        1        0
28503 libghc-bmp-prof                    	       0        1        0        0        1
28504 libghc-bsb-http-chunked-dev        	       0        2        0        2        0
28505 libghc-byte-order-dev              	       0        1        0        1        0
28506 libghc-byte-order-prof             	       0        1        0        0        1
28507 libghc-byteable-dev                	       0        1        0        1        0
28508 libghc-byteable-prof               	       0        1        0        0        1
28509 libghc-byteorder-dev               	       0        3        1        2        0
28510 libghc-bytes-dev                   	       0        2        0        2        0
28511 libghc-bytes-prof                  	       0        1        0        0        1
28512 libghc-bytestring-conversion-dev   	       0        1        0        1        0
28513 libghc-bytestring-conversion-prof  	       0        1        0        0        1
28514 libghc-bytestring-to-vector-dev    	       0        1        0        1        0
28515 libghc-bytestring-to-vector-prof   	       0        1        0        0        1
28516 libghc-bzlib-dev                   	       0        2        0        2        0
28517 libghc-bzlib-prof                  	       0        1        0        0        1
28518 libghc-cairo-dev                   	       0        5        0        5        0
28519 libghc-cairo-doc                   	       0        2        0        2        0
28520 libghc-cairo-prof                  	       0        3        0        0        3
28521 libghc-call-stack-dev              	       0        7        0        7        0
28522 libghc-call-stack-doc              	       0        1        0        1        0
28523 libghc-call-stack-prof             	       0        3        0        0        3
28524 libghc-case-insensitive-doc        	       0        1        0        1        0
28525 libghc-case-insensitive-prof       	       0        2        0        0        2
28526 libghc-cereal-dev                  	       0        8        1        7        0
28527 libghc-cereal-prof                 	       0        3        0        0        3
28528 libghc-charsetdetect-ae-dev        	       0        1        0        1        0
28529 libghc-charsetdetect-ae-prof       	       0        1        0        0        1
28530 libghc-citeproc-dev                	       0        2        0        2        0
28531 libghc-code-page-doc               	       0        1        0        1        0
28532 libghc-colour-prof                 	       0        4        0        0        4
28533 libghc-commonmark-dev              	       0        2        0        2        0
28534 libghc-commonmark-extensions-dev   	       0        2        0        2        0
28535 libghc-commonmark-pandoc-dev       	       0        2        0        2        0
28536 libghc-comonad-doc                 	       0        2        0        2        0
28537 libghc-comonad-prof                	       0        4        0        0        4
28538 libghc-conduit-dev                 	       0       12        0       12        0
28539 libghc-conduit-doc                 	       0        1        0        1        0
28540 libghc-conduit-extra-dev           	       0       10        0       10        0
28541 libghc-conduit-extra-prof          	       0        6        0        0        6
28542 libghc-conduit-prof                	       0        6        0        0        6
28543 libghc-config-ini-dev              	       0        1        1        0        0
28544 libghc-config-ini-doc              	       0        1        0        1        0
28545 libghc-config-ini-prof             	       0        1        0        0        1
28546 libghc-configurator-dev            	       0        1        0        1        0
28547 libghc-configurator-prof           	       0        1        0        0        1
28548 libghc-connection-dev              	       0        3        0        3        0
28549 libghc-connection-prof             	       0        1        0        0        1
28550 libghc-constraints-dev             	       0        2        0        2        0
28551 libghc-contravariant-doc           	       0        2        0        2        0
28552 libghc-contravariant-prof          	       0        4        0        0        4
28553 libghc-convertible-dev             	       0        2        0        2        0
28554 libghc-convertible-doc             	       0        1        0        1        0
28555 libghc-convertible-prof            	       0        1        0        0        1
28556 libghc-cookie-dev                  	       0        4        1        3        0
28557 libghc-cookie-prof                 	       0        1        0        0        1
28558 libghc-cpu-dev                     	       0        1        0        1        0
28559 libghc-cpu-prof                    	       0        1        0        0        1
28560 libghc-crypto-api-dev              	       0        1        1        0        0
28561 libghc-cryptohash-dev              	       0        1        0        1        0
28562 libghc-cryptohash-prof             	       0        1        0        0        1
28563 libghc-cryptonite-dev              	       0        3        0        3        0
28564 libghc-cryptonite-prof             	       0        1        0        0        1
28565 libghc-css-text-dev                	       0        2        0        2        0
28566 libghc-css-text-prof               	       0        2        0        0        2
28567 libghc-csv-dev                     	       0        2        1        1        0
28568 libghc-csv-doc                     	       0        1        0        1        0
28569 libghc-csv-prof                    	       0        2        0        0        2
28570 libghc-data-default-class-prof     	       0        9        0        0        9
28571 libghc-data-default-dev            	       0       12        1       11        0
28572 libghc-data-default-doc            	       0        5        0        5        0
28573 libghc-data-default-instances-containers-dev	       0       12        1       11        0
28574 libghc-data-default-instances-containers-doc	       0        1        0        1        0
28575 libghc-data-default-instances-containers-prof	       0        3        0        0        3
28576 libghc-data-default-instances-dlist-dev	       0       12        1       11        0
28577 libghc-data-default-instances-dlist-doc	       0        1        0        1        0
28578 libghc-data-default-instances-dlist-prof	       0        3        0        0        3
28579 libghc-data-default-instances-old-locale-dev	       0       12        1       11        0
28580 libghc-data-default-instances-old-locale-doc	       0        1        0        1        0
28581 libghc-data-default-instances-old-locale-prof	       0        3        0        0        3
28582 libghc-data-default-prof           	       0        2        0        0        2
28583 libghc-data-fix-prof               	       0        1        0        0        1
28584 libghc-dbus-dev                    	       0        1        0        1        0
28585 libghc-dbus-doc                    	       0        1        0        1        0
28586 libghc-dbus-prof                   	       0        1        0        0        1
28587 libghc-diff-dev                    	       0        1        1        0        0
28588 libghc-digest-dev                  	       0        3        1        2        0
28589 libghc-distributive-doc            	       0        2        0        2        0
28590 libghc-distributive-prof           	       0        4        0        0        4
28591 libghc-dlist-doc                   	       0        2        0        2        0
28592 libghc-dlist-prof                  	       0        3        0        0        3
28593 libghc-doclayout-dev               	       0        2        0        2        0
28594 libghc-doctemplates-dev            	       0        2        0        2        0
28595 libghc-doctest-dev                 	       0        1        0        1        0
28596 libghc-doctest-prof                	       0        1        0        0        1
28597 libghc-double-conversion-dev       	       0        2        0        2        0
28598 libghc-double-conversion-prof      	       0        1        0        0        1
28599 libghc-dynamic-state-dev           	       0        2        0        2        0
28600 libghc-dynamic-state-prof          	       0        1        0        0        1
28601 libghc-dyre-dev                    	       0        1        0        1        0
28602 libghc-dyre-doc                    	       0        1        0        1        0
28603 libghc-dyre-prof                   	       0        1        0        0        1
28604 libghc-easy-file-dev               	       0        3        0        3        0
28605 libghc-easy-file-prof              	       0        1        0        0        1
28606 libghc-either-dev                  	       0        1        1        0        0
28607 libghc-either-prof                 	       0        1        0        0        1
28608 libghc-emojis-dev                  	       0        4        1        3        0
28609 libghc-emojis-doc                  	       0        1        0        1        0
28610 libghc-emojis-prof                 	       0        2        0        0        2
28611 libghc-enclosed-exceptions-dev     	       0        3        0        3        0
28612 libghc-enclosed-exceptions-prof    	       0        1        0        0        1
28613 libghc-entropy-dev                 	       0        3        1        2        0
28614 libghc-errors-dev                  	       0        1        0        1        0
28615 libghc-errors-prof                 	       0        1        0        0        1
28616 libghc-exceptions-dev              	       0        8        0        8        0
28617 libghc-exceptions-doc              	       0        1        0        1        0
28618 libghc-exceptions-prof             	       0        5        0        0        5
28619 libghc-executable-path-dev         	       0        1        0        1        0
28620 libghc-executable-path-prof        	       0        1        0        0        1
28621 libghc-extensible-exceptions-doc   	       0        2        0        2        0
28622 libghc-extensible-exceptions-prof  	       0        2        0        0        2
28623 libghc-fast-logger-dev             	       0        3        0        3        0
28624 libghc-fast-logger-prof            	       0        1        0        0        1
28625 libghc-fgl-dev                     	       0        1        0        1        0
28626 libghc-fgl-doc                     	       0        1        0        1        0
28627 libghc-file-embed-dev              	       0        3        1        2        0
28628 libghc-filestore-dev               	       0        1        1        0        0
28629 libghc-filestore-doc               	       0        1        0        1        0
28630 libghc-fingertree-dev              	       0        2        0        2        0
28631 libghc-fingertree-prof             	       0        1        0        0        1
28632 libghc-fixed-dev                   	       0        1        0        1        0
28633 libghc-fixed-doc                   	       0        1        0        1        0
28634 libghc-flexible-defaults-dev       	       0        1        0        1        0
28635 libghc-flexible-defaults-prof      	       0        1        0        0        1
28636 libghc-floatinghex-dev             	       0        1        0        1        0
28637 libghc-floatinghex-doc             	       0        1        0        0        1
28638 libghc-floatinghex-prof            	       0        1        0        0        1
28639 libghc-fmlist-dev                  	       0        2        1        1        0
28640 libghc-foldable1-classes-compat-dev	       0        1        1        0        0
28641 libghc-foldable1-classes-compat-prof	       0        1        0        0        1
28642 libghc-free-dev                    	       0        5        0        5        0
28643 libghc-free-doc                    	       0        2        0        2        0
28644 libghc-free-prof                   	       0        3        0        0        3
28645 libghc-fsnotify-dev                	       0        3        0        3        0
28646 libghc-fsnotify-prof               	       0        1        0        0        1
28647 libghc-generic-deriving-dev        	       0        2        1        1        0
28648 libghc-ghc-paths-prof              	       0        1        0        0        1
28649 libghc-gi-atk-dev                  	       0        2        0        2        0
28650 libghc-gi-atk-doc                  	       0        2        0        2        0
28651 libghc-gi-atk-prof                 	       0        2        0        0        2
28652 libghc-gi-cairo-dev                	       0        3        0        3        0
28653 libghc-gi-cairo-doc                	       0        2        0        2        0
28654 libghc-gi-cairo-prof               	       0        2        0        0        2
28655 libghc-gi-dbusmenu-dev             	       0        2        0        2        0
28656 libghc-gi-dbusmenu-doc             	       0        2        0        2        0
28657 libghc-gi-dbusmenu-prof            	       0        2        0        0        2
28658 libghc-gi-dbusmenugtk3-dev         	       0        2        0        2        0
28659 libghc-gi-dbusmenugtk3-doc         	       0        2        0        2        0
28660 libghc-gi-dbusmenugtk3-prof        	       0        2        0        0        2
28661 libghc-gi-gdk-dev                  	       0        3        0        3        0
28662 libghc-gi-gdk-doc                  	       0        2        0        2        0
28663 libghc-gi-gdk-prof                 	       0        2        0        0        2
28664 libghc-gi-gdkpixbuf-dev            	       0        4        0        4        0
28665 libghc-gi-gdkpixbuf-doc            	       0        3        0        3        0
28666 libghc-gi-gdkpixbuf-prof           	       0        3        0        0        3
28667 libghc-gi-gdkx11-dev               	       0        1        0        1        0
28668 libghc-gi-gio-dev                  	       0        4        0        4        0
28669 libghc-gi-gio-doc                  	       0        3        0        3        0
28670 libghc-gi-gio-prof                 	       0        3        0        0        3
28671 libghc-gi-glib-dev                 	       0        4        0        4        0
28672 libghc-gi-glib-doc                 	       0        3        0        3        0
28673 libghc-gi-glib-prof                	       0        3        0        0        3
28674 libghc-gi-gmodule-dev              	       0        1        0        1        0
28675 libghc-gi-gmodule-prof             	       0        1        0        0        1
28676 libghc-gi-gobject-dev              	       0        4        0        4        0
28677 libghc-gi-gobject-doc              	       0        3        0        3        0
28678 libghc-gi-gobject-prof             	       0        3        0        0        3
28679 libghc-gi-gtk-dev                  	       0        2        0        2        0
28680 libghc-gi-gtk-doc                  	       0        2        0        2        0
28681 libghc-gi-gtk-prof                 	       0        2        0        0        2
28682 libghc-gi-harfbuzz-dev             	       0        3        0        3        0
28683 libghc-gi-harfbuzz-doc             	       0        2        0        2        0
28684 libghc-gi-harfbuzz-prof            	       0        2        0        0        2
28685 libghc-gi-pango-dev                	       0        3        0        3        0
28686 libghc-gi-pango-doc                	       0        2        0        2        0
28687 libghc-gi-pango-prof               	       0        2        0        0        2
28688 libghc-gi-xlib-dev                 	       0        2        0        2        0
28689 libghc-gio-dev                     	       0        6        0        6        0
28690 libghc-gio-doc                     	       0        2        0        2        0
28691 libghc-gio-prof                    	       0        3        0        0        3
28692 libghc-gitit-data                  	       0        2        0        0        2
28693 libghc-glib-dev                    	       0        6        0        6        0
28694 libghc-glib-doc                    	       0        2        0        2        0
28695 libghc-glib-prof                   	       0        3        0        0        3
28696 libghc-glob-dev                    	       0        2        0        2        0
28697 libghc-gluraw-dev                  	       0        1        0        1        0
28698 libghc-gluraw-doc                  	       0        1        0        0        1
28699 libghc-glut-dev                    	       0        1        0        1        0
28700 libghc-glut-doc                    	       0        1        0        0        1
28701 libghc-gtk-dev                     	       0        1        0        1        0
28702 libghc-gtk-prof                    	       0        1        0        0        1
28703 libghc-gtk3-dev                    	       0        4        0        4        0
28704 libghc-gtk3-doc                    	       0        2        0        2        0
28705 libghc-gtk3-prof                   	       0        2        0        0        2
28706 libghc-haddock-library-dev         	       0        2        0        2        0
28707 libghc-hakyll-dev                  	       0        2        0        2        0
28708 libghc-half-dev                    	       0        1        0        1        0
28709 libghc-half-doc                    	       0        1        0        1        0
28710 libghc-hashable-doc                	       0        4        0        4        0
28711 libghc-hashable-prof               	       0        8        0        0        8
28712 libghc-hashtables-dev              	       0        4        0        4        0
28713 libghc-haskell-gi-base-dev         	       0        5        0        5        0
28714 libghc-haskell-gi-base-doc         	       0        3        0        3        0
28715 libghc-haskell-gi-base-prof        	       0        3        0        0        3
28716 libghc-haskell-gi-dev              	       0        5        0        5        0
28717 libghc-haskell-gi-doc              	       0        2        0        2        0
28718 libghc-haskell-gi-prof             	       0        3        0        0        3
28719 libghc-haskell-lexer-dev           	       0        8        1        7        0
28720 libghc-haskell-lexer-doc           	       0        1        0        1        0
28721 libghc-haskell-lexer-prof          	       0        3        0        0        3
28722 libghc-haskell-src-dev             	       0        1        0        1        0
28723 libghc-haskell-src-doc             	       0        1        0        1        0
28724 libghc-hclip-dev                   	       0        2        0        2        0
28725 libghc-hclip-prof                  	       0        1        0        0        1
28726 libghc-hdbc-dev                    	       0        2        0        2        0
28727 libghc-hdbc-doc                    	       0        1        0        1        0
28728 libghc-hdbc-prof                   	       0        1        0        0        1
28729 libghc-hdbc-session-dev            	       0        1        0        1        0
28730 libghc-hdbc-session-prof           	       0        1        0        0        1
28731 libghc-hinotify-dev                	       0        3        0        3        0
28732 libghc-hinotify-prof               	       0        1        0        0        1
28733 libghc-hint-prof                   	       0        1        0        0        1
28734 libghc-hit-dev                     	       0        1        0        1        0
28735 libghc-hit-prof                    	       0        1        0        0        1
28736 libghc-hjsmin-dev                  	       0        1        1        0        0
28737 libghc-hostname-dev                	       0        1        0        1        0
28738 libghc-hostname-prof               	       0        1        0        0        1
28739 libghc-hourglass-dev               	       0        3        0        3        0
28740 libghc-hourglass-prof              	       0        1        0        0        1
28741 libghc-hslogger-dev                	       0        4        1        3        0
28742 libghc-hslogger-doc                	       0        1        0        1        0
28743 libghc-hslogger-prof               	       0        1        0        0        1
28744 libghc-hslua-aeson-dev             	       0        2        0        2        0
28745 libghc-hslua-classes-dev           	       0        2        0        2        0
28746 libghc-hslua-core-dev              	       0        2        0        2        0
28747 libghc-hslua-dev                   	       0        2        0        2        0
28748 libghc-hslua-marshalling-dev       	       0        2        0        2        0
28749 libghc-hslua-module-path-dev       	       0        2        0        2        0
28750 libghc-hslua-module-system-dev     	       0        2        0        2        0
28751 libghc-hslua-module-text-dev       	       0        2        0        2        0
28752 libghc-hslua-module-version-dev    	       0        2        0        2        0
28753 libghc-hslua-objectorientation-dev 	       0        2        0        2        0
28754 libghc-hslua-packaging-dev         	       0        2        0        2        0
28755 libghc-hsyaml-dev                  	       0        2        0        2        0
28756 libghc-html-dev                    	       0        2        1        1        0
28757 libghc-html-doc                    	       0        1        0        1        0
28758 libghc-http-api-data-dev           	       0        1        0        1        0
28759 libghc-http-api-data-prof          	       0        1        0        0        1
28760 libghc-http-client-dev             	       0        3        0        3        0
28761 libghc-http-client-prof            	       0        1        0        0        1
28762 libghc-http-client-tls-dev         	       0        3        0        3        0
28763 libghc-http-client-tls-prof        	       0        1        0        0        1
28764 libghc-http-conduit-dev            	       0        2        0        2        0
28765 libghc-http-date-dev               	       0        2        0        2        0
28766 libghc-http-dev                    	       0        1        0        1        0
28767 libghc-http-doc                    	       0        1        0        0        1
28768 libghc-http-link-header-dev        	       0        1        0        1        0
28769 libghc-http-link-header-prof       	       0        1        0        0        1
28770 libghc-http-types-dev              	       0        3        0        3        0
28771 libghc-http-types-prof             	       0        1        0        0        1
28772 libghc-http2-dev                   	       0        2        0        2        0
28773 libghc-hunit-dev                   	       0        4        0        4        0
28774 libghc-hunit-doc                   	       0        1        0        0        1
28775 libghc-hunit-prof                  	       0        1        0        0        1
28776 libghc-iconv-dev                   	       0        1        0        1        0
28777 libghc-iconv-prof                  	       0        1        0        0        1
28778 libghc-idna-dev                    	       0        1        0        1        0
28779 libghc-idna-doc                    	       0        1        0        1        0
28780 libghc-indexed-traversable-doc     	       0        1        0        1        0
28781 libghc-indexed-traversable-instances-prof	       0        1        0        0        1
28782 libghc-indexed-traversable-prof    	       0        1        0        0        1
28783 libghc-ini-dev                     	       0        1        1        0        0
28784 libghc-ini-prof                    	       0        1        0        0        1
28785 libghc-integer-logarithms-prof     	       0        7        0        0        7
28786 libghc-invariant-dev               	       0        3        0        3        0
28787 libghc-invariant-doc               	       0        1        0        1        0
28788 libghc-invariant-prof              	       0        2        0        0        2
28789 libghc-io-storage-dev              	       0        1        0        1        0
28790 libghc-io-storage-prof             	       0        1        0        0        1
28791 libghc-iproute-dev                 	       0        3        1        2        0
28792 libghc-ipynb-dev                   	       0        2        0        2        0
28793 libghc-iwlib-dev                   	       0        2        0        2        0
28794 libghc-iwlib-prof                  	       0        1        0        0        1
28795 libghc-jira-wiki-markup-dev        	       0        2        0        2        0
28796 libghc-json-dev                    	       0        1        1        0        0
28797 libghc-json-doc                    	       0        1        0        1        0
28798 libghc-juicypixels-dev             	       0        3        1        2        0
28799 libghc-kan-extensions-dev          	       0        4        0        4        0
28800 libghc-kan-extensions-doc          	       0        1        0        1        0
28801 libghc-kan-extensions-prof         	       0        3        0        0        3
28802 libghc-language-javascript-dev     	       0        1        1        0        0
28803 libghc-lens-dev                    	       0        4        0        4        0
28804 libghc-lens-doc                    	       0        1        0        1        0
28805 libghc-lens-prof                   	       0        3        0        0        3
28806 libghc-libyaml-dev                 	       0        4        0        4        0
28807 libghc-lifted-async-dev            	       0        2        0        2        0
28808 libghc-lifted-base-dev             	       0        3        0        3        0
28809 libghc-lifted-base-prof            	       0        1        0        0        1
28810 libghc-linear-dev                  	       0        2        0        2        0
28811 libghc-linear-doc                  	       0        1        0        1        0
28812 libghc-linear-prof                 	       0        1        0        0        1
28813 libghc-listlike-dev                	       0        2        1        1        0
28814 libghc-lpeg-dev                    	       0        2        0        2        0
28815 libghc-lrucache-dev                	       0        2        0        2        0
28816 libghc-lua-dev                     	       0        2        0        2        0
28817 libghc-markdown-dev                	       0        1        0        1        0
28818 libghc-markdown-doc                	       0        1        0        1        0
28819 libghc-markdown-prof               	       0        1        0        0        1
28820 libghc-markdown-unlit-dev          	       0        1        0        1        0
28821 libghc-markdown-unlit-doc          	       0        1        0        1        0
28822 libghc-markdown-unlit-prof         	       0        1        0        0        1
28823 libghc-megaparsec-dev              	       0        1        1        0        0
28824 libghc-megaparsec-prof             	       0        1        0        0        1
28825 libghc-memory-dev                  	       0        4        1        3        0
28826 libghc-memory-prof                 	       0        1        0        0        1
28827 libghc-microlens-dev               	       0        3        1        2        0
28828 libghc-microlens-ghc-dev           	       0        2        0        2        0
28829 libghc-microlens-ghc-prof          	       0        1        0        0        1
28830 libghc-microlens-mtl-dev           	       0        2        0        2        0
28831 libghc-microlens-mtl-prof          	       0        1        0        0        1
28832 libghc-microlens-platform-dev      	       0        2        0        2        0
28833 libghc-microlens-platform-prof     	       0        1        0        0        1
28834 libghc-microlens-prof              	       0        1        0        0        1
28835 libghc-microlens-th-dev            	       0        2        0        2        0
28836 libghc-microlens-th-prof           	       0        1        0        0        1
28837 libghc-mime-types-dev              	       0        4        1        3        0
28838 libghc-mime-types-prof             	       0        1        0        0        1
28839 libghc-missingh-dev                	       0        1        1        0        0
28840 libghc-mmorph-dev                  	       0        1        0        1        0
28841 libghc-mmorph-prof                 	       0        1        0        0        1
28842 libghc-monad-control-prof          	       0        1        0        0        1
28843 libghc-monad-logger-dev            	       0        1        0        1        0
28844 libghc-monad-logger-prof           	       0        1        0        0        1
28845 libghc-monad-loops-dev             	       0        1        0        1        0
28846 libghc-monad-loops-prof            	       0        1        0        0        1
28847 libghc-monadrandom-dev             	       0        4        0        4        0
28848 libghc-monadrandom-prof            	       0        4        0        0        4
28849 libghc-mono-traversable-dev        	       0       11        0       11        0
28850 libghc-mono-traversable-prof       	       0        5        0        0        5
28851 libghc-mtl-dev                     	       0        2        0        2        0
28852 libghc-mtl-doc                     	       0        1        0        1        0
28853 libghc-mtl-prof                    	       0        1        0        0        1
28854 libghc-multimap-dev                	       0        1        1        0        0
28855 libghc-multimap-prof               	       0        1        0        0        1
28856 libghc-names-th-dev                	       0        1        0        1        0
28857 libghc-names-th-prof               	       0        1        0        0        1
28858 libghc-network-bsd-dev             	       0        4        1        3        0
28859 libghc-network-bsd-prof            	       0        1        0        0        1
28860 libghc-network-byte-order-dev      	       0        2        0        2        0
28861 libghc-network-doc                 	       0        2        0        2        0
28862 libghc-network-info-dev            	       0        1        1        0        0
28863 libghc-network-info-doc            	       0        1        0        1        0
28864 libghc-network-prof                	       0        7        0        0        7
28865 libghc-network-uri-doc             	       0        1        0        1        0
28866 libghc-network-uri-prof            	       0        2        0        0        2
28867 libghc-numtype-dev                 	       0        1        0        1        0
28868 libghc-numtype-prof                	       0        1        0        0        1
28869 libghc-objectname-dev              	       0        2        0        2        0
28870 libghc-objectname-doc              	       0        2        0        0        2
28871 libghc-objectname-prof             	       0        1        0        0        1
28872 libghc-old-locale-dev              	       0       16        1       15        0
28873 libghc-old-locale-doc              	       0        3        0        3        0
28874 libghc-old-locale-prof             	       0        4        0        0        4
28875 libghc-old-time-dev                	       0       10        1        9        0
28876 libghc-old-time-doc                	       0        2        0        2        0
28877 libghc-old-time-prof               	       0        1        0        0        1
28878 libghc-onetuple-doc                	       0        1        0        0        1
28879 libghc-onetuple-prof               	       0        1        0        0        1
28880 libghc-only-dev                    	       0        2        1        1        0
28881 libghc-oo-prototypes-dev           	       0        2        0        2        0
28882 libghc-oo-prototypes-prof          	       0        1        0        0        1
28883 libghc-opengl-dev                  	       0        1        0        1        0
28884 libghc-opengl-doc                  	       0        1        0        0        1
28885 libghc-openglraw-dev               	       0        1        0        1        0
28886 libghc-openglraw-doc               	       0        1        0        0        1
28887 libghc-optparse-applicative-dev    	       0        2        0        2        0
28888 libghc-os-string-prof              	       0        1        0        0        1
28889 libghc-pandoc-citeproc-data        	       0        8        0        0        8
28890 libghc-pandoc-dev                  	       0        2        0        2        0
28891 libghc-pandoc-lua-marshal-dev      	       0        2        0        2        0
28892 libghc-pandoc-sidenote-dev         	       0        1        1        0        0
28893 libghc-pandoc-sidenote-prof        	       0        1        0        0        1
28894 libghc-pandoc-types-dev            	       0        3        1        2        0
28895 libghc-pandoc-types-prof           	       0        1        0        0        1
28896 libghc-pango-dev                   	       0        5        0        5        0
28897 libghc-pango-doc                   	       0        2        0        2        0
28898 libghc-pango-prof                  	       0        3        0        0        3
28899 libghc-parallel-doc                	       0        2        0        2        0
28900 libghc-parallel-prof               	       0        3        0        0        3
28901 libghc-parsec3-dev                 	       0        1        0        1        0
28902 libghc-parsec3-prof                	       0        1        0        0        1
28903 libghc-parser-combinators-dev      	       0        1        1        0        0
28904 libghc-parser-combinators-prof     	       0        1        0        0        1
28905 libghc-path-pieces-dev             	       0        1        0        1        0
28906 libghc-path-pieces-prof            	       0        1        0        0        1
28907 libghc-patience-dev                	       0        1        0        1        0
28908 libghc-patience-prof               	       0        1        0        0        1
28909 libghc-pem-dev                     	       0        3        0        3        0
28910 libghc-pem-prof                    	       0        1        0        0        1
28911 libghc-persistable-record-dev      	       0        1        0        1        0
28912 libghc-persistable-record-prof     	       0        1        0        0        1
28913 libghc-persistable-types-hdbc-pg-dev	       0        1        0        1        0
28914 libghc-persistable-types-hdbc-pg-prof	       0        1        0        0        1
28915 libghc-persistent-dev              	       0        1        0        1        0
28916 libghc-persistent-postgresql-dev   	       0        1        0        1        0
28917 libghc-persistent-postgresql-prof  	       0        1        0        0        1
28918 libghc-persistent-prof             	       0        1        0        0        1
28919 libghc-persistent-sqlite-dev       	       0        1        0        1        0
28920 libghc-persistent-sqlite-prof      	       0        1        0        0        1
28921 libghc-pointedlist-dev             	       0        2        0        2        0
28922 libghc-pointedlist-prof            	       0        1        0        0        1
28923 libghc-postgresql-libpq-dev        	       0        2        0        2        0
28924 libghc-postgresql-libpq-prof       	       0        1        0        0        1
28925 libghc-postgresql-simple-dev       	       0        2        0        2        0
28926 libghc-postgresql-simple-prof      	       0        1        0        0        1
28927 libghc-prelude-extras-dev          	       0        1        0        1        0
28928 libghc-prelude-extras-prof         	       0        1        0        0        1
28929 libghc-pretty-show-dev             	       0        8        1        7        0
28930 libghc-pretty-show-doc             	       0        1        0        1        0
28931 libghc-pretty-show-prof            	       0        3        0        0        3
28932 libghc-primitive-doc               	       0        3        0        3        0
28933 libghc-primitive-prof              	       0       12        0        0       12
28934 libghc-primitive-unaligned-dev     	       0        1        0        1        0
28935 libghc-primitive-unaligned-prof    	       0        1        0        0        1
28936 libghc-process-extras-dev          	       0        2        1        1        0
28937 libghc-profunctors-dev             	       0        6        1        5        0
28938 libghc-profunctors-doc             	       0        2        0        2        0
28939 libghc-profunctors-prof            	       0        4        0        0        4
28940 libghc-psqueues-dev                	       0        2        0        2        0
28941 libghc-punycode-dev                	       0        1        0        1        0
28942 libghc-puremd5-dev                 	       0        1        1        0        0
28943 libghc-quickcheck2-doc             	       0        1        0        0        1
28944 libghc-quickcheck2-prof            	       0        2        0        0        2
28945 libghc-random-prof                 	       0       14        0        0       14
28946 libghc-random-shuffle-dev          	       0        4        0        4        0
28947 libghc-random-shuffle-prof         	       0        4        0        0        4
28948 libghc-reflection-dev              	       0        4        0        4        0
28949 libghc-reflection-doc              	       0        1        0        1        0
28950 libghc-reflection-prof             	       0        3        0        0        3
28951 libghc-regex-base-doc              	       0        2        0        2        0
28952 libghc-regex-base-prof             	       0        5        0        0        5
28953 libghc-regex-compat-dev            	       0        2        1        1        0
28954 libghc-regex-compat-doc            	       0        1        0        1        0
28955 libghc-regex-pcre-dev              	       0        1        1        0        0
28956 libghc-regex-pcre-doc              	       0        1        0        1        0
28957 libghc-regex-pcre-prof             	       0        1        0        0        1
28958 libghc-regex-posix-doc             	       0        1        0        1        0
28959 libghc-regex-posix-prof            	       0        1        0        0        1
28960 libghc-regex-tdfa-prof             	       0        4        0        0        4
28961 libghc-relational-query-dev        	       0        1        0        1        0
28962 libghc-relational-query-hdbc-dev   	       0        1        0        1        0
28963 libghc-relational-query-hdbc-prof  	       0        1        0        0        1
28964 libghc-relational-query-prof       	       0        1        0        0        1
28965 libghc-relational-schemas-dev      	       0        1        0        1        0
28966 libghc-relational-schemas-prof     	       0        1        0        0        1
28967 libghc-resolv-dev                  	       0        1        0        1        0
28968 libghc-resource-pool-dev           	       0        1        0        1        0
28969 libghc-resource-pool-prof          	       0        1        0        0        1
28970 libghc-resourcet-dev               	       0       13        1       12        0
28971 libghc-resourcet-doc               	       0        1        0        1        0
28972 libghc-resourcet-prof              	       0        7        0        0        7
28973 libghc-safe-dev                    	       0        9        1        8        0
28974 libghc-safe-prof                   	       0        5        0        0        5
28975 libghc-sandi-dev                   	       0        2        0        2        0
28976 libghc-scientific-doc              	       0        2        0        2        0
28977 libghc-scientific-prof             	       0        8        0        0        8
28978 libghc-sdl-dev                     	       0        2        0        2        0
28979 libghc-sdl-doc                     	       0        1        0        0        1
28980 libghc-sdl-gfx-dev                 	       0        1        0        1        0
28981 libghc-sdl-gfx-doc                 	       0        1        0        0        1
28982 libghc-sdl-gfx-prof                	       0        1        0        0        1
28983 libghc-sdl-image-dev               	       0        2        0        2        0
28984 libghc-sdl-image-doc               	       0        1        0        0        1
28985 libghc-sdl-image-prof              	       0        1        0        0        1
28986 libghc-sdl-mixer-dev               	       0        2        0        2        0
28987 libghc-sdl-mixer-doc               	       0        1        0        0        1
28988 libghc-sdl-mixer-prof              	       0        1        0        0        1
28989 libghc-sdl-prof                    	       0        1        0        0        1
28990 libghc-sdl-ttf-dev                 	       0        1        0        1        0
28991 libghc-sdl-ttf-doc                 	       0        1        0        0        1
28992 libghc-sdl-ttf-prof                	       0        1        0        0        1
28993 libghc-sdl2-dev                    	       0        2        0        2        0
28994 libghc-sdl2-doc                    	       0        1        0        1        0
28995 libghc-sdl2-prof                   	       0        1        0        0        1
28996 libghc-sdl2-ttf-dev                	       0        2        0        2        0
28997 libghc-sdl2-ttf-doc                	       0        1        0        1        0
28998 libghc-sdl2-ttf-prof               	       0        1        0        0        1
28999 libghc-semialign-doc               	       0        1        0        1        0
29000 libghc-semialign-prof              	       0        1        0        0        1
29001 libghc-semigroupoids-doc           	       0        3        0        3        0
29002 libghc-semigroupoids-prof          	       0        4        0        0        4
29003 libghc-semigroups-dev              	       0        9        0        9        0
29004 libghc-semigroups-doc              	       0        1        0        1        0
29005 libghc-semigroups-prof             	       0        3        0        0        3
29006 libghc-sendfile-dev                	       0        1        1        0        0
29007 libghc-setlocale-doc               	       0        2        0        2        0
29008 libghc-setlocale-prof              	       0        2        0        0        2
29009 libghc-sha-dev                     	       0        5        1        4        0
29010 libghc-shelly-dev                  	       0        2        0        2        0
29011 libghc-silently-dev                	       0        1        0        1        0
29012 libghc-silently-prof               	       0        1        0        0        1
29013 libghc-simple-sendfile-dev         	       0        2        0        2        0
29014 libghc-skylighting-core-dev        	       0        2        0        2        0
29015 libghc-skylighting-dev             	       0        2        0        2        0
29016 libghc-soap-dev                    	       0        1        0        1        0
29017 libghc-soap-prof                   	       0        1        0        0        1
29018 libghc-soap-tls-dev                	       0        1        0        1        0
29019 libghc-soap-tls-prof               	       0        1        0        0        1
29020 libghc-socks-dev                   	       0        4        1        3        0
29021 libghc-socks-prof                  	       0        1        0        0        1
29022 libghc-split-doc                   	       0        1        0        1        0
29023 libghc-split-prof                  	       0        7        0        0        7
29024 libghc-splitmix-prof               	       0        9        0        0        9
29025 libghc-sql-words-dev               	       0        1        0        1        0
29026 libghc-sql-words-prof              	       0        1        0        0        1
29027 libghc-statevar-doc                	       0        3        0        0        3
29028 libghc-statevar-prof               	       0        4        0        0        4
29029 libghc-status-notifier-item-dev    	       0        1        0        1        0
29030 libghc-status-notifier-item-prof   	       0        1        0        0        1
29031 libghc-stm-chans-dev               	       0        1        0        1        0
29032 libghc-stm-chans-prof              	       0        1        0        0        1
29033 libghc-stm-dev                     	       0        1        0        1        0
29034 libghc-stm-prof                    	       0        1        0        0        1
29035 libghc-streaming-commons-dev       	       0       10        0       10        0
29036 libghc-streaming-commons-prof      	       0        6        0        0        6
29037 libghc-strict-prof                 	       0        2        0        0        2
29038 libghc-stringprep-dev              	       0        1        0        1        0
29039 libghc-syb-dev                     	       0        5        1        4        0
29040 libghc-syb-doc                     	       0        1        0        1        0
29041 libghc-syb-prof                    	       0        2        0        0        2
29042 libghc-system-fileio-dev           	       0        1        0        1        0
29043 libghc-system-fileio-prof          	       0        1        0        0        1
29044 libghc-system-filepath-dev         	       0        2        1        1        0
29045 libghc-system-filepath-prof        	       0        1        0        0        1
29046 libghc-tabular-dev                 	       0        1        1        0        0
29047 libghc-tabular-doc                 	       0        1        0        1        0
29048 libghc-tagged-doc                  	       0        2        0        2        0
29049 libghc-tagged-prof                 	       0        4        0        0        4
29050 libghc-tagsoup-dev                 	       0        5        1        4        0
29051 libghc-tagsoup-prof                	       0        1        0        0        1
29052 libghc-test-framework-dev          	       0        1        0        1        0
29053 libghc-test-framework-prof         	       0        1        0        0        1
29054 libghc-test-framework-quickcheck2-dev	       0        1        0        1        0
29055 libghc-test-framework-quickcheck2-prof	       0        1        0        0        1
29056 libghc-texmath-dev                 	       0        2        0        2        0
29057 libghc-text-conversions-dev        	       0        2        0        2        0
29058 libghc-text-dev                    	       0        1        0        1        0
29059 libghc-text-icu-dev                	       0        2        0        2        0
29060 libghc-text-icu-prof               	       0        1        0        0        1
29061 libghc-text-postgresql-dev         	       0        1        0        1        0
29062 libghc-text-postgresql-prof        	       0        1        0        0        1
29063 libghc-text-prof                   	       0        1        0        0        1
29064 libghc-text-short-doc              	       0        1        0        1        0
29065 libghc-text-short-prof             	       0        1        0        0        1
29066 libghc-tf-random-dev               	       0        1        0        1        0
29067 libghc-tf-random-prof              	       0        1        0        0        1
29068 libghc-th-abstraction-prof         	       0        3        0        0        3
29069 libghc-th-compat-doc               	       0        1        0        1        0
29070 libghc-th-compat-prof              	       0        2        0        0        2
29071 libghc-th-data-compat-dev          	       0        1        0        1        0
29072 libghc-th-data-compat-prof         	       0        1        0        0        1
29073 libghc-th-extras-dev               	       0        1        0        1        0
29074 libghc-th-extras-prof              	       0        1        0        0        1
29075 libghc-th-lift-dev                 	       0        4        1        3        0
29076 libghc-th-lift-instances-dev       	       0        3        1        2        0
29077 libghc-th-lift-prof                	       0        1        0        0        1
29078 libghc-th-reify-compat-dev         	       0        1        0        1        0
29079 libghc-th-reify-compat-prof        	       0        1        0        0        1
29080 libghc-these-prof                  	       0        1        0        0        1
29081 libghc-threads-dev                 	       0        1        1        0        0
29082 libghc-time-compat-prof            	       0        1        0        0        1
29083 libghc-time-locale-compat-dev      	       0        4        1        3        0
29084 libghc-time-locale-compat-prof     	       0        1        0        0        1
29085 libghc-time-manager-dev            	       0        2        0        2        0
29086 libghc-tls-dev                     	       0        3        0        3        0
29087 libghc-tls-prof                    	       0        1        0        0        1
29088 libghc-transformers-base-doc       	       0        2        0        2        0
29089 libghc-transformers-base-prof      	       0        4        0        0        4
29090 libghc-transformers-compat-prof    	       0        8        0        0        8
29091 libghc-type-equality-dev           	       0        4        0        4        0
29092 libghc-type-equality-prof          	       0        2        0        0        2
29093 libghc-typed-process-dev           	       0        9        0        9        0
29094 libghc-typed-process-prof          	       0        5        0        0        5
29095 libghc-typst-symbols-dev           	       0        1        1        0        0
29096 libghc-unexceptionalio-dev         	       0        1        0        1        0
29097 libghc-unexceptionalio-prof        	       0        1        0        0        1
29098 libghc-unicode-collation-dev       	       0        2        0        2        0
29099 libghc-unicode-data-dev            	       0        2        0        2        0
29100 libghc-unicode-transforms-dev      	       0        2        0        2        0
29101 libghc-uniplate-dev                	       0        2        0        2        0
29102 libghc-unix-compat-dev             	       0        4        0        4        0
29103 libghc-unix-compat-prof            	       0        1        0        0        1
29104 libghc-unix-time-dev               	       0        3        0        3        0
29105 libghc-unix-time-prof              	       0        1        0        0        1
29106 libghc-unixutils-dev               	       0        1        1        0        0
29107 libghc-unixutils-doc               	       0        1        0        0        1
29108 libghc-unliftio-core-dev           	       0       12        1       11        0
29109 libghc-unliftio-core-doc           	       0        1        0        1        0
29110 libghc-unliftio-core-prof          	       0        6        0        0        6
29111 libghc-unliftio-dev                	       0        2        0        2        0
29112 libghc-unordered-containers-doc    	       0        3        0        3        0
29113 libghc-unordered-containers-prof   	       0        8        0        0        8
29114 libghc-url-dev                     	       0        1        1        0        0
29115 libghc-utf8-string-prof            	       0        6        0        0        6
29116 libghc-uuid-types-prof             	       0        2        0        0        2
29117 libghc-vault-dev                   	       0        2        0        2        0
29118 libghc-vector-algorithms-dev       	       0       11        0       11        0
29119 libghc-vector-algorithms-prof      	       0        5        0        0        5
29120 libghc-vector-doc                  	       0        3        0        3        0
29121 libghc-vector-prof                 	       0        8        0        0        8
29122 libghc-vector-stream-prof          	       0        1        0        0        1
29123 libghc-void-dev                    	       0        6        1        5        0
29124 libghc-void-prof                   	       0        3        0        0        3
29125 libghc-vty-crossplatform-dev       	       0        1        0        1        0
29126 libghc-vty-dev                     	       0        2        0        2        0
29127 libghc-vty-prof                    	       0        1        0        0        1
29128 libghc-vty-unix-dev                	       0        1        0        1        0
29129 libghc-wai-app-static-dev          	       0        2        0        2        0
29130 libghc-wai-dev                     	       0        2        0        2        0
29131 libghc-wai-extra-dev               	       0        2        0        2        0
29132 libghc-wai-logger-dev              	       0        2        0        2        0
29133 libghc-warp-dev                    	       0        2        0        2        0
29134 libghc-web-routes-dev              	       0        1        0        1        0
29135 libghc-web-routes-prof             	       0        1        0        0        1
29136 libghc-web-routes-th-dev           	       0        1        0        1        0
29137 libghc-web-routes-th-prof          	       0        1        0        0        1
29138 libghc-witherable-prof             	       0        1        0        0        1
29139 libghc-word-trie-dev               	       0        1        0        1        0
29140 libghc-word-trie-prof              	       0        1        0        0        1
29141 libghc-word8-dev                   	       0        2        0        2        0
29142 libghc-x11-doc                     	       0       16        0        3       13
29143 libghc-x11-prof                    	       0        2        0        0        2
29144 libghc-x11-xft-doc                 	       0        6        0        3        3
29145 libghc-x11-xft-prof                	       0        1        0        0        1
29146 libghc-x509-dev                    	       0        3        0        3        0
29147 libghc-x509-prof                   	       0        1        0        0        1
29148 libghc-x509-store-dev              	       0        3        0        3        0
29149 libghc-x509-store-prof             	       0        1        0        0        1
29150 libghc-x509-system-dev             	       0        3        0        3        0
29151 libghc-x509-system-prof            	       0        1        0        0        1
29152 libghc-x509-validation-dev         	       0        3        0        3        0
29153 libghc-x509-validation-prof        	       0        1        0        0        1
29154 libghc-xdg-basedir-dev             	       0        8        1        7        0
29155 libghc-xdg-basedir-doc             	       0        1        0        1        0
29156 libghc-xdg-basedir-prof            	       0        5        0        0        5
29157 libghc-xdg-desktop-entry-dev       	       0        1        1        0        0
29158 libghc-xdg-desktop-entry-doc       	       0        1        0        1        0
29159 libghc-xdg-desktop-entry-prof      	       0        1        0        0        1
29160 libghc-xml-conduit-dev             	       0       10        0       10        0
29161 libghc-xml-conduit-prof            	       0        6        0        0        6
29162 libghc-xml-conduit-writer-dev      	       0        1        0        1        0
29163 libghc-xml-conduit-writer-prof     	       0        1        0        0        1
29164 libghc-xml-dev                     	       0        4        1        3        0
29165 libghc-xml-prof                    	       0        1        0        0        1
29166 libghc-xml-types-dev               	       0       11        1       10        0
29167 libghc-xml-types-prof              	       0        7        0        0        7
29168 libghc-xmlhtml-dev                 	       0        1        1        0        0
29169 libghc-xmlhtml-doc                 	       0        1        0        1        0
29170 libghc-xmlhtml-prof                	       0        1        0        0        1
29171 libghc-xmonad-contrib-prof         	       0        1        0        0        1
29172 libghc-xmonad-prof                 	       0        2        0        0        2
29173 libghc-xss-sanitize-dev            	       0        1        0        1        0
29174 libghc-xss-sanitize-prof           	       0        1        0        0        1
29175 libghc-yaml-dev                    	       0        4        0        4        0
29176 libghc-yi-core-dev                 	       0        1        0        1        0
29177 libghc-yi-dev                      	       0        1        0        1        0
29178 libghc-yi-frontend-vty-dev         	       0        1        0        1        0
29179 libghc-yi-keymap-emacs-dev         	       0        1        0        1        0
29180 libghc-yi-language-dev             	       0        2        0        2        0
29181 libghc-yi-language-prof            	       0        1        0        0        1
29182 libghc-yi-misc-modes-dev           	       0        1        0        1        0
29183 libghc-yi-mode-haskell-dev         	       0        1        0        1        0
29184 libghc-yi-prof                     	       0        1        0        0        1
29185 libghc-yi-rope-dev                 	       0        2        0        2        0
29186 libghc-yi-rope-prof                	       0        1        0        0        1
29187 libghc-zip-archive-dev             	       0        3        1        2        0
29188 libghc-zlib-bindings-dev           	       0        1        0        1        0
29189 libghc-zlib-bindings-prof          	       0        1        0        0        1
29190 libghc-zlib-doc                    	       0        1        0        1        0
29191 libghc-zlib-prof                   	       0        7        0        0        7
29192 libghdl-3-0-0                      	       0        1        0        0        1
29193 libghemical-data                   	       0        3        0        0        3
29194 libghemical5v5                     	       0        3        0        0        3
29195 libghmm1                           	       0        1        0        0        1
29196 libgiac-dev                        	       0        8        0        8        0
29197 libgiac0                           	       0       11        0        0       11
29198 libgiac0t64                        	       0        3        0        0        3
29199 libgif4                            	       0       45        0        2       43
29200 libgif7                            	       0     2890       44      131     2715
29201 libgiftiio-dev                     	       0        1        0        1        0
29202 libgiftiio0                        	       0        1        0        0        1
29203 libgig-dev                         	       0        4        0        4        0
29204 libgig10                           	       0       43        0        0       43
29205 libgig10t64                        	       0        3        0        0        3
29206 libgig6v5                          	       0        1        0        0        1
29207 libgig9                            	       0       11        0        0       11
29208 libgii1                            	       0        4        0        4        0
29209 libgii1-target-x                   	       0        4        0        4        0
29210 libgimp-3.0-0                      	       0       41        0        1       40
29211 libgimp2.0                         	       0     2598        1       14     2583
29212 libgimp2.0-dev                     	       0       19        0       19        0
29213 libgimp2.0-doc                     	       0        4        0        0        4
29214 libgimp2.0t64                      	       0       85        0        1       84
29215 libginac1.3c2a                     	       0        1        0        0        1
29216 libginac1.4                        	       0        1        0        0        1
29217 libginac1.5                        	       0        1        0        0        1
29218 libginac11                         	       0        3        0        0        3
29219 libginac13                         	       0        2        0        0        2
29220 libgio-cil                         	       0        1        0        1        0
29221 libgio-qt-dev                      	       0        1        0        1        0
29222 libgio-qt0                         	       0        5        0        0        5
29223 libgio2.0-cil-dev                  	       0        1        0        1        0
29224 libgio3.0-cil                      	       0       61        0        0       61
29225 libgio3.0-cil-dev                  	       0       17        0       17        0
29226 libgirara-dev                      	       0        1        0        1        0
29227 libgirara-gtk2-0                   	       0        1        0        0        1
29228 libgirara-gtk3-1                   	       0        1        0        0        1
29229 libgirara-gtk3-2                   	       0        3        0        0        3
29230 libgirara-gtk3-3                   	       0      113        0        8      105
29231 libgirara-gtk3-3t64                	       0        1        0        0        1
29232 libgirara-gtk3-4                   	       0       13        0        0       13
29233 libgirepository-1.0-dev            	       0        9        0        9        0
29234 libgirepository-2.0-0              	       0       84        0        0       84
29235 libgirepository1.0-0               	       0        2        0        0        2
29236 libgirepository1.0-doc             	       0        3        0        0        3
29237 libgis-distance-perl               	       0        5        0        5        0
29238 libgit-repository-perl             	       0        5        0        5        0
29239 libgit-repository-plugin-log-perl  	       0        4        0        4        0
29240 libgit-version-compare-perl        	       0        6        0        6        0
29241 libgit2-1.1                        	       0      181        1        9      171
29242 libgit2-1.3                        	       0        2        0        0        2
29243 libgit2-1.5                        	       0      647        0        6      641
29244 libgit2-1.7                        	       0       28        0        0       28
29245 libgit2-1.8                        	       0       56        0        0       56
29246 libgit2-21                         	       0        2        0        0        2
29247 libgit2-24                         	       0       23        0        0       23
29248 libgit2-27                         	       0       43        1        0       42
29249 libgit2-28                         	       0        1        0        0        1
29250 libgit2-fixtures                   	       0        1        0        1        0
29251 libgit2-glib-1.0-0                 	       0      158        0        1      157
29252 libgit2-glib-1.0-doc               	       0        2        0        0        2
29253 libgivaro-dev                      	       0       12        0       12        0
29254 libgivaro-doc                      	       0        1        0        0        1
29255 libgivaro9                         	       0       12        0        0       12
29256 libgiza0                           	       0        6        0        0        6
29257 libgjs0c                           	       0        1        0        1        0
29258 libgjs0e                           	       0       15        0       15        0
29259 libgkarrays1                       	       0        1        0        0        1
29260 libgkeyfile1.0-cil                 	       0        8        0        0        8
29261 libgkl-java                        	       0        5        0        0        5
29262 libgkl-jni                         	       0        5        0        5        0
29263 libgksu1.2-0                       	       0        1        0        1        0
29264 libgksu2-0                         	       0      196        5      191        0
29265 libgksu2-dev                       	       0        1        0        1        0
29266 libgksuui1.0-1                     	       0        1        0        0        1
29267 libgl-gst                          	       0        2        0        2        0
29268 libgl-image-display0               	       0        1        0        0        1
29269 libgl1-amber-dri                   	       0        1        0        0        1
29270 libgl1-amdgpu-mesa-dev             	       0        1        0        0        1
29271 libgl1-amdgpu-mesa-dri             	       0        8        2        5        1
29272 libgl1-amdgpu-mesa-glx             	       0        8        2        4        2
29273 libgl1-glvnd-nvidia-glx            	       0        2        0        0        2
29274 libgl1-mesa-dev                    	       0      488        0        0      488
29275 libgl1-mesa-dri-experimental       	       0        1        0        0        1
29276 libgl1-mesa-glx                    	       0      629        0        0      629
29277 libgl1-mesa-swx11                  	       0        1        0        0        1
29278 libgl1-nvidia-glvnd-glx            	       0      167        0        0      167
29279 libgl1-nvidia-glx                  	       0        3        0        0        3
29280 libgl1-nvidia-glx-i386             	       0        1        0        0        1
29281 libgl1-nvidia-legacy-304xx-glx     	       0        1        0        0        1
29282 libgl1-nvidia-legacy-340xx-glx     	       0        8        0        4        4
29283 libgl1-nvidia-legacy-390xx-glvnd-glx	       0       12        0        0       12
29284 libgl1-nvidia-legacy-390xx-glx     	       0        2        0        0        2
29285 libgl1-nvidia-tesla-450-glvnd-glx  	       0        2        0        0        2
29286 libgl1-nvidia-tesla-470-glvnd-glx  	       0       15        0        0       15
29287 libgl2ps-dev                       	       0       19        1       18        0
29288 libgl2ps-doc                       	       0        1        0        0        1
29289 libgl2ps0                          	       0        5        0        0        5
29290 libgl2ps1                          	       0       15        0        0       15
29291 libgl2ps1.4                        	       0      287        0        0      287
29292 libgl4es-dev                       	       0        1        0        1        0
29293 libgl4es0                          	       0        2        0        0        2
29294 libglade-gnome0                    	       0        1        0        0        1
29295 libglade0                          	       0        1        0        0        1
29296 libglade2-0                        	       0      480        1        0      479
29297 libglade2-dev                      	       0       23        0       23        0
29298 libglade2.0-cil                    	       0       40        0        0       40
29299 libglade2.0-cil-dev                	       0       10        0       10        0
29300 libglademm-2.4-1c2a                	       0        1        0        0        1
29301 libglademm-2.4-1v5                 	       0       43        0        0       43
29302 libglademm-2.4-dev                 	       0        2        0        2        0
29303 libglademm-2.4-doc                 	       0        2        0        2        0
29304 libgladeui-1-7                     	       0        1        0        1        0
29305 libgladeui-2-13                    	       0       36        0        0       36
29306 libgladeui-2-13t64                 	       0        7        0        0        7
29307 libgladeui-2-6                     	       0        5        0        0        5
29308 libgladeui-common                  	       0       48        0        0       48
29309 libgladeui-dev                     	       0        3        1        2        0
29310 libgladeui-doc                     	       0        1        0        0        1
29311 libglapi-amdgpu-mesa               	       0        8        2        4        2
29312 libglazedlists-java                	       0       25        0        0       25
29313 libglbinding-dev                   	       0        2        0        2        0
29314 libglbinding2                      	       0        2        0        0        2
29315 libglbsp-dev                       	       0        2        0        2        0
29316 libglbsp3                          	       0        5        0        0        5
29317 libglc-dev                         	       0        3        0        3        0
29318 libglc0                            	       0       50        0        0       50
29319 libgle3                            	       0      175        0        0      175
29320 libgle3-dev                        	       0        1        0        1        0
29321 libglee0d1                         	       0        4        0        0        4
29322 libgles-nvidia-legacy-390xx1       	       0       14        0        0       14
29323 libgles-nvidia-legacy-390xx2       	       0       14        0        0       14
29324 libgles-nvidia-tesla-450-1         	       0        2        0        0        2
29325 libgles-nvidia-tesla-450-2         	       0        2        0        0        2
29326 libgles-nvidia-tesla-470-1         	       0       12        0        0       12
29327 libgles-nvidia-tesla-470-2         	       0       12        0        0       12
29328 libgles-nvidia1                    	       0      154        0        0      154
29329 libgles-nvidia2                    	       0      155        0        0      155
29330 libgles1                           	       0      785        0        0      785
29331 libgles1-amdgpu-mesa               	       0        1        0        0        1
29332 libgles1-glvnd-nvidia              	       0        2        0        0        2
29333 libgles1-mesa                      	       0       21        0        0       21
29334 libgles1-nvidia                    	       0        2        0        0        2
29335 libgles1-nvidia-legacy-340xx       	       0        7        0        0        7
29336 libgles2-amdgpu-mesa               	       0        1        0        0        1
29337 libgles2-glvnd-nvidia              	       0        1        0        0        1
29338 libgles2-mesa                      	       0      107        0        0      107
29339 libgles2-mesa-dev                  	       0       70        1       15       54
29340 libgles2-nvidia                    	       0        2        0        0        2
29341 libgles2-nvidia-legacy-340xx       	       0        7        0        0        7
29342 libglew-dev                        	       0       98        1       97        0
29343 libglew1.10                        	       0       29        0        0       29
29344 libglew1.13                        	       0        2        0        0        2
29345 libglew1.5                         	       0        2        0        0        2
29346 libglew1.6                         	       0        2        0        0        2
29347 libglew1.7                         	       0        8        0        0        8
29348 libglew2.0                         	       0       98        0        0       98
29349 libglew2.1                         	       0      372        1        3      368
29350 libglew2.2                         	       0      493        2       11      480
29351 libglewmx-dev                      	       0        2        0        2        0
29352 libglewmx1.10                      	       0        4        0        0        4
29353 libglewmx1.13                      	       0       54        0        0       54
29354 libglewmx1.5                       	       0        2        0        0        2
29355 libglewmx1.7                       	       0        2        0        0        2
29356 libglfw3                           	       0      133        0        0      133
29357 libglfw3-doc                       	       0        4        0        0        4
29358 libglfw3-wayland                   	       0        3        0        0        3
29359 libglgrib-egl0                     	       0        1        0        0        1
29360 libgli-dev                         	       0        2        0        2        0
29361 libgli-doc                         	       0        1        0        0        1
29362 libglib-perl                       	       0     2607        6       11     2590
29363 libglib-testing-0-0                	       0        1        0        0        1
29364 libglib-testing-0-dev              	       0        1        0        1        0
29365 libglib-testing-doc                	       0        1        0        0        1
29366 libglib1.2                         	       0        2        0        0        2
29367 libglib1.2-dev                     	       0        3        0        3        0
29368 libglib1.2ldbl                     	       0        5        0        1        4
29369 libglib2-ruby                      	       0        1        0        0        1
29370 libglib2-ruby1.8                   	       0        2        0        1        1
29371 libglib2.0-0-dbgsym                	       0        1        0        1        0
29372 libglib2.0-bin-dbgsym              	       0        1        0        1        0
29373 libglib2.0-cil                     	       0       99        0        1       98
29374 libglib2.0-dev-bin-dbgsym          	       0        1        0        1        0
29375 libglib2.0-doc                     	       0      102        0        0      102
29376 libglib3.0-cil                     	       0       63        0        0       63
29377 libglib3.0-cil-dev                 	       0       18        0       18        0
29378 libglibd-2.0-0                     	       0        4        0        0        4
29379 libglibd-2.0-dev                   	       0        1        0        0        1
29380 libglibmm-2.4-1c2a                 	       0       10        0        1        9
29381 libglibmm-2.4-dev                  	       0       68        1       67        0
29382 libglibmm-2.4-doc                  	       0       12        0       12        0
29383 libglibmm-2.68-1                   	       0      114        5       22       87
29384 libglibmm-2.68-1t64                	       0      172        3       16      153
29385 libglibmm-2.68-doc                 	       0        2        0        2        0
29386 libglibutil                        	       0        7        0        0        7
29387 libglide3                          	       0        6        0        6        0
29388 libglide3-dev                      	       0        2        0        2        0
29389 libglm-dev                         	       0       37        1       36        0
29390 libglm-doc                         	       0        2        0        0        2
29391 libglobjects-dev                   	       0        1        0        1        0
29392 libglobjects1                      	       0        1        0        0        1
29393 libglobus-authz-callout-error0     	       0        2        0        0        2
29394 libglobus-authz0                   	       0        2        0        0        2
29395 libglobus-callout-dev              	       0        1        0        1        0
29396 libglobus-callout-doc              	       0        1        0        0        1
29397 libglobus-callout0                 	       0        4        0        0        4
29398 libglobus-common-dev               	       0        3        0        3        0
29399 libglobus-common-doc               	       0        1        0        0        1
29400 libglobus-common0                  	       0        5        0        5        0
29401 libglobus-ftp-client-dev           	       0        1        0        1        0
29402 libglobus-ftp-client-doc           	       0        1        0        0        1
29403 libglobus-ftp-client2              	       0        3        0        0        3
29404 libglobus-ftp-control-dev          	       0        1        0        1        0
29405 libglobus-ftp-control-doc          	       0        1        0        0        1
29406 libglobus-ftp-control1             	       0        3        0        0        3
29407 libglobus-gass-copy2               	       0        2        0        0        2
29408 libglobus-gass-server-ez-dev       	       0        1        0        1        0
29409 libglobus-gass-server-ez2          	       0        1        0        0        1
29410 libglobus-gass-transfer-dev        	       0        1        0        1        0
29411 libglobus-gass-transfer-doc        	       0        1        0        0        1
29412 libglobus-gass-transfer2           	       0        3        0        0        3
29413 libglobus-gfork0                   	       0        2        0        0        2
29414 libglobus-gram-client-dev          	       0        1        0        1        0
29415 libglobus-gram-client-doc          	       0        1        0        0        1
29416 libglobus-gram-client3             	       0        1        0        0        1
29417 libglobus-gram-protocol-dev        	       0        1        0        1        0
29418 libglobus-gram-protocol-doc        	       0        1        0        0        1
29419 libglobus-gram-protocol3           	       0        1        0        1        0
29420 libglobus-gridftp-server-control0  	       0        1        0        0        1
29421 libglobus-gridftp-server6          	       0        1        0        0        1
29422 libglobus-gsi-callback-dev         	       0        3        0        3        0
29423 libglobus-gsi-callback-doc         	       0        1        0        0        1
29424 libglobus-gsi-callback0            	       0        5        0        0        5
29425 libglobus-gsi-cert-utils-dev       	       0        3        0        3        0
29426 libglobus-gsi-cert-utils-doc       	       0        1        0        0        1
29427 libglobus-gsi-cert-utils0          	       0        5        0        0        5
29428 libglobus-gsi-credential-dev       	       0        3        0        3        0
29429 libglobus-gsi-credential-doc       	       0        1        0        0        1
29430 libglobus-gsi-credential1          	       0        5        0        0        5
29431 libglobus-gsi-openssl-error-dev    	       0        3        0        3        0
29432 libglobus-gsi-openssl-error-doc    	       0        1        0        0        1
29433 libglobus-gsi-openssl-error0       	       0        5        0        0        5
29434 libglobus-gsi-proxy-core-dev       	       0        3        0        3        0
29435 libglobus-gsi-proxy-core-doc       	       0        1        0        0        1
29436 libglobus-gsi-proxy-core0          	       0        5        0        0        5
29437 libglobus-gsi-proxy-ssl-dev        	       0        3        0        3        0
29438 libglobus-gsi-proxy-ssl-doc        	       0        1        0        0        1
29439 libglobus-gsi-proxy-ssl1           	       0        5        0        0        5
29440 libglobus-gsi-sysconfig-dev        	       0        3        0        3        0
29441 libglobus-gsi-sysconfig-doc        	       0        1        0        0        1
29442 libglobus-gsi-sysconfig1           	       0        5        0        0        5
29443 libglobus-gss-assist-dev           	       0        1        0        1        0
29444 libglobus-gss-assist-doc           	       0        1        0        0        1
29445 libglobus-gss-assist3              	       0        4        0        0        4
29446 libglobus-gssapi-error-dev         	       0        1        0        1        0
29447 libglobus-gssapi-error-doc         	       0        1        0        0        1
29448 libglobus-gssapi-error2            	       0        3        0        0        3
29449 libglobus-gssapi-gsi-dev           	       0        3        0        3        0
29450 libglobus-gssapi-gsi-doc           	       0        1        0        0        1
29451 libglobus-gssapi-gsi4              	       0        5        0        0        5
29452 libglobus-io-dev                   	       0        1        0        1        0
29453 libglobus-io3                      	       0        3        0        0        3
29454 libglobus-openssl-module-dev       	       0        3        0        3        0
29455 libglobus-openssl-module-doc       	       0        1        0        0        1
29456 libglobus-openssl-module0          	       0        5        0        0        5
29457 libglobus-rsl-dev                  	       0        1        0        1        0
29458 libglobus-rsl-doc                  	       0        1        0        0        1
29459 libglobus-rsl2                     	       0        1        0        0        1
29460 libglobus-usage0                   	       0        2        0        0        2
29461 libglobus-xio-dev                  	       0        1        0        1        0
29462 libglobus-xio-doc                  	       0        1        0        0        1
29463 libglobus-xio-gsi-driver           	       0        3        0        0        3
29464 libglobus-xio-gsi-driver-dev       	       0        1        0        1        0
29465 libglobus-xio-gsi-driver-doc       	       0        1        0        0        1
29466 libglobus-xio-gsi-driver0          	       0        2        0        0        2
29467 libglobus-xio-pipe-driver          	       0        2        0        0        2
29468 libglobus-xio-pipe-driver0         	       0        2        0        0        2
29469 libglobus-xio-popen-driver         	       0        3        0        0        3
29470 libglobus-xio-popen-driver-dev     	       0        1        0        1        0
29471 libglobus-xio-popen-driver0        	       0        2        0        0        2
29472 libglobus-xio0                     	       0        3        0        0        3
29473 libgloo0                           	       0       13        0        0       13
29474 libgloox-dev                       	       0        4        0        4        0
29475 libgloox12                         	       0        1        0        0        1
29476 libgloox14                         	       0        1        0        0        1
29477 libgloox15                         	       0        4        0        0        4
29478 libgloox17                         	       0        4        0        0        4
29479 libgloox18                         	       0       54        0        1       53
29480 libglpk-dev                        	       0       17        0       17        0
29481 libglpk-java                       	       0        1        0        0        1
29482 libglpk0                           	       0        1        0        0        1
29483 libglpk40                          	       0      125        0        0      125
29484 libgltf-0.0-0                      	       0       14        0        0       14
29485 libgltf-0.0-0v5                    	       0       74        0        0       74
29486 libgltf-0.1-1                      	       0        2        0        0        2
29487 libgluegen2-jni                    	       0       20        0       20        0
29488 libgluegen2-rt-java                	       0       20        0        0       20
29489 libgluezilla                       	       0        1        0        0        1
29490 libglusterd0                       	       0       11        0        0       11
29491 libglusterfs-dev                   	       0        7        1        6        0
29492 libglusterfs0                      	       0      708        2        2      704
29493 libglut3                           	       0        1        0        0        1
29494 libglut3.12                        	       0      434        0        0      434
29495 libglvnd-dev                       	       0      636        3      108      525
29496 libglvnd0-nvidia                   	       0        2        0        0        2
29497 libglw1-mesa                       	       0        8        0        0        8
29498 libglw1-mesa-dev                   	       0        5        0        5        0
29499 libglw1t64-mesa                    	       0        1        0        0        1
29500 libglx-nvidia-legacy-390xx0        	       0       14        2        3        9
29501 libglx-nvidia-tesla-450-0          	       0        2        0        1        1
29502 libglx-nvidia-tesla-470-0          	       0       16        3        7        6
29503 libglx-nvidia0                     	       0      178       22       78       78
29504 libglx0-glvnd-nvidia               	       0        2        0        0        2
29505 libglyr-dev                        	       0        1        0        1        0
29506 libglyr-doc                        	       0        1        0        0        1
29507 libglyr1                           	       0      101        0        1      100
29508 libgm2-0                           	       0        1        0        0        1
29509 libgm2-12-dev                      	       0        1        0        0        1
29510 libgm2-13-dev                      	       0        2        0        0        2
29511 libgm2-14-dev                      	       0        3        0        0        3
29512 libgm2-17                          	       0        1        0        0        1
29513 libgm2-18                          	       0        2        0        0        2
29514 libgm2-18-dbgsym                   	       0        1        0        1        0
29515 libgm2-19                          	       0        3        0        0        3
29516 libgm2-9-dev                       	       0        1        0        0        1
29517 libgmbal-commons-java              	       0        3        0        0        3
29518 libgmbal-java                      	       0        3        0        0        3
29519 libgmbal-pfl-java                  	       0        3        0        0        3
29520 libgme-dev                         	       0       23        1       22        0
29521 libgmerlin-avdec-dev               	       0        1        0        1        0
29522 libgmerlin-avdec1                  	       0       11        0        0       11
29523 libgmerlin-avdec2                  	       0       12        0        0       12
29524 libgmerlin-common                  	       0       12        0        0       12
29525 libgmerlin-dev                     	       0        1        0        1        0
29526 libgmerlin0                        	       0        5        0        0        5
29527 libgmerlin2                        	       0        8        0        0        8
29528 libgmerlin2t64                     	       0        1        0        0        1
29529 libgmetrics-groovy-java            	       0        1        0        0        1
29530 libgmic1                           	       0      180        0        0      180
29531 libgmime-2.0-2                     	       0        1        0        0        1
29532 libgmime-2.4-2                     	       0        3        0        0        3
29533 libgmime-2.6-0                     	       0      128        0        1      127
29534 libgmime-2.6-dev                   	       0       11        0       11        0
29535 libgmime-2.6-doc                   	       0        1        0        0        1
29536 libgmime-3.0-0                     	       0      109        6       14       89
29537 libgmime-3.0-0t64                  	       0       16        2        1       13
29538 libgmime-3.0-dev                   	       0        6        0        6        0
29539 libgmime-3.0-doc                   	       0        1        0        0        1
29540 libgmime2.6-cil                    	       0        7        0        0        7
29541 libgmime2.6-cil-dev                	       0        1        0        1        0
29542 libgmlib1                          	       0       19        0        0       19
29543 libgmm++-dev                       	       0        1        0        0        1
29544 libgmm-dev                         	       0        1        0        1        0
29545 libgmobile-common                  	       0        3        0        3        0
29546 libgmobile0                        	       0        3        1        0        2
29547 libgmock-dev                       	       0       14        1       13        0
29548 libgmp-ocaml                       	       0        1        0        1        0
29549 libgmp-ocaml-dev                   	       0        1        0        1        0
29550 libgmp3-dev                        	       0       33        0        0       33
29551 libgmp3c2                          	       0       10        0        0       10
29552 libgmpxx4ldbl                      	       0      585        1        0      584
29553 libgmsh4                           	       0        3        0        0        3
29554 libgmsh4.13                        	       0        1        0        0        1
29555 libgmsh4.8                         	       0        7        0        0        7
29556 libgmt-dev                         	       0        1        0        1        0
29557 libgmt6                            	       0        6        0        0        6
29558 libgmt6t64                         	       0        3        0        0        3
29559 libgmtk1                           	       0       19        0        0       19
29560 libgmtk1-data                      	       0       21        0        0       21
29561 libgmyth0                          	       0        1        0        0        1
29562 libgnat-10                         	       0       13        0        0       13
29563 libgnat-11                         	       0        2        0        0        2
29564 libgnat-12                         	       0       48        0        0       48
29565 libgnat-12-i386-cross              	       0        1        0        0        1
29566 libgnat-13                         	       0        5        0        0        5
29567 libgnat-13-dbgsym                  	       0        1        0        1        0
29568 libgnat-14                         	       0        2        0        0        2
29569 libgnat-15                         	       0        1        0        0        1
29570 libgnat-4.6                        	       0        1        0        0        1
29571 libgnat-6                          	       0        4        0        0        4
29572 libgnat-8                          	       0        3        0        0        3
29573 libgnat-9                          	       0        1        0        0        1
29574 libgnat-util10                     	       0        8        0        0        8
29575 libgnatcoll-db-bin                 	       0        1        0        1        0
29576 libgnatcoll-db-doc                 	       0        1        0        0        1
29577 libgnatcoll-doc                    	       0        3        0        0        3
29578 libgnatcoll-gtk1.7                 	       0        1        0        0        1
29579 libgnatcoll-iconv1.7               	       0        1        0        0        1
29580 libgnatcoll-iconv18                	       0        1        0        0        1
29581 libgnatcoll-iconv20                	       0        1        0        0        1
29582 libgnatcoll-postgres1              	       0        1        0        0        1
29583 libgnatcoll-postgres3-dev          	       0        1        0        0        1
29584 libgnatcoll-python1.7              	       0        1        0        0        1
29585 libgnatcoll-python17               	       0        1        0        0        1
29586 libgnatcoll-readline1.7            	       0        1        0        0        1
29587 libgnatcoll-sql1                   	       0        1        0        0        1
29588 libgnatcoll-sql3                   	       0        1        0        0        1
29589 libgnatcoll-sql5-dev               	       0        1        0        0        1
29590 libgnatcoll-sqlite-bin             	       0        2        0        2        0
29591 libgnatcoll-sqlite1.7              	       0        1        0        0        1
29592 libgnatcoll-sqlite18               	       0        1        0        0        1
29593 libgnatcoll-sqlite20               	       0        1        0        0        1
29594 libgnatcoll-xref18                 	       0        1        0        0        1
29595 libgnatcoll-xref20                 	       0        1        0        0        1
29596 libgnatcoll1.7                     	       0        1        0        0        1
29597 libgnatcoll17                      	       0        1        0        0        1
29598 libgnatcoll21                      	       0        4        0        0        4
29599 libgnatcoll21-dev                  	       0        1        0        0        1
29600 libgnatprj10                       	       0        7        0        0        7
29601 libgnatprj10-dev                   	       0        1        0        0        1
29602 libgnatprj4.6                      	       0        1        0        0        1
29603 libgnatprj6                        	       0        2        0        0        2
29604 libgnatprj8                        	       0        1        0        0        1
29605 libgnatvsn4.6                      	       0        1        0        0        1
29606 libgnatvsn6                        	       0        2        0        0        2
29607 libgnatvsn8                        	       0        1        0        0        1
29608 libgnet-dev                        	       0        1        0        1        0
29609 libgnet2.0-0                       	       0        3        0        0        3
29610 libgnokii6                         	       0        8        0        0        8
29611 libgnokii7                         	       0        1        0        0        1
29612 libgnome-2-0                       	       0      152        0        0      152
29613 libgnome-autoar-common             	       0       18        0        0       18
29614 libgnome-bg-4-1                    	       0        1        0        0        1
29615 libgnome-bg-4-2t64                 	       0       18        0        4       14
29616 libgnome-bluetooth-3.0-dev         	       0        1        0        0        1
29617 libgnome-bluetooth-dev             	       0        2        0        2        0
29618 libgnome-bluetooth10               	       0        6        0        0        6
29619 libgnome-bluetooth11               	       0        1        0        0        1
29620 libgnome-bluetooth13               	       0      116        2        6      108
29621 libgnome-bluetooth7                	       0        1        0        0        1
29622 libgnome-desktop-2-17              	       0        5        0        0        5
29623 libgnome-desktop-3-10              	       0        3        0        0        3
29624 libgnome-desktop-3-12              	       0       55        0        0       55
29625 libgnome-desktop-3-17              	       0       44        0        1       43
29626 libgnome-desktop-3-18              	       0        1        0        0        1
29627 libgnome-desktop-3-2               	       0        1        0        0        1
29628 libgnome-desktop-3-20t64           	       0       81        0        6       75
29629 libgnome-desktop-3-7               	       0        2        0        2        0
29630 libgnome-desktop-4-1               	       0        1        0        0        1
29631 libgnome-desktop-4-2t64            	       0       35        0        4       31
29632 libgnome-device-manager0           	       0        1        0        0        1
29633 libgnome-games-support-1-2         	       0       12        0        0       12
29634 libgnome-games-support-1-3         	       0      408        0        1      407
29635 libgnome-games-support-1-dev       	       0        1        0        1        0
29636 libgnome-games-support-2-4         	       0       23        0        0       23
29637 libgnome-games-support-common      	       0      418        0        0      418
29638 libgnome-games-support-common2     	       0       23        0        0       23
29639 libgnome-keyring-common            	       0      241        0        0      241
29640 libgnome-keyring-dev               	       0        8        0        8        0
29641 libgnome-keyring0                  	       0      238        1        4      233
29642 libgnome-keyring1.0-cil            	       0        2        0        2        0
29643 libgnome-mag2                      	       0        2        0        2        0
29644 libgnome-media-profiles-3.0-0      	       0        1        0        0        1
29645 libgnome-menu-3-dev                	       0        5        0        5        0
29646 libgnome-menu2                     	       0        5        0        0        5
29647 libgnome-panel0                    	       0       76        1        5       70
29648 libgnome-panel3                    	       0        6        0        0        6
29649 libgnome-rr-4-1                    	       0        1        0        0        1
29650 libgnome-rr-4-2t64                 	       0       16        0        0       16
29651 libgnome-speech7                   	       0        1        0        1        0
29652 libgnome-todo                      	       0       11        0        0       11
29653 libgnome-vfs2.0-cil                	       0        4        0        0        4
29654 libgnome-vfsmm-2.6-1c2a            	       0        1        0        0        1
29655 libgnome2-0                        	       0       42        0        0       42
29656 libgnome2-canvas-perl              	       0        4        0        4        0
29657 libgnome2-common                   	       0      156        0        1      155
29658 libgnome2-dev                      	       0        3        0        3        0
29659 libgnome2-doc                      	       0        1        0        0        1
29660 libgnome2-gconf-perl               	       0        2        0        0        2
29661 libgnome2-perl                     	       0        3        0        0        3
29662 libgnome2-vfs-perl                 	       0        3        0        0        3
29663 libgnome2-wnck-perl                	       0        1        0        0        1
29664 libgnome2.24-cil                   	       0        4        0        0        4
29665 libgnome32                         	       0        1        0        0        1
29666 libgnomecanvas2-0                  	       0      228        0        2      226
29667 libgnomecanvas2-common             	       0      236        0        0      236
29668 libgnomecanvas2-dev                	       0        7        0        7        0
29669 libgnomecanvas2-doc                	       0        2        0        0        2
29670 libgnomecanvasmm-2.6-1c2a          	       0        1        0        0        1
29671 libgnomecanvasmm-2.6-1v5           	       0        7        0        0        7
29672 libgnomecanvasmm-2.6-dev           	       0        1        0        1        0
29673 libgnomecanvasmm-2.6-doc           	       0        2        0        0        2
29674 libgnomecups1.0-1                  	       0        4        0        0        4
29675 libgnomedb2-common                 	       0        1        0        0        1
29676 libgnomedb2-doc                    	       0        1        0        0        1
29677 libgnomedesktop2.20-cil            	       0        1        0        0        1
29678 libgnomekbd-dev                    	       0        2        0        2        0
29679 libgnomekbd7                       	       0        7        0        0        7
29680 libgnomeprint2.2-0                 	       0        4        0        4        0
29681 libgnomeprint2.2-data              	       0        4        0        0        4
29682 libgnomeprint2.2-doc               	       0        1        0        0        1
29683 libgnomeprintui2.2-0               	       0        4        0        0        4
29684 libgnomeprintui2.2-common          	       0        4        0        0        4
29685 libgnomescan0                      	       0        1        0        0        1
29686 libgnomesupport0                   	       0        1        0        0        1
29687 libgnomeui-0                       	       0       94        0        0       94
29688 libgnomeui-common                  	       0      108        0        1      107
29689 libgnomeui-dev                     	       0        3        0        3        0
29690 libgnomeui-doc                     	       0        1        0        0        1
29691 libgnomeui32                       	       0        1        0        0        1
29692 libgnomevfs2-0                     	       0      159        0        0      159
29693 libgnomevfs2-bin                   	       0        5        0        5        0
29694 libgnomevfs2-common                	       0      162        0        1      161
29695 libgnomevfs2-dev                   	       0        3        0        3        0
29696 libgnomevfs2-extra                 	       0      152        0        1      151
29697 libgnorba27                        	       0        1        0        0        1
29698 libgnorbagtk0                      	       0        1        0        0        1
29699 libgnt-dev                         	       0        1        1        0        0
29700 libgnt0                            	       0       10        1        0        9
29701 libgnu-regexp-java                 	       0        1        0        0        1
29702 libgnuastro-dev                    	       0        2        0        2        0
29703 libgnuastro12                      	       0        1        0        0        1
29704 libgnuastro17                      	       0        2        0        0        2
29705 libgnuastro20t64                   	       0        1        0        0        1
29706 libgnucap-dev                      	       0        1        0        0        1
29707 libgnucap0                         	       0       25        0        0       25
29708 libgnudatalanguage0                	       0        2        0        2        0
29709 libgnuift0c2a                      	       0        5        0        0        5
29710 libgnuinet-java                    	       0        9        0        0        9
29711 libgnujaf-java                     	       0        6        0        0        6
29712 libgnujaf-java-doc                 	       0        1        0        0        1
29713 libgnumail-java                    	       0        9        0        0        9
29714 libgnumail-java-doc                	       0        1        0        0        1
29715 libgnunet0.17                      	       0        1        0        1        0
29716 libgnunet0.19                      	       0        6        0        6        0
29717 libgnunet0.20t64                   	       0        4        1        3        0
29718 libgnunetgtk3                      	       0        7        0        0        7
29719 libgnupdf0                         	       0        1        0        1        0
29720 libgnupg-interface-perl            	       0       20        1       19        0
29721 libgnupg-perl                      	       0        1        0        1        0
29722 libgnuplot-ocaml-dev               	       0        1        0        1        0
29723 libgnuradio-air-modes1             	       0        3        0        0        3
29724 libgnuradio-air-modes1t64          	       0        2        0        0        2
29725 libgnuradio-analog3.10.10          	       0        1        0        0        1
29726 libgnuradio-analog3.10.11          	       0        7        0        1        6
29727 libgnuradio-analog3.10.5           	       0       30        0        2       28
29728 libgnuradio-analog3.7.10           	       0        1        0        0        1
29729 libgnuradio-analog3.7.13           	       0        2        0        0        2
29730 libgnuradio-analog3.8.2            	       0        6        0        0        6
29731 libgnuradio-atsc3.7.13             	       0        2        0        0        2
29732 libgnuradio-audio3.10.10           	       0        1        0        0        1
29733 libgnuradio-audio3.10.11           	       0        7        0        1        6
29734 libgnuradio-audio3.10.5            	       0       32        0        2       30
29735 libgnuradio-audio3.7.13            	       0        2        0        0        2
29736 libgnuradio-audio3.8.2             	       0        5        0        0        5
29737 libgnuradio-blocks3.10.10          	       0        1        0        0        1
29738 libgnuradio-blocks3.10.11          	       0        7        0        1        6
29739 libgnuradio-blocks3.10.5           	       0       32        0        2       30
29740 libgnuradio-blocks3.7.10           	       0        1        0        0        1
29741 libgnuradio-blocks3.7.13           	       0        3        0        0        3
29742 libgnuradio-blocks3.8.2            	       0        6        0        0        6
29743 libgnuradio-channels3.10.10        	       0        1        0        0        1
29744 libgnuradio-channels3.10.11        	       0        6        0        0        6
29745 libgnuradio-channels3.10.5         	       0       30        0        0       30
29746 libgnuradio-channels3.7.13         	       0        2        0        0        2
29747 libgnuradio-channels3.8.2          	       0        4        0        0        4
29748 libgnuradio-comedi3.7.13           	       0        3        0        0        3
29749 libgnuradio-dab3.8.0               	       0        1        0        0        1
29750 libgnuradio-digital3.10.10         	       0        1        0        0        1
29751 libgnuradio-digital3.10.11         	       0        7        0        1        6
29752 libgnuradio-digital3.10.5          	       0       30        0        2       28
29753 libgnuradio-digital3.7.13          	       0        2        0        0        2
29754 libgnuradio-digital3.8.2           	       0        6        0        0        6
29755 libgnuradio-dtv3.10.10             	       0        1        0        0        1
29756 libgnuradio-dtv3.10.11             	       0        6        0        0        6
29757 libgnuradio-dtv3.10.5              	       0       30        0        0       30
29758 libgnuradio-dtv3.7.13              	       0        2        0        0        2
29759 libgnuradio-dtv3.8.2               	       0        3        0        0        3
29760 libgnuradio-fcd3.7.13              	       0        2        0        2        0
29761 libgnuradio-fcdproplus3.7.11       	       0        1        0        0        1
29762 libgnuradio-fcdproplus3.8.0        	       0        4        0        0        4
29763 libgnuradio-fec3.10.10             	       0        1        0        0        1
29764 libgnuradio-fec3.10.11             	       0        6        0        0        6
29765 libgnuradio-fec3.10.5              	       0       30        0        0       30
29766 libgnuradio-fec3.7.13              	       0        2        0        0        2
29767 libgnuradio-fec3.8.2               	       0        3        0        0        3
29768 libgnuradio-fft3.10.10             	       0        1        0        0        1
29769 libgnuradio-fft3.10.11             	       0        7        0        1        6
29770 libgnuradio-fft3.10.5              	       0       31        0        2       29
29771 libgnuradio-fft3.7.10              	       0        1        0        0        1
29772 libgnuradio-fft3.7.13              	       0        3        0        0        3
29773 libgnuradio-fft3.8.2               	       0        7        0        0        7
29774 libgnuradio-filter3.10.10          	       0        1        0        0        1
29775 libgnuradio-filter3.10.11          	       0        7        0        1        6
29776 libgnuradio-filter3.10.5           	       0       31        0        2       29
29777 libgnuradio-filter3.7.10           	       0        1        0        0        1
29778 libgnuradio-filter3.7.13           	       0        3        0        0        3
29779 libgnuradio-filter3.8.2            	       0        7        0        0        7
29780 libgnuradio-fosphor3.7.12          	       0        1        0        0        1
29781 libgnuradio-fosphor3.8.0           	       0        3        0        0        3
29782 libgnuradio-fosphor3.9.0           	       0       23        0        0       23
29783 libgnuradio-funcube3.10.0          	       0       34        0        3       31
29784 libgnuradio-hpsdr1.0.0             	       0        4        0        0        4
29785 libgnuradio-hpsdr1.2.1             	       0        1        0        0        1
29786 libgnuradio-iio1                   	       0        1        0        0        1
29787 libgnuradio-iio3.10.10             	       0        1        0        0        1
29788 libgnuradio-iio3.10.11             	       0        6        0        0        6
29789 libgnuradio-iio3.10.5              	       0       31        0        0       31
29790 libgnuradio-iqbalance3.7.11        	       0        1        0        0        1
29791 libgnuradio-iqbalance3.8.0         	       0        4        0        0        4
29792 libgnuradio-iqbalance3.9.0         	       0       34        0        3       31
29793 libgnuradio-limesdr3.0.1           	       0        5        0        0        5
29794 libgnuradio-limesdr3.0.2           	       0        2        0        0        2
29795 libgnuradio-network3.10.10         	       0        1        0        0        1
29796 libgnuradio-network3.10.11         	       0        7        0        1        6
29797 libgnuradio-network3.10.5          	       0       30        0        2       28
29798 libgnuradio-noaa3.7.13             	       0        2        0        0        2
29799 libgnuradio-osmosdr0.1.4           	       0        1        0        0        1
29800 libgnuradio-osmosdr0.2.0           	       0       33        0        2       31
29801 libgnuradio-osmosdr0.2.0t64        	       0        5        0        1        4
29802 libgnuradio-pager3.7.13            	       0        2        0        0        2
29803 libgnuradio-pdu3.10.10             	       0        1        0        0        1
29804 libgnuradio-pdu3.10.11             	       0        6        0        0        6
29805 libgnuradio-pdu3.10.5              	       0       30        0        0       30
29806 libgnuradio-pmt3.10.10             	       0        1        0        0        1
29807 libgnuradio-pmt3.10.11             	       0        7        0        1        6
29808 libgnuradio-pmt3.10.5              	       0       34        0        2       32
29809 libgnuradio-pmt3.10.9t64           	       0        1        0        0        1
29810 libgnuradio-pmt3.7.10              	       0        2        0        0        2
29811 libgnuradio-pmt3.7.13              	       0        4        0        0        4
29812 libgnuradio-pmt3.8.2               	       0       11        0        0       11
29813 libgnuradio-qtgui3.10.10           	       0        1        0        0        1
29814 libgnuradio-qtgui3.10.11           	       0        6        0        0        6
29815 libgnuradio-qtgui3.10.5            	       0       30        0        0       30
29816 libgnuradio-qtgui3.7.13            	       0        3        0        0        3
29817 libgnuradio-qtgui3.8.2             	       0        4        0        0        4
29818 libgnuradio-rds1                   	       0        2        0        0        2
29819 libgnuradio-runtime3.10.10         	       0        1        0        0        1
29820 libgnuradio-runtime3.10.11         	       0        7        0        1        6
29821 libgnuradio-runtime3.10.5          	       0       33        0        2       31
29822 libgnuradio-runtime3.7.10          	       0        2        0        0        2
29823 libgnuradio-runtime3.7.13          	       0        4        0        0        4
29824 libgnuradio-runtime3.8.2           	       0       11        0        0       11
29825 libgnuradio-satellites4.4.0        	       0        2        0        0        2
29826 libgnuradio-satellites5.5.0        	       0        2        0        0        2
29827 libgnuradio-soapy3.10.10           	       0        1        0        0        1
29828 libgnuradio-soapy3.10.11           	       0        6        0        0        6
29829 libgnuradio-soapy3.10.5            	       0       30        0        0       30
29830 libgnuradio-trellis3.10.10         	       0        1        0        0        1
29831 libgnuradio-trellis3.10.11         	       0        6        0        0        6
29832 libgnuradio-trellis3.10.5          	       0       30        0        0       30
29833 libgnuradio-trellis3.7.13          	       0        2        0        0        2
29834 libgnuradio-trellis3.8.2           	       0        4        0        0        4
29835 libgnuradio-uhd3.10.10             	       0        1        0        0        1
29836 libgnuradio-uhd3.10.11             	       0        7        0        1        6
29837 libgnuradio-uhd3.10.5              	       0       32        0        2       30
29838 libgnuradio-uhd3.7.10              	       0        1        0        0        1
29839 libgnuradio-uhd3.7.13              	       0        2        0        0        2
29840 libgnuradio-uhd3.8.2               	       0        4        0        0        4
29841 libgnuradio-video-sdl3.10.10       	       0        1        0        0        1
29842 libgnuradio-video-sdl3.10.11       	       0        6        0        0        6
29843 libgnuradio-video-sdl3.10.5        	       0       30        0        0       30
29844 libgnuradio-video-sdl3.7.13        	       0        2        0        0        2
29845 libgnuradio-video-sdl3.8.2         	       0        5        0        0        5
29846 libgnuradio-vocoder3.10.10         	       0        1        0        0        1
29847 libgnuradio-vocoder3.10.11         	       0        6        0        0        6
29848 libgnuradio-vocoder3.10.5          	       0       30        0        0       30
29849 libgnuradio-vocoder3.7.13          	       0        2        0        0        2
29850 libgnuradio-vocoder3.8.2           	       0        4        0        0        4
29851 libgnuradio-wavelet3.10.10         	       0        1        0        0        1
29852 libgnuradio-wavelet3.10.11         	       0        6        0        0        6
29853 libgnuradio-wavelet3.10.5          	       0       30        0        0       30
29854 libgnuradio-wavelet3.7.13          	       0        2        0        0        2
29855 libgnuradio-wavelet3.8.2           	       0        3        0        0        3
29856 libgnuradio-wxgui3.7.13            	       0        2        0        0        2
29857 libgnuradio-zeromq3.10.10          	       0        1        0        0        1
29858 libgnuradio-zeromq3.10.11          	       0        6        0        0        6
29859 libgnuradio-zeromq3.10.5           	       0       30        0        0       30
29860 libgnuradio-zeromq3.7.13           	       0        2        0        0        2
29861 libgnuradio-zeromq3.8.2            	       0        4        0        0        4
29862 libgnustep-base-dev                	       0        6        1        5        0
29863 libgnustep-base1.14                	       0        1        0        1        0
29864 libgnustep-base1.22                	       0        1        0        0        1
29865 libgnustep-base1.24                	       0       23        0        0       23
29866 libgnustep-base1.26                	       0       30        0        0       30
29867 libgnustep-base1.27                	       0      125        0        0      125
29868 libgnustep-base1.28                	       0      556        0        1      555
29869 libgnustep-base1.29                	       0       11        0        0       11
29870 libgnustep-base1.30                	       0       45        0        0       45
29871 libgnustep-base1.31                	       0        4        0        0        4
29872 libgnustep-gui-dev                 	       0        2        0        2        0
29873 libgnustep-gui0.12                 	       0        1        0        0        1
29874 libgnustep-gui0.20                 	       0        1        0        0        1
29875 libgnustep-gui0.24                 	       0        1        0        0        1
29876 libgnustep-gui0.25                 	       0        3        0        0        3
29877 libgnustep-gui0.27                 	       0        1        0        0        1
29878 libgnustep-gui0.28                 	       0        3        0        0        3
29879 libgnustep-gui0.29                 	       0       20        0        1       19
29880 libgnustep-gui0.30                 	       0        1        0        0        1
29881 libgnutls-deb0-28                  	       0      186        1        3      182
29882 libgnutls-openssl27                	       0      566       10       38      518
29883 libgnutls-openssl27t64             	       0       62        3        5       54
29884 libgnutls13                        	       0        4        0        0        4
29885 libgnutls26                        	       0       80        0        1       79
29886 libgnutls28                        	       0        1        0        0        1
29887 libgnutlsxx27                      	       0        5        0        0        5
29888 libgnutlsxx28                      	       0       66        0        0       66
29889 libgnutlsxx30                      	       0      147        0        0      147
29890 libgo-10-dev                       	       0        3        0        0        3
29891 libgo-11-dev                       	       0        2        0        0        2
29892 libgo-11-dev-i386-cross            	       0        1        0        0        1
29893 libgo-12-dev                       	       0        6        0        0        6
29894 libgo-13-dev                       	       0        1        0        0        1
29895 libgo-14-dev                       	       0        3        0        0        3
29896 libgo13                            	       0        1        0        0        1
29897 libgo16                            	       0        3        0        0        3
29898 libgo19                            	       0        2        0        0        2
29899 libgo19-i386-cross                 	       0        1        0        0        1
29900 libgo21                            	       0        8        0        0        8
29901 libgo22                            	       0        2        0        0        2
29902 libgo23                            	       0        3        0        0        3
29903 libgo9                             	       0        1        0        0        1
29904 libgoa-1.0-doc                     	       0        1        0        0        1
29905 libgoffice-0-6-common              	       0        2        0        0        2
29906 libgoffice-0-8-common              	       0        1        0        1        0
29907 libgoffice-0-common                	       0        2        0        0        2
29908 libgoffice-0.10-10-common          	       0      188        0        2      186
29909 libgoffice-0.10-dev                	       0        2        0        2        0
29910 libgoffice-0.10-doc                	       0        1        0        0        1
29911 libgoffice-0.8-8                   	       0        8        0        8        0
29912 libgoffice-0.8-8-common            	       0       10        0       10        0
29913 libgoffice-0.8-dev                 	       0        1        0        1        0
29914 libgoffice-1-common                	       0        2        0        0        2
29915 libgom-1.0-0                       	       0      393        0        6      387
29916 libgom-1.0-0t64                    	       0       28        1        0       27
29917 libgom-1.0-common                  	       0       12        0        0       12
29918 libgomp-plugin-amdgcn1             	       0        1        0        0        1
29919 libgomp-plugin-nvptx1              	       0        1        0        0        1
29920 libgomp1-alpha-cross               	       0        3        0        0        3
29921 libgomp1-arm64-cross               	       0       38        0        0       38
29922 libgomp1-armel-cross               	       0       23        0        0       23
29923 libgomp1-armhf-cross               	       0       30        0        0       30
29924 libgomp1-dbg                       	       0        1        0        1        0
29925 libgomp1-hppa-cross                	       0        3        0        0        3
29926 libgomp1-i386-cross                	       0       13        0        0       13
29927 libgomp1-m68k-cross                	       0        1        0        0        1
29928 libgomp1-mips-cross                	       0        5        0        0        5
29929 libgomp1-mips64-cross              	       0        1        0        0        1
29930 libgomp1-mips64el-cross            	       0        1        0        0        1
29931 libgomp1-mips64r6el-cross          	       0        1        0        0        1
29932 libgomp1-mipsel-cross              	       0        5        0        0        5
29933 libgomp1-powerpc-cross             	       0        5        0        0        5
29934 libgomp1-ppc64-cross               	       0        6        0        0        6
29935 libgomp1-ppc64el-cross             	       0        1        0        0        1
29936 libgomp1-riscv64-cross             	       0       10        0        0       10
29937 libgomp1-s390x-cross               	       0        4        0        0        4
29938 libgomp1-sparc64-cross             	       0        3        0        0        3
29939 libgomp1-x32-cross                 	       0        6        0        0        6
29940 libgoo-canvas-perl                 	       0        3        0        0        3
29941 libgoocanvas-2.0-9                 	       0      165        0        1      164
29942 libgoocanvas-2.0-common            	       0      165        0        1      164
29943 libgoocanvas-2.0-dev               	       0        2        0        2        0
29944 libgoocanvas-common                	       0       14        0        0       14
29945 libgoocanvas-ruby                  	       0        1        0        0        1
29946 libgoocanvas-ruby1.8               	       0        1        0        1        0
29947 libgoocanvas2-cairotypes-perl      	       0       15        0        0       15
29948 libgoocanvas2-perl                 	       0       64        1       63        0
29949 libgoocanvas3                      	       0       14        0        0       14
29950 libgoogle-api-client-java          	       0        4        0        0        4
29951 libgoogle-api-services-drive-java  	       0        2        0        0        2
29952 libgoogle-api-services-sheets-java 	       0        2        0        0        2
29953 libgoogle-auth-java                	       0        2        0        0        2
29954 libgoogle-auto-common-java         	       0        2        0        0        2
29955 libgoogle-auto-service-java        	       0        2        0        0        2
29956 libgoogle-auto-value-java          	       0        2        0        0        2
29957 libgoogle-flogger-java             	       0        2        0        0        2
29958 libgoogle-glog-dev                 	       0       21        0       21        0
29959 libgoogle-glog0v5                  	       0       10        0        1        9
29960 libgoogle-glog0v6                  	       0       30        0        1       29
29961 libgoogle-glog0v6t64               	       0        4        0        0        4
29962 libgoogle-gson-java                	       0      181        0        0      181
29963 libgoogle-http-client-java         	       0        4        0        0        4
29964 libgoogle-oauth-client-java        	       0        4        0        0        4
29965 libgoogle-perftools-dev            	       0       10        0       10        0
29966 libgoogle-perftools4               	       0       37        1        4       32
29967 libgoogle-perftools4t64            	       0        5        0        0        5
29968 libgooglepinyin0                   	       0        6        1        0        5
29969 libgorm1                           	       0        1        0        0        1
29970 libgossip-java                     	       0        1        0        0        1
29971 libgoto-file-perl                  	       0        1        0        1        0
29972 libgovirt-common                   	       0      105        0        0      105
29973 libgovirt2                         	       0      105        0        0      105
29974 libgp11-0                          	       0        3        0        0        3
29975 libgpac-dev                        	       0        1        0        1        0
29976 libgpac10                          	       0       28        0        0       28
29977 libgpac11                          	       0        4        0        0        4
29978 libgpac12                          	       0        7        0        0        7
29979 libgpac12t64                       	       0        6        0        0        6
29980 libgpac3                           	       0        1        0        0        1
29981 libgpac4                           	       0       10        0        0       10
29982 libgpars-groovy-java               	       0       72        0        0       72
29983 libgpars-groovy-java-doc           	       0        1        0        0        1
29984 libgpaste-2                        	       0        4        1        3        0
29985 libgpaste-2-common                 	       0        4        0        2        2
29986 libgpaste13                        	       0        1        0        0        1
29987 libgpds0                           	       0        2        0        0        2
29988 libgpewidget-data                  	       0        1        0        0        1
29989 libgpewidget1                      	       0        1        0        0        1
29990 libgpg-error-l10n                  	       0      909       14       68      827
29991 libgpg-error-mingw-w64-dev         	       0        3        0        3        0
29992 libgpg-error0-dbgsym               	       0        1        0        1        0
29993 libgpgme++2                        	       0        4        0        1        3
29994 libgpgme++2v5                      	       0       53        0        0       53
29995 libgpgmepp-doc                     	       0        2        0        0        2
29996 libgphobos-10-dev                  	       0        3        0        0        3
29997 libgphobos-10-dev-arm64-cross      	       0        1        0        0        1
29998 libgphobos-11-dev                  	       0        1        0        0        1
29999 libgphobos-12-dev                  	       0       12        0        0       12
30000 libgphobos-13-dev                  	       0        2        0        0        2
30001 libgphobos-14-dev                  	       0        1        0        0        1
30002 libgphobos-dev                     	       0       14        0        0       14
30003 libgphobos1                        	       0        7        0        0        7
30004 libgphobos1-arm64-cross            	       0        1        0        0        1
30005 libgphobos2                        	       0        8        0        0        8
30006 libgphobos3                        	       0       24        0        0       24
30007 libgphobos4                        	       0        3        0        0        3
30008 libgphobos4-dbgsym                 	       0        1        0        1        0
30009 libgphobos5                        	       0        3        0        0        3
30010 libgphobos76                       	       0        4        0        0        4
30011 libgphoto2-2                       	       0       15        1       14        0
30012 libgphoto2-2-dev                   	       0        1        0        0        1
30013 libgphoto2-dev-doc                 	       0        2        0        2        0
30014 libgphoto2-l10n                    	       0     3097        1        1     3095
30015 libgphoto2-port0                   	       0       17        0        0       17
30016 libgphoto2-port10                  	       0       49        0        0       49
30017 libgpiod-dev                       	       0        6        0        6        0
30018 libgpiod2                          	       0       35        0        0       35
30019 libgpiod2t64                       	       0        1        0        0        1
30020 libgpiod3                          	       0        2        0        0        2
30021 libgpm-dev                         	       0       28        1       27        0
30022 libgpod-cil                        	       0        1        0        1        0
30023 libgpod-cil-dev                    	       0        1        0        1        0
30024 libgpod-dev                        	       0        6        0        6        0
30025 libgpod-doc                        	       0        2        0        0        2
30026 libgpod3-nogtk                     	       0        1        0        0        1
30027 libgpod4                           	       0      560        5       17      538
30028 libgpod4-nogtk                     	       0        3        0        0        3
30029 libgpod4t64                        	       0       51        1        2       48
30030 libgportugol0                      	       0        1        0        0        1
30031 libgpr18                           	       0        1        0        0        1
30032 libgprofng0                        	       0     2071        0        0     2071
30033 libgps-dev                         	       0       14        1       13        0
30034 libgps-point-perl                  	       0        1        0        1        0
30035 libgps20                           	       0        1        0        0        1
30036 libgps21                           	       0       10        0        0       10
30037 libgps22                           	       0       17        0        0       17
30038 libgps23                           	       0       32        0        0       32
30039 libgps26                           	       0        1        0        0        1
30040 libgps30                           	       0        6        0        2        4
30041 libgps30t64                        	       0       41        0        1       40
30042 libgpuarray-dev                    	       0        3        0        3        0
30043 libgpuarray3                       	       0        4        0        0        4
30044 libgr-framework0                   	       0        1        0        0        1
30045 libgradle-android-plugin-java      	       0        2        0        0        2
30046 libgradle-android-plugin-java-doc  	       0        1        0        0        1
30047 libgradle-core-java                	       0       49        0        0       49
30048 libgradle-jflex-plugin-java        	       0        1        0        0        1
30049 libgradle-plugins-java             	       0       49        2       47        0
30050 libgranite-7-7                     	       0        6        0        0        6
30051 libgranite-7-common                	       0        6        0        0        6
30052 libgranite-common                  	       0       50        0        0       50
30053 libgranite-dev                     	       0        3        0        3        0
30054 libgranite5                        	       0        4        0        0        4
30055 libgranite6                        	       0       45        0        0       45
30056 libgrantlee-core0                  	       0        4        1        3        0
30057 libgrantlee-gui0                   	       0        4        0        1        3
30058 libgrantlee-textdocument5          	       0       41        1        0       40
30059 libgrantlee5-dev                   	       0        6        0        6        0
30060 libgrantleetheme-data              	       0        9        0        0        9
30061 libgrantleetheme-plugins           	       0        9        0        0        9
30062 libgraph-easy-as-svg-perl          	       0        2        0        2        0
30063 libgraph-easy-perl                 	       0        2        0        2        0
30064 libgraph-readwrite-perl            	       0        2        0        2        0
30065 libgraph-writer-graphviz-perl      	       0        1        0        1        0
30066 libgraph4                          	       0        6        0        0        6
30067 libgraphblas-dev                   	       0       21        0       21        0
30068 libgraphblas2                      	       0        2        0        0        2
30069 libgraphblas3                      	       0        7        0        0        7
30070 libgraphblas7                      	       0       21        0        0       21
30071 libgraphene-1.0-dev                	       0       53        0       53        0
30072 libgraphene-doc                    	       0        1        0        0        1
30073 libgraphics-colornames-perl        	       0        7        0        7        0
30074 libgraphics-colorobject-perl       	       0        3        0        3        0
30075 libgraphics-colorutils-perl        	       0        2        1        1        0
30076 libgraphics-magick-perl            	       0       36        0        0       36
30077 libgraphics-ocaml                  	       0        1        0        1        0
30078 libgraphics-ocaml-dev              	       0        1        0        1        0
30079 libgraphics-tiff-perl              	       0       43        0        0       43
30080 libgraphics-toolkit-color-perl     	       0        1        0        1        0
30081 libgraphicsmagick++-q16-12         	       0      319        0        1      318
30082 libgraphicsmagick++-q16-12t64      	       0       21        0        0       21
30083 libgraphicsmagick++1               	       0        1        0        0        1
30084 libgraphicsmagick++1-dev           	       0       16        1       15        0
30085 libgraphicsmagick++3               	       0        5        0        0        5
30086 libgraphicsmagick-q16-3t64         	       0       49        1       48        0
30087 libgraphicsmagick1                 	       0        2        0        2        0
30088 libgraphicsmagick1-dev             	       0       20        1       19        0
30089 libgraphicsmagick3                 	       0       13        0       13        0
30090 libgraphite2-2.0.0                 	       0        2        0        0        2
30091 libgraphite2-doc                   	       0        1        0        0        1
30092 libgraphite2-utils                 	       0        6        0        6        0
30093 libgraphite3                       	       0        4        0        0        4
30094 libgraphviz-perl                   	       0       15        1       14        0
30095 libgravatar-data                   	       0        7        0        0        7
30096 libgravatar-url-perl               	       0        5        0        5        0
30097 libgretl1                          	       0        5        0        0        5
30098 libgretl1t64                       	       0        1        0        0        1
30099 libgrib2c-dev                      	       0        1        0        1        0
30100 libgrib2c0d                        	       0        3        0        0        3
30101 libgridsite1.7                     	       0        1        0        0        1
30102 libgridsite2                       	       0        1        0        0        1
30103 libgridsite6                       	       0        1        0        0        1
30104 libgrilo-0.1-0                     	       0        3        0        0        3
30105 libgrilo-0.2-1                     	       0        7        0        0        7
30106 libgrilo-0.3-0                     	       0      483        0        5      478
30107 libgringotts2                      	       0       21        0        1       20
30108 libgrits4                          	       0        1        0        1        0
30109 libgrits5                          	       0        2        0        0        2
30110 libgrok-dev                        	       0        1        0        1        0
30111 libgrok1t64                        	       0        1        0        0        1
30112 libgrokj2k1                        	       0        2        0        0        2
30113 libgrokj2k1-dev                    	       0        1        0        1        0
30114 libgromacs5                        	       0        1        0        0        1
30115 libgroove4                         	       0        2        0        0        2
30116 libgroovefingerprinter4            	       0        2        0        0        2
30117 libgrooveloudness4                 	       0        2        0        0        2
30118 libgrooveplayer4                   	       0        2        0        0        2
30119 libgroup-service1                  	       0        9        0        0        9
30120 libgroup-service1t64               	       0        3        0        0        3
30121 libgroupsock1                      	       0       24        0        1       23
30122 libgroupsock30                     	       0       55        0        1       54
30123 libgroupsock4                      	       0        1        0        0        1
30124 libgroupsock8                      	       0      182        0        0      182
30125 libgrpc++-dev                      	       0        5        0        5        0
30126 libgrpc++1                         	       0        8        0        0        8
30127 libgrpc++1.51                      	       0       72        0        1       71
30128 libgrpc++1.51t64                   	       0       14        0        0       14
30129 libgrpc-java                       	       0        4        0        0        4
30130 libgrpc10                          	       0        4        0        0        4
30131 libgrpc29                          	       0       90        0        1       89
30132 libgrpc29t64                       	       0       14        0        0       14
30133 libgrpc6                           	       0        4        0        0        4
30134 libgs-common                       	       0     2725        0        0     2725
30135 libgs-dev                          	       0        7        0        7        0
30136 libgs10                            	       0     2715        2        7     2706
30137 libgs10-common                     	       0     2719        0        0     2719
30138 libgs9                             	       0      831        0        3      828
30139 libgs9-common                      	       0      926        0        0      926
30140 libgs9-dbgsym                      	       0        1        0        1        0
30141 libgsasl-dev                       	       0        6        0        6        0
30142 libgsasl18                         	       0     1447        8       17     1422
30143 libgsasl18-dbgsym                  	       0        1        0        1        0
30144 libgsasl7                          	       0      512        1        0      511
30145 libgsasl7-dev                      	       0        1        0        0        1
30146 libgsecuredelete0                  	       0        3        0        0        3
30147 libgsettings-qt1                   	       0      545        1        9      535
30148 libgsf-1-114                       	       0      706        2        6      698
30149 libgsf-1-common                    	       0      712        0        2      710
30150 libgsf-1-dev                       	       0       15        0       15        0
30151 libgsf-gnome-1-114                 	       0        2        0        0        2
30152 libgsl-dbg                         	       0        1        0        1        0
30153 libgsl-dev                         	       0       41        3       38        0
30154 libgsl0ldbl                        	       0        4        0        0        4
30155 libgsl2                            	       0       25        0        0       25
30156 libgsl23                           	       0       38        0        0       38
30157 libgsl25                           	       0      192        0        1      191
30158 libgsl27                           	       0      735        2        7      726
30159 libgsl28                           	       0       78        0        0       78
30160 libgslcblas0                       	       0     1046        2        8     1036
30161 libgsm-tools                       	       0        1        0        1        0
30162 libgsm1-dev                        	       0       86        0       86        0
30163 libgsmme1c2a                       	       0        1        0        0        1
30164 libgsmme1v5                        	       0        2        0        0        2
30165 libgsmsd8                          	       0        4        0        0        4
30166 libgsmsd8t64                       	       0        1        0        0        1
30167 libgsoap-2.8.104                   	       0        9        0        0        9
30168 libgsoap-2.8.117                   	       0        2        0        0        2
30169 libgsoap-2.8.124                   	       0       17        0        0       17
30170 libgsoap-2.8.132t64                	       0        4        0        0        4
30171 libgsoap-2.8.135                   	       0        4        0        0        4
30172 libgsoap-2.8.75                    	       0        4        0        0        4
30173 libgsoap-2.8.91                    	       0        1        0        0        1
30174 libgsoap-dev                       	       0        2        0        2        0
30175 libgsoap-kopano-2.8.109            	       0        1        1        0        0
30176 libgsoap10                         	       0        5        0        0        5
30177 libgsoap2                          	       0        1        0        0        1
30178 libgsoap5                          	       0        8        0        0        8
30179 libgsoap7                          	       0        1        0        0        1
30180 libgsoap9                          	       0        1        0        0        1
30181 libgsound-dev                      	       0        2        0        2        0
30182 libgsound0t64                      	       0       47        1        2       44
30183 libgspell-1-1                      	       0       81        0        1       80
30184 libgspell-1-3                      	       0      136        1        6      129
30185 libgspell-1-common                 	       0     2259        0        4     2255
30186 libgspell-1-dev                    	       0        8        0        8        0
30187 libgspell-1-doc                    	       0        1        0        0        1
30188 libgss-dev                         	       0        3        0        3        0
30189 libgss3                            	       0        3        0        0        3
30190 libgssapi-perl                     	       0       62        0        0       62
30191 libgssapi2-heimdal                 	       0        1        0        0        1
30192 libgssapi3-heimdal                 	       0       42        1        1       40
30193 libgssapi3t64-heimdal              	       0        2        0        0        2
30194 libgssdp-1.0-2                     	       0        1        0        0        1
30195 libgssdp-1.0-3                     	       0      199        0        1      198
30196 libgssdp-1.0-dev                   	       0        1        0        1        0
30197 libgssdp-1.2-0                     	       0      519        0        3      516
30198 libgssdp-1.6-0                     	       0     2408        1        7     2400
30199 libgssdp-1.6-dev                   	       0        4        0        4        0
30200 libgssglue-dev                     	       0       10        0       10        0
30201 libgssglue1                        	       0     1501        8       17     1476
30202 libgssrpc4                         	       0      195        1        5      189
30203 libgssrpc4t64                      	       0       45        0        0       45
30204 libgst7                            	       0        5        0        0        5
30205 libgstreamer-ocaml                 	       0        2        0        2        0
30206 libgstreamer-ocaml-dev             	       0        1        0        1        0
30207 libgstreamer-opencv1.0-0           	       0       16        0        0       16
30208 libgstreamer-plugins-bad0.10-0     	       0       25        0        1       24
30209 libgstreamer-plugins-bad1.0-dev    	       0       11        0       11        0
30210 libgstreamer-plugins-base0.10-0    	       0       66        0        4       62
30211 libgstreamer-plugins-base1.0-dev   	       0       49        2       47        0
30212 libgstreamer-vaapi1.0-0            	       0        1        0        0        1
30213 libgstreamer0.10-0                 	       0       67        0        4       63
30214 libgstreamer0.10-dev               	       0        1        0        1        0
30215 libgstreamer0.8-0                  	       0        1        0        1        0
30216 libgstreamerd-3-0                  	       0        7        0        0        7
30217 libgstreamerd-3-dev                	       0        5        0        0        5
30218 libgstreamermm-1.0-1               	       0       30        0        0       30
30219 libgstreamermm-1.0-dev             	       0        2        0        2        0
30220 libgstrtspserver-1.0-0             	       0       14        0        0       14
30221 libgstrtspserver-1.0-dev           	       0        2        0        2        0
30222 libgtextutils0v5                   	       0        1        0        0        1
30223 libgtg0                            	       0        1        0        0        1
30224 libgtk-3-0-dbgsym                  	       0        1        0        1        0
30225 libgtk-3-doc                       	       0       65        0        0       65
30226 libgtk-4-doc                       	       0        4        0        0        4
30227 libgtk-layer-shell-dev             	       0        3        0        3        0
30228 libgtk-sharp-beans-cil             	       0        8        0        0        8
30229 libgtk-vnc-1.0-0                   	       0        2        0        0        2
30230 libgtk-vnc-2.0-0                   	       0      466        0        5      461
30231 libgtk1.2                          	       0        5        0        0        5
30232 libgtk1.2-common                   	       0        6        0        0        6
30233 libgtk1.2-dev                      	       0        1        0        1        0
30234 libgtk2-appindicator-perl          	       0        1        0        0        1
30235 libgtk2-ex-podviewer-perl          	       0        2        0        2        0
30236 libgtk2-ex-simple-list-perl        	       0        2        0        2        0
30237 libgtk2-gladexml-perl              	       0        2        0        0        2
30238 libgtk2-gst                        	       0        3        0        3        0
30239 libgtk2-imageview-perl             	       0        3        0        0        3
30240 libgtk2-perl                       	       0      180        0        0      180
30241 libgtk2-perl-doc                   	       0        2        0        0        2
30242 libgtk2-ruby                       	       0        3        0        0        3
30243 libgtk2-ruby1.8                    	       0        2        0        1        1
30244 libgtk2-spell-perl                 	       0        1        0        0        1
30245 libgtk2-trayicon-perl              	       0        1        0        0        1
30246 libgtk2-unique-perl                	       0        1        0        0        1
30247 libgtk2.0-0t64                     	       0      223        5       17      201
30248 libgtk2.0-cil                      	       0       96        0        1       95
30249 libgtk2.0-cil-dev                  	       0       23        0       23        0
30250 libgtk2.0-doc                      	       0       23        0        0       23
30251 libgtk3-imageview-perl             	       0       63        1       62        0
30252 libgtk3-simplelist-perl            	       0       61        1       60        0
30253 libgtk3.0-cil                      	       0       60        0        0       60
30254 libgtk3.0-cil-dev                  	       0       16        0       16        0
30255 libgtkada-bin                      	       0        1        0        1        0
30256 libgtkada-doc                      	       0        1        0        0        1
30257 libgtkada18                        	       0        1        0        0        1
30258 libgtkada22                        	       0        1        0        0        1
30259 libgtkada3.8.3                     	       0        1        0        0        1
30260 libgtkd-3-0                        	       0       26        1        3       22
30261 libgtkd-3-dev                      	       0        5        0        0        5
30262 libgtkdatabox-0.9.3-0              	       0        3        0        0        3
30263 libgtkdatabox0                     	       0        8        0        0        8
30264 libgtkdatabox1                     	       0       13        0        0       13
30265 libgtkdatabox1t64                  	       0        4        0        0        4
30266 libgtkdsv-3-0                      	       0        1        0        0        1
30267 libgtkdsv-3-dev                    	       0        1        0        0        1
30268 libgtkextra-3.0                    	       0       15        0        0       15
30269 libgtkextra-dev                    	       0        1        0        1        0
30270 libgtkgl2.0-1                      	       0        6        0        0        6
30271 libgtkglext1                       	       0      163        0        4      159
30272 libgtkglext1-dbgsym                	       0        1        0        1        0
30273 libgtkglext1-dev                   	       0        5        1        4        0
30274 libgtkglext1-doc                   	       0        1        0        0        1
30275 libgtkglextmm-x11-1.2-0v5          	       0        3        0        0        3
30276 libgtkglextmm-x11-1.2-dev          	       0        1        0        1        0
30277 libgtkhex-3-0                      	       0       21        0        0       21
30278 libgtkhex-4-1                      	       0       37        0        0       37
30279 libgtkhex-4-dev                    	       0        1        0        1        0
30280 libgtkhtml-4.0-0                   	       0        7        0        0        7
30281 libgtkhtml-4.0-common              	       0       10        0        0       10
30282 libgtkhtml-editor-3.14-common      	       0        1        0        0        1
30283 libgtkhtml-editor-4.0-0            	       0        7        0        0        7
30284 libgtkhtml-editor-common           	       0        2        0        0        2
30285 libgtkhtml2-0                      	       0        1        0        0        1
30286 libgtkhtml3.14-19                  	       0        3        0        0        3
30287 libgtkimageview-dev                	       0        1        0        1        0
30288 libgtkimageview0                   	       0       37        0        0       37
30289 libgtkimreg0                       	       0        1        0        0        1
30290 libgtkmathview0c2a                 	       0        9        0        0        9
30291 libgtkmm-2.4-1c2a                  	       0       10        0        1        9
30292 libgtkmm-2.4-1t64                  	       0       15        0        0       15
30293 libgtkmm-2.4-1v5                   	       0      358        0        2      356
30294 libgtkmm-2.4-dev                   	       0       19        0       19        0
30295 libgtkmm-2.4-doc                   	       0        5        0        0        5
30296 libgtkmm-3.0-1                     	       0        1        0        1        0
30297 libgtkmm-3.0-dev                   	       0       35        1       34        0
30298 libgtkmm-3.0-doc                   	       0        9        0        9        0
30299 libgtkmm-4.0-0                     	       0      170        1        7      162
30300 libgtkmm1.2-0c2a                   	       0        1        0        0        1
30301 libgtkpod-dev                      	       0        1        0        1        0
30302 libgtkpod1                         	       0       11        0        0       11
30303 libgtksourceview-3.0-1             	       0     2068        4       30     2034
30304 libgtksourceview-3.0-common        	       0     2079        1       15     2063
30305 libgtksourceview-3.0-dev           	       0        9        0        9        0
30306 libgtksourceview-3.0-doc           	       0        2        0        0        2
30307 libgtksourceview-4-dev             	       0        6        0        6        0
30308 libgtksourceview-4-doc             	       0        2        0        0        2
30309 libgtksourceview-5-common          	       0      367        0        1      366
30310 libgtksourceview-5-doc             	       0        1        0        0        1
30311 libgtksourceview-common            	       0        2        0        0        2
30312 libgtksourceview-doc               	       0        1        0        0        1
30313 libgtksourceview1.0-0              	       0        1        0        0        1
30314 libgtksourceview2.0-0              	       0       62        0        0       62
30315 libgtksourceview2.0-common         	       0       66        0        0       66
30316 libgtksourceview2.0-dev            	       0        2        1        1        0
30317 libgtksourceview2.0-doc            	       0        1        0        0        1
30318 libgtksourceview2.0-markdown       	       0        1        0        0        1
30319 libgtksourceviewmm-3.0-0v5         	       0       69        0        0       69
30320 libgtksourceviewmm-3.0-dev         	       0        3        0        3        0
30321 libgtksourceviewmm-3.0-doc         	       0        1        0        0        1
30322 libgtkspell-dev                    	       0        5        1        4        0
30323 libgtkspell0                       	       0      503       13       24      466
30324 libgtkspell3-3-0                   	       0      374        1        4      369
30325 libgtkspell3-3-dev                 	       0        5        1        4        0
30326 libgtkspellmm-3.0-0v5              	       0       42        0        0       42
30327 libgtkspellmm-3.0-doc              	       0        1        0        0        1
30328 libgtop-2.0-10                     	       0      184        1        4      179
30329 libgtop-2.0-11-dbgsym              	       0        1        0        1        0
30330 libgtop2-7                         	       0       36        0        0       36
30331 libgtop2-build-deps                	       0        1        0        0        1
30332 libgtop2-common                    	       0     2377        0        0     2377
30333 libgtop2-dev                       	       0        8        1        7        0
30334 libgtop2-doc                       	       0        3        0        0        3
30335 libgts-0.7-5                       	       0     1620        0        0     1620
30336 libgts-0.7-5t64                    	       0      114        0        0      114
30337 libgts-dev                         	       0       13        0       13        0
30338 libguac11                          	       0        1        0        0        1
30339 libguard-perl                      	       0      168        0        1      167
30340 libguava-java                      	       0      500        0        0      500
30341 libgucharmap-2-90-7                	       0      962        3       10      949
30342 libgucharmap-2-90-dev              	       0        2        0        2        0
30343 libgucharmap7                      	       0        2        0        0        2
30344 libgudev1.0-cil                    	       0        7        0        0        7
30345 libguess-dev                       	       0        1        0        1        0
30346 libguess1                          	       0       21        0        0       21
30347 libguestfs-hfsplus                 	       0       85        0        0       85
30348 libguestfs-perl                    	       0       95        0        0       95
30349 libguestfs-reiserfs                	       0       84        0        0       84
30350 libguestfs-rescue                  	       0        2        0        0        2
30351 libguestfs-xfs                     	       0       84        0        0       84
30352 libguestfs0                        	       0      101        0        0      101
30353 libguestfs0t64                     	       0        4        0        0        4
30354 libguice-java                      	       0      466        0        0      466
30355 libguichan-0.8.1-1                 	       0        1        0        0        1
30356 libguichan-0.8.1-1v5               	       0       14        0        0       14
30357 libguichan-allegro-0.8.1-1         	       0        1        0        0        1
30358 libguichan-allegro-0.8.1-1v5       	       0        6        0        0        6
30359 libguichan-dev                     	       0        3        0        0        3
30360 libguichan-opengl-0.8.1-1          	       0        1        0        0        1
30361 libguichan-opengl-0.8.1-1v5        	       0        7        0        0        7
30362 libguichan-sdl-0.8.1-1             	       0        1        0        0        1
30363 libguichan-sdl-0.8.1-1v5           	       0       11        0        0       11
30364 libguile-ltdl-1                    	       0        5        0        0        5
30365 libguile-ssh13                     	       0        1        0        0        1
30366 libguile-ssh14                     	       0       12        0        0       12
30367 libgulkan-0.14-0                   	       0        1        0        0        1
30368 libgulkan-0.15-0                   	       0        5        0        0        5
30369 libgulkan-0.15-0t64                	       0        2        0        0        2
30370 libgulkan-dev                      	       0        2        0        2        0
30371 libgulkan-doc                      	       0        1        0        0        1
30372 libgulkan-utils                    	       0        5        0        5        0
30373 libgumbo1                          	       0      465        2        8      455
30374 libgumbo2                          	       0       61        0        1       60
30375 libgumbo3                          	       0       10        0        0       10
30376 libgupnp-1.0-3                     	       0        1        0        0        1
30377 libgupnp-1.0-4                     	       0      197        0        1      196
30378 libgupnp-1.0-dev                   	       0        1        0        1        0
30379 libgupnp-1.2-0                     	       0      503        0        3      500
30380 libgupnp-1.2-1                     	       0       20        0        0       20
30381 libgupnp-1.6-0                     	       0     2406        1        7     2398
30382 libgupnp-1.6-dev                   	       0        4        0        4        0
30383 libgupnp-av-1.0-2                  	       0      119        0        0      119
30384 libgupnp-av-1.0-3                  	       0      332        1        2      329
30385 libgupnp-av-1.0-dev                	       0        1        0        1        0
30386 libgupnp-av-doc                    	       0        1        0        0        1
30387 libgupnp-dlna-1.0-2                	       0        3        0        0        3
30388 libgupnp-dlna-2.0-3                	       0      117        0        0      117
30389 libgupnp-dlna-2.0-4                	       0      331        1        2      328
30390 libgupnp-doc                       	       0        1        0        0        1
30391 libgupnp-igd-1.0-3                 	       0        1        0        0        1
30392 libgupnp-igd-1.0-4                 	       0     2812        0        9     2803
30393 libgupnp-igd-1.0-dev               	       0        2        0        2        0
30394 libgupnp-igd-1.6-0                 	       0      220        0        0      220
30395 libgupnp-igd-1.6-dev               	       0        2        0        2        0
30396 libgupnp-igd-doc                   	       0        1        0        0        1
30397 libgusb-dev                        	       0        2        0        2        0
30398 libgusb-doc                        	       0        1        0        0        1
30399 libgutenprint-common               	       0      623        0        0      623
30400 libgutenprint-dev                  	       0        5        0        5        0
30401 libgutenprint-doc                  	       0        6        0        0        6
30402 libgutenprint2                     	       0      111        0        0      111
30403 libgutenprint9                     	       0      620        0        0      620
30404 libgutenprintui2-1                 	       0        6        0        0        6
30405 libgutenprintui2-2                 	       0       96        0        0       96
30406 libgutenprintui2-dev               	       0        6        0        6        0
30407 libguvcview-2.0-2                  	       0       20        0        0       20
30408 libguvcview-2.1-2                  	       0       59        0        0       59
30409 libguvcview-2.2                    	       0       11        0        0       11
30410 libguvcview-dev                    	       0        1        0        1        0
30411 libguytools2                       	       0        9        0        0        9
30412 libgv-guile                        	       0        1        0        0        1
30413 libgv-lua                          	       0        1        0        0        1
30414 libgv-perl                         	       0        1        0        0        1
30415 libgv-ruby                         	       0        1        0        0        1
30416 libgv-tcl                          	       0        4        0        0        4
30417 libgvc5                            	       0        2        0        2        0
30418 libgvc6-plugins-gtk                	       0      108        0        2      106
30419 libgvm22                           	       0        1        0        0        1
30420 libgvnc-1.0-0                      	       0      468        0        5      463
30421 libgvpr1                           	       0        3        0        0        3
30422 libgvpr2                           	       0     1730        0        0     1730
30423 libgweather-3-0                    	       0        4        0        0        4
30424 libgweather-3-15                   	       0       22        0        1       21
30425 libgweather-3-16                   	       0      105        2       29       74
30426 libgweather-3-6                    	       0       22        0        0       22
30427 libgweather-3-dev                  	       0        1        0        1        0
30428 libgweather-common                 	       0      148        0        4      144
30429 libgwengui-cpp0                    	       0        3        0        0        3
30430 libgwengui-gtk2-0                  	       0        6        0        0        6
30431 libgwengui-gtk3-79                 	       0       86        1        4       81
30432 libgwengui-gtk3-79t64              	       0        7        0        1        6
30433 libgwengui-gtk3-dev                	       0        4        1        3        0
30434 libgwengui-qt4-0                   	       0        2        0        0        2
30435 libgwengui-qt5-79                  	       0        7        0        0        7
30436 libgwenhywfar-core-dev             	       0        5        1        4        0
30437 libgwenhywfar-data                 	       0      112        1        2      109
30438 libgwenhywfar60                    	       0       15        0        3       12
30439 libgwenhywfar78                    	       0        1        0        0        1
30440 libgwenhywfar79                    	       0       98        1        4       93
30441 libgwenhywfar79t64                 	       0        7        0        1        6
30442 libgwrap-runtime2                  	       0        6        0        0        6
30443 libgwyddion2-0                     	       0        5        0        1        4
30444 libgwyddion2-0t64                  	       0        1        0        0        1
30445 libgxps-dev                        	       0        1        0        1        0
30446 libgxps-doc                        	       0        2        0        0        2
30447 libgxps-utils                      	       0        3        0        3        0
30448 libgxps2                           	       0     2372        0        1     2371
30449 libgxps2t64                        	       0      137        0        1      136
30450 libgxr-0.15-0                      	       0        1        0        0        1
30451 libgxr-0.15-0t64                   	       0        1        0        0        1
30452 libgxr-dev                         	       0        1        0        1        0
30453 libgxw-dev                         	       0        1        0        1        0
30454 libgxw0                            	       0       24        0        0       24
30455 libgxwmm0                          	       0       23        0        0       23
30456 libgyoto8                          	       0        2        0        0        2
30457 libgzstream-dev                    	       0        1        0        1        0
30458 libgzstream0                       	       0        7        0        0        7
30459 libh2-java                         	       0        4        0        0        4
30460 libh2o-evloop0.13                  	       0        3        1        0        2
30461 libh2o0.13                         	       0        1        0        0        1
30462 libhackrf-dev                      	       0        6        0        6        0
30463 libhal-dev                         	       0        1        0        1        0
30464 libhal-storage-dev                 	       0        1        0        1        0
30465 libhal-storage1                    	       0        9        0        0        9
30466 libhal1                            	       0       10        0        1        9
30467 libhal1-flash                      	       0        1        0        0        1
30468 libhamcrest-java                   	       0      207        0        0      207
30469 libhamcrest-java-doc               	       0        3        0        0        3
30470 libhamlib++-dev                    	       0        5        0        5        0
30471 libhamlib++4                       	       0        4        0        0        4
30472 libhamlib++4t64                    	       0        1        0        0        1
30473 libhamlib-dev                      	       0       13        0       13        0
30474 libhamlib-doc                      	       0        2        0        0        2
30475 libhamlib2                         	       0        4        0        4        0
30476 libhamlib4                         	       0       69        3       66        0
30477 libhandy-0.0-0                     	       0       42        0        1       41
30478 libhandy-1-dev                     	       0        1        0        1        0
30479 libhangul-data                     	       0        9        0        0        9
30480 libhangul1                         	       0        9        1        2        6
30481 libharfbuzz-bin                    	       0        6        0        6        0
30482 libharfbuzz-cairo0                 	       0       53        0        0       53
30483 libharfbuzz-doc                    	       0        5        0        0        5
30484 libharfbuzz-gobject0               	       0      707        0        0      707
30485 libharfbuzz-gobject0-dbgsym        	       0        1        0        1        0
30486 libharfbuzz-icu0-dbgsym            	       0        1        0        1        0
30487 libharfbuzz0b-dbgsym               	       0        1        0        1        0
30488 libharminv3                        	       0        7        0        0        7
30489 libhash-case-perl                  	       0        1        0        1        0
30490 libhash-defhash-perl               	       0        1        0        1        0
30491 libhash-diff-perl                  	       0        2        0        2        0
30492 libhash-fieldhash-perl             	       0       41        0        0       41
30493 libhash-flatten-perl               	       0        1        0        1        0
30494 libhash-merge-simple-perl          	       0        8        0        8        0
30495 libhash-moreutils-perl             	       0        6        0        6        0
30496 libhash-multivalue-perl            	       0       19        2       17        0
30497 libhash-ordered-perl               	       0        4        1        3        0
30498 libhash-withdefaults-perl          	       0        1        0        1        0
30499 libhashkit-dev                     	       0        7        0        7        0
30500 libhashkit2t64                     	       0        9        0        4        5
30501 libhat-trie0                       	       0        1        0        0        1
30502 libhavege1                         	       0       43        0        0       43
30503 libhawtbuf-java                    	       0        1        0        0        1
30504 libhawtdispatch-java               	       0        1        0        0        1
30505 libhawtjni-generator-java          	       0        1        0        0        1
30506 libhawtjni-maven-plugin-java       	       0        1        0        0        1
30507 libhawtjni-runtime-java            	       0      374        0        0      374
30508 libhbci4j-core-java                	       0        4        0        0        4
30509 libhcrypto4-heimdal                	       0       46        0        0       46
30510 libhcrypto5-heimdal                	       0       44        4        6       34
30511 libhcrypto5t64-heimdal             	       0        2        0        0        2
30512 libhd-dev                          	       0        3        0        3        0
30513 libhd-doc                          	       0        3        0        0        3
30514 libhd14                            	       0        1        0        0        1
30515 libhd16                            	       0        3        0        0        3
30516 libhd21                            	       0      293        0        0      293
30517 libhd21t64                         	       0       43        0        0       43
30518 libhdate1                          	       0        6        0        0        6
30519 libhdb9-heimdal                    	       0       59        0        1       58
30520 libhdb9t64-heimdal                 	       0        1        0        0        1
30521 libhdf4-0                          	       0       36        0        0       36
30522 libhdf4-0-alt                      	       0      665        3       10      652
30523 libhdf4-dev                        	       0        2        0        2        0
30524 libhdf4-doc                        	       0        7        0        0        7
30525 libhdf4g                           	       0        1        0        0        1
30526 libhdf4g-doc                       	       0        1        0        0        1
30527 libhdf5-10                         	       0        1        0        0        1
30528 libhdf5-100                        	       0       16        0        0       16
30529 libhdf5-103                        	       0       63        0        0       63
30530 libhdf5-103-1                      	       0      682        4       10      668
30531 libhdf5-103-1t64                   	       0       48        0        0       48
30532 libhdf5-310                        	       0       35        0        0       35
30533 libhdf5-7                          	       0        4        0        0        4
30534 libhdf5-8                          	       0        8        0        0        8
30535 libhdf5-cpp-100                    	       0        2        0        0        2
30536 libhdf5-cpp-103                    	       0       11        0        0       11
30537 libhdf5-cpp-103-1                  	       0       84        0        0       84
30538 libhdf5-cpp-103-1t64               	       0        2        0        0        2
30539 libhdf5-cpp-310                    	       0        5        0        0        5
30540 libhdf5-cpp-8                      	       0        1        0        0        1
30541 libhdf5-doc                        	       0        7        0        0        7
30542 libhdf5-fortran-102                	       0       93        0        0       93
30543 libhdf5-fortran-102t64             	       0        2        0        0        2
30544 libhdf5-fortran-310                	       0        5        0        0        5
30545 libhdf5-hl-100                     	       0      628        3       10      615
30546 libhdf5-hl-100t64                  	       0       40        0        0       40
30547 libhdf5-hl-310                     	       0       34        0        0       34
30548 libhdf5-hl-cpp-100                 	       0       69        0        0       69
30549 libhdf5-hl-cpp-100t64              	       0        2        0        0        2
30550 libhdf5-hl-cpp-310                 	       0        5        0        0        5
30551 libhdf5-hl-fortran-100             	       0       92        0        0       92
30552 libhdf5-hl-fortran-100t64          	       0        2        0        0        2
30553 libhdf5-hl-fortran-310             	       0        5        0        0        5
30554 libhdf5-mpi-dev                    	       0       16        0        0       16
30555 libhdf5-mpich-103-1                	       0        3        0        0        3
30556 libhdf5-mpich-hl-100               	       0        3        0        0        3
30557 libhdf5-openmpi-100                	       0        3        0        0        3
30558 libhdf5-openmpi-103                	       0       26        0        0       26
30559 libhdf5-openmpi-103-1              	       0      161        0        1      160
30560 libhdf5-openmpi-103-1t64           	       0       11        0        0       11
30561 libhdf5-openmpi-310                	       0       10        0        0       10
30562 libhdf5-openmpi-cpp-103-1          	       0       15        0        0       15
30563 libhdf5-openmpi-cpp-310            	       0        1        0        0        1
30564 libhdf5-openmpi-dev                	       0       17        0       17        0
30565 libhdf5-openmpi-fortran-102        	       0       28        0        0       28
30566 libhdf5-openmpi-fortran-310        	       0        1        0        0        1
30567 libhdf5-openmpi-hl-100             	       0       29        0        0       29
30568 libhdf5-openmpi-hl-310             	       0        1        0        0        1
30569 libhdf5-openmpi-hl-cpp-100         	       0       15        0        0       15
30570 libhdf5-openmpi-hl-cpp-310         	       0        1        0        0        1
30571 libhdf5-openmpi-hl-fortran-100     	       0       28        0        0       28
30572 libhdf5-openmpi-hl-fortran-310     	       0        1        0        0        1
30573 libhdf5-serial-1.6.5-0             	       0        1        0        0        1
30574 libhdf5-serial-1.6.6-0             	       0        1        0        0        1
30575 libhdf5-serial-dev                 	       0        1        0        0        1
30576 libhdfeos-dev                      	       0        1        0        1        0
30577 libhdfeos0                         	       0        1        0        0        1
30578 libhdfeos5-ruby1.9.1               	       0        2        0        0        2
30579 libhdhomerun-dev                   	       0        1        0        1        0
30580 libhdhomerun1                      	       0        5        0        0        5
30581 libhdhomerun4                      	       0        8        1        1        6
30582 libhdhomerun5                      	       0        4        0        3        1
30583 libhe5-hdfeos-dev                  	       0        2        0        2        0
30584 libhe5-hdfeos0                     	       0        4        0        0        4
30585 libheadius-options-java            	       0        4        0        0        4
30586 libheadius-options-java-doc        	       0        1        0        0        1
30587 libhealpix-cxx-dev                 	       0        2        0        2        0
30588 libhealpix-cxx0                    	       0        1        0        0        1
30589 libhealpix-cxx2                    	       0        5        0        0        5
30590 libhealpix-cxx3                    	       0        2        0        0        2
30591 libhealpix-dev                     	       0        2        0        0        2
30592 libhealpix-java                    	       0        1        0        0        1
30593 libhealpix0                        	       0        2        0        0        2
30594 libheap-perl                       	       0       35        0       35        0
30595 libheaptrack                       	       0        9        0        9        0
30596 libheartbeat2                      	       0        1        0        0        1
30597 libheartbeat2-dev                  	       0        1        0        1        0
30598 libheif-examples                   	       0       18        0       18        0
30599 libheif-plugin-aomdec              	       0       37        0        1       36
30600 libheif-plugin-aomenc              	       0      255        0        1      254
30601 libheif-plugin-dav1d               	       0      285        0        1      284
30602 libheif-plugin-j2kdec              	       0       27        0        0       27
30603 libheif-plugin-libde265            	       0      287        0        1      286
30604 libheif-plugin-x265                	       0      258        1        1      256
30605 libheimbase1-heimdal               	       0       78        4        6       68
30606 libheimbase1t64-heimdal            	       0        2        0        0        2
30607 libheimntlm0-heimdal               	       0       43        2        2       39
30608 libheimntlm0t64-heimdal            	       0        2        0        0        2
30609 libhersheyfont0                    	       0        3        0        0        3
30610 libhesiod0                         	       0        5        0        0        5
30611 libhessian-java                    	       0        1        0        0        1
30612 libhessian-java-doc                	       0        1        0        0        1
30613 libhfsp-dev                        	       0        1        0        1        0
30614 libhfsp0                           	       0      120        0        0      120
30615 libhfsp0t64                        	       0        5        0        0        5
30616 libhfst55                          	       0        3        0        0        3
30617 libhfstospell10                    	       0       48        0        0       48
30618 libhibernate-commons-annotations-java	       0        1        0        0        1
30619 libhibernate-validator-java        	       0       14        0        0       14
30620 libhibernate-validator4-java       	       0       50        0        0       50
30621 libhibernate3-java                 	       0        1        0        0        1
30622 libhiccup-clojure                  	       0        1        0        0        1
30623 libhidapi-hidraw0                  	       0      146        0        1      145
30624 libhidapi-libusb0                  	       0      265        0        9      256
30625 libhidrd0                          	       0        1        0        0        1
30626 libhighgui-dev                     	       0        1        0        1        0
30627 libhighline-ruby1.9.1              	       0        1        0        0        1
30628 libhikaricp-java                   	       0        1        0        0        1
30629 libhime                            	       0        2        0        0        2
30630 libhippocanvas-1-0                 	       0        1        0        0        1
30631 libhiprand1                        	       0        1        0        0        1
30632 libhiprtc-builtins5                	       0        5        0        0        5
30633 libhiredis-dev                     	       0       10        1        9        0
30634 libhiredis0.10                     	       0        5        0        0        5
30635 libhiredis0.13                     	       0        5        0        0        5
30636 libhiredis1.1.0                    	       0       31        1        7       23
30637 libhivex-bin                       	       0        2        0        2        0
30638 libhivex-dev                       	       0        1        0        1        0
30639 libhivex-ocaml                     	       0        1        0        1        0
30640 libhivex-ocaml-dev                 	       0        1        0        1        0
30641 libhivex0                          	       0      113        0        0      113
30642 libhmat-oss-dev                    	       0        1        0        1        0
30643 libhmat-oss1                       	       0        1        0        0        1
30644 libhmat-oss3                       	       0        3        0        0        3
30645 libhmmer2-dev                      	       0        1        0        1        0
30646 libhmsbeagle-java                  	       0        1        0        0        1
30647 libhmsbeagle1v5                    	       0        5        0        0        5
30648 libhocr-dev                        	       0        2        0        2        0
30649 libhocr0                           	       0        2        0        2        0
30650 libhoel1.4                         	       0        1        0        0        1
30651 libhogweed2                        	       0      182        1        3      178
30652 libhogweed4                        	       0      951        3       10      938
30653 libhogweed5                        	       0        1        0        1        0
30654 libhomfly-dev                      	       0        8        0        8        0
30655 libhomfly0                         	       0        9        0        0        9
30656 libhook-lexwrap-perl               	       0        2        0        2        0
30657 libhostfile-manager-perl           	       0        1        0        1        0
30658 libhowardhinnant-date-dev          	       0        2        0        2        0
30659 libhpdf-2.2.1                      	       0        1        0        0        1
30660 libhpdf-2.3.0                      	       0       25        0        0       25
30661 libhpdf-dev                        	       0        7        0        7        0
30662 libhpmud-dev                       	       0        1        0        1        0
30663 libhpmud0                          	       0      712       14       51      647
30664 libhpricot-ruby                    	       0        1        0        0        1
30665 libhsa-runtime-dev                 	       0        5        0        5        0
30666 libhsa-runtime64-1                 	       0       56        0        0       56
30667 libhsakmt1                         	       0       56        0        0       56
30668 libhsm-bin                         	       0        4        0        4        0
30669 libhsqldb-java                     	       0       25        0        0       25
30670 libhsqldb-java-doc                 	       0        1        0        0        1
30671 libhsqldb-java-gcj                 	       0        2        0        2        0
30672 libhsqldb1.8.0-java                	       0      789        0        0      789
30673 libhtml-autopagerize-perl          	       0        1        0        1        0
30674 libhtml-clean-perl                 	       0        4        0        4        0
30675 libhtml-defang-perl                	       0        1        1        0        0
30676 libhtml-display-perl               	       0        1        0        1        0
30677 libhtml-encoding-perl              	       0        3        0        3        0
30678 libhtml-entities-numbered-perl     	       0        1        0        1        0
30679 libhtml-fillinform-perl            	       0        1        0        1        0
30680 libhtml-formattext-withlinks-andtables-perl	       0        3        0        3        0
30681 libhtml-formattext-withlinks-perl  	       0        4        0        4        0
30682 libhtml-formfu-perl                	       0        1        0        1        0
30683 libhtml-fromansi-tiny-perl         	       0        1        0        1        0
30684 libhtml-fromtext-perl              	       0       23        2       21        0
30685 libhtml-gentoc-perl                	       0        4        0        4        0
30686 libhtml-html5-parser-perl          	       0        3        0        3        0
30687 libhtml-html5-sanity-perl          	       0        3        0        3        0
30688 libhtml-html5-writer-perl          	       0        1        0        1        0
30689 libhtml-linkextractor-perl         	       0        1        0        1        0
30690 libhtml-linklist-perl              	       0        4        0        4        0
30691 libhtml-lint-perl                  	       0       15        0       15        0
30692 libhtml-mason-perl                 	       0        2        0        2        0
30693 libhtml-mason-psgihandler-perl     	       0        1        0        1        0
30694 libhtml-parser-perl                	       0     3683       27       59     3597
30695 libhtml-prettyprinter-perl         	       0        1        0        1        0
30696 libhtml-quoted-perl                	       0        1        0        1        0
30697 libhtml-restrict-perl              	       0        2        0        2        0
30698 libhtml-rewriteattributes-perl     	       0        1        0        1        0
30699 libhtml-scrubber-perl              	       0       11        1       10        0
30700 libhtml-simpleparse-perl           	       0        4        0        4        0
30701 libhtml-stream-perl                	       0        1        0        1        0
30702 libhtml-strip-perl                 	       0        2        0        0        2
30703 libhtml-stripscripts-parser-perl   	       0        2        0        2        0
30704 libhtml-stripscripts-perl          	       0        2        0        2        0
30705 libhtml-template-pluggable-perl    	       0        1        0        1        0
30706 libhtml-tidy-perl                  	       0        6        1        5        0
30707 libhtml-tidy5-perl                 	       0        1        0        1        0
30708 libhtml-tiny-perl                  	       0        3        0        3        0
30709 libhtml-toc-perl                   	       0        1        0        1        0
30710 libhtml-wikiconverter-dokuwiki-perl	       0        1        0        1        0
30711 libhtml-wikiconverter-markdown-perl	       0        2        0        2        0
30712 libhtml-wikiconverter-perl         	       0        3        0        3        0
30713 libhtml-wikiconverter-wikkawiki-perl	       0        2        0        2        0
30714 libhtml5parser-java                	       0        4        0        0        4
30715 libhtmlcleaner-java                	       0        9        0        0        9
30716 libhtmlcxx-dev                     	       0        4        0        4        0
30717 libhtmlcxx3v5                      	       0        8        0        0        8
30718 libhtmlentities-ruby               	       0        1        0        0        1
30719 libhtmlentities-ruby1.9.1          	       0        1        0        0        1
30720 libhtmlparser-java                 	       0        7        0        0        7
30721 libhtp2                            	       0        6        0        1        5
30722 libhtree-ruby1.9.1                 	       0        2        0        0        2
30723 libhts3                            	       0        7        0        0        7
30724 libhts3t64                         	       0        1        0        0        1
30725 libhtscodecs2                      	       0        9        0        0        9
30726 libhtsengine1                      	       0       25        0        0       25
30727 libhtsjdk-java                     	       0        7        0        0        7
30728 libhttp-body-perl                  	       0        5        1        4        0
30729 libhttp-cache-transparent-perl     	       0       18        2       16        0
30730 libhttp-cookiejar-perl             	       0       16        0       16        0
30731 libhttp-daemon-ssl-perl            	       0        2        0        2        0
30732 libhttp-entity-parser-perl         	       0       16        2       14        0
30733 libhttp-exception-perl             	       0        2        0        2        0
30734 libhttp-headers-fast-perl          	       0       16        2       14        0
30735 libhttp-link-parser-perl           	       0        2        0        2        0
30736 libhttp-lite-perl                  	       0       15        0       15        0
30737 libhttp-lrdd-perl                  	       0        1        0        1        0
30738 libhttp-multipartparser-perl       	       0       16        2       14        0
30739 libhttp-oai-3.27-perl              	       0        2        0        2        0
30740 libhttp-parser-xs-perl             	       0        7        1        1        5
30741 libhttp-parser2.1                  	       0       26        0        0       26
30742 libhttp-parser2.8                  	       0       50        1        0       49
30743 libhttp-parser2.9                  	       0      901        1       19      881
30744 libhttp-proxy-perl                 	       0        1        0        1        0
30745 libhttp-recorder-perl              	       0        1        0        1        0
30746 libhttp-request-ascgi-perl         	       0        3        2        1        0
30747 libhttp-request-params-perl        	       0        4        0        4        0
30748 libhttp-response-encoding-perl     	       0        2        0        2        0
30749 libhttp-server-simple-authen-perl  	       0        1        0        1        0
30750 libhttp-server-simple-perl         	       0       53        1       52        0
30751 libhttp-server-simple-psgi-perl    	       0        1        0        1        0
30752 libhttp-tiny-perl                  	       0        4        1        3        0
30753 libhttp-tinyish-perl               	       0        3        0        3        0
30754 libhttpasyncclient-java            	       0       25        0        0       25
30755 libhttpclient-java                 	       0      476        0        0      476
30756 libhttpclient-ruby1.9.1            	       0        1        0        0        1
30757 libhttpclient5-java                	       0        2        0        0        2
30758 libhttpcore-java                   	       0      477        0        0      477
30759 libhttpcore5-java                  	       0        2        0        0        2
30760 libhttpmime-java                   	       0       29        0        0       29
30761 libhttrack-dev                     	       0        1        0        1        0
30762 libhttrack2                        	       0       65        0        0       65
30763 libhugetlbfs-bin                   	       0        4        0        4        0
30764 libhugetlbfs0                      	       0        2        0        0        2
30765 libhunspell-1.2-0                  	       0        8        0        0        8
30766 libhunspell-1.3-0                  	       0       50        0        0       50
30767 libhunspell-1.4-0                  	       0       95        0        0       95
30768 libhunspell-1.6-0                  	       0        1        0        0        1
30769 libhunspell-private-dev            	       0        2        0        0        2
30770 libhwasan0                         	       0      241        0        0      241
30771 libhwasan0-arm64-cross             	       0       25        0        0       25
30772 libhwloc-contrib-plugins           	       0        1        0        0        1
30773 libhwloc-doc                       	       0        1        0        0        1
30774 libhwloc-plugins                   	       0      679        1        4      674
30775 libhwloc15                         	       0      680        1        6      673
30776 libhwloc5                          	       0       48        0        0       48
30777 libhx-dev                          	       0        1        0        1        0
30778 libhx-doc                          	       0        1        0        0        1
30779 libhx28                            	       0        5        0        0        5
30780 libhx32                            	       0       25        1        9       15
30781 libhx32t64                         	       0        5        1        2        2
30782 libhx509-5-heimdal                 	       0       78        2        2       74
30783 libhx509-5t64-heimdal              	       0        2        0        0        2
30784 libhyperscan5                      	       0       24        7        9        8
30785 libhyphen-dev                      	       0        6        1        5        0
30786 libhyprcursor0                     	       0        3        0        0        3
30787 libhypre                           	       0        2        0        0        2
30788 libhypre-2.22.1                    	       0        1        0        0        1
30789 libhypre-2.23.0                    	       0        2        0        0        2
30790 libhypre-2.26.0                    	       0       52        0        0       52
30791 libhypre-2.28.0                    	       0        2        0        0        2
30792 libhypre-2.29.0                    	       0        1        0        0        1
30793 libhypre-2.32.0                    	       0        1        0        0        1
30794 libhypre-dev                       	       0        4        0        4        0
30795 libhyprlang-dev                    	       0        1        0        0        1
30796 libhyprlang2                       	       0        3        0        0        3
30797 libhyprutils-dev                   	       0        1        0        0        1
30798 libhyprutils0                      	       0        3        0        0        3
30799 libhz0                             	       0        1        0        0        1
30800 libi18n-charset-perl               	       0        1        0        1        0
30801 libi18n-ruby1.9.1                  	       0        1        0        0        1
30802 libi2c-dev                         	       0       17        0       17        0
30803 libi2c0                            	       0      140        0        0      140
30804 libib-util                         	       0      718        1        2      715
30805 libibatis-java                     	       0        1        0        0        1
30806 libibdm1                           	       0        3        0        0        3
30807 libiberty-dev                      	       0       36        2       34        0
30808 libibmad5                          	       0       47        0        0       47
30809 libibnetdisc5                      	       0        4        0        0        4
30810 libibtk-dev                        	       0        1        0        1        0
30811 libibtk0                           	       0        2        0        0        2
30812 libibumad-dev                      	       0        4        1        3        0
30813 libibumad3                         	       0       63        1        0       62
30814 libibus-qt1                        	       0        3        0        0        3
30815 libical-dev                        	       0       26        2       24        0
30816 libical-parser-perl                	       0        1        0        1        0
30817 libical0                           	       0       13        0        0       13
30818 libical1a                          	       0       29        0        1       28
30819 libical2                           	       0       67        0        0       67
30820 libicapapi5                        	       0        5        0        1        4
30821 libicc-utils-dev                   	       0        1        0        1        0
30822 libicc-utils2                      	       0        1        0        0        1
30823 libicc2                            	       0        4        0        0        4
30824 libice-doc                         	       0        8        0        0        8
30825 libiceoryx-binding-c2              	       0        1        0        0        1
30826 libiceoryx-hoofs2                  	       0        1        0        0        1
30827 libiceoryx-platform2               	       0        1        0        0        1
30828 libiceoryx-posh2                   	       0        1        0        0        1
30829 libicinga2                         	       0        1        0        0        1
30830 libicns1                           	       0       29        0        1       28
30831 libicon-famfamfam-silk-perl        	       0        1        0        1        0
30832 libiconloader-java                 	       0       24        0        0       24
30833 libiconv-hook-dev                  	       0        2        0        2        0
30834 libiconv-hook1                     	       0        6        0        4        2
30835 libiconv-ruby                      	       0        1        0        0        1
30836 libicsharpcode-nrefactory-cecil5.0-cil	       0        2        0        2        0
30837 libicsharpcode-nrefactory-csharp5.0-cil	       0        2        0        2        0
30838 libicsharpcode-nrefactory5.0-cil   	       0        2        0        2        0
30839 libicu-le-hb-dev                   	       0        1        0        1        0
30840 libicu-le-hb0                      	       0        9        0        0        9
30841 libicu36                           	       0        1        0        0        1
30842 libicu38                           	       0        2        0        0        2
30843 libicu40                           	       0        1        0        0        1
30844 libicu44                           	       0        9        0        0        9
30845 libicu48                           	       0       20        0        1       19
30846 libicu4j-4.2-java                  	       0        7        0        0        7
30847 libicu4j-4.4-java                  	       0       12        0        0       12
30848 libicu4j-49-java                   	       0        3        0        0        3
30849 libicu4j-java                      	       0      358        0        0      358
30850 libicu52                           	       0      225        1        1      223
30851 libicu55                           	       0        2        0        0        2
30852 libicu57                           	       0      296        2        5      289
30853 libicu57-dbg                       	       0        1        0        1        0
30854 libicu60                           	       0        9        0        1        8
30855 libicu64                           	       0        3        0        0        3
30856 libicu65                           	       0        9        1        1        7
30857 libicu71                           	       0       25        1        4       20
30858 libid3-3.8.3-dev                   	       0        4        0        4        0
30859 libid3-3.8.3c2a                    	       0        4        0        0        4
30860 libid3-3.8.3v5                     	       0      207        0        0      207
30861 libid3-doc                         	       0        1        0        0        1
30862 libid3-tools                       	       0       21        0       21        0
30863 libid3tag0                         	       0     2044       28       53     1963
30864 libiddawc0.9                       	       0        1        0        0        1
30865 libident                           	       0       10        1        9        0
30866 libideviceactivation-1.0-2         	       0        1        0        0        1
30867 libidl-2-0                         	       0       44        0        0       44
30868 libidl-dev                         	       0       13        0       13        0
30869 libidl0                            	       0        2        0        0        2
30870 libidn11-dev                       	       0       65        1       32       32
30871 libidn2-0-dev                      	       0        6        0        0        6
30872 libidn2-doc                        	       0        2        0        0        2
30873 libido-0.1-0                       	       0        1        0        0        1
30874 libido3-0.1-0                      	       0        1        0        0        1
30875 libido3-0.1-dev                    	       0        1        0        1        0
30876 libidw-java                        	       0       26        0        0       26
30877 libidzebra-2.0-0                   	       0        2        1        1        0
30878 libidzebra-2.0-dev                 	       0        2        0        2        0
30879 libidzebra-2.0-mod-alvis           	       0        2        1        1        0
30880 libidzebra-2.0-mod-dom             	       0        2        1        1        0
30881 libidzebra-2.0-mod-grs-marc        	       0        2        1        1        0
30882 libidzebra-2.0-mod-grs-regx        	       0        2        1        1        0
30883 libidzebra-2.0-mod-grs-xml         	       0        2        1        1        0
30884 libidzebra-2.0-mod-safari          	       0        2        1        1        0
30885 libidzebra-2.0-mod-text            	       0        2        1        1        0
30886 libidzebra-2.0-modules             	       0        2        0        0        2
30887 libiec16022-0                      	       0       35        0        1       34
30888 libiec16022-dev                    	       0        2        0        2        0
30889 libiec61883-dev                    	       0       13        0       13        0
30890 libieee1284-3                      	       0     2831        1        4     2826
30891 libieee1284-3-dev                  	       0        8        0        8        0
30892 libieee1284-3t64                   	       0      201        0        1      200
30893 libifcplusplus                     	       0        2        0        2        0
30894 libifd-cyberjack6                  	       0       12        3        9        0
30895 libifp4                            	       0        4        0        0        4
30896 libigc1                            	       0       12        0        0       12
30897 libigdfcl1                         	       0       13        0        0       13
30898 libigdgmm11                        	       0      536        9       30      497
30899 libigdgmm5                         	       0      188        0        0      188
30900 libigfxcmrt7                       	       0        1        0        0        1
30901 libignition-cmake-dev              	       0        1        0        0        1
30902 libignition-math-dev               	       0        1        0        0        1
30903 libignition-math4-dev              	       0        1        0        0        1
30904 libignition-math6-6                	       0        1        0        0        1
30905 libignition-msgs-dev               	       0        1        0        1        0
30906 libignition-msgs8-8                	       0        1        0        0        1
30907 libignition-transport-dev          	       0        1        0        1        0
30908 libignition-transport11-11         	       0        1        0        0        1
30909 libignition-transport4-dev         	       0        1        0        0        1
30910 libignition-utils-dev              	       0        1        0        0        1
30911 libigraph-dev                      	       0        1        0        1        0
30912 libigraph3t64                      	       0        1        0        0        1
30913 libiio-dev                         	       0        3        0        3        0
30914 libiio-utils                       	       0        1        0        1        0
30915 libiio0                            	       0       41        1       39        1
30916 libij-java                         	       0        9        0        0        9
30917 libij-java-doc                     	       0        1        0        0        1
30918 libijs-0.35                        	       0     3570        2       10     3558
30919 libijs-doc                         	       0        4        0        0        4
30920 libiksemel-dev                     	       0       13        1       12        0
30921 libiksemel3                        	       0       25        4        8       13
30922 libilbc-dev                        	       0        3        0        3        0
30923 libilbc2                           	       0       23        0        2       21
30924 libilbc3                           	       0       77       14       44       19
30925 libilmbase-dev                     	       0       27        1       26        0
30926 libilmbase12                       	       0      126        0        0      126
30927 libilmbase23                       	       0      218        2        0      216
30928 libilmbase24                       	       0        2        0        0        2
30929 libilmbase6                        	       0       47        0        1       46
30930 libilmbase6v5                      	       0        1        0        0        1
30931 libima-dbi-perl                    	       0       29        1       28        0
30932 libimage-exif-perl                 	       0        4        0        0        4
30933 libimage-imlib2-perl               	       0        3        0        0        3
30934 libimage-magick-q16-perl           	       0      648        0        2      646
30935 libimage-magick-q16hdri-perl       	       0        1        0        0        1
30936 libimage-metadata-jpeg-perl        	       0        5        0        5        0
30937 libimage-png-libpng-perl           	       0       73        1       72        0
30938 libimage-sane-perl                 	       0       53        0        1       52
30939 libimage-size-perl                 	       0       35        1       34        0
30940 libimage-size-ruby1.9.1            	       0        1        0        0        1
30941 libimage-transport-dev             	       0        1        0        1        0
30942 libimage-transport0d               	       0        2        0        0        2
30943 libimageclasses1                   	       0        6        0        0        6
30944 libimager-perl                     	       0        4        0        4        0
30945 libimager-qrcode-perl              	       0        2        0        0        2
30946 libimageworsener1                  	       0        1        0        0        1
30947 libimath-doc                       	       0        1        0        0        1
30948 libimdi0                           	       0        4        0        0        4
30949 libime-data                        	       0       21        0        0       21
30950 libimetable0                       	       0       21        0        1       20
30951 libimglib2-java                    	       0        2        0        0        2
30952 libimgscalr-java                   	       0       29        0        0       29
30953 libimgui-dev                       	       0        3        0        3        0
30954 libiml-dev                         	       0        9        0        9        0
30955 libiml0                            	       0       10        0        0       10
30956 libimlib2t64                       	       0      122        3       19      100
30957 libimobiledevice-dev               	       0       14        1       13        0
30958 libimobiledevice-doc               	       0        8        0        0        8
30959 libimobiledevice-glue-dev          	       0        5        0        5        0
30960 libimobiledevice-utils             	       0       53        0       53        0
30961 libimobiledevice1                  	       0        3        0        0        3
30962 libimobiledevice2                  	       0        4        0        0        4
30963 libimobiledevice4                  	       0       25        0        2       23
30964 libimporter-perl                   	       0        4        0        4        0
30965 libimthreshold-dev                 	       0        1        0        1        0
30966 libimthreshold0                    	       0        1        0        0        1
30967 libimthresholdfreeimage-dev        	       0        1        0        1        0
30968 libimthresholdfreeimage0           	       0        1        0        0        1
30969 libinchi1                          	       0       56        0        0       56
30970 libinchi1.07                       	       0       13        0        0       13
30971 libincidenceeditor-data            	       0        7        0        0        7
30972 libincidenceeditorsng4             	       0        4        0        1        3
30973 libindex0-trinity                  	       0       29        0        1       28
30974 libindi-data                       	       0      100        0        0      100
30975 libindi-dev                        	       0        2        0        2        0
30976 libindi-plugins                    	       0       29        0        0       29
30977 libindi0b                          	       0        2        0        0        2
30978 libindi1                           	       0        3        0        0        3
30979 libindialignmentdriver1            	       0       29        0        0       29
30980 libindicate-gtk3                   	       0        5        0        1        4
30981 libindicate-qt1                    	       0        1        0        0        1
30982 libindicate5                       	       0        9        0        1        8
30983 libindicator-transfer-dev          	       0        1        0        1        0
30984 libindicator-transfer1             	       0        1        0        0        1
30985 libindicator3                      	       0        1        0        0        1
30986 libindicator3-7                    	       0      163        0        3      160
30987 libindicator3-dev                  	       0        2        0        2        0
30988 libindicator7                      	       0       89        0        0       89
30989 libindiclient1                     	       0       86        0        3       83
30990 libindiclient2                     	       0        1        0        0        1
30991 libindidriver0c                    	       0        1        0        0        1
30992 libindidriver1                     	       0       30        0        0       30
30993 libindilx200-1                     	       0        2        0        0        2
30994 libindirect-perl                   	       0      177        0        0      177
30995 libinfgtk-0.7-0                    	       0        3        0        0        3
30996 libinfgtk-0.7-0t64                 	       0        1        0        0        1
30997 libinfinity-0.7-0                  	       0        3        0        0        3
30998 libinfinity-0.7-0t64               	       0        1        0        0        1
30999 libinfluxdb-lineprotocol-perl      	       0        1        0        1        0
31000 libini-config5                     	       0       13        0        7        6
31001 libini4j-java                      	       0        3        0        0        3
31002 libinih-dev                        	       0        5        0        5        0
31003 libiniparser-doc                   	       0        3        0        0        3
31004 libiniparser1                      	       0       84        2        0       82
31005 libiniparser4                      	       0        5        0        0        5
31006 libinireader0                      	       0      140        9       24      107
31007 libinklevel-dev                    	       0        1        0        1        0
31008 libinklevel5                       	       0       22        0        0       22
31009 libinline-c-perl                   	       0       30        0       30        0
31010 libinline-files-perl               	       0       26        0       26        0
31011 libinline-perl                     	       0       31        1       30        0
31012 libinnate-ruby1.9.1                	       0        1        0        0        1
31013 libinnodb3                         	       0        1        0        0        1
31014 libinotifytools0                   	       0      154        2       14      138
31015 libinotifytools0-dev               	       0        5        0        5        0
31016 libinput-pad-1.0-1                 	       0        2        0        0        2
31017 libinput-pad-dev                   	       0        1        0        1        0
31018 libinput-pad-xtest                 	       0        1        0        0        1
31019 libinput0                          	       0        2        0        0        2
31020 libinput5                          	       0       11        0        0       11
31021 libinputsynth-0.15-0               	       0        2        0        0        2
31022 libinputsynth-dev                  	       0        1        0        1        0
31023 libinsane-dev                      	       0        2        0        2        0
31024 libinsane-doc                      	       0        2        0        0        2
31025 libinsane1                         	       0       16        0        0       16
31026 libinsighttoolkit4-dev             	       0        2        0        2        0
31027 libinsighttoolkit4.12              	       0        1        0        1        0
31028 libinsighttoolkit4.13              	       0        8        0        8        0
31029 libinsighttoolkit5.2               	       0        2        0        2        0
31030 libinstaparse-clojure              	       0        2        0        0        2
31031 libinstpatch-1.0-2                 	       0     2870       19       29     2822
31032 libinstpatch-dev                   	       0       41        0       41        0
31033 libint1                            	       0        4        0        0        4
31034 libint2-2                          	       0        1        0        0        1
31035 libintegers-ocaml                  	       0        2        0        1        1
31036 libintegers-ocaml-dev              	       0        2        0        2        0
31037 libintellij-annotations-java       	       0      334        0        0      334
31038 libintellij-annotations-java-doc   	       0        1        0        0        1
31039 libintelrdfpmath-dev               	       0        1        0        1        0
31040 libinteractive-markers-dev         	       0        1        0        1        0
31041 libinteractive-markers2d           	       0        1        0        0        1
31042 libinterimap                       	       0        1        0        1        0
31043 libintervalstorej-java             	       0        1        0        0        1
31044 libinventor1                       	       0        3        0        0        3
31045 libinventor1t64                    	       0        1        0        0        1
31046 libinvokebinder-java               	       0        4        0        0        4
31047 libinvokebinder-java-doc           	       0        1        0        0        1
31048 libio-aio-perl                     	       0        2        0        2        0
31049 libio-all-perl                     	       0       43        0       43        0
31050 libio-async-loop-epoll-perl        	       0        2        0        2        0
31051 libio-async-loop-glib-perl         	       0        1        0        1        0
31052 libio-bufferedselect-perl          	       0        1        0        1        0
31053 libio-compress-brotli-perl         	       0      236        0        0      236
31054 libio-compress-lzma-perl           	       0       26        3       23        0
31055 libio-compress-perl                	       0       28        4       24        0
31056 libio-dirent-perl                  	       0        9        0        0        9
31057 libio-event-perl                   	       0        1        0        1        0
31058 libio-fdpass-perl                  	       0        6        0        0        6
31059 libio-handle-util-perl             	       0        5        0        5        0
31060 libio-interface-perl               	       0       37        1        3       33
31061 libio-lockedfile-perl              	       0        2        0        2        0
31062 libio-pager-perl                   	       0        2        0        2        0
31063 libio-prompt-perl                  	       0        1        0        1        0
31064 libio-prompt-tiny-perl             	       0        6        0        6        0
31065 libio-pty-easy-perl                	       0        7        0        7        0
31066 libio-pty-perl                     	       0      571       11       33      527
31067 libio-socket-multicast-perl        	       0       11        0        0       11
31068 libio-stty-perl                    	       0       30        2       28        0
31069 libio-tee-perl                     	       0       14        0       14        0
31070 libio-tiecombine-perl              	       0       29        1       28        0
31071 libiodbc2                          	       0       47        0        2       45
31072 libiodbc2-dev                      	       0        2        0        2        0
31073 libip-country-perl                 	       0        2        0        2        0
31074 libip4tc-dev                       	       0        5        0        3        2
31075 libip4tc0                          	       0      933        0        0      933
31076 libip6tc-dev                       	       0        5        0        5        0
31077 libip6tc0                          	       0      932        0        0      932
31078 libip6tc2                          	       0     2105        0        1     2104
31079 libipa-hbac0                       	       0       13        0        2       11
31080 libipc-sharelite-perl              	       0       31        1        2       28
31081 libipe-dev                         	       0        2        0        2        0
31082 libipe7.1.4                        	       0        1        0        0        1
31083 libipe7.2.23                       	       0        1        0        0        1
31084 libipe7.2.25                       	       0        1        0        0        1
31085 libipe7.2.26                       	       0       10        0        0       10
31086 libipe7.2.28                       	       0        1        0        0        1
31087 libipe7.2.30                       	       0        2        0        0        2
31088 libipe7.2.7                        	       0        2        0        0        2
31089 libipe7.2.9                        	       0        1        0        0        1
31090 libiperf0                          	       0      199        5       27      167
31091 libipmiconsole2                    	       0       43        0        0       43
31092 libipmidetect0                     	       0       42        0        0       42
31093 libipmimonitoring6                 	       0        6        0        0        6
31094 libipsec-mb-dev                    	       0        2        0        2        0
31095 libipsec-mb0                       	       0       10        0        0       10
31096 libipsec-mb1                       	       0       34        0        0       34
31097 libipsec-mb2                       	       0        2        0        0        2
31098 libipset-dev                       	       0        1        0        1        0
31099 libipset11                         	       0        7        0        0        7
31100 libipset13                         	       0       79        0        0       79
31101 libipset13t64                      	       0       29        0        0       29
31102 libipset3                          	       0        6        0        0        6
31103 libipt-dev                         	       0        1        0        1        0
31104 libipt2                            	       0     1113        1        3     1109
31105 libiptables-chainmgr-perl          	       0        3        1        2        0
31106 libiptables-parse-perl             	       0        4        1        3        0
31107 libiptc-dev                        	       0        5        0        2        3
31108 libiptc0                           	       0      946        0        0      946
31109 libiptcdata0-dev                   	       0        1        0        1        0
31110 libipx-dev                         	       0        1        0        1        0
31111 libipx2                            	       0        1        0        0        1
31112 libiqa-dev                         	       0        1        0        1        0
31113 libiqa1                            	       0        1        0        0        1
31114 libirc-formatting-html-perl        	       0        4        0        4        0
31115 libircclient1                      	       0       52        6        5       41
31116 libirecovery-1.0-3                 	       0        8        0        0        8
31117 libirecovery-common                	       0        8        0        8        0
31118 libiri-perl                        	       0        4        0        4        0
31119 libirman-dev                       	       0        1        0        1        0
31120 libirman0                          	       0        9        0        0        9
31121 libirrlicht-dev                    	       0       14        0       14        0
31122 libirrlicht-doc                    	       0        1        0        1        0
31123 libirrlicht1.7a                    	       0        1        0        0        1
31124 libirrlicht1.8                     	       0       18        0        1       17
31125 libirrlicht1.8t64                  	       0        3        0        0        3
31126 libirs-export141                   	       0       13        0        0       13
31127 libirs-export161                   	       0       49        5       14       30
31128 libirs-export91                    	       0      218        0        0      218
31129 libirs141                          	       0       16        0        0       16
31130 libirs161                          	       0      188        0        0      188
31131 libirstlm-dev                      	       0        1        0        1        0
31132 libirstlm1                         	       0        4        0        0        4
31133 libisal-dev                        	       0        3        0        3        0
31134 libisal2                           	       0        4        0        0        4
31135 libisc-export1100                  	       0      526        0        1      525
31136 libisc-export160                   	       0      481        0        1      480
31137 libisc-export166                   	       0        1        0        0        1
31138 libisc-export169                   	       0        1        0        0        1
31139 libisc-export189                   	       0        1        0        0        1
31140 libisc-export95                    	       0      220        0        0      220
31141 libisc11                           	       0        4        0        0        4
31142 libisc1100                         	       0      301        0        0      301
31143 libisc1105                         	       0       59        0        0       59
31144 libisc160                          	       0      195        0        0      195
31145 libisc44                           	       0        4        0        0        4
31146 libisc45                           	       0        5        0        0        5
31147 libisc62                           	       0       18        0        0       18
31148 libisc83                           	       0        2        0        0        2
31149 libisc84                           	       0       22        0        0       22
31150 libisc95                           	       0       89        0        0       89
31151 libisccc-export140                 	       0       12        0        0       12
31152 libisccc-export161                 	       0        3        0        0        3
31153 libisccc0                          	       0        3        0        0        3
31154 libisccc140                        	       0      193        0        0      193
31155 libisccc161                        	       0      311        0        0      311
31156 libisccc40                         	       0        5        0        0        5
31157 libisccc60                         	       0       18        0        0       18
31158 libisccc80                         	       0       22        0        0       22
31159 libisccc90                         	       0       89        0        0       89
31160 libisccfg-export140                	       0       12        0        0       12
31161 libisccfg-export144                	       0        1        0        0        1
31162 libisccfg-export163                	       0       49        5       14       30
31163 libisccfg-export90                 	       0      219        0        0      219
31164 libisccfg1                         	       0        3        0        0        3
31165 libisccfg140                       	       0      191        0        0      191
31166 libisccfg163                       	       0      311        0        0      311
31167 libisccfg62                        	       0       18        0        0       18
31168 libisccfg82                        	       0       21        0        0       21
31169 libisccfg90                        	       0       84        0        0       84
31170 libiscsi-bin                       	       0        1        0        1        0
31171 libiscsi-dev                       	       0        6        1        5        0
31172 libiscsi1                          	       0        7        0        0        7
31173 libiscsi2                          	       0       17        0        0       17
31174 libiscsi7                          	       0      480        0        3      477
31175 libiscwt-java                      	       0        1        0        0        1
31176 libisfreetype-java                 	       0        3        0        0        3
31177 libisl-dev                         	       0       10        1        9        0
31178 libisl10                           	       0      160        0        0      160
31179 libisl15                           	       0      423        0        0      423
31180 libisl19                           	       0      266        0        0      266
31181 libisl21                           	       0        1        0        0        1
31182 libisl22                           	       0        9        0        0        9
31183 libismrmrd1.3                      	       0        1        0        0        1
31184 libismrmrd1.8                      	       0        2        0        0        2
31185 libisnativec-java                  	       0        3        0        0        3
31186 libisns0                           	       0       27        8       12        7
31187 libisns0t64                        	       0       22        0        2       20
31188 libiso9660++-dev                   	       0        1        0        0        1
31189 libiso9660++0t64                   	       0        1        0        0        1
31190 libiso9660-11                      	       0      461       11       23      427
31191 libiso9660-11t64                   	       0       37        4        4       29
31192 libiso9660-12                      	       0        1        0        0        1
31193 libiso9660-4                       	       0        1        0        0        1
31194 libiso9660-5                       	       0        2        0        0        2
31195 libiso9660-7                       	       0        6        0        0        6
31196 libiso9660-8                       	       0       52        0        0       52
31197 libiso9660-dev                     	       0       17        1       16        0
31198 libisoburn-dev                     	       0        1        0        1        0
31199 libisoburn-doc                     	       0        2        0        0        2
31200 libisoburn1                        	       0      419        0        0      419
31201 libisoburn1t64                     	       0       66        0        0       66
31202 libisocodes1                       	       0        1        0        0        1
31203 libisocore1                        	       0        1        0        0        1
31204 libisofs-dev                       	       0        4        0        4        0
31205 libisofs-doc                       	       0        2        0        0        2
31206 libisofs6                          	       0     2064        0        0     2064
31207 libisofs6t64                       	       0      153        0        0      153
31208 libisorelax-java                   	       0      204        0        0      204
31209 libisrt-java                       	       0        3        0        0        3
31210 libistack-commons-java             	       0      336        0        0      336
31211 libite5                            	       0        2        0        0        2
31212 libiterm1                          	       0        1        0        0        1
31213 libitext-java                      	       0      770        0        0      770
31214 libitext-java-gcj                  	       0        2        0        2        0
31215 libitext-rtf-java                  	       0        1        0        0        1
31216 libitext1-java                     	       0       10        0        0       10
31217 libitext5-java                     	       0       33        0        0       33
31218 libitl0                            	       0        4        0        0        4
31219 libitm1                            	       0     2597        0        0     2597
31220 libitm1-alpha-cross                	       0        3        0        0        3
31221 libitm1-arm64-cross                	       0       38        0        0       38
31222 libitm1-dbgsym                     	       0        1        0        1        0
31223 libitm1-i386-cross                 	       0       13        0        0       13
31224 libitm1-ppc64-cross                	       0        6        0        0        6
31225 libitm1-ppc64el-cross              	       0        1        0        0        1
31226 libitm1-riscv64-cross              	       0        2        0        0        2
31227 libitm1-s390x-cross                	       0        4        0        0        4
31228 libitm1-sparc64-cross              	       0        3        0        0        3
31229 libitm1-x32-cross                  	       0        6        0        0        6
31230 libitpp8v5                         	       0       11        0        0       11
31231 libitsol-dev                       	       0        1        0        1        0
31232 libitsol1                          	       0        1        0        0        1
31233 libiv-unidraw1                     	       0        1        0        0        1
31234 libiv-unidraw2                     	       0        2        0        0        2
31235 libiv-unidraw2t64                  	       0        1        0        0        1
31236 libiv1                             	       0        1        0        0        1
31237 libiv2                             	       0        2        0        0        2
31238 libiv2t64                          	       0        1        0        0        1
31239 libivykis0                         	       0       29        5       18        6
31240 libivykis0t64                      	       0        2        0        2        0
31241 libiw-dev                          	       0       19        0       19        0
31242 libiw28                            	       0        1        0        0        1
31243 libiw29                            	       0        2        0        0        2
31244 libiw30t64                         	       0      183        3        7      173
31245 libixion-0.14-0                    	       0        1        0        0        1
31246 libixion-0.17-0                    	       0        1        0        0        1
31247 libixion-0.18-0                    	       0        1        0        0        1
31248 libixml10                          	       0     1462       14       35     1413
31249 libixml11                          	       0       52        0        2       50
31250 libixml11t64                       	       0      141        3       11      127
31251 libixp0                            	       0        1        0        0        1
31252 libj2ssh-java                      	       0        9        0        0        9
31253 libjaba-client-java                	       0        2        0        0        2
31254 libjack-dev                        	       0       52        0       52        0
31255 libjack-jackd2-dev                 	       0       91        1       90        0
31256 libjack0                           	       0       64        3       13       48
31257 libjackrabbit-java                 	       0       11        0        0       11
31258 libjackson-json-java               	       0       13        0        0       13
31259 libjackson2-annotations-java       	       0       98        0        0       98
31260 libjackson2-annotations-java-doc   	       0        5        0        0        5
31261 libjackson2-core-java              	       0      172        0        0      172
31262 libjackson2-core-java-doc          	       0        2        0        0        2
31263 libjackson2-databind-java          	       0       97        0        0       97
31264 libjackson2-databind-java-doc      	       0        2        0        0        2
31265 libjackson2-dataformat-cbor        	       0        1        0        0        1
31266 libjackson2-dataformat-smile       	       0        2        0        0        2
31267 libjackson2-dataformat-smile-doc   	       0        1        0        0        1
31268 libjackson2-dataformat-xml-java    	       0        6        0        0        6
31269 libjackson2-dataformat-xml-java-doc	       0        2        0        0        2
31270 libjackson2-dataformat-yaml        	       0        7        0        0        7
31271 libjackson2-dataformat-yaml-doc    	       0        2        0        0        2
31272 libjackson2-jr-java                	       0       63        0        0       63
31273 libjackson2-module-jaxb-annotations-java	       0        1        0        0        1
31274 libjacoco-java                     	       0        2        0        0        2
31275 libjaffl-java                      	       0        2        0        0        2
31276 libjakarta-activation-java         	       0        3        0        0        3
31277 libjakarta-servlet-api-java        	       0        6        0        0        6
31278 libjam-java                        	       0        1        0        0        1
31279 libjama-dev                        	       0        1        0        1        0
31280 libjama-java                       	       0        3        0        0        3
31281 libjameica-datasource-java         	       0        4        0        0        4
31282 libjameica-util-java               	       0        4        0        0        4
31283 libjamon-java                      	       0        1        0        0        1
31284 libjanino-java                     	       0       37        0        0       37
31285 libjanino-java-doc                 	       0        3        0        0        3
31286 libjansi-java                      	       0      492        0        0      492
31287 libjansi-native-java               	       0      373        0        0      373
31288 libjansi1-java                     	       0       80        0        0       80
31289 libjansson-doc                     	       0        1        0        0        1
31290 libjargs-java                      	       0        8        0        0        8
31291 libjarjar-java                     	       0       50        0        0       50
31292 libjarjar-maven-plugin-java        	       0        1        0        0        1
31293 libjarjar-maven-plugin-java-doc    	       0        1        0        0        1
31294 libjas-java                        	       0       33        0        0       33
31295 libjas-plotter-java                	       0       10        0        0       10
31296 libjasper-1.701-1                  	       0        3        0        0        3
31297 libjasper-dev                      	       0       12        0       12        0
31298 libjasper-runtime                  	       0        1        0        1        0
31299 libjasper1                         	       0      147        0        1      146
31300 libjasypt-java                     	       0        2        0        0        2
31301 libjatl-java                       	       0       49        0        0       49
31302 libjaudiotagger-java               	       0        1        0        0        1
31303 libjaula1                          	       0        2        0        0        2
31304 libjaula1t64                       	       0        1        0        0        1
31305 libjava-classpath-clojure          	       0        2        0        0        2
31306 libjava-gnome-java                 	       0        4        0        0        4
31307 libjava-gnome-jni                  	       0        4        0        4        0
31308 libjava-jdbc-clojure               	       0        1        0        0        1
31309 libjava-jmx-clojure                	       0        1        0        0        1
31310 libjava-string-similarity-java     	       0       24        0        0       24
31311 libjava-xmlbuilder-java            	       0        1        0        0        1
31312 libjava3d-java                     	       0       70        0        0       70
31313 libjava3d-java-doc                 	       0        1        0        0        1
31314 libjava3d-jni                      	       0       70        0       70        0
31315 libjavacc-maven-plugin-java        	       0        3        0        0        3
31316 libjavaewah-java                   	       0       56        0        0       56
31317 libjavafxsvg-java                  	       0        1        0        0        1
31318 libjavaparser-java                 	       0       83        0        0       83
31319 libjavapoet-java                   	       0        2        0        0        2
31320 libjavascriptcoregtk-1.0-0         	       0       53        0        0       53
31321 libjavascriptcoregtk-1.0-dev       	       0        3        0        3        0
31322 libjavascriptcoregtk-3.0-0         	       0       58        0        0       58
31323 libjavascriptcoregtk-3.0-bin       	       0        1        0        1        0
31324 libjavascriptcoregtk-3.0-dev       	       0        2        0        2        0
31325 libjavascriptcoregtk-4.0-18-dbgsym 	       0        1        0        1        0
31326 libjavascriptcoregtk-4.0-bin       	       0        3        0        3        0
31327 libjavascriptcoregtk-4.1-dev       	       0       10        0       10        0
31328 libjavascriptcoregtk-5.0-0         	       0        1        0        0        1
31329 libjavascriptcoregtk-6.0-dev       	       0        5        0        5        0
31330 libjavassist-java                  	       0        4        0        0        4
31331 libjavawriter-java                 	       0        3        0        0        3
31332 libjavawriter-java-doc             	       0        1        0        0        1
31333 libjawn-java                       	       0        1        0        0        1
31334 libjaxb-api-java                   	       0      398        0        0      398
31335 libjaxb-java                       	       0      333        0        0      333
31336 libjaxe-java                       	       0        1        0        0        1
31337 libjaxen-java                      	       0      502        0        0      502
31338 libjaxme-java                      	       0        4        0        0        4
31339 libjaxp1.3-java                    	       0      624        0        0      624
31340 libjaxp1.3-java-gcj                	       0        1        0        1        0
31341 libjaxrs-api-java                  	       0       17        0        0       17
31342 libjaxws-api-java                  	       0        4        0        0        4
31343 libjaxws-java                      	       0        3        0        0        3
31344 libjazzy-java                      	       0        1        0        0        1
31345 libjbcrypt-java                    	       0        3        0        0        3
31346 libjbig2dec0                       	       0     3569        3       12     3554
31347 libjbig2dec0-dev                   	       0        6        1        5        0
31348 libjbig2enc-dev                    	       0        1        0        1        0
31349 libjbig2enc0                       	       0        1        0        0        1
31350 libjbig2enc0t64                    	       0        8        0        0        8
31351 libjboss-classfilewriter-java      	       0        1        0        0        1
31352 libjboss-classfilewriter-java-doc  	       0        1        0        0        1
31353 libjboss-jdeparser2-java           	       0       51        0        0       51
31354 libjboss-logging-java              	       0       66        0        0       66
31355 libjboss-logging-java-doc          	       0        2        0        0        2
31356 libjboss-logging-tools-java        	       0       51        0        0       51
31357 libjboss-logmanager-java           	       0        1        0        0        1
31358 libjboss-logmanager-java-doc       	       0        1        0        0        1
31359 libjboss-vfs-java                  	       0        4        0        0        4
31360 libjbzip2-java                     	       0        4        0        0        4
31361 libjchart2d-java                   	       0       19        0        0       19
31362 libjcharts-java                    	       0        2        0        0        2
31363 libjcifs-java                      	       0       66        0        0       66
31364 libjcifs-java-doc                  	       0        2        0        0        2
31365 libjcip-annotations-java           	       0       68        0        0       68
31366 libjcodings-java                   	       0        8        0        0        8
31367 libjcommander-java                 	       0      117        0        0      117
31368 libjcommander-java-doc             	       0        7        0        0        7
31369 libjcommon-java                    	       0      764        0        0      764
31370 libjcommon-java-doc                	       0        6        0        0        6
31371 libjconv-bin                       	       0        2        0        2        0
31372 libjconv2                          	       0        2        0        0        2
31373 libjcsp-java                       	       0       72        0        0       72
31374 libjcsp-java-doc                   	       0        1        0        0        1
31375 libjctools-java                    	       0       30        0        0       30
31376 libjdeb-java                       	       0        1        0        0        1
31377 libjdepend-java                    	       0       10        0        0       10
31378 libjdependency-java                	       0        1        0        0        1
31379 libjdns2                           	       0        1        0        0        1
31380 libjdom1-java                      	       0      451        0        0      451
31381 libjdom1-java-doc                  	       0        7        0        0        7
31382 libjdom2-intellij-java             	       0        1        0        0        1
31383 libjdom2-intellij-java-doc         	       0        1        0        0        1
31384 libjdom2-java                      	       0       28        0        0       28
31385 libjdom2-java-doc                  	       0        1        0        0        1
31386 libjebl2-java                      	       0        1        0        0        1
31387 libjellyfish-2.0-2                 	       0        1        0        0        1
31388 libjemalloc-dev                    	       0       21        1       20        0
31389 libjemalloc1                       	       0       84        1        0       83
31390 libjemalloc2                       	       0     3012       62      126     2824
31391 libjemmy2-java                     	       0        3        0        0        3
31392 libjempbox-java                    	       0       24        0        0       24
31393 libjenkins-trilead-ssh2-java       	       0        1        0        0        1
31394 libjerasure2                       	       0        1        0        0        1
31395 libjericho-html-java               	       0       18        0        0       18
31396 libjeromq-java                     	       0        6        0        0        6
31397 libjersey1-client-java             	       0        1        0        0        1
31398 libjersey1-core-java               	       0        2        0        0        2
31399 libjersey1-server-java             	       0        1        0        0        1
31400 libjetbrains-annotations-java      	       0      335        0        0      335
31401 libjetbrains-annotations-java-doc  	       0        5        0        0        5
31402 libjets3t-java                     	       0        1        0        0        1
31403 libjettison-java                   	       0        9        0        0        9
31404 libjetty8-java                     	       0        3        0        0        3
31405 libjetty8-java-doc                 	       0        1        0        0        1
31406 libjetty9-extra-java               	       0        6        0        0        6
31407 libjetty9-java                     	       0       73        0        0       73
31408 libjeuclid-core-java               	       0       21        0        0       21
31409 libjeuclid-fop-java                	       0        2        0        0        2
31410 libjexcelapi-java                  	       0        6        0        0        6
31411 libjffi-java                       	       0       30        0        0       30
31412 libjffi-jni                        	       0       30        0        0       30
31413 libjformatstring-java              	       0       49        0        0       49
31414 libjfreechart-java                 	       0       45        0        0       45
31415 libjfreechart-java-doc             	       0        1        0        0        1
31416 libjfreesvg-java                   	       0        2        0        0        2
31417 libjfugue-java                     	       0       33        0        0       33
31418 libjgit-java                       	       0       55        0        0       55
31419 libjgoodies-animation-java         	       0        1        0        0        1
31420 libjgoodies-common-java            	       0       70        0        0       70
31421 libjgoodies-forms-java             	       0       55        0        0       55
31422 libjgoodies-looks-java             	       0       42        0        0       42
31423 libjgraph-java                     	       0        3        0        0        3
31424 libjgrapht-java                    	       0        1        0        0        1
31425 libjgrapht0.6-java                 	       0        2        0        0        2
31426 libjgrapht0.8-java                 	       0        2        0        0        2
31427 libjgraphx-java                    	       0       17        0        0       17
31428 libjgroups-java                    	       0        1        0        0        1
31429 libjhdf4-java                      	       0        1        0        0        1
31430 libjhdf4-jni                       	       0        1        0        1        0
31431 libjhdf5-java                      	       0        1        0        0        1
31432 libjhdf5-jni                       	       0        1        0        1        0
31433 libjheaps-java                     	       0        1        0        0        1
31434 libjhighlight-java                 	       0        1        0        0        1
31435 libjhlabs-filters-java             	       0       27        0        0       27
31436 libjibx1.1-java                    	       0        6        0        0        6
31437 libjibx1.2-java                    	       0        1        0        0        1
31438 libjiconfont-font-awesome-java     	       0       19        0        0       19
31439 libjiconfont-java                  	       0       19        0        0       19
31440 libjiconfont-swing-java            	       0       19        0        0       19
31441 libjide-oss-java                   	       0       20        0        0       20
31442 libjim-dev                         	       0        1        0        1        0
31443 libjim0.75                         	       0       33        0        0       33
31444 libjim0.76                         	       0       83        0        0       83
31445 libjim0.77                         	       0      138        0        0      138
31446 libjim0.79                         	       0      480        0        0      480
31447 libjim0.81                         	       0     2080        0        0     2080
31448 libjim0.82                         	       0       17        0        0       17
31449 libjim0.82t64                      	       0       62        0        0       62
31450 libjim0.83                         	       0      146        0        0      146
31451 libjim0debian2                     	       0        6        0        0        6
31452 libjimfs-java                      	       0        2        0        0        2
31453 libjing-java                       	       0       14        0        0       14
31454 libjinglebase0.3-0                 	       0        1        0        0        1
31455 libjinglep2p0.3-0                  	       0        1        0        0        1
31456 libjinglesession0.3-0              	       0        1        0        0        1
31457 libjinglexmllite0.3-0              	       0        1        0        0        1
31458 libjinglexmpp0.3-0                 	       0        1        0        0        1
31459 libjinput-java                     	       0        5        0        0        5
31460 libjinput-jni                      	       0        5        0        5        0
31461 libjitescript-java                 	       0        4        0        0        4
31462 libjitterentropy                   	       0        1        0        0        1
31463 libjitterentropy-dev               	       0        1        0        1        0
31464 libjlapack-java                    	       0        1        0        0        1
31465 libjlatexmath-fop-java             	       0       16        0        0       16
31466 libjlatexmath-java                 	       0       93        0        0       93
31467 libjlayer-java                     	       0        9        0        0        9
31468 libjlha-java                       	       0       16        0        0       16
31469 libjlha-java-doc-ja                	       0        2        0        0        2
31470 libjlibeps-java                    	       0       12        0        0       12
31471 libjline-java                      	       0       68        0        0       68
31472 libjline-java-doc                  	       0        9        0        0        9
31473 libjline2-java                     	       0      102        0        0      102
31474 libjline3-java                     	       0        3        0        0        3
31475 libjmac-java                       	       0        1        0        1        0
31476 libjmagick7-java                   	       0        1        0        0        1
31477 libjmagick7-jni                    	       0        1        1        0        0
31478 libjmdns-java                      	       0       87        0        0       87
31479 libjmol-java                       	       0       15        0        0       15
31480 libjna-java                        	       0      176        0        0      176
31481 libjna-java-doc                    	       0        3        0        0        3
31482 libjna-jni                         	       0      176        0        2      174
31483 libjna-platform-java               	       0      112        0        0      112
31484 libjnacl-java                      	       0        1        0        0        1
31485 libjni-inchi-java                  	       0       14        0        0       14
31486 libjni-inchi-jni                   	       0       14        0       14        0
31487 libjnlp-servlet-java               	       0        3        0        0        3
31488 libjnr-constants-java              	       0       30        0        0       30
31489 libjnr-enxio-java                  	       0        7        0        0        7
31490 libjnr-enxio-java-doc              	       0        1        0        0        1
31491 libjnr-ffi-java                    	       0       30        0        0       30
31492 libjnr-ffi-java-doc                	       0        6        0        0        6
31493 libjnr-netdb-java                  	       0       26        0        0       26
31494 libjnr-netdb-java-doc              	       0        5        0        0        5
31495 libjnr-posix-java                  	       0       30        0        0       30
31496 libjnr-posix-java-doc              	       0        6        0        0        6
31497 libjnr-unixsocket-java             	       0        7        0        0        7
31498 libjnr-x86asm-java                 	       0       30        0        0       30
31499 libjoda-convert-java               	       0        5        0        0        5
31500 libjoda-convert-java-doc           	       0        1        0        0        1
31501 libjoda-time-java                  	       0       30        0        0       30
31502 libjoda-time-java-doc              	       0        2        0        0        2
31503 libjodconverter-java               	       0        3        0        0        3
31504 libjodconverter-java-doc           	       0        1        0        0        1
31505 libjodycode3                       	       0        2        0        0        2
31506 libjodycode3t64                    	       0        3        0        0        3
31507 libjogl-java                       	       0        1        0        0        1
31508 libjogl-jni                        	       0        1        0        1        0
31509 libjogl2-java                      	       0       20        0        0       20
31510 libjogl2-java-doc                  	       0        1        0        0        1
31511 libjogl2-jni                       	       0       20        0       20        0
31512 libjogl2-toolkits                  	       0        1        0        0        1
31513 libjolokia-core-java               	       0        1        0        0        1
31514 libjopendocument-java              	       0        7        0        0        7
31515 libjoptsimple-java                 	       0        9        0        0        9
31516 libjorbis-java                     	       0        1        0        0        1
31517 libjose-dev                        	       0        1        0        1        0
31518 libjose0                           	       0       10        0        0       10
31519 libjpa-2.1-spec-java               	       0        4        0        0        4
31520 libjpedal-jbig2-java               	       0        1        0        0        1
31521 libjpeg-dev                        	       0      678        0        0      678
31522 libjpeg-progs                      	       0        8        1        7        0
31523 libjpeg-tools                      	       0        7        0        7        0
31524 libjpeg8                           	       0       54        0        0       54
31525 libjpeg8-dev                       	       0        8        0        8        0
31526 libjpeg9                           	       0        7        0        0        7
31527 libjpegqs0                         	       0        1        0        0        1
31528 libjpf-java                        	       0        7        0        0        7
31529 libjpfcodegen-java                 	       0        2        0        0        2
31530 libjpgalleg4-dev                   	       0        1        0        1        0
31531 libjpgalleg4.4                     	       0       13        0        0       13
31532 libjpge-dev                        	       0        1        0        1        0
31533 libjpge0                           	       0        1        0        0        1
31534 libjq-dev                          	       0        5        0        5        0
31535 libjq1                             	       0     1177        0        0     1177
31536 libjrosetta-java                   	       0       16        0        0       16
31537 libjruby-joni-java                 	       0        4        1        3        0
31538 libjruby-utils-clojure             	       0        1        0        0        1
31539 libjs-angular-file-upload          	       0        1        0        0        1
31540 libjs-angular-gettext              	       0        1        0        0        1
31541 libjs-angular-schema-form          	       0        1        0        0        1
31542 libjs-angularjs                    	       0       19        0        0       19
31543 libjs-angularjs-smart-table        	       0        1        0        0        1
31544 libjs-asciimathml                  	       0        1        0        1        0
31545 libjs-async                        	       0      170        0        0      170
31546 libjs-backbone                     	       0       71        0        0       71
31547 libjs-bootbox                      	       0        1        0        0        1
31548 libjs-bootsidemenu                 	       0        2        0        0        2
31549 libjs-bootstrap                    	       0      244        0        0      244
31550 libjs-bootstrap-tour               	       0       57        0        0       57
31551 libjs-bootstrap4                   	       0      313        0        1      312
31552 libjs-bootstrap5                   	       0       19        0        0       19
31553 libjs-bootstrap5-doc               	       0        2        0        0        2
31554 libjs-bootswatch                   	       0       47        0        0       47
31555 libjs-c3                           	       0        2        0        0        2
31556 libjs-chart.js                     	       0        6        0        0        6
31557 libjs-chosen                       	       0        4        0        0        4
31558 libjs-coffeescript                 	       0       37        0        0       37
31559 libjs-cropper                      	       0       25        0        0       25
31560 libjs-d3                           	       0       75        0        0       75
31561 libjs-d3-format                    	       0        3        0        0        3
31562 libjs-d3-tip                       	       0        5        0        0        5
31563 libjs-dojo-core                    	       0        1        0        0        1
31564 libjs-dojo-dijit                   	       0        1        0        0        1
31565 libjs-dojo-dojox                   	       0        1        0        1        0
31566 libjs-elycharts                    	       0        4        0        0        4
31567 libjs-emojify                      	       0        2        0        0        2
31568 libjs-emojione                     	       0        2        0        0        2
31569 libjs-eonasdan-bootstrap-datetimepicker	       0        5        0        0        5
31570 libjs-es5-shim                     	       0       44        0        0       44
31571 libjs-es6-promise                  	       0       57        0        0       57
31572 libjs-events                       	       0      173        0        0      173
31573 libjs-excanvas                     	       0       59        0        0       59
31574 libjs-extjs                        	       0        1        0        0        1
31575 libjs-highlight.js                 	       0      362        0        0      362
31576 libjs-htmx                         	       0        1        0        0        1
31577 libjs-i18next                      	       0        1        0        0        1
31578 libjs-impress                      	       0        4        0        0        4
31579 libjs-inherits                     	       0      225        0        0      225
31580 libjs-is-typedarray                	       0      211        0        0      211
31581 libjs-ismobilejs                   	       0        1        0        0        1
31582 libjs-jed                          	       0       57        0        0       57
31583 libjs-jquery                       	       0     2954        0        0     2954
31584 libjs-jquery-atwho                 	       0        1        0        0        1
31585 libjs-jquery-colorbox              	       0        2        0        0        2
31586 libjs-jquery-colorpicker           	       0        5        0        0        5
31587 libjs-jquery-cookie                	       0       24        0        0       24
31588 libjs-jquery-datatables            	       0       71        0        0       71
31589 libjs-jquery-datatables-extensions 	       0       35        0        0       35
31590 libjs-jquery-easing                	       0       56        0        0       56
31591 libjs-jquery-fancybox              	       0       56        0        0       56
31592 libjs-jquery-file-upload           	       0        1        0        1        0
31593 libjs-jquery-flot                  	       0       32        0        0       32
31594 libjs-jquery-flot-docs             	       0        1        0        0        1
31595 libjs-jquery-form                  	       0        8        0        0        8
31596 libjs-jquery-hotkeys               	       0       55        0        0       55
31597 libjs-jquery-i18n-properties       	       0        5        0        0        5
31598 libjs-jquery-isonscreen            	       0       50        0        0       50
31599 libjs-jquery-jplayer               	       0        1        0        0        1
31600 libjs-jquery-jstree                	       0        4        0        0        4
31601 libjs-jquery-lazyload              	       0        2        0        0        2
31602 libjs-jquery-metadata              	       0      112        0        0      112
31603 libjs-jquery-migrate-1             	       0        2        0        0        2
31604 libjs-jquery-minicolors            	       0       12        0        0       12
31605 libjs-jquery-mobile                	       0        3        0        0        3
31606 libjs-jquery-mousewheel            	       0      112        0        0      112
31607 libjs-jquery-placeholder           	       0        5        0        0        5
31608 libjs-jquery-scrollto              	       0       25        0        0       25
31609 libjs-jquery-selectize.js          	       0       41        0        0       41
31610 libjs-jquery-tablesorter           	       0      112        0        0      112
31611 libjs-jquery-throttle-debounce     	       0       42        0       25       17
31612 libjs-jquery-timepicker            	       0       58        0        0       58
31613 libjs-jquery-tipsy                 	       0        1        0        0        1
31614 libjs-jquery-typeahead             	       0       57        0        0       57
31615 libjs-jquery-ui                    	       0      744        0        0      744
31616 libjs-jquery-ui-theme-base         	       0       42        0        0       42
31617 libjs-jquery-ui-theme-redmond      	       0        1        0        0        1
31618 libjs-jquery-ui-theme-smoothness   	       0       15        0        0       15
31619 libjs-jquery-ui-theme-south-street 	       0        3        0        0        3
31620 libjs-jquery-ui-theme-ui-darkness  	       0        3        0        0        3
31621 libjs-jquery-ui-theme-ui-lightness 	       0       12        0        0       12
31622 libjs-jquery-ui-touch-punch        	       0        3        0        0        3
31623 libjs-jquery.quicksearch           	       0        1        0        0        1
31624 libjs-jsencrypt                    	       0        1        0        0        1
31625 libjs-json                         	       0       46        0        0       46
31626 libjs-jstimezonedetect             	       0       15        0        0       15
31627 libjs-katex                        	       0       37        0        0       37
31628 libjs-leaflet                      	       0       72        0        0       72
31629 libjs-leaflet.markercluster        	       0        1        0        0        1
31630 libjs-lightbox2                    	       0        1        0        0        1
31631 libjs-lodash                       	       0       10        0        0       10
31632 libjs-lrdragndrop                  	       0        1        0        0        1
31633 libjs-lunr                         	       0      155        0        0      155
31634 libjs-magic-search                 	       0        1        0        0        1
31635 libjs-marked                       	       0       62        0        0       62
31636 libjs-mathjax                      	       0     2176        0        0     2176
31637 libjs-mathjax-doc                  	       0        9        0        0        9
31638 libjs-mediaelement                 	       0        2        0        0        2
31639 libjs-microplugin.js               	       0       42        0        0       42
31640 libjs-mochikit                     	       0        4        0        4        0
31641 libjs-modernizr                    	       0      301        0        0      301
31642 libjs-modestmaps                   	       0        1        0        0        1
31643 libjs-moment                       	       0       65        0        0       65
31644 libjs-moment-timezone              	       0        7        0        0        7
31645 libjs-mootools                     	       0       33        0        0       33
31646 libjs-mustache                     	       0       10        0        0       10
31647 libjs-node-uuid                    	       0        4        0        0        4
31648 libjs-nouislider                   	       0        2        0        0        2
31649 libjs-objectpath                   	       0        1        0        0        1
31650 libjs-of-ocaml                     	       0        1        0        1        0
31651 libjs-of-ocaml-dev                 	       0        1        0        1        0
31652 libjs-openlayers                   	       0       26        0        0       26
31653 libjs-pdf                          	       0        4        0        0        4
31654 libjs-prettify                     	       0      236        0        0      236
31655 libjs-prototype                    	       0       59        0        0       59
31656 libjs-psl                          	       0       65        0        0       65
31657 libjs-punycode                     	       0        3        0        0        3
31658 libjs-raphael                      	       0        7        0        0        7
31659 libjs-regenerate                   	       0      181        0        0      181
31660 libjs-remark-slide                 	       0       32        0        0       32
31661 libjs-requirejs                    	       0      108        0        0      108
31662 libjs-requirejs-text               	       0       64        0        0       64
31663 libjs-rickshaw                     	       0       11        0        0       11
31664 libjs-s5                           	       0        4        0        0        4
31665 libjs-sax                          	       0        2        0        0        2
31666 libjs-scriptaculous                	       0       45        0        0       45
31667 libjs-select2.js                   	       0        1        0        0        1
31668 libjs-sifter.js                    	       0       42        0        0       42
31669 libjs-sizzle                       	       0      304        0        0      304
31670 libjs-skeleton                     	       0        2        0        0        2
31671 libjs-source-map                   	       0      200        0        0      200
31672 libjs-sphinxdoc                    	       0     2413        0        0     2413
31673 libjs-spin.js                      	       0        1        0        0        1
31674 libjs-sprintf-js                   	       0      190        0        0      190
31675 libjs-strophe                      	       0        1        0        0        1
31676 libjs-swfobject                    	       0        3        0        0        3
31677 libjs-term.js                      	       0        9        0        0        9
31678 libjs-text-encoding                	       0       57        0        0       57
31679 libjs-three                        	       0       87        0        1       86
31680 libjs-toastr                       	       0        1        0        0        1
31681 libjs-tv4                          	       0        1        0        0        1
31682 libjs-twitter-bootstrap            	       0        1        0        0        1
31683 libjs-twitter-bootstrap-datepicker 	       0       42        0        0       42
31684 libjs-typedarray-to-buffer         	       0      203        0        0      203
31685 libjs-uglify                       	       0        5        0        0        5
31686 libjs-underscore                   	       0     2688        0        0     2688
31687 libjs-util                         	       0      181        0        0      181
31688 libjs-x2gokdriveclient             	       0        1        0        0        1
31689 libjs-xmlextras                    	       0       55        0        0       55
31690 libjs-xterm                        	       0       55        0        0       55
31691 libjsamp-java                      	       0        3        0        0        3
31692 libjsap-java                       	       0        3        0        0        3
31693 libjsap-java-doc                   	       0        1        0        0        1
31694 libjsch-agent-proxy-java           	       0        9        0        0        9
31695 libjsch-java                       	       0      181        0        0      181
31696 libjsch-java-doc                   	       0        1        0        0        1
31697 libjsofa-java                      	       0        2        0        0        2
31698 libjson-any-perl                   	       0        7        0        7        0
31699 libjson-c-doc                      	       0        2        0        0        2
31700 libjson-c2                         	       0      255        1        8      246
31701 libjson-c3                         	       0      383        3        3      377
31702 libjson-c4                         	       0        7        0        1        6
31703 libjson-glib-1.0-common            	       0     3464        0        3     3461
31704 libjson-glib-dev                   	       0       77        1       76        0
31705 libjson-glib-doc                   	       0        3        0        0        3
31706 libjson-parse-perl                 	       0      100        0        0      100
31707 libjson-path-perl                  	       0        1        0        1        0
31708 libjson-pp-perl                    	       0        6        0        6        0
31709 libjson-rpc-perl                   	       0        3        0        3        0
31710 libjson-simple-doc                 	       0        3        0        0        3
31711 libjson-simple-java                	       0       32        0        0       32
31712 libjson-validator-perl             	       0        3        1        2        0
31713 libjson-webtoken-perl              	       0        7        0        7        0
31714 libjson0                           	       0       16        0        0       16
31715 libjson4s-java                     	       0        1        0        0        1
31716 libjsoncpp-dev                     	       0       66        1       65        0
31717 libjsoncpp-doc                     	       0        1        0        0        1
31718 libjsoncpp0                        	       0       24        0        0       24
31719 libjsoncpp0v5                      	       0        1        0        0        1
31720 libjsoncpp1                        	       0      190        1        1      188
31721 libjsoncpp24                       	       0      265        6       19      240
31722 libjsoncpp26                       	       0       65        0        3       62
31723 libjsonld-java                     	       0        2        0        0        2
31724 libjsonld-perl                     	       0        1        0        1        0
31725 libjsonp-java                      	       0       17        0        0       17
31726 libjsonp2-java                     	       0        2        0        0        2
31727 libjsonparser-dev                  	       0        2        0        2        0
31728 libjsonparser1.1                   	       0       40        1        3       36
31729 libjsonrpc-glib-1.0-1              	       0        4        0        0        4
31730 libjsonrpc-glib-1.0-dev            	       0        1        0        1        0
31731 libjsoup-java                      	       0      393        0        0      393
31732 libjsoup-java-doc                  	       0        1        0        0        1
31733 libjsp-api-java                    	       0      799        0        0      799
31734 libjspeex-java                     	       0        1        0        0        1
31735 libjsr107cache-java                	       0        5        0        0        5
31736 libjsr166y-java                    	       0       86        0        0       86
31737 libjsr305-java                     	       0      504        0        0      504
31738 libjsr305-java-doc                 	       0        4        0        0        4
31739 libjsr311-api-java                 	       0        6        0        0        6
31740 libjsr311-api-java-doc             	       0        1        0        0        1
31741 libjsw2                            	       0        1        0        0        1
31742 libjswingreader-java               	       0        2        0        0        2
31743 libjsyntaxpane-java                	       0       32        0        0       32
31744 libjsyntaxpane-java-doc            	       0        1        0        0        1
31745 libjtds-java                       	       0        6        0        0        6
31746 libjte1                            	       0      155        0        0      155
31747 libjte2                            	       0     2102        0        0     2102
31748 libjtharness-java                  	       0        2        0        0        2
31749 libjtidy-java                      	       0       23        0        0       23
31750 libjts-java                        	       0        4        0        0        4
31751 libjudy-dev                        	       0        3        0        3        0
31752 libjudydebian1                     	       0       88        0        1       87
31753 libjuff0.10                        	       0        5        0        0        5
31754 libjuff0.10t64                     	       0        2        0        0        2
31755 libjulia-dev                       	       0        1        0        1        0
31756 libjulia1                          	       0       16        0        0       16
31757 libjung-free-java                  	       0        1        0        0        1
31758 libjuniversalchardet-java          	       0       13        0        0       13
31759 libjuniversalchardet-java-doc      	       0        1        0        0        1
31760 libjunixsocket-java                	       0       13        0        0       13
31761 libjunixsocket-jni                 	       0       13        0        0       13
31762 libjutils-java                     	       0        5        0        0        5
31763 libjvyamlb-java                    	       0        3        0        0        3
31764 libjws-api-java                    	       0        4        0        0        4
31765 libjwt-dev                         	       0        1        0        1        0
31766 libjwt-gnutls-dev                  	       0        1        0        1        0
31767 libjwt-gnutls0                     	       0        7        1        1        5
31768 libjwt-gnutls2                     	       0        2        0        0        2
31769 libjwt0                            	       0        2        0        0        2
31770 libjwt2                            	       0        1        1        0        0
31771 libjxl-gdk-pixbuf                  	       0       43        1        0       42
31772 libjxl-testdata                    	       0        1        0        0        1
31773 libjxl-tools                       	       0        7        1        6        0
31774 libjxl0                            	       0        1        0        0        1
31775 libjxl0.8                          	       0        7        0        1        6
31776 libjxl0.9                          	       0      186        6       94       86
31777 libjxr-dev                         	       0        4        0        4        0
31778 libjxr0                            	       0     2929        0        6     2923
31779 libjxr0t64                         	       0      215        0        0      215
31780 libjzlib-java                      	       0      182        0        0      182
31781 libk3b-dev                         	       0        2        0        2        0
31782 libk3b-extracodecs                 	       0      155        0        1      154
31783 libk3b3-extracodecs-trinity        	       0       16        1       15        0
31784 libk3b3-trinity                    	       0       19        1       18        0
31785 libk3b6                            	       0       15        1       14        0
31786 libk3b6-extracodecs                	       0       15        1       14        0
31787 libk3b7                            	       0      110        0        0      110
31788 libk3b7-extracodecs                	       0      107        0        0      107
31789 libk3b8                            	       0      161        0        0      161
31790 libk3b8t64                         	       0        8        0        1        7
31791 libkabc4                           	       0       29        1       28        0
31792 libkaccounts1                      	       0       34        0        0       34
31793 libkaccounts6-2                    	       0       39        2        2       35
31794 libkactivities-bin                 	       0        6        1        5        0
31795 libkactivities-models1             	       0        7        0        0        7
31796 libkactivities6                    	       0       43        0        1       42
31797 libkadm5clnt-mit11                 	       0       34        0        0       34
31798 libkadm5clnt-mit12                 	       0      194        0        3      191
31799 libkadm5clnt-mit7                  	       0       14        0        0       14
31800 libkadm5clnt-mit8                  	       0        6        0        0        6
31801 libkadm5clnt-mit9                  	       0        5        0        0        5
31802 libkadm5clnt7-heimdal              	       0       21        0        0       21
31803 libkadm5clnt7t64-heimdal           	       0        1        0        0        1
31804 libkadm5srv-mit11                  	       0       34        0        0       34
31805 libkadm5srv-mit12                  	       0      194        1        3      190
31806 libkadm5srv-mit7                   	       0       14        0        0       14
31807 libkadm5srv-mit9                   	       0        4        0        0        4
31808 libkadm5srv8-heimdal               	       0       26        0        1       25
31809 libkadm5srv8t64-heimdal            	       0        1        0        0        1
31810 libkafs0-heimdal                   	       0       21        0        0       21
31811 libkafs0t64-heimdal                	       0        1        0        0        1
31812 libkakasi2                         	       0        1        0        0        1
31813 libkalarmcal2                      	       0        5        0        0        5
31814 libkarma0                          	       0        9        0        0        9
31815 libkasten2controllers2             	       0        1        0        0        1
31816 libkasten2core2                    	       0        1        0        0        1
31817 libkasten2gui2                     	       0        1        0        0        1
31818 libkasten2okteta1controllers1abi1  	       0        1        0        0        1
31819 libkasten2okteta1core1             	       0        1        0        0        1
31820 libkasten2okteta1gui1              	       0        1        0        0        1
31821 libkasten3controllers3             	       0        5        0        0        5
31822 libkasten3core3                    	       0        5        0        0        5
31823 libkasten3gui3                     	       0        5        0        0        5
31824 libkasten3okteta1controllers1      	       0        3        0        0        3
31825 libkasten3okteta1controllers1abi1  	       0        2        0        0        2
31826 libkasten3okteta1core1             	       0        5        0        0        5
31827 libkasten3okteta1gui1              	       0        5        0        0        5
31828 libkasten4controllers0             	       0       99        0        1       98
31829 libkasten4core0                    	       0       99        0        1       98
31830 libkasten4gui0                     	       0       99        0        1       98
31831 libkasten4okteta2controllers0      	       0       99        0        1       98
31832 libkasten4okteta2core0             	       0       99        0        1       98
31833 libkasten4okteta2gui0              	       0       99        0        1       98
31834 libkate-dev                        	       0       10        1        9        0
31835 libkate1                           	       0     3036        1        8     3027
31836 libkateinterfaces4                 	       0        2        0        0        2
31837 libkatepartinterfaces4             	       0       45        0        0       45
31838 libkblog4                          	       0        3        0        0        3
31839 libkcal4                           	       0        6        1        5        0
31840 libkcalcore4                       	       0        7        0        1        6
31841 libkcalendarutils-data             	       0        8        0        0        8
31842 libkcalutils4                      	       0        7        0        1        6
31843 libkcarchiver0                     	       0        1        1        0        0
31844 libkcarchivercore0                 	       0        1        1        0        0
31845 libkcddb4                          	       0       16        0        0       16
31846 libkcddb6-5                        	       0       15        0        1       14
31847 libkcfreebusy0                     	       0        1        1        0        0
31848 libkchart-l10n                     	       0        3        0        0        3
31849 libkchart2                         	       0       55        0        0       55
31850 libkchart2-l10n                    	       0       48        0        0       48
31851 libkchart6-3                       	       0        3        0        0        3
31852 libkcicalmapi0                     	       0        1        1        0        0
31853 libkcinetmapi0                     	       0        1        1        0        0
31854 libkcmutils4                       	       0       45        1        1       43
31855 libkcoidc0                         	       0        1        1        0        0
31856 libkcolorpicker-qt5-0              	       0       19        0        0       19
31857 libkcolorpicker-qt6-0              	       0       19        1        0       18
31858 libkcolorpicker-qt6-dev            	       0        1        0        1        0
31859 libkcolorpicker0                   	       0      530        4       10      516
31860 libkcompactdisc4                   	       0       16        0        0       16
31861 libkcompactdisc6-5                 	       0        7        0        0        7
31862 libkcpyplug0                       	       0        1        0        0        1
31863 libkcrosie0                        	       0        1        1        0        0
31864 libkcserver0                       	       0        1        1        0        0
31865 libkcsoap0                         	       0        1        1        0        0
31866 libkcutil0                         	       0        1        1        0        0
31867 libkdb-data                        	       0       12        0        0       12
31868 libkdb3-4                          	       0        2        0        0        2
31869 libkdb3-4abi1                      	       0       11        0        0       11
31870 libkdb3-dev                        	       0        1        0        1        0
31871 libkdb3-driver-mysql               	       0        5        0        0        5
31872 libkdb3-driver-postgresql          	       0        3        0        0        3
31873 libkdb3-driver-sqlite              	       0       12        0       12        0
31874 libkdb5-10                         	       0      150        1        5      144
31875 libkdb5-10t64                      	       0       45        0        0       45
31876 libkdb5-4                          	       0       14        0        0       14
31877 libkdb5-6                          	       0        6        0        0        6
31878 libkdb5-7                          	       0        4        0        0        4
31879 libkdb5-8                          	       0       14        0        0       14
31880 libkdb5-9                          	       0       20        0        0       20
31881 libkdc2-heimdal                    	       0       15        0        1       14
31882 libkdcraw-data                     	       0        9        0        0        9
31883 libkdcraw23                        	       0        3        0        0        3
31884 libkdcraw3-trinity                 	       0       11        0        0       11
31885 libkdcrawqt6-5                     	       0       22        1        0       21
31886 libkdcrawqt6-dev                   	       0        1        0        1        0
31887 libkde3support4                    	       0       44        0        0       44
31888 libkde4-ruby1.8                    	       0        1        0        0        1
31889 libkdeclarative5                   	       0       44        0        1       43
31890 libkdecorations2-6                 	       0       22        4       14        4
31891 libkdecorations2-dev               	       0        4        0        4        0
31892 libkdecorations2private11          	       0       23        4       14        5
31893 libkdecorations2private5v5         	       0       13        0        0       13
31894 libkdecorations2private6           	       0       25        2        0       23
31895 libkdecorations2private9           	       0        4        0        1        3
31896 libkdecorations3private2           	       0        1        1        0        0
31897 libkdecorations4abi2               	       0        8        0        1        7
31898 libkdecore5                        	       0       46        2       44        0
31899 libkdeedu-data                     	       0        2        0        0        2
31900 libkdeedu3                         	       0        1        0        0        1
31901 libkdegames1                       	       0        1        0        0        1
31902 libkdegames5a                      	       0        1        0        0        1
31903 libkdegames6-6                     	       0       10        0        0       10
31904 libkdegames6-i18n                  	       0       10        0        0       10
31905 libkdegames6abi1                   	       0        4        0        0        4
31906 libkdegames6private6               	       0        5        0        0        5
31907 libkdegamesprivate1abi1            	       0        3        0        0        3
31908 libkdepim-data                     	       0        8        0        0        8
31909 libkdepim-plugins                  	       0        5        0        0        5
31910 libkdepim4                         	       0        4        1        1        2
31911 libkdepimdbusinterfaces4           	       0        4        0        1        3
31912 libkdesu5                          	       0       43        1       42        0
31913 libkdeui5                          	       0       46        1        1       44
31914 libkdewebkit5                      	       0       45        1        1       43
31915 libkdf5-2                          	       0        1        0        0        1
31916 libkdgantt2-0                      	       0        4        0        1        3
31917 libkdl-parser-dev                  	       0        1        0        0        1
31918 libkdl-parser1d                    	       0        1        0        0        1
31919 libkdnssd4                         	       0       44        1        1       42
31920 libkdsingleapplication-qt6-1.0     	       0        5        0        0        5
31921 libkdsingleapplication-qt6-dev     	       0        1        0        1        0
31922 libkdsoap-bin                      	       0        1        0        1        0
31923 libkdsoap-dev                      	       0        1        0        1        0
31924 libkdsoap-doc                      	       0        1        0        0        1
31925 libkdsoap-qt6-2                    	       0       10        0        0       10
31926 libkdsoap-server1                  	       0        1        0        0        1
31927 libkdsoap1                         	       0      624        1        1      622
31928 libkdsoapwsdiscoveryclient0        	       0       10        0        0       10
31929 libkdtree++-dev                    	       0        6        0        0        6
31930 libkdumpfile10                     	       0        1        0        0        1
31931 libkeduvocdocument-data            	       0       48        0        0       48
31932 libkeduvocdocument5                	       0        9        0        0        9
31933 libkeduvocdocument5abi1            	       0       42        0        0       42
31934 libkeduvocdocument5abi2            	       0        6        0        0        6
31935 libkemoticons4                     	       0       44        0        1       43
31936 libkephal4abi1                     	       0        5        0        1        4
31937 libkexiv2-11                       	       0       17        0        1       16
31938 libkexiv2-3-trinity                	       0       11        0        0       11
31939 libkexiv2-data                     	       0       21        0        0       21
31940 libkexiv2qt6-0                     	       0       38        8       12       18
31941 libkexiv2qt6-dev                   	       0        1        0        1        0
31942 libkeybinder-3.0-dev               	       0        1        0        1        0
31943 libkeybinder-dev                   	       0        1        0        1        0
31944 libkeyutils-dev                    	       0        9        0        9        0
31945 libkf5activities-dev               	       0        6        0        6        0
31946 libkf5activities-doc               	       0        3        0        0        3
31947 libkf5activitiesstats-dev          	       0        4        0        4        0
31948 libkf5activitiesstats-doc          	       0        2        0        0        2
31949 libkf5akonadi-data                 	       0      542       13       37      492
31950 libkf5akonadi-dev                  	       0        6        0        6        0
31951 libkf5akonadi-dev-bin              	       0        3        0        3        0
31952 libkf5akonadiagentbase5            	       0      494       23       72      399
31953 libkf5akonadicalendar-dev          	       0        3        0        3        0
31954 libkf5akonadicalendar5             	       0       10        0        0       10
31955 libkf5akonadicontact-dev           	       0        4        0        4        0
31956 libkf5akonadicore-bin              	       0       12        0        0       12
31957 libkf5akonadicore5                 	       0       11        0        0       11
31958 libkf5akonadimime-dev              	       0        4        0        4        0
31959 libkf5akonadinotes-dev             	       0        2        0        2        0
31960 libkf5akonadiprivate5              	       0       14        0        0       14
31961 libkf5akonadisearch-data           	       0      495        0        0      495
31962 libkf5akonadisearch-dev            	       0        2        0        2        0
31963 libkf5akonadisearchcore5           	       0      477       23       68      386
31964 libkf5akonadisearchcore5t64        	       0       16        0        3       13
31965 libkf5akonadisearchdebug5          	       0      472        3       16      453
31966 libkf5akonadisearchdebug5t64       	       0       14        0        0       14
31967 libkf5akonadisearchpim5t64         	       0       16        0        4       12
31968 libkf5akonadisearchxapian5         	       0      477       23       68      386
31969 libkf5akonadisearchxapian5t64      	       0       16        0        3       13
31970 libkf5akonadiserver-dev            	       0        7        0        7        0
31971 libkf5akonadiwidgets5              	       0       11        0        0       11
31972 libkf5akonadixml5                  	       0       47        0        0       47
31973 libkf5alarmcalendar-data           	       0      101        0        0      101
31974 libkf5alarmcalendar5               	       0       10        0        0       10
31975 libkf5alarmcalendar5abi1           	       0       90        1        2       87
31976 libkf5archive-doc                  	       0       17        0        0       17
31977 libkf5attica-dev                   	       0       29        0       29        0
31978 libkf5attica-doc                   	       0       21        0        0       21
31979 libkf5auth-doc                     	       0       23        0        0       23
31980 libkf5baloo-doc                    	       0        3        0        0        3
31981 libkf5baloowidgets-dev             	       0        3        0        3        0
31982 libkf5blog5                        	       0        2        0        0        2
31983 libkf5bluezqt-dev                  	       0        3        0        3        0
31984 libkf5bluezqt-doc                  	       0        3        0        0        3
31985 libkf5bookmarks-dev                	       0       23        0       23        0
31986 libkf5bookmarks-doc                	       0       15        0        0       15
31987 libkf5calendarcore-dev             	       0        4        0        4        0
31988 libkf5calendarcore5                	       0       13        0        0       13
31989 libkf5calendarsupport-data         	       0      487        1        5      481
31990 libkf5calendarsupport-dev          	       0        3        0        3        0
31991 libkf5calendarsupport5             	       0       10        0        0       10
31992 libkf5calendarutils-dev            	       0        4        0        4        0
31993 libkf5cddb-dev                     	       0        2        0        2        0
31994 libkf5cddb5                        	       0      303        0        0      303
31995 libkf5codecs-doc                   	       0       24        0        0       24
31996 libkf5compactdisc-dev              	       0        2        0        2        0
31997 libkf5compactdisc5                 	       0       71        0        0       71
31998 libkf5completion-dev               	       0       26        0       26        0
31999 libkf5completion-doc               	       0       17        0        0       17
32000 libkf5composereditorng5            	       0        1        0        0        1
32001 libkf5config-doc                   	       0       79        0        0       79
32002 libkf5configwidgets-doc            	       0       22        0        0       22
32003 libkf5contacteditor-dev            	       0        4        0        4        0
32004 libkf5contacts-data                	       0      784        0        1      783
32005 libkf5contacts-dev                 	       0        5        0        5        0
32006 libkf5coreaddons-doc               	       0       77        0        0       77
32007 libkf5crash-doc                    	       0       11        0        0       11
32008 libkf5dav-data                     	       0      471        3        0      468
32009 libkf5dav-dev                      	       0        2        0        2        0
32010 libkf5dav5                         	       0      469        3        8      458
32011 libkf5dbusaddons-doc               	       0       74        0        0       74
32012 libkf5declarative-dev              	       0        8        0        8        0
32013 libkf5declarative-doc              	       0        5        0        0        5
32014 libkf5dnssd-data                   	       0      706       13       40      653
32015 libkf5dnssd-dev                    	       0        7        0        7        0
32016 libkf5dnssd-doc                    	       0        6        0        0        6
32017 libkf5dnssd5                       	       0      705       23       77      605
32018 libkf5doctools5                    	       0     1175        0        0     1175
32019 libkf5emoticons-bin                	       0       49        0        1       48
32020 libkf5emoticons-data               	       0       50        0        0       50
32021 libkf5emoticons-doc                	       0        7        0        0        7
32022 libkf5emoticons5                   	       0       49        1        1       47
32023 libkf5eventviews-data              	       0      488        1        3      484
32024 libkf5eventviews-dev               	       0        3        0        3        0
32025 libkf5eventviews5                  	       0       10        0        0       10
32026 libkf5filemetadata-bin             	       0      785        0        6      779
32027 libkf5filemetadata-data            	       0      804        0        7      797
32028 libkf5filemetadata-dev             	       0        5        0        5        0
32029 libkf5filemetadata-doc             	       0        3        0        0        3
32030 libkf5followupreminder5            	       0       25        0        0       25
32031 libkf5gapi-data                    	       0       15        0        0       15
32032 libkf5gapiblogger5                 	       0        1        0        0        1
32033 libkf5gapicalendar5                	       0       10        0        0       10
32034 libkf5gapicontacts5                	       0       10        0        0       10
32035 libkf5gapicore5                    	       0       10        0        0       10
32036 libkf5gapitasks5                   	       0       10        0        0       10
32037 libkf5globalaccel-doc              	       0       22        0        0       22
32038 libkf5gpgmepp-pthread5             	       0       11        0        0       11
32039 libkf5grantleetheme-dev            	       0        4        0        4        0
32040 libkf5grantleetheme-plugins        	       0      529        2        7      520
32041 libkf5gravatar-data                	       0      482        0        0      482
32042 libkf5gravatar-dev                 	       0        2        0        2        0
32043 libkf5gravatar5                    	       0       10        0        0       10
32044 libkf5gravatar5abi2                	       0      479       22       71      386
32045 libkf5guiaddons-data               	       0      978        0        0      978
32046 libkf5guiaddons-doc                	       0       24        0        0       24
32047 libkf5holidays-data                	       0      574        6       30      538
32048 libkf5holidays-dev                 	       0        3        0        3        0
32049 libkf5holidays-doc                 	       0        2        0        0        2
32050 libkf5i18n-doc                     	       0       77        0        0       77
32051 libkf5iconthemes-doc               	       0       21        0        0       21
32052 libkf5identitymanagement-dev       	       0        4        0        4        0
32053 libkf5identitymanagementwidgets5   	       0      397       23       65      309
32054 libkf5idletime-dev                 	       0        5        0        5        0
32055 libkf5idletime-doc                 	       0        4        0        0        4
32056 libkf5imap-data                    	       0      490        1        7      482
32057 libkf5imap-dev                     	       0        2        0        2        0
32058 libkf5incidenceeditor-bin          	       0       13        0       13        0
32059 libkf5incidenceeditor-data         	       0      476        0        0      476
32060 libkf5incidenceeditor-dev          	       0        1        0        1        0
32061 libkf5incidenceeditor5             	       0       10        0        0       10
32062 libkf5itemmodels-doc               	       0       12        0        0       12
32063 libkf5itemviews-dev                	       0       32        0       32        0
32064 libkf5itemviews-doc                	       0       22        0        0       22
32065 libkf5jobwidgets-dev               	       0       24        0       24        0
32066 libkf5jobwidgets-doc               	       0       16        0        0       16
32067 libkf5js5                          	       0      767        4       20      743
32068 libkf5jsapi5                       	       0      634        4       19      611
32069 libkf5jsembed-data                 	       0       36        0        0       36
32070 libkf5jsembed-dev                  	       0        1        0        1        0
32071 libkf5jsembed5                     	       0       36        0        0       36
32072 libkf5kaddressbookgrantlee5        	       0       98        0        9       89
32073 libkf5kaddressbookimportexport5    	       0       87        0        0       87
32074 libkf5kcmutils-bin                 	       0      857        0        0      857
32075 libkf5kcmutils-data                	       0     1087        0        4     1083
32076 libkf5kcmutils-dev                 	       0       12        0       12        0
32077 libkf5kcmutils-doc                 	       0        9        0        0        9
32078 libkf5kdcraw-dev                   	       0        1        0        1        0
32079 libkf5kdcraw5                      	       0      641        3        7      631
32080 libkf5kdegames-dev                 	       0        1        0        1        0
32081 libkf5kdegames7                    	       0      147        0       10      137
32082 libkf5kdegamesprivate1             	       0       20        0        2       18
32083 libkf5kdegamesprivate7             	       0       45        0        0       45
32084 libkf5kdelibs4support-data         	       0      586        0        2      584
32085 libkf5kdelibs4support-dev          	       0        6        0        6        0
32086 libkf5kdelibs4support5t64          	       0       27        0       10       17
32087 libkf5kdepimdbusinterfaces5        	       0       25        0        0       25
32088 libkf5kdgantt2-5                   	       0       11        0        0       11
32089 libkf5kexiv2-dev                   	       0        2        0        2        0
32090 libkf5khtml-bin                    	       0      650        0        0      650
32091 libkf5khtml-data                   	       0      677        0        0      677
32092 libkf5khtml-dev                    	       0        2        0        2        0
32093 libkf5khtml5                       	       0      671        0        2      669
32094 libkf5kio-doc                      	       0       16        0        0       16
32095 libkf5kipi-data                    	       0      134        0        0      134
32096 libkf5kipi-dev                     	       0        1        0        1        0
32097 libkf5kipi31.0.0                   	       0       13        0        0       13
32098 libkf5kipi32.0.0                   	       0      118        0        3      115
32099 libkf5kirigami2-doc                	       0        6        0        0        6
32100 libkf5kjs-dev                      	       0        4        0        4        0
32101 libkf5kmahjongglib-dev             	       0        1        0        1        0
32102 libkf5kmahjongglib5                	       0       77        0        1       76
32103 libkf5kmanagesieve5                	       0      477        2       12      463
32104 libkf5konq-dev                     	       0        2        0        2        0
32105 libkf5kontactinterface-data        	       0      499        0        0      499
32106 libkf5kontactinterface-dev         	       0        1        0        1        0
32107 libkf5kontactinterface5            	       0      496        4       18      474
32108 libkf5krosscore5                   	       0      537        0        0      537
32109 libkf5krossui5                     	       0      531        0        0      531
32110 libkf5ksieve-data                  	       0      481        1        9      471
32111 libkf5ksieve-dev                   	       0        1        0        1        0
32112 libkf5ksieve5                      	       0      482        2       12      468
32113 libkf5ksieveui5                    	       0      475        2       12      461
32114 libkf5ldap-data                    	       0      494        0        0      494
32115 libkf5ldap-dev                     	       0        2        0        2        0
32116 libkf5ldap5                        	       0       11        0        0       11
32117 libkf5libkdepim-data               	       0      509        3       11      495
32118 libkf5libkdepim-dev                	       0        1        0        1        0
32119 libkf5libkdepim-plugins            	       0      500        0        0      500
32120 libkf5libkdepimakonadi5            	       0       21        2        0       19
32121 libkf5libkleo-data                 	       0      498        0        3      495
32122 libkf5libkleo-dev                  	       0        1        0        1        0
32123 libkf5libkleo5                     	       0      504       22       72      410
32124 libkf5mailcommon-data              	       0      475        1       11      463
32125 libkf5mailcommon-dev               	       0        1        0        1        0
32126 libkf5mailcommon-plugins           	       0       14        0        0       14
32127 libkf5mailcommon5                  	       0       10        0        0       10
32128 libkf5mailcommon5abi2              	       0      469       22       71      376
32129 libkf5mailimporter-dev             	       0        1        0        1        0
32130 libkf5mailimporter5                	       0      483       22       71      390
32131 libkf5mailimporterakonadi5         	       0      455        0        0      455
32132 libkf5mailtransport-data           	       0      514        1        9      504
32133 libkf5mailtransport-dev            	       0        2        0        2        0
32134 libkf5mbox-dev                     	       0        2        0        2        0
32135 libkf5mbox5                        	       0      504       22       71      411
32136 libkf5mediaplayer-data             	       0        9        0        0        9
32137 libkf5mediaplayer-dev              	       0        2        0        2        0
32138 libkf5mediaplayer5                 	       0        8        0        0        8
32139 libkf5mediawiki-dev                	       0        1        0        1        0
32140 libkf5mediawiki5                   	       0        7        0        0        7
32141 libkf5messagecomposer-dev          	       0        1        0        1        0
32142 libkf5messagecomposer5             	       0       10        0        0       10
32143 libkf5messagecomposer5abi1         	       0      454       22       68      364
32144 libkf5messagecomposer5abi1t64      	       0       15        0        3       12
32145 libkf5messagecore-dev              	       0        2        0        2        0
32146 libkf5messagecore5                 	       0       10        0        0       10
32147 libkf5messagecore5abi1             	       0      463       22       68      373
32148 libkf5messagecore5abi1t64          	       0       15        0        3       12
32149 libkf5messagelist-dev              	       0        2        0        2        0
32150 libkf5messagelist5                 	       0       10        0        0       10
32151 libkf5messagelist5abi1             	       0      453       22       61      370
32152 libkf5messagelist5abi1t64          	       0       15        0        3       12
32153 libkf5messageviewer-dev            	       0        2        0        2        0
32154 libkf5messageviewer5               	       0       10        0        0       10
32155 libkf5messageviewer5abi1           	       0      461       22       68      371
32156 libkf5messageviewer5abi1t64        	       0       15        0        3       12
32157 libkf5mime-data                    	       0      543        0        2      541
32158 libkf5mime-dev                     	       0        6        0        6        0
32159 libkf5mime5                        	       0       12        0        0       12
32160 libkf5mimetreeparser-dev           	       0        2        0        2        0
32161 libkf5mimetreeparser5abi1          	       0      466       22       68      376
32162 libkf5mimetreeparser5abi1t64       	       0       15        0        3       12
32163 libkf5modemmanagerqt-doc           	       0        1        0        0        1
32164 libkf5networkmanagerqt-dev         	       0        2        0        2        0
32165 libkf5networkmanagerqt-doc         	       0        1        0        0        1
32166 libkf5newstuff-dev                 	       0       10        0       10        0
32167 libkf5newstuff-doc                 	       0        8        0        0        8
32168 libkf5notifications-dev            	       0       17        0       17        0
32169 libkf5notifications-doc            	       0       14        0        0       14
32170 libkf5notifyconfig-data            	       0      910        0        0      910
32171 libkf5notifyconfig-dev             	       0       10        0       10        0
32172 libkf5notifyconfig-doc             	       0        9        0        0        9
32173 libkf5package-doc                  	       0       62        0        0       62
32174 libkf5parts-dev                    	       0       13        0       13        0
32175 libkf5parts-doc                    	       0        9        0        0        9
32176 libkf5parts-plugins                	       0      991        0        0      991
32177 libkf5people-data                  	       0      772        0        0      772
32178 libkf5people-dev                   	       0        4        0        4        0
32179 libkf5people-doc                   	       0        1        0        0        1
32180 libkf5pimcommon-data               	       0      484        2       11      471
32181 libkf5pimcommon-dev                	       0        2        0        2        0
32182 libkf5pimcommon-plugins            	       0       14        0        0       14
32183 libkf5pimcommon5                   	       0       10        0        0       10
32184 libkf5pimcommonautocorrection5     	       0      400       22       63      315
32185 libkf5pimtextedit-data             	       0      512        2        9      501
32186 libkf5pimtextedit-dev              	       0        4        0        4        0
32187 libkf5pimtextedit-plugins          	       0      493        0        0      493
32188 libkf5pimtextedit5                 	       0       10        0        0       10
32189 libkf5plasma-doc                   	       0       60        0        0       60
32190 libkf5plotting-dev                 	       0        6        0        6        0
32191 libkf5plotting-doc                 	       0        5        0        0        5
32192 libkf5plotting5                    	       0      118        0        1      117
32193 libkf5prison-dev                   	       0        6        0        6        0
32194 libkf5prison-doc                   	       0        3        0        0        3
32195 libkf5prisonscanner5               	       0      638        0        0      638
32196 libkf5pty-data                     	       0      769        0        0      769
32197 libkf5pty-dev                      	       0        5        0        5        0
32198 libkf5pty-doc                      	       0        4        0        0        4
32199 libkf5pulseaudioqt-dev             	       0        3        0        3        0
32200 libkf5pulseaudioqt-doc             	       0        1        0        0        1
32201 libkf5pulseaudioqt2                	       0      133        1        4      128
32202 libkf5pulseaudioqt2-doc            	       0        1        0        0        1
32203 libkf5pulseaudioqt3                	       0      570        6       19      545
32204 libkf5pulseaudioqt4                	       0        2        0        1        1
32205 libkf5pulseaudioqt5                	       0       27        0        0       27
32206 libkf5purpose-dev                  	       0        3        0        3        0
32207 libkf5qgpgme5                      	       0       11        0        0       11
32208 libkf5qqc2desktopstyle-dev         	       0        2        0        0        2
32209 libkf5runner-dev                   	       0        4        0        4        0
32210 libkf5runner-doc                   	       0        1        0        0        1
32211 libkf5sane-data                    	       0       93        0        0       93
32212 libkf5sane-dev                     	       0        2        0        2        0
32213 libkf5sane5                        	       0       92        0        0       92
32214 libkf5screen-dev                   	       0        2        0        2        0
32215 libkf5sendlater5                   	       0       25        0        0       25
32216 libkf5service-data                 	       0     1211        0        0     1211
32217 libkf5service-doc                  	       0       72        0        0       72
32218 libkf5solid-bin                    	       0        2        0        2        0
32219 libkf5solid-dev                    	       0       24        0       24        0
32220 libkf5solid-doc                    	       0       15        0        0       15
32221 libkf5sonnet-dev                   	       0       18        0       18        0
32222 libkf5sonnet-dev-bin               	       0       18        0       18        0
32223 libkf5sonnet-doc                   	       0       12        0        0       12
32224 libkf5style-dev                    	       0        3        0        3        0
32225 libkf5su-bin                       	       0      617        0        0      617
32226 libkf5su-data                      	       0      619        0        0      619
32227 libkf5su-dev                       	       0        4        0        4        0
32228 libkf5su-doc                       	       0        4        0        0        4
32229 libkf5su5                          	       0      617        0        2      615
32230 libkf5syndication-dev              	       0        3        0        3        0
32231 libkf5syndication5                 	       0       11        0        0       11
32232 libkf5syntaxhighlighting-data      	       0      748       18       59      671
32233 libkf5syntaxhighlighting-dev       	       0        9        0        9        0
32234 libkf5syntaxhighlighting-doc       	       0        6        0        0        6
32235 libkf5sysguard-data                	       0      554        3       18      533
32236 libkf5sysguard-dev                 	       0        9        0        9        0
32237 libkf5templateparser-dev           	       0        1        0        1        0
32238 libkf5templateparser5              	       0      465       22       68      375
32239 libkf5templateparser5t64           	       0       15        0        3       12
32240 libkf5texteditor-dev               	       0        7        0        7        0
32241 libkf5texteditor-doc               	       0        5        0        0        5
32242 libkf5textwidgets-data             	       0     1202        0        1     1201
32243 libkf5textwidgets-dev              	       0       15        0       15        0
32244 libkf5textwidgets-doc              	       0       11        0        0       11
32245 libkf5threadweaver-dev             	       0        9        0        9        0
32246 libkf5threadweaver-doc             	       0        7        0        0        7
32247 libkf5tnef-dev                     	       0        1        0        1        0
32248 libkf5tnef5                        	       0      473        1        5      467
32249 libkf5torrent6                     	       0        7        0        0        7
32250 libkf5torrent6abi1                 	       0       15        0        0       15
32251 libkf5torrent6abi2                 	       0        1        0        0        1
32252 libkf5torrent6abi3                 	       0       57        0        4       53
32253 libkf5unitconversion-data          	       0      497        2       16      479
32254 libkf5unitconversion-dev           	       0        7        0        7        0
32255 libkf5unitconversion-doc           	       0        5        0        0        5
32256 libkf5wallet-dev                   	       0        8        0        8        0
32257 libkf5wallet-doc                   	       0        7        0        0        7
32258 libkf5wayland-dev                  	       0        6        0        6        0
32259 libkf5wayland-doc                  	       0        3        0        0        3
32260 libkf5webengineviewer-dev          	       0        2        0        2        0
32261 libkf5webengineviewer5abi1         	       0      463       22       68      373
32262 libkf5webengineviewer5abi1t64      	       0       17        0        3       14
32263 libkf5webkit-dev                   	       0        3        0        3        0
32264 libkf5webkit5                      	       0       38        0        1       37
32265 libkf5widgetsaddons-doc            	       0       25        0        0       25
32266 libkf5windowsystem-doc             	       0       79        0        0       79
32267 libkf5xmlgui-bin                   	       0     1123        0        0     1123
32268 libkf5xmlgui-doc                   	       0       20        0        0       20
32269 libkf5xmlrpcclient-data            	       0       46        0        0       46
32270 libkf5xmlrpcclient-dev             	       0        1        0        1        0
32271 libkf5xmlrpcclient-doc             	       0        1        0        0        1
32272 libkf5xmlrpcclient5                	       0       42        0        0       42
32273 libkf6archive-doc                  	       0        3        0        0        3
32274 libkf6attica-doc                   	       0        1        0        0        1
32275 libkf6auth-doc                     	       0        1        0        0        1
32276 libkf6baloo-doc                    	       0        1        0        0        1
32277 libkf6bluezqt-data                 	       0       53        0        0       53
32278 libkf6bluezqt-doc                  	       0        1        0        0        1
32279 libkf6bluezqt6                     	       0       52        7       13       32
32280 libkf6bookmarks-doc                	       0        3        0        0        3
32281 libkf6calendarcore-doc             	       0        1        0        0        1
32282 libkf6calendarcore6                	       0       12        5        2        5
32283 libkf6codecs-doc                   	       0        3        0        0        3
32284 libkf6colorscheme-data             	       0       88        1        4       83
32285 libkf6colorscheme-doc              	       0        3        0        0        3
32286 libkf6completion-doc               	       0        3        0        0        3
32287 libkf6config-doc                   	       0        4        0        0        4
32288 libkf6configwidgets-doc            	       0        3        0        0        3
32289 libkf6contacts-data                	       0       40        0        0       40
32290 libkf6contacts-doc                 	       0        1        0        0        1
32291 libkf6contacts6                    	       0       39        5        3       31
32292 libkf6coreaddons-doc               	       0        4        0        0        4
32293 libkf6crash-doc                    	       0        1        0        0        1
32294 libkf6dav-data                     	       0        7        1        0        6
32295 libkf6dav-doc                      	       0        1        0        0        1
32296 libkf6dav6                         	       0        7        1        0        6
32297 libkf6dbusaddons-doc               	       0        1        0        0        1
32298 libkf6declarative-data             	       0       26        0        1       25
32299 libkf6declarative-doc              	       0        1        0        0        1
32300 libkf6dnssd-data                   	       0       21        0        0       21
32301 libkf6dnssd-doc                    	       0        1        0        0        1
32302 libkf6dnssd6                       	       0       21        0        0       21
32303 libkf6doctools-doc                 	       0        3        0        0        3
32304 libkf6doctools6                    	       0       72        0        0       72
32305 libkf6filemetadata-bin             	       0       37        1        0       36
32306 libkf6filemetadata-data            	       0       40        0        0       40
32307 libkf6filemetadata-doc             	       0        1        0        0        1
32308 libkf6globalaccel-doc              	       0        2        0        0        2
32309 libkf6guiaddons-data               	       0       89        0        0       89
32310 libkf6guiaddons-doc                	       0        3        0        0        3
32311 libkf6holidays-data                	       0       27        1        1       25
32312 libkf6holidays-doc                 	       0        1        0        0        1
32313 libkf6holidays6                    	       0       27        1        1       25
32314 libkf6i18n-doc                     	       0        3        0        0        3
32315 libkf6iconthemes-data              	       0       74        0        0       74
32316 libkf6iconthemes-doc               	       0        2        0        0        2
32317 libkf6idletime-doc                 	       0        1        0        0        1
32318 libkf6itemmodels-doc               	       0        1        0        0        1
32319 libkf6itemviews-doc                	       0        3        0        0        3
32320 libkf6jobwidgets-doc               	       0        3        0        0        3
32321 libkf6kcmutils-data                	       0       72        0        0       72
32322 libkf6kcmutils-doc                 	       0        1        0        0        1
32323 libkf6kio-doc                      	       0        3        0        0        3
32324 libkf6konq7                        	       0       16        1        1       14
32325 libkf6konqsettings7                	       0       16        1        1       14
32326 libkf6modemmanagerqt-doc           	       0        1        0        0        1
32327 libkf6networkmanagerqt-doc         	       0        1        0        0        1
32328 libkf6newstuff-data                	       0       41        0        0       41
32329 libkf6newstuff-doc                 	       0        1        0        0        1
32330 libkf6notifications-doc            	       0        3        0        0        3
32331 libkf6notifyconfig-data            	       0       36        0        0       36
32332 libkf6notifyconfig-doc             	       0        1        0        0        1
32333 libkf6package-data                 	       0       50        0        0       50
32334 libkf6package-doc                  	       0        1        0        0        1
32335 libkf6parts-data                   	       0       52        0        0       52
32336 libkf6parts-doc                    	       0        2        0        0        2
32337 libkf6people-data                  	       0       40        0        0       40
32338 libkf6people-doc                   	       0        1        0        0        1
32339 libkf6people6                      	       0       39        0        0       39
32340 libkf6peoplebackend6               	       0       40        0        0       40
32341 libkf6peoplewidgets6               	       0        1        0        0        1
32342 libkf6plotting-doc                 	       0        1        0        0        1
32343 libkf6plotting6                    	       0       13        0        0       13
32344 libkf6prison-doc                   	       0        1        0        0        1
32345 libkf6prisonscanner6               	       0       52        0        0       52
32346 libkf6pty-data                     	       0       39        0        0       39
32347 libkf6pty-doc                      	       0        1        0        0        1
32348 libkf6pulseaudioqt-dev             	       0        1        0        1        0
32349 libkf6pulseaudioqt-doc             	       0        1        0        0        1
32350 libkf6pulseaudioqt4                	       0        1        0        0        1
32351 libkf6purpose-bin                  	       0       52        0        0       52
32352 libkf6purpose-data                 	       0       53        0        0       53
32353 libkf6purpose6                     	       0       52        6        6       40
32354 libkf6purposewidgets6              	       0       52        6        6       40
32355 libkf6qqc2desktopstyle-dev         	       0        1        0        0        1
32356 libkf6runner-doc                   	       0        1        0        0        1
32357 libkf6service-data                 	       0       73        0        0       73
32358 libkf6service-doc                  	       0        3        0        0        3
32359 libkf6solid-doc                    	       0        3        0        0        3
32360 libkf6sonnet-doc                   	       0        1        0        0        1
32361 libkf6statusnotifieritem-doc       	       0        1        0        0        1
32362 libkf6su-bin                       	       0       28        0        0       28
32363 libkf6su-data                      	       0       29        0        0       29
32364 libkf6su-doc                       	       0        1        0        0        1
32365 libkf6su6                          	       0       28        1        0       27
32366 libkf6svg-doc                      	       0        1        0        0        1
32367 libkf6syndication-doc              	       0        1        0        0        1
32368 libkf6syntaxhighlighting-data      	       0       35        3        0       32
32369 libkf6syntaxhighlighting-doc       	       0        2        0        0        2
32370 libkf6syntaxhighlighting6          	       0       34        5        2       27
32371 libkf6textaddonswidgets1           	       0        8        5        2        1
32372 libkf6textautocorrectioncore1      	       0        7        5        2        0
32373 libkf6textautocorrectionwidgets1   	       0        7        0        0        7
32374 libkf6textcustomeditor1            	       0        8        5        2        1
32375 libkf6texteditor-data              	       0       28        0        0       28
32376 libkf6texteditor-doc               	       0        2        0        0        2
32377 libkf6texteditor-katepart          	       0       27        0        0       27
32378 libkf6texteditor6                  	       0       27        0        0       27
32379 libkf6textedittexttospeech1        	       0        8        5        2        1
32380 libkf6textemoticonscore1           	       0        8        5        2        1
32381 libkf6textemoticonswidgets1        	       0        8        5        2        1
32382 libkf6textgrammarcheck1            	       0        7        0        0        7
32383 libkf6texttemplate-doc             	       0        1        0        0        1
32384 libkf6texttemplate6                	       0       20        5        3       12
32385 libkf6texttranslator1              	       0        7        0        0        7
32386 libkf6textutils1                   	       0        9        5        3        1
32387 libkf6textwidgets-data             	       0       44        0        0       44
32388 libkf6textwidgets-doc              	       0        1        0        0        1
32389 libkf6threadweaver-doc             	       0        2        0        0        2
32390 libkf6threadweaver6                	       0       26        2        1       23
32391 libkf6unitconversion-doc           	       0        1        0        0        1
32392 libkf6userfeedback-doc             	       0       27        0        0       27
32393 libkf6wallet-doc                   	       0        1        0        0        1
32394 libkf6widgetsaddons-doc            	       0        3        0        0        3
32395 libkf6windowsystem-doc             	       0        4        0        0        4
32396 libkf6xmlgui-doc                   	       0        2        0        0        2
32397 libkface-data                      	       0        1        0        0        1
32398 libkfile4                          	       0       44        1       43        0
32399 libkfilemetadata4                  	       0        5        1        4        0
32400 libkfontinst6                      	       0       25        0        1       24
32401 libkfontinstui5                    	       0      542        0        3      539
32402 libkfontinstui6                    	       0       25        0        1       24
32403 libkgantt-dev                      	       0        2        0        2        0
32404 libkgantt-l10n                     	       0        6        0        0        6
32405 libkgantt0-trinity                 	       0       22        0        0       22
32406 libkgantt2-l10n                    	       0      454        4       22      428
32407 libkgantt6-3                       	       0        7        0        0        7
32408 libkgapi-data                      	       0       12        1        0       11
32409 libkgapi2-2                        	       0        5        0        1        4
32410 libkggzmod4                        	       0        1        0        0        1
32411 libkggznet4                        	       0        1        0        0        1
32412 libkholidays4                      	       0        7        1        6        0
32413 libkhtml5                          	       0       44        0        1       43
32414 libkickpass0                       	       0        1        0        0        1
32415 libkidletime4                      	       0        8        0        1        7
32416 libkim-api2                        	       0        1        0        0        1
32417 libkimageannotator-common          	       0      564        2        2      560
32418 libkimageannotator-qt5-0           	       0       19        0        0       19
32419 libkimageannotator-qt6-0           	       0       19        1        0       18
32420 libkimageannotator-qt6-dev         	       0        1        0        1        0
32421 libkimageannotator0                	       0      525        4       10      511
32422 libkimap-data                      	       0        7        0        0        7
32423 libkimap4                          	       0        6        0        1        5
32424 libkimproxy4                       	       0        3        0        0        3
32425 libkinosearch1-perl                	       0        1        0        0        1
32426 libkio5                            	       0       45        1        1       43
32427 libkipi-data                       	       0        2        0        0        2
32428 libkipi0-trinity                   	       0       12        0        0       12
32429 libkipi11                          	       0        2        0        0        2
32430 libkirigamiaddonsstatefulapp6      	       0        3        0        0        3
32431 libkissfft-float131                	       0       71        2       10       59
32432 libkitchensink-clojure             	       0        1        0        0        1
32433 libkiten1-trinity                  	       0       23        0        0       23
32434 libkiten5                          	       0        2        0        0        2
32435 libkitinerary-data                 	       0        7        0        0        7
32436 libkiwix11                         	       0        7        0        0        7
32437 libkiwix9                          	       0        4        0        0        4
32438 libkjsapi4                         	       0       45        0        1       44
32439 libkjsembed4                       	       0       45        0        0       45
32440 libkkc-common                      	       0        5        0        0        5
32441 libkkc-data                        	       0        5        0        2        3
32442 libkkc2                            	       0        5        0        2        3
32443 libklatexformula4                  	       0        5        0        0        5
32444 libklatexformula4-dev              	       0        1        0        1        0
32445 libklatexformula4-doc              	       0        1        0        0        1
32446 libkldap-data                      	       0        9        0        0        9
32447 libkldap4                          	       0       29        0        1       28
32448 libkleo-data                       	       0        7        0        0        7
32449 libkleo4                           	       0        4        0        1        3
32450 libkleopatra1-trinity              	       0       31        0        2       29
32451 libklibc                           	       0     4127        0        0     4127
32452 libklibc-dev                       	       0        2        0        2        0
32453 libklu1                            	       0       92        0        0       92
32454 libklu1.1.0                        	       0        1        0        0        1
32455 libklu1.2.1                        	       0        1        0        0        1
32456 libklu2                            	       0        6        0        0        6
32457 libkmahjongg6                      	       0        5        0        0        5
32458 libkmahjongglib4                   	       0        2        0        0        2
32459 libkmailtransport-data             	       0        9        0        0        9
32460 libkmanagesieve4                   	       0        2        0        0        2
32461 libkmbox4                          	       0        6        0        1        5
32462 libkmediaplayer4                   	       0       43        0        0       43
32463 libkmfl0                           	       0        1        0        0        1
32464 libkmflcomp0                       	       0        1        0        0        1
32465 libkmime-data                      	       0       10        3        1        6
32466 libkmime4                          	       0       29        0        1       28
32467 libkml0                            	       0        5        0        0        5
32468 libkmlbase1                        	       0      605        3       10      592
32469 libkmlbase1t64                     	       0       61        0        0       61
32470 libkmlconvenience1                 	       0       98        0        0       98
32471 libkmlconvenience1t64              	       0        3        0        0        3
32472 libkmldom1                         	       0      605        3       10      592
32473 libkmldom1t64                      	       0       61        0        0       61
32474 libkmlengine1                      	       0      604        3       10      591
32475 libkmlengine1t64                   	       0       61        0        0       61
32476 libkmlregionator1                  	       0       98        0        0       98
32477 libkmlregionator1t64               	       0        3        0        0        3
32478 libkmlxsd1                         	       0       97        0        0       97
32479 libkmlxsd1t64                      	       0        3        0        0        3
32480 libkmnkbp0-0                       	       0        1        0        0        1
32481 libkmod-dev                        	       0        7        0        7        0
32482 libkmod2-dbgsym                    	       0        1        0        1        0
32483 libknet1                           	       0        2        0        2        0
32484 libknewstuff2-4                    	       0       30        0        0       30
32485 libknewstuff3-4                    	       0       45        1        1       43
32486 libknopflerfish-osgi-framework-java	       0       47        0        0       47
32487 libknopflerfish-osgi-java-doc      	       0        1        0        0        1
32488 libknot10                          	       0        1        0        0        1
32489 libknot11                          	       0        4        0        0        4
32490 libknot13                          	       0       11        2        4        5
32491 libknot14                          	       0        1        1        0        0
32492 libknot14t64                       	       0        1        0        1        0
32493 libknot15                          	       0        1        0        1        0
32494 libknot5                           	       0        1        0        0        1
32495 libknot8                           	       0        2        0        0        2
32496 libknotifyconfig4                  	       0       43        0        1       42
32497 libkntlm4                          	       0       44        0        0       44
32498 libkohana2-php                     	       0        1        1        0        0
32499 libkolab0                          	       0        3        0        0        3
32500 libkolab1                          	       0       10        0        0       10
32501 libkolab2                          	       0        1        0        0        1
32502 libkolabxml1                       	       0        3        0        0        3
32503 libkolabxml1v5                     	       0      505        0        1      504
32504 libkomparediff2-4                  	       0        1        0        0        1
32505 libkomparediff2-5                  	       0       97        0        1       96
32506 libkomparediff2-6                  	       0        9        0        0        9
32507 libkomparediff2-data               	       0        9        0        0        9
32508 libkompareinterface5               	       0       66        0        0       66
32509 libkompareinterface6               	       0        5        0        0        5
32510 libkonq-common                     	       0       18        1       17        0
32511 libkonq4-trinity-dev               	       0        1        0        1        0
32512 libkonq5-templates                 	       0       25        0        0       25
32513 libkonq5abi1                       	       0       18        0        1       17
32514 libkonqsidebarplugin4a             	       0       15        0        0       15
32515 libkontactinterface-data           	       0        7        0        0        7
32516 libkontactinterface4a              	       0        4        0        0        4
32517 libkopete4                         	       0       27        0        0       27
32518 libkparts4                         	       0       45        1        1       43
32519 libkpathsea-dev                    	       0        4        0        4        0
32520 libkpathsea4                       	       0        3        0        0        3
32521 libkpathsea5                       	       0        7        0        0        7
32522 libkpathsea6                       	       0     2646        0        1     2645
32523 libkpeople-data                    	       0        1        0        0        1
32524 libkpeople3                        	       0        1        0        1        0
32525 libkpgp4                           	       0        4        0        1        3
32526 libkpim6addressbookimportexport6   	       0        7        0        0        7
32527 libkpim6akonadiagentbase6          	       0        7        2        0        5
32528 libkpim6akonadicalendar6           	       0        7        5        2        0
32529 libkpim6akonadicontactcore6        	       0        9        5        3        1
32530 libkpim6akonadicontactwidgets6     	       0        9        5        3        1
32531 libkpim6akonadicore6               	       0       12        5        3        4
32532 libkpim6akonadimime6               	       0        8        5        2        1
32533 libkpim6akonadiprivate6            	       0       13        5        3        5
32534 libkpim6akonadisearchcore6         	       0        7        2        0        5
32535 libkpim6akonadisearchdebug6        	       0        7        0        0        7
32536 libkpim6akonadisearchpim6          	       0        7        5        2        0
32537 libkpim6akonadisearchxapian6       	       0        7        2        0        5
32538 libkpim6akonadiwidgets6            	       0       12        5        3        4
32539 libkpim6akonadixml6                	       0        4        0        0        4
32540 libkpim6calendarsupport6           	       0        7        0        0        7
32541 libkpim6calendarutils6             	       0        8        5        2        1
32542 libkpim6eventviews6                	       0        7        0        0        7
32543 libkpim6gapicalendar6              	       0        7        0        0        7
32544 libkpim6gapicore6                  	       0        7        1        0        6
32545 libkpim6gapidrive6                 	       0        2        0        0        2
32546 libkpim6gapipeople6                	       0        7        0        0        7
32547 libkpim6gapitasks6                 	       0        7        0        0        7
32548 libkpim6grantleetheme6             	       0        9        5        3        1
32549 libkpim6gravatar6                  	       0        7        5        2        0
32550 libkpim6identitymanagementcore6    	       0        8        5        2        1
32551 libkpim6identitymanagementwidgets6 	       0        8        5        2        1
32552 libkpim6imap6                      	       0        7        5        2        0
32553 libkpim6importwizard6              	       0        7        0        0        7
32554 libkpim6incidenceeditor6           	       0        7        0        0        7
32555 libkpim6itinerary6                 	       0        7        0        0        7
32556 libkpim6kmanagesieve6              	       0        7        0        0        7
32557 libkpim6kontactinterface6          	       0        7        0        0        7
32558 libkpim6ksieve6                    	       0        7        0        0        7
32559 libkpim6ksievecore6                	       0        7        0        0        7
32560 libkpim6ksieveui6                  	       0        7        0        0        7
32561 libkpim6ldapcore6                  	       0        8        5        2        1
32562 libkpim6ldapwidgets6               	       0        7        0        0        7
32563 libkpim6libkdepim6                 	       0        7        5        2        0
32564 libkpim6libkleo6                   	       0        7        5        2        0
32565 libkpim6mailcommon6                	       0        7        2        0        5
32566 libkpim6mailimporter6              	       0        7        2        0        5
32567 libkpim6mailimporterakonadi6       	       0        7        0        0        7
32568 libkpim6mailtransport6             	       0        8        5        2        1
32569 libkpim6mbox6                      	       0        7        5        2        0
32570 libkpim6messagecomposer6           	       0        7        5        2        0
32571 libkpim6messagecore6               	       0        7        5        2        0
32572 libkpim6messagelist6               	       0        7        2        0        5
32573 libkpim6messageviewer6             	       0        7        5        2        0
32574 libkpim6mime6                      	       0        9        5        3        1
32575 libkpim6mimetreeparser6            	       0        7        5        2        0
32576 libkpim6mimetreeparsercore6        	       0        4        0        0        4
32577 libkpim6mimetreeparserwidgets6     	       0        4        0        0        4
32578 libkpim6pimcommon6                 	       0        7        5        2        0
32579 libkpim6pimcommonactivities6       	       0        7        0        0        7
32580 libkpim6pimcommonakonadi6          	       0        7        5        2        0
32581 libkpim6pkpass6                    	       0        7        0        0        7
32582 libkpim6smtp6                      	       0        7        0        0        7
32583 libkpim6templateparser6            	       0        7        5        2        0
32584 libkpim6textedit6                  	       0        8        5        2        1
32585 libkpim6tnef6                      	       0        7        0        0        7
32586 libkpim6webengineviewer6           	       0        7        5        2        0
32587 libkpimaddressbookimportexport5    	       0      383        0        1      382
32588 libkpimgapi-data                   	       0      492        4       14      474
32589 libkpimgapicalendar5               	       0      480        2        3      475
32590 libkpimgapicontacts5               	       0      480        2        3      475
32591 libkpimgapicore5abi1               	       0      487        5       25      457
32592 libkpimgapidrive5                  	       0       14        0        0       14
32593 libkpimgapimaps5                   	       0        1        0        0        1
32594 libkpimgapitasks5                  	       0      480        2        3      475
32595 libkpimidentities1-trinity         	       0       30        0        2       28
32596 libkpimidentities4                 	       0       29        0        1       28
32597 libkpimimportwizard-dev            	       0        1        0        1        0
32598 libkpimimportwizard5               	       0      460        0        0      460
32599 libkpimitinerary-data              	       0      464        0        0      464
32600 libkpimitinerary5                  	       0      461        1        6      454
32601 libkpimkdav-data                   	       0       17        0        0       17
32602 libkpimkdav5abi2                   	       0       16        0        0       16
32603 libkpimpkpass5                     	       0      464        1        6      457
32604 libkpimsmtp5abi1                   	       0      488        2       13      473
32605 libkpimtextedit-data               	       0        8        0        0        8
32606 libkpimtextedit4                   	       0       29        0        1       28
32607 libkpimutils4                      	       0       29        0        1       28
32608 libkpipewire-data                  	       0       29        4        4       21
32609 libkpipewiredmabuf5                	       0      418        0        2      416
32610 libkpipewiredmabuf6                	       0       28        0        0       28
32611 libkpipewirerecord5                	       0      419        0        2      417
32612 libkpipewirerecord6                	       0       27        0        0       27
32613 libkpmcore10                       	       0       79        0        0       79
32614 libkpmcore4                        	       0        1        0        0        1
32615 libkpmcore7                        	       0        2        0        0        2
32616 libkprintutils4                    	       0       18        0        1       17
32617 libkproperty-data                  	       0       12        0        0       12
32618 libkpropertycore3-4                	       0       11        0        0       11
32619 libkpropertywidgets3-4             	       0       11        0        0       11
32620 libkpty4                           	       0       44        0        1       43
32621 libkqueue-dev                      	       0        1        0        1        0
32622 libkqueue0                         	       0        2        0        0        2
32623 libkrad0                           	       0       14        0        0       14
32624 libkrb5-26-heimdal                 	       0       78        2        2       74
32625 libkrb5-26t64-heimdal              	       0        2        0        0        2
32626 libkrb53                           	       0        1        0        0        1
32627 libkreport-data                    	       0       12        0        0       12
32628 libkreport3-4                      	       0       11        0        0       11
32629 libkreport3-plugin-barcode         	       0        1        0        0        1
32630 libkresources4                     	       0       29        1       28        0
32631 libkrosscore4                      	       0       45        0        0       45
32632 libkrossui4                        	       0        7        0        0        7
32633 libkryo-java                       	       0       49        0        0       49
32634 libksane-data                      	       0       16        0        0       16
32635 libksane-dev                       	       0        1        0        1        0
32636 libksane0                          	       0        3        0        0        3
32637 libksanecore1                      	       0       46        0        0       46
32638 libksanecore6-1                    	       0       13        0        0       13
32639 libksanewidgets6-6                 	       0       13        0        0       13
32640 libksba-dev                        	       0        7        0        7        0
32641 libksba-mingw-w64-dev              	       0        2        0        2        0
32642 libksba8                           	       0     4114       12       25     4077
32643 libkscan1                          	       0        1        0        0        1
32644 libkscan1-trinity                  	       0       27        0        0       27
32645 libkscreen-doc                     	       0        1        0        0        1
32646 libkscreen1                        	       0        5        0        1        4
32647 libkscreensaver5                   	       0        5        0        0        5
32648 libkseexpr-data                    	       0      116        0        0      116
32649 libkseexpr4                        	       0      116        0        0      116
32650 libkseexprui4                      	       0      116        0        0      116
32651 libksgrd4                          	       0        6        0        1        5
32652 libksgrd7                          	       0       27        0        0       27
32653 libksgrd9                          	       0      514        0       11      503
32654 libkshark2                         	       0        3        0        0        3
32655 libksieve-data                     	       0        8        0        0        8
32656 libksieve0-trinity                 	       0       28        0        1       27
32657 libksieve4                         	       0        2        0        0        2
32658 libksieveui4                       	       0        2        0        0        2
32659 libksignalplotter4                 	       0        5        0        0        5
32660 libksignalplotter7                 	       0       26        0        0       26
32661 libksignalplotter9                 	       0       92        0        2       90
32662 libksmtp-data                      	       0        7        0        0        7
32663 libksquirrel0-trinity              	       0        3        0        3        0
32664 libksysguard-data                  	       0       26        1        1       24
32665 libksysguardsensorfaces2           	       0       25        1        2       22
32666 libksysguardsensors2               	       0       25        1        2       22
32667 libksysguardsystemstats2           	       0       25        1        2       22
32668 libktextaddons-data                	       0       10        0        0       10
32669 libktexteditor4                    	       0       45        0        1       44
32670 libktnef-data                      	       0        7        0        0        7
32671 libktnef4                          	       0        4        0        0        4
32672 libktoblzcheck1-dev                	       0        2        1        1        0
32673 libktoblzcheck1c2a                 	       0        1        0        1        0
32674 libktoblzcheck1v5                  	       0       17        0        8        9
32675 libktorrent-dev                    	       0        2        0        2        0
32676 libktorrent-l10n                   	       0       47        0        3       44
32677 libktorrent5                       	       0        6        0        0        6
32678 libktorrent6-6                     	       0       14        1        0       13
32679 libktpcommoninternals9             	       0        2        0        0        2
32680 libktpcommoninternals9abi1         	       0        9        0        4        5
32681 libktpcommoninternalsprivate7      	       0        1        0        1        0
32682 libktplogger9                      	       0        1        0        0        1
32683 libktplogger9abi1                  	       0        8        0        2        6
32684 libktpmodels9                      	       0        1        0        0        1
32685 libktpmodels9abi1                  	       0        9        0        4        5
32686 libktpmodelsprivate7               	       0        1        0        1        0
32687 libktpotr9                         	       0        5        0        0        5
32688 libktpwidgets9                     	       0        8        0        4        4
32689 libktpwidgetsprivate7              	       0        1        0        1        0
32690 libkunitconversion4                	       0        9        0        1        8
32691 libkuserfeedback-l10n              	       0       38        1       12       25
32692 libkutils4                         	       0        7        0        0        7
32693 libkvazaar-dev                     	       0        2        0        2        0
32694 libkvazaar3                        	       0       13        0        0       13
32695 libkvazaar4                        	       0       13        0        2       11
32696 libkvazaar6                        	       0       21        3       15        3
32697 libkvazaar7                        	       0       57       11       30       16
32698 libkvilib5                         	       0       13        0        0       13
32699 libkvutils10                       	       0        1        0        0        1
32700 libkweathercore-data               	       0        1        0        0        1
32701 libkweathercore6                   	       0        1        0        0        1
32702 libkwineffects11                   	       0       22        2        0       20
32703 libkwineffects13                   	       0        1        0        1        0
32704 libkwineffects1abi5                	       0        6        0        1        5
32705 libkwineffects9                    	       0       11        0        0       11
32706 libkwinglesutils1                  	       0        5        0        0        5
32707 libkwinglutils11                   	       0       22        2        0       20
32708 libkwinglutils13                   	       0        1        0        1        0
32709 libkwinglutils1abi2                	       0        5        0        1        4
32710 libkwinglutils9                    	       0       10        0        0       10
32711 libkwinxrenderutils11              	       0       22        2        0       20
32712 libkwinxrenderutils13              	       0        1        0        1        0
32713 libkwinxrenderutils9               	       0       11        0        0       11
32714 libkworkspace4abi2                 	       0        6        0        1        5
32715 libkxl0                            	       0        3        0        0        3
32716 libkxml2-java                      	       0       60        0        0       60
32717 libkxmlrpcclient4                  	       0       25        0        0       25
32718 libkyotocabinet-dev                	       0        3        0        3        0
32719 libkyotocabinet16                  	       0        4        0        0        4
32720 libkyotocabinet16v5                	       0      208        0        0      208
32721 liblab-gamut1                      	       0     1708        0        0     1708
32722 liblablgl-ocaml                    	       0        4        0        4        0
32723 liblablgl-ocaml-dev                	       0        3        0        3        0
32724 liblablgtk2-gl-ocaml               	       0        1        0        1        0
32725 liblablgtk2-ocaml                  	       0        2        0        2        0
32726 liblablgtk3-ocaml                  	       0        3        0        3        0
32727 liblablgtk3-ocaml-dev              	       0        2        0        2        0
32728 liblablgtk3-ocaml-doc              	       0        2        0        0        2
32729 liblablgtksourceview3-ocaml        	       0        1        0        1        0
32730 liblabltk-ocaml                    	       0        5        0        5        0
32731 liblabltk-ocaml-dev                	       0        3        0        3        0
32732 libladr4                           	       0        3        0        0        3
32733 liblaf-plugin-java                 	       0       20        0        0       20
32734 liblaf-widget-java                 	       0        6        0        0        6
32735 liblam4                            	       0        2        0        0        2
32736 liblangtag-common                  	       0     2867        0        0     2867
32737 liblangtag-dev                     	       0        2        0        2        0
32738 liblangtag-gobject0                	       0        2        0        0        2
32739 liblanguage-detector-java          	       0        2        0        0        2
32740 liblapack-dev                      	       0      175        0        4      171
32741 liblapack-doc                      	       0       12        0        0       12
32742 liblapack-test                     	       0        2        0        0        2
32743 liblapack64-3                      	       0        1        0        0        1
32744 liblapack64-dev                    	       0        1        0        0        1
32745 liblapack64-test                   	       0        1        0        0        1
32746 liblapacke                         	       0       13        0        0       13
32747 liblapacke-dev                     	       0        3        0        3        0
32748 liblapacke64                       	       0        1        0        0        1
32749 liblapacke64-dev                   	       0        1        0        0        1
32750 liblas-bin                         	       0        1        0        1        0
32751 liblas-c3                          	       0        3        0        0        3
32752 liblas3                            	       0        3        0        0        3
32753 liblaser-geometry-dev              	       0        1        0        1        0
32754 liblaser-geometry0d                	       0        1        0        0        1
32755 liblash-compat-1debian0            	       0        1        0        0        1
32756 liblash-compat-dev                 	       0        1        0        1        0
32757 liblasi0                           	       0        1        0        0        1
32758 liblasso3                          	       0        1        0        0        1
32759 liblastfm-fingerprint5-1           	       0        3        0        0        3
32760 liblastfm-java                     	       0        1        0        0        1
32761 liblastfm-ocaml-dev                	       0        1        0        1        0
32762 liblastfm1                         	       0        8        0        0        8
32763 liblastfm5-1                       	       0      115        5       15       95
32764 liblastfm5-dev                     	       0        3        0        3        0
32765 liblaszip-api8                     	       0        1        0        0        1
32766 liblaszip-dev                      	       0        1        0        1        0
32767 liblaszip8                         	       0       16        0        0       16
32768 liblatex-tounicode-perl            	       0       23        1       22        0
32769 liblavfile-2.0-0                   	       0        2        0        0        2
32770 liblavfile-2.1-0                   	       0       34        0        0       34
32771 liblavfile-2.1-0t64                	       0        2        0        0        2
32772 liblavfile-2.2-0                   	       0       17        0        0       17
32773 liblavfile-2.2-0t64                	       0        7        0        0        7
32774 liblavjpeg-2.0-0                   	       0        3        0        0        3
32775 liblavjpeg-2.1-0                   	       0       35        0        0       35
32776 liblavjpeg-2.1-0t64                	       0        2        0        0        2
32777 liblavjpeg-2.2-0                   	       0       17        0        0       17
32778 liblavjpeg-2.2-0t64                	       0        7        0        0        7
32779 liblavplay-2.0-0                   	       0        2        0        0        2
32780 liblavplay-2.1-0                   	       0       34        0        0       34
32781 liblavplay-2.1-0t64                	       0        2        0        0        2
32782 liblavplay-2.2-0                   	       0       17        0        0       17
32783 liblavplay-2.2-0t64                	       0        7        0        0        7
32784 liblayout-java                     	       0      737        0        0      737
32785 liblayout-java-doc                 	       0        1        0        0        1
32786 liblazymap-clojure                 	       0        1        0        0        1
32787 liblbfgs-dev                       	       0        2        0        2        0
32788 liblbfgs0                          	       0        3        0        0        3
32789 liblbfgsb0                         	       0      525        0        1      524
32790 liblcgdm-dev                       	       0        1        0        0        1
32791 liblcgdm1                          	       0        1        0        0        1
32792 liblchown-perl                     	       0       51        0        3       48
32793 liblcmaps-dev                      	       0        1        0        0        1
32794 liblcmaps-without-gsi-dev          	       0        1        0        0        1
32795 liblcmaps-without-gsi0             	       0        1        0        0        1
32796 liblcmaps0                         	       0        1        0        0        1
32797 liblcms-utils                      	       0        2        0        2        0
32798 liblcms1                           	       0       30        0        0       30
32799 liblcms1-dev                       	       0        2        0        2        0
32800 liblcomp1                          	       0        2        1        0        1
32801 liblcomp1-dev                      	       0        2        1        1        0
32802 libldacbt-abr-dev                  	       0        1        0        1        0
32803 libldacbt-enc-dev                  	       0        1        0        1        0
32804 libldap-common                     	       0     4056        0        0     4056
32805 libldap2-dev                       	       0      123        1       47       75
32806 libldb1                            	       0      268        0        1      267
32807 libldl2                            	       0       30        0        0       30
32808 libldl2.0.1                        	       0        1        0        0        1
32809 libldl2.1.0                        	       0        1        0        0        1
32810 libldl3                            	       0        4        0        0        4
32811 libldm-1.0-0                       	       0      107        0        0      107
32812 libldm-1.0-0t64                    	       0        4        0        0        4
32813 libldm-dev                         	       0        1        0        1        0
32814 libldns-dev                        	       0        5        0        5        0
32815 libldns1                           	       0        3        0        0        3
32816 libldns2                           	       0        1        0        0        1
32817 libldns3                           	       0       21        0        1       20
32818 libldns3t64                        	       0        4        0        0        4
32819 libleatherman-data                 	       0        1        0        0        1
32820 libleatherman1.12.1                	       0        4        0        0        4
32821 libleatherman1.4.0                 	       0        1        0        0        1
32822 libleatherman1.4.2                 	       0       10        0        0       10
32823 liblensfun-bin                     	       0        3        0        3        0
32824 liblensfun-data                    	       0       19        0        0       19
32825 liblensfun-data-v1                 	       0      314        0        0      314
32826 liblensfun-dev                     	       0       12        0       12        0
32827 liblensfun-doc                     	       0        1        0        0        1
32828 liblensfun0                        	       0       16        0        0       16
32829 liblensfun1                        	       0      311        0        1      310
32830 liblept3                           	       0        2        0        0        2
32831 liblept4                           	       0        7        0        0        7
32832 liblept5                           	       0      461        1        9      451
32833 libleptonica-dev                   	       0       35        0       35        0
32834 libleptonica6                      	       0       42        1        1       40
32835 liblerc3                           	       0        4        0        1        3
32836 liblessen-java                     	       0        2        0        0        2
32837 libleveldb-api-java                	       0        1        0        0        1
32838 libleveldb-java                    	       0        1        0        0        1
32839 libleveldb1d                       	       0      101        1        7       93
32840 libleveldb1v5                      	       0        7        0        0        7
32841 liblexical-persistence-perl        	       0        5        0        5        0
32842 liblexical-sealrequirehints-perl   	       0        9        0        0        9
32843 liblexical-underscore-perl         	       0        4        0        4        0
32844 liblfi0                            	       0        1        0        0        1
32845 liblfunction-dev                   	       0        9        0        9        0
32846 liblfunction0                      	       0        1        0        0        1
32847 liblfunction1                      	       0       11        0        0       11
32848 liblhasa-dev                       	       0        1        0        1        0
32849 liblhasa0                          	       0       78        0        0       78
32850 liblib-abs-perl                    	       0        1        0        1        0
32851 libliberator-clojure               	       0        1        0        0        1
32852 liblibrary-callnumber-lc-perl      	       0        3        1        2        0
32853 liblief-dev                        	       0        1        0        1        0
32854 liblief0                           	       0        1        0        0        1
32855 libliftoff-dev                     	       0        1        0        1        0
32856 libliftoff0                        	       0       50        0        3       47
32857 liblightcouch-java                 	       0      141        0        0      141
32858 liblightdm-qt-3-0                  	       0        1        0        0        1
32859 liblightdm-qt-dev                  	       0        1        0        1        0
32860 liblightdm-qt5-3-0                 	       0        7        0        0        7
32861 liblilv-dev                        	       0       22        0       22        0
32862 liblimba0                          	       0        7        0        0        7
32863 liblime-doc                        	       0        1        0        0        1
32864 liblime0                           	       0       23        1        0       22
32865 liblimesuite-dev                   	       0        4        0        4        0
32866 liblimesuite20.10-1                	       0        6        0        0        6
32867 liblimesuite22.09-1                	       0       37        0        2       35
32868 liblimesuite23.11-1                	       0       10        0        1        9
32869 liblinbox-1.5.2-0                  	       0        1        0        0        1
32870 liblinbox-1.6.3-0                  	       0        1        0        0        1
32871 liblinbox-1.7.0-0                  	       0        9        0        0        9
32872 liblinbox-dev                      	       0        9        0        9        0
32873 liblinboxsage-1.5.2-0              	       0        1        0        0        1
32874 liblineak0                         	       0        1        0        0        1
32875 liblinear-dev                      	       0        6        0        6        0
32876 liblinear-tools                    	       0       39        1       38        0
32877 liblinear1                         	       0       25        0        0       25
32878 liblinear3                         	       0      162        0        0      162
32879 liblinear4                         	       0      812        0        0      812
32880 liblinearmath2.82                  	       0        1        0        0        1
32881 liblinebreak1                      	       0        1        0        0        1
32882 liblinebreak2                      	       0        3        0        0        3
32883 liblingot0                         	       0       18        0        0       18
32884 liblingua-en-fathom-perl           	       0        2        0        2        0
32885 liblingua-en-findnumber-perl       	       0        4        1        3        0
32886 liblingua-en-inflect-number-perl   	       0        4        1        3        0
32887 liblingua-en-inflect-phrase-perl   	       0        4        1        3        0
32888 liblingua-en-nameparse-perl        	       0        3        0        3        0
32889 liblingua-en-number-isordinal-perl 	       0        4        1        3        0
32890 liblingua-en-numbers-ordinate-perl 	       0        1        0        1        0
32891 liblingua-en-sentence-perl         	       0        2        0        2        0
32892 liblingua-en-syllable-perl         	       0        2        0        2        0
32893 liblingua-en-tagger-perl           	       0        4        1        3        0
32894 liblingua-en-words2nums-perl       	       0        4        1        3        0
32895 liblingua-ga-gramadoir-perl        	       0        1        0        1        0
32896 liblingua-ispell-perl              	       0        2        0        2        0
32897 liblingua-preferred-perl           	       0       17        2       15        0
32898 liblingua-pt-stemmer-perl          	       0        5        1        4        0
32899 liblingua-stem-fr-perl             	       0        4        0        4        0
32900 liblingua-stem-it-perl             	       0        4        0        4        0
32901 liblingua-stem-perl                	       0        5        1        4        0
32902 liblingua-stem-ru-perl             	       0        4        0        4        0
32903 liblingua-stem-snowball-da-perl    	       0        5        1        4        0
32904 liblingua-stem-snowball-perl       	       0        3        0        0        3
32905 liblingua-stopwords-perl           	       0        1        0        1        0
32906 liblink-grammar4                   	       0        5        0        0        5
32907 liblink-grammar4-dev               	       0        1        0        1        0
32908 liblink-grammar5                   	       0       79        0        1       78
32909 liblink-grammar5t64                	       0        9        0        0        9
32910 liblinphone++10                    	       0       26        1        2       23
32911 liblinphone++11                    	       0        1        0        0        1
32912 liblinphone++11t64                 	       0        2        0        0        2
32913 liblinphone-dev                    	       0        1        0        1        0
32914 liblinphone10                      	       0       26        1        2       23
32915 liblinphone11                      	       0        1        0        0        1
32916 liblinphone11t64                   	       0        2        0        0        2
32917 liblinphone2                       	       0        1        0        0        1
32918 liblinphone4                       	       0        1        0        0        1
32919 liblinphone5                       	       0        2        0        0        2
32920 liblinux-desktopfiles-perl         	       0        1        0        1        0
32921 liblinux-distribution-perl         	       0        3        0        3        0
32922 liblinux-dvb-perl                  	       0        1        0        0        1
32923 liblinux-epoll-perl                	       0        3        0        0        3
32924 liblinux-inotify2-perl             	       0       13        1        3        9
32925 liblinux-io-prio-perl              	       0        1        0        1        0
32926 liblinux-lvm-perl                  	       0       19        0       19        0
32927 liblinuxsampler                    	       0        1        0        1        0
32928 liblip-dev                         	       0        1        0        1        0
32929 liblip2                            	       0        1        0        1        0
32930 libliquid1                         	       0       17        0        0       17
32931 libliquid1d                        	       0        1        0        0        1
32932 libliquid2d                        	       0        3        0        0        3
32933 liblirc-client0                    	       0     1912        5       23     1884
32934 liblirc-client0t64                 	       0      174        2        4      168
32935 liblirc-dev                        	       0       23        2       21        0
32936 liblirc0                           	       0       60        7       30       23
32937 liblirc0t64                        	       0        6        1        1        4
32938 liblircclient-dev                  	       0       12        0        0       12
32939 liblircclient0                     	       0       50        0        0       50
32940 liblist-moreutils-xs-perl          	       0      525        4       18      503
32941 liblist-someutils-xs-perl          	       0      605        0        0      605
32942 liblistaller-glib0                 	       0        2        0        0        2
32943 liblistserialsj-dev                	       0       84        0        0       84
32944 liblistserialsj1                   	       0       84        1        1       82
32945 liblitehtml-dev                    	       0        1        0        1        0
32946 liblitehtml0                       	       0       48        0        0       48
32947 liblitehtml0t64                    	       0        3        0        0        3
32948 liblitl0                           	       0        1        0        0        1
32949 liblivemedia-dev                   	       0        8        0        8        0
32950 liblivemedia102                    	       0        1        0        0        1
32951 liblivemedia106                    	       0        1        0        0        1
32952 liblivemedia107                    	       0       26        0        0       26
32953 liblivemedia112                    	       0        4        0        1        3
32954 liblivemedia115                    	       0        1        0        0        1
32955 liblivemedia116                    	       0        7        0        0        7
32956 liblivemedia23                     	       0       26        0        1       25
32957 liblivemedia42                     	       0        1        0        0        1
32958 liblivemedia52                     	       0        1        0        0        1
32959 liblivemedia57                     	       0       64        0        0       64
32960 liblivemedia58                     	       0        2        0        0        2
32961 liblivemedia61                     	       0        1        0        0        1
32962 liblivemedia64                     	       0      114        0        0      114
32963 liblivemedia66                     	       0        8        0        0        8
32964 liblivemedia77                     	       0        5        0        0        5
32965 liblivemedia81                     	       0        1        0        0        1
32966 liblivemedia94                     	       0       18        0        0       18
32967 liblivetribe-jsr223-java           	       0        5        0        0        5
32968 liblizzie-java                     	       0        2        0        2        0
32969 liblld-14                          	       0        1        0        1        0
32970 liblld-14-dev                      	       0        1        0        1        0
32971 liblld-15                          	       0        1        0        1        0
32972 liblld-16                          	       0        1        0        1        0
32973 liblld-16-dev                      	       0        1        0        1        0
32974 liblld-19                          	       0        3        0        3        0
32975 liblld-19-dev                      	       0        3        0        3        0
32976 liblld-dev                         	       0        2        0        0        2
32977 liblldb-11                         	       0        5        0        5        0
32978 liblldb-13                         	       0        1        0        1        0
32979 liblldb-14                         	       0       10        1        9        0
32980 liblldb-14-dev                     	       0        1        0        1        0
32981 liblldb-15                         	       0        1        0        1        0
32982 liblldb-16                         	       0        3        0        3        0
32983 liblldb-16-dev                     	       0        1        0        1        0
32984 liblldb-16t64                      	       0        1        0        1        0
32985 liblldb-7                          	       0        1        0        1        0
32986 liblldb-dev                        	       0        2        0        0        2
32987 libllhttp-dev                      	       0        1        0        1        0
32988 libllhttp9.1                       	       0        8        0        0        8
32989 libllvm-16-ocaml-dev               	       0        1        0        1        0
32990 libllvm-19-ocaml-dev               	       0        1        0        1        0
32991 libllvm-ocaml-dev                  	       0        1        0        0        1
32992 libllvm10                          	       0       11        0        0       11
32993 libllvm12                          	       0        9        0        2        7
32994 libllvm13                          	       0       47        0        1       46
32995 libllvm13.0.50002-amdgpu           	       0        1        0        1        0
32996 libllvm14                          	       0      535        5        8      522
32997 libllvm14.0.50200-amdgpu           	       0        1        0        1        0
32998 libllvm14t64                       	       0       16        0        0       16
32999 libllvm15t64                       	       0       13        0        0       13
33000 libllvm16                          	       0       48        0        5       43
33001 libllvm16.0.50600-amdgpu           	       0        1        0        0        1
33002 libllvm16.0.50703-amdgpu           	       0        1        0        1        0
33003 libllvm16t64                       	       0       45        0        0       45
33004 libllvm17                          	       0        8        2        2        4
33005 libllvm17.0.60000-amdgpu           	       0        1        0        0        1
33006 libllvm17.0.60002-amdgpu           	       0        1        0        1        0
33007 libllvm17t64                       	       0       89        2       28       59
33008 libllvm18                          	       0       62        3       21       38
33009 libllvm18.1-amdgpu                 	       0        4        2        1        1
33010 libllvm20                          	       0        2        0        1        1
33011 libllvm3.0                         	       0        5        0        0        5
33012 libllvm3.2                         	       0        1        0        0        1
33013 libllvm3.3                         	       0        1        0        0        1
33014 libllvm3.4                         	       0        3        0        0        3
33015 libllvm3.5                         	       0       76        0        0       76
33016 libllvm3.5v5                       	       0        1        0        0        1
33017 libllvm3.6v5                       	       0        1        0        0        1
33018 libllvm3.7                         	       0        2        0        0        2
33019 libllvm3.8                         	       0       15        0        0       15
33020 libllvm3.9                         	       0      106        0        0      106
33021 libllvm6.0                         	       0       28        0        1       27
33022 libllvm7                           	       0      278        0        1      277
33023 libllvm8                           	       0        3        0        0        3
33024 libllvm9                           	       0       67        0        0       67
33025 libllvmlibc-19-dev                 	       0        1        0        1        0
33026 libllvmspirvlib-15-dev             	       0        2        0        2        0
33027 libllvmspirvlib-17-dev             	       0        3        0        3        0
33028 libllvmspirvlib-19-dev             	       0        1        0        1        0
33029 libllvmspirvlib11                  	       0        4        0        0        4
33030 libllvmspirvlib14                  	       0       13        0        0       13
33031 libllvmspirvlib15                  	       0       36        0        0       36
33032 libllvmspirvlib17                  	       0        4        0        0        4
33033 libllvmspirvlib18.1                	       0        1        0        0        1
33034 libllvmspirvlib19.1                	       0        4        0        0        4
33035 liblmdb-dev                        	       0       18        1       17        0
33036 liblmdb-file-perl                  	       0        2        0        0        2
33037 liblms7compact0                    	       0       35        0        3       32
33038 liblnk-utils                       	       0        4        1        3        0
33039 liblnk1                            	       0       17        0        0       17
33040 liblo-dev                          	       0       22        0       22        0
33041 liblo-tools                        	       0        5        0        5        0
33042 liblo10k1-0                        	       0        5        0        0        5
33043 liblo7                             	       0      199        3        3      193
33044 libloader-java                     	       0      742        0        0      742
33045 libloader-java-doc                 	       0        4        0        0        4
33046 libloadpng4-dev                    	       0        1        0        1        0
33047 libloadpng4.4                      	       0        2        0        0        2
33048 liblocal-lib-perl                  	       0       47        0       47        0
33049 liblocale-currency-format-perl     	       0        2        0        2        0
33050 liblocale-gettext-perl             	       0     4147        5       20     4122
33051 liblocale-maketext-fuzzy-perl      	       0        1        0        1        0
33052 liblocale-maketext-gettext-perl    	       0        3        1        2        0
33053 liblocale-maketext-lexicon-perl    	       0        6        0        6        0
33054 liblocale-msgfmt-perl              	       0        4        0        4        0
33055 liblocale-po-perl                  	       0        6        0        6        0
33056 liblocale-ruby1.9.1                	       0        1        0        0        1
33057 liblocale-xgettext-perl            	       0        3        0        3        0
33058 liblocales-perl                    	       0        1        0        1        0
33059 liblockdev1                        	       0        4        0        0        4
33060 liblockdev1-dev                    	       0        1        0        1        0
33061 liblockfile-dev                    	       0       16        1       15        0
33062 liblockfile1                       	       0     1531        7       31     1493
33063 liblodepng-dev                     	       0        1        0        1        0
33064 liblodepng0                        	       0        1        0        0        1
33065 liblog-agent-perl                  	       0       17        6       11        0
33066 liblog-any-adapter-tap-perl        	       0        1        0        1        0
33067 liblog-dispatch-array-perl         	       0        2        0        2        0
33068 liblog-dispatch-config-perl        	       0        2        1        1        0
33069 liblog-dispatch-configurator-any-perl	       0        1        0        1        0
33070 liblog-dispatchouli-perl           	       0        1        0        1        0
33071 liblog-loglite-perl                	       0        1        0        1        0
33072 liblog-report-optional-perl        	       0        1        0        1        0
33073 liblog-report-perl                 	       0        1        0        1        0
33074 liblog-trace-perl                  	       0        3        0        3        0
33075 liblog-tracemessages-perl          	       0       17        2       15        0
33076 liblog4cplus-1.1-9                 	       0       27        0        0       27
33077 liblog4cplus-2.0.5                 	       0      168        0        4      164
33078 liblog4cplus-2.0.5t64              	       0       15        0        2       13
33079 liblog4cplus-doc                   	       0        1        0        0        1
33080 liblog4cpp5                        	       0        1        0        0        1
33081 liblog4cpp5-dev                    	       0       10        0       10        0
33082 liblog4cpp5v5                      	       0       32        0        0       32
33083 liblog4cxx-dev                     	       0        4        0        4        0
33084 liblog4cxx10v5                     	       0        1        0        0        1
33085 liblog4cxx11                       	       0        2        0        0        2
33086 liblog4cxx15                       	       0        4        0        0        4
33087 liblog4j1.2-java                   	       0      660        0        0      660
33088 liblog4j1.2-java-doc               	       0        7        0        0        7
33089 liblog4j2-java                     	       0      141        0        0      141
33090 liblog4j2-java-doc                 	       0        1        0        0        1
33091 liblog4net1.2-cil                  	       0        5        0        5        0
33092 liblog4shib2                       	       0        1        0        0        1
33093 liblog4tango-dev                   	       0        1        0        1        0
33094 liblog4tango5v5                    	       0        2        0        0        2
33095 liblogback-java                    	       0      118        0        0      118
33096 liblogfile-rotate-perl             	       0        5        0        5        0
33097 liblogg4-dev                       	       0        1        0        1        0
33098 liblogg4.4                         	       0        1        0        0        1
33099 liblogging-stdlog0                 	       0      655        0        0      655
33100 liblognorm0                        	       0        2        0        2        0
33101 liblognorm1                        	       0      208        0        0      208
33102 liblognorm5                        	       0     4018        0        0     4018
33103 liblogs-ocaml                      	       0        1        0        1        0
33104 liblogs-ocaml-dev                  	       0        1        0        1        0
33105 liblogsys-dev                      	       0        1        0        1        0
33106 liblogsys4                         	       0        1        0        0        1
33107 libloki-dev                        	       0        4        0        4        0
33108 libloki0.1.7                       	       0        4        0        0        4
33109 liblombok-ast-java                 	       0        3        0        0        3
33110 liblombok-java                     	       0        2        0        0        2
33111 liblombok-patcher-java             	       0        1        0        0        1
33112 liblomiri-api0                     	       0        1        0        0        1
33113 liblomiri-thumbnailer-qt1.0        	       0        1        0        0        1
33114 liblomiri-url-dispatcher0          	       0       12        2        3        7
33115 liblomirigestures5                 	       0        1        0        0        1
33116 liblomirimetrics5                  	       0        1        0        0        1
33117 liblomiritoolkit5                  	       0        1        0        0        1
33118 liblong-jump-perl                  	       0        1        0        1        0
33119 liblopsub1                         	       0        5        0        0        5
33120 liblorene-debian1                  	       0        2        0        0        2
33121 liblorene-debian1t64               	       0        1        0        0        1
33122 liblorene-dev                      	       0        2        0        2        0
33123 liblorene-export-debian0           	       0        1        0        0        1
33124 liblorene-export-debian0t64        	       0        1        0        0        1
33125 liblorenef77-debian1               	       0        2        0        0        2
33126 liblorenef77-debian1t64            	       0        1        0        0        1
33127 liblossywav1                       	       0        1        0        0        1
33128 libloudmouth1-0                    	       0     1035        0        1     1034
33129 libloudmouth1-dev                  	       0        2        0        2        0
33130 liblouis-bin                       	       0        8        0        8        0
33131 liblouis-data                      	       0     3043        0        0     3043
33132 liblouis-dev                       	       0        3        1        2        0
33133 liblouis12                         	       0       81        0        0       81
33134 liblouis14                         	       0        2        0        0        2
33135 liblouis17                         	       0      170        0        1      169
33136 liblouis2                          	       0       19        0        0       19
33137 liblouis9                          	       0        1        0        0        1
33138 liblouisutdml-data                 	       0     2737        0        0     2737
33139 liblouisutdml-dev                  	       0        1        0        1        0
33140 liblouisutdml6                     	       0        1        0        0        1
33141 liblouisutdml7                     	       0       75        0        0       75
33142 liblouisutdml8                     	       0      120        0        0      120
33143 liblouisutdml9                     	       0     2406        0        0     2406
33144 liblouisutdml9t64                  	       0      174        0        0      174
33145 liblouisxml-bin                    	       0        1        0        1        0
33146 liblouisxml-data                   	       0        1        0        0        1
33147 liblouisxml-dev                    	       0        1        0        1        0
33148 liblouisxml1                       	       0        1        0        0        1
33149 liblpm-dev                         	       0        1        0        1        0
33150 liblpm-lua                         	       0        1        0        0        1
33151 liblpm1                            	       0        1        0        0        1
33152 liblpsolve55-dev                   	       0        3        0        3        0
33153 liblqr-1-0                         	       0     3285       38       89     3158
33154 liblrcalc-dev                      	       0        9        0        9        0
33155 liblrcalc1                         	       0       10        0        0       10
33156 liblrdf0                           	       0     2361        3        5     2353
33157 liblrm2                            	       0        9        0        0        9
33158 liblrm2-dev                        	       0        1        0        1        0
33159 liblrmd1                           	       0        4        0        0        4
33160 liblrmd28                          	       0        2        0        2        0
33161 liblrs-dev                         	       0        1        0        1        0
33162 liblrs0                            	       0        1        0        0        1
33163 liblrs1                            	       0        3        0        0        3
33164 liblsan0                           	       0     2473        0        0     2473
33165 liblsan0-arm64-cross               	       0       37        0        0       37
33166 liblsan0-ppc64-cross               	       0        6        0        0        6
33167 liblsan0-ppc64el-cross             	       0        1        0        0        1
33168 liblsan0-riscv64-cross             	       0        2        0        0        2
33169 liblscp-dev                        	       0        1        0        1        0
33170 liblscp6                           	       0        3        0        0        3
33171 liblsmash2                         	       0        2        0        0        2
33172 liblsof0                           	       0      148        0        0      148
33173 liblsofui9                         	       0        9        0        0        9
33174 libltc-dev                         	       0        1        0        1        0
33175 libltc11                           	       0     2812        0        0     2812
33176 libltdl3                           	       0        2        1        0        1
33177 liblttng-ust-common1               	       0       27        2       10       15
33178 liblttng-ust-common1t64            	       0       15        1        5        9
33179 liblttng-ust-ctl4                  	       0        4        0        0        4
33180 liblttng-ust-ctl5                  	       0       27        0        0       27
33181 liblttng-ust-ctl5t64               	       0       15        0        0       15
33182 liblttng-ust-dev                   	       0        1        0        1        0
33183 liblttng-ust-python-agent1         	       0        1        0        0        1
33184 liblttng-ust0                      	       0        4        0        0        4
33185 liblttng-ust1                      	       0       27        2       10       15
33186 liblttng-ust1t64                   	       0       15        1        5        9
33187 liblttoolbox3                      	       0        4        0        0        4
33188 liblttoolbox3-3.5-1                	       0        3        0        0        3
33189 liblua40                           	       0        2        0        0        2
33190 liblua5.1-0                        	       0     1385       16       47     1322
33191 liblua5.1-0-dev                    	       0       57        0       57        0
33192 liblua5.1-luacsnd                  	       0        2        0        1        1
33193 liblua5.2-0                        	       0     2317       30      114     2173
33194 liblua5.2-0-dbg                    	       0        1        0        1        0
33195 liblua5.2-dev                      	       0       64        1       63        0
33196 liblua5.3-0-dbg                    	       0        3        0        3        0
33197 liblua5.3-dev                      	       0       35        1       34        0
33198 liblua5.4-dev                      	       0       19        0       19        0
33199 liblua50                           	       0       14        1        0       13
33200 liblua50-dev                       	       0        5        0        5        0
33201 libluabind-dev                     	       0        2        0        0        2
33202 libluabind0.9.1d1                  	       0        3        0        0        3
33203 libluajit-5.1-common               	       0      460        0        0      460
33204 libluajit-5.1-dev                  	       0       39        1       38        0
33205 libluajit2-5.1-2                   	       0       29        1        2       26
33206 libluajit2-5.1-common              	       0       29        0        0       29
33207 libluajit2-5.1-dev                 	       0        1        0        1        0
33208 liblualib40                        	       0        2        0        0        2
33209 liblualib50                        	       0       13        1        0       12
33210 liblualib50-dev                    	       0        4        0        4        0
33211 liblucene++-contrib0v5             	       0        1        0        0        1
33212 liblucene++-dev                    	       0        1        0        1        0
33213 liblucene++0t64                    	       0        1        0        0        1
33214 liblucene++0v5                     	       0       13        0        1       12
33215 liblucene2-java                    	       0        8        1        7        0
33216 liblucene3-contrib-java            	       0        8        0        0        8
33217 liblucene3-java                    	       0        8        0        0        8
33218 liblucene4-java                    	       0        1        0        0        1
33219 liblucene4.10-java                 	       0       12        0        0       12
33220 liblucene8-java                    	       0        2        0        0        2
33221 libluksde-utils                    	       0        2        0        2        0
33222 libluksde1                         	       0       15        0        0       15
33223 libluksmeta0                       	       0        9        1        1        7
33224 liblur3                            	       0        3        0        0        3
33225 liblutok3                          	       0        1        0        0        1
33226 liblv-perl                         	       0        1        0        1        0
33227 liblv2dynparam1-dev                	       0        1        0        1        0
33228 liblv2dynparamhost1-1              	       0        1        0        0        1
33229 liblv2dynparamplugin1-0            	       0        5        0        0        5
33230 liblvm2app2.2                      	       0       86        0        0       86
33231 liblvm2cmd2.02                     	       0       95        0        0       95
33232 liblvm2cmd2.03                     	       0     1195        1        3     1191
33233 liblwgeom-2.3-0                    	       0        3        0        0        3
33234 liblwgeom-2.4-0                    	       0        1        0        0        1
33235 liblwgeom-2.5-0                    	       0        5        1        0        4
33236 liblwgeom-dev                      	       0        1        0        1        0
33237 liblwipv6-2                        	       0        1        0        0        1
33238 liblwjgl-java                      	       0        5        0        0        5
33239 liblwjgl-java-doc                  	       0        1        0        0        1
33240 liblwjgl-java-jni                  	       0        5        0        5        0
33241 liblwp-authen-oauth-perl           	       0        2        0        2        0
33242 liblwp-authen-oauth2-perl          	       0        2        0        2        0
33243 liblwp-authen-wsse-perl            	       0       12        0       12        0
33244 liblwp-online-perl                 	       0        4        1        3        0
33245 liblwp-protocol-http-socketunix-perl	       0        2        0        2        0
33246 liblwp-protocol-socks-perl         	       0        6        0        6        0
33247 liblwp-useragent-chicaching-perl   	       0        2        0        2        0
33248 liblwp-useragent-progressbar-perl  	       0        1        0        1        0
33249 liblwpx-paranoidagent-perl         	       0        3        0        3        0
33250 liblwres1                          	       0        1        0        0        1
33251 liblwres141                        	       0      193        0        0      193
33252 liblwres161                        	       0      308        0        0      308
33253 liblwres40                         	       0        5        0        0        5
33254 liblwres60                         	       0       18        0        0       18
33255 liblwres80                         	       0       20        0        0       20
33256 liblwres9                          	       0        3        0        0        3
33257 liblwres90                         	       0       84        0        0       84
33258 liblwt-log-ocaml                   	       0        1        0        1        0
33259 liblwt-log-ocaml-dev               	       0        1        0        1        0
33260 liblwt-ocaml                       	       0        4        0        3        1
33261 liblwt-ocaml-dev                   	       0        3        0        3        0
33262 liblxc1t64                         	       0       10        1        1        8
33263 liblxi1                            	       0        1        0        0        1
33264 liblxqt-backlight-helper           	       0        7        0        7        0
33265 liblxqt-dev                        	       0        1        0        1        0
33266 liblxqt-globalkeys-ui1-dev         	       0        2        0        2        0
33267 liblxqt-globalkeys-ui2             	       0        5        0        4        1
33268 liblxqt-globalkeys1-dev            	       0        2        0        2        0
33269 liblxqt-globalkeys2                	       0        6        0        4        2
33270 liblxqt1-dev                       	       0        1        0        1        0
33271 liblxqt2                           	       0        7        0        4        3
33272 liblz-dev                          	       0       17        1       16        0
33273 liblz1                             	       0     1463        0        6     1457
33274 liblz4-tool                        	       0       39        0        1       38
33275 liblzf-dev                         	       0        1        0        1        0
33276 liblzf1                            	       0      120       27       49       44
33277 liblzfse1                          	       0        1        0        0        1
33278 liblzma-doc                        	       0       13        0        0       13
33279 liblzma2                           	       0        8        0        0        8
33280 liblzo-dev                         	       0        1        0        1        0
33281 liblzo1                            	       0        4        0        0        4
33282 liblzo2-dev                        	       0       75        2       73        0
33283 libm17n-0                          	       0      401       18       67      316
33284 libm17n-dev                        	       0        6        1        5        0
33285 libm4ri-0.0.20140914               	       0        1        0        0        1
33286 libm4ri-0.0.20200125               	       0        9        0        0        9
33287 libm4ri-dev                        	       0        9        0        9        0
33288 libm4rie-0.0.20150908              	       0        1        0        0        1
33289 libm4rie-0.0.20200125              	       0        9        0        0        9
33290 libm4rie-dev                       	       0        9        0        9        0
33291 libmaa2                            	       0        1        0        0        1
33292 libmaa3                            	       0        9        0        0        9
33293 libmac-widgets-java                	       0        3        0        0        3
33294 libmac10                           	       0        2        0        0        2
33295 libmac2                            	       0        5        0        0        5
33296 libmac8                            	       0        1        0        0        1
33297 libmacaroons0                      	       0        1        0        0        1
33298 libmad-ocaml                       	       0        3        0        3        0
33299 libmad-ocaml-dev                   	       0        3        0        3        0
33300 libmadlib                          	       0        1        0        0        1
33301 libmadlib-dev                      	       0        1        0        1        0
33302 libmaeparser1                      	       0       60        0        0       60
33303 libmagic-ocaml                     	       0        1        0        1        0
33304 libmagic-ocaml-dev                 	       0        1        0        1        0
33305 libmagic1-dbgsym                   	       0        1        0        1        0
33306 libmagic1t64                       	       0      272        5        6      261
33307 libmagick++-6.q16-5                	       0        3        0        0        3
33308 libmagick++-6.q16-7                	       0       34        0        0       34
33309 libmagick++-6.q16-8                	       0      698        0        5      693
33310 libmagick++-6.q16-9                	       0        2        0        0        2
33311 libmagick++-6.q16-9t64             	       0       29        0        0       29
33312 libmagick++-6.q16-dev              	       0       40        0        0       40
33313 libmagick++-6.q16hdri-8            	       0        1        0        0        1
33314 libmagick++-6.q16hdri-9t64         	       0        1        0        0        1
33315 libmagick++-6.q16hdri-dev          	       0        2        0        0        2
33316 libmagick++-7-5                    	       0        6        0        0        6
33317 libmagick++-7-headers              	       0        1        0        1        0
33318 libmagick++-7.q16-5                	       0       26        0        0       26
33319 libmagick++-7.q16-dev              	       0        1        0        0        1
33320 libmagick++-7.q16hdri-5            	       0        1        0        0        1
33321 libmagick++-7.q16hdri-dev          	       0        1        0        0        1
33322 libmagick++-dev                    	       0       35        0        0       35
33323 libmagick++5                       	       0        7        0        0        7
33324 libmagick++9c2a                    	       0        1        0        0        1
33325 libmagick9                         	       0        1        0        1        0
33326 libmagickcore-6.q16-2              	       0       15        0        1       14
33327 libmagickcore-6.q16-2-extra        	       0       10        0        0       10
33328 libmagickcore-6.q16-3              	       0      122        0        0      122
33329 libmagickcore-6.q16-3-extra        	       0      100        0        0      100
33330 libmagickcore-6.q16-5              	       0        1        0        0        1
33331 libmagickcore-6.q16-6              	       0     2966       34       85     2847
33332 libmagickcore-6.q16-6-extra        	       0     2842        3        9     2830
33333 libmagickcore-6.q16-7              	       0        9        0        0        9
33334 libmagickcore-6.q16-7-extra        	       0      117        0        0      117
33335 libmagickcore-6.q16-7t64           	       0      122        2        0      120
33336 libmagickcore-6.q16-dev            	       0       71        0        0       71
33337 libmagickcore-6.q16hdri-3          	       0        1        0        0        1
33338 libmagickcore-6.q16hdri-3-extra    	       0        1        0        0        1
33339 libmagickcore-6.q16hdri-6          	       0        7        0        0        7
33340 libmagickcore-6.q16hdri-6-extra    	       0        5        0        0        5
33341 libmagickcore-6.q16hdri-7-extra    	       0        2        0        0        2
33342 libmagickcore-6.q16hdri-7t64       	       0        2        0        0        2
33343 libmagickcore-6.q16hdri-dev        	       0        2        0        0        2
33344 libmagickcore-7-10                 	       0       17        0        0       17
33345 libmagickcore-7.q16-10             	       0      133        1        2      130
33346 libmagickcore-7.q16-10-extra       	       0      126        0        0      126
33347 libmagickcore-7.q16-dev            	       0        2        0        0        2
33348 libmagickcore-7.q16hdri-10         	       0        1        0        0        1
33349 libmagickcore-7.q16hdri-10-extra   	       0        1        0        0        1
33350 libmagickcore-7.q16hdri-dev        	       0        1        0        0        1
33351 libmagickcore-dev                  	       0       24        0        0       24
33352 libmagickcore3                     	       0        1        0        1        0
33353 libmagickcore5                     	       0       17        0        0       17
33354 libmagickcore5-extra               	       0       11        0        0       11
33355 libmagickwand-6.q16-2              	       0       14        0        1       13
33356 libmagickwand-6.q16-3              	       0      121        0        0      121
33357 libmagickwand-6.q16-5              	       0        1        0        0        1
33358 libmagickwand-6.q16-6              	       0     2959       33       82     2844
33359 libmagickwand-6.q16-7              	       0        9        0        0        9
33360 libmagickwand-6.q16-7t64           	       0      122        2        0      120
33361 libmagickwand-6.q16-dev            	       0       58        0        0       58
33362 libmagickwand-6.q16hdri-3          	       0        1        0        0        1
33363 libmagickwand-6.q16hdri-6          	       0        7        0        0        7
33364 libmagickwand-6.q16hdri-7t64       	       0        2        0        0        2
33365 libmagickwand-6.q16hdri-dev        	       0        2        0        0        2
33366 libmagickwand-7-10                 	       0       17        0        0       17
33367 libmagickwand-7.q16-10             	       0      132        1        2      129
33368 libmagickwand-7.q16-dev            	       0        2        0        0        2
33369 libmagickwand-7.q16hdri-10         	       0        1        0        0        1
33370 libmagickwand-7.q16hdri-dev        	       0        1        0        0        1
33371 libmagickwand-dev                  	       0       21        0        0       21
33372 libmagickwand5                     	       0       17        0        0       17
33373 libmagics++-data                   	       0        6        0        0        6
33374 libmagics++-dev                    	       0        1        0        1        0
33375 libmagics++-metview-dev            	       0        1        0        0        1
33376 libmagplus3v5                      	       0        5        0        0        5
33377 libmail-authenticationresults-perl 	       0      104        5       99        0
33378 libmail-box-perl                   	       0       31        1       30        0
33379 libmail-bulkmail-perl              	       0        1        0        1        0
33380 libmail-dkim-perl                  	       0      124       16      108        0
33381 libmail-dmarc-perl                 	       0       53        6       47        0
33382 libmail-java                       	       0      840        0        0      840
33383 libmail-java-doc                   	       0        9        0        0        9
33384 libmail-mbox-messageparser-perl    	       0       17        0       17        0
33385 libmail-mboxparser-perl            	       0        1        0        1        0
33386 libmail-pop3client-perl            	       0        4        0        4        0
33387 libmail-rbl-perl                   	       0        1        1        0        0
33388 libmail-spf-perl                   	       0      130       20      110        0
33389 libmail-spf-xs-perl                	       0        1        0        0        1
33390 libmail-srs-perl                   	       0        4        1        3        0
33391 libmailcommon-data                 	       0        7        0        0        7
33392 libmailcommon4                     	       0        2        0        1        1
33393 libmailimporter-data               	       0        7        0        0        7
33394 libmailimporter4                   	       0        2        0        1        1
33395 libmailtransport4                  	       0        7        1        6        0
33396 libmailutils-dev                   	       0        3        0        3        0
33397 libmailutils4                      	       0        4        0        0        4
33398 libmailutils5                      	       0      164        0        0      164
33399 libmailutils7                      	       0      298        0        0      298
33400 libmailutils8                      	       0        2        0        0        2
33401 libmailutils9                      	       0      636        0        0      636
33402 libmailutils9t64                   	       0       78        0        0       78
33403 libmalaga7                         	       0        2        0        0        2
33404 libmalai-java                      	       0        5        0        0        5
33405 libmalcontent-ui-0-0               	       0       72        0        0       72
33406 libmaliit-plugins2                 	       0        1        0        1        0
33407 libmaloc1                          	       0        7        0        0        7
33408 libmanette-0.2-dev                 	       0        1        1        0        0
33409 libmango-perl                      	       0        1        0        1        0
33410 libmap-msgs-dev                    	       0        1        0        1        0
33411 libmapbox-variant-dev              	       0        2        0        0        2
33412 libmapcache1                       	       0        3        1        2        0
33413 libmapi1                           	       0        1        1        0        0
33414 libmapnik-dev                      	       0        1        0        1        0
33415 libmapnik3.0                       	       0        4        0        4        0
33416 libmapnik3.1                       	       0       21        0       21        0
33417 libmapscript-ruby1.9.1             	       0        1        0        0        1
33418 libmapserver-dev                   	       0        1        0        1        0
33419 libmapserver2                      	       0        9        1        0        8
33420 libmarble-dev                      	       0        3        0        3        0
33421 libmarblewidget-qt5-25             	       0        3        0        0        3
33422 libmarblewidget-qt5-28             	       0      134        0        2      132
33423 libmarblewidget-qt6-28             	       0        1        0        0        1
33424 libmarblewidget19                  	       0        2        0        1        1
33425 libmarc-charset-perl               	       0        3        1        2        0
33426 libmarc-file-marcmaker-perl        	       0        1        0        1        0
33427 libmarc-file-mij-perl              	       0        2        0        2        0
33428 libmarc-lint-perl                  	       0        1        0        1        0
33429 libmarc-parser-raw-perl            	       0        1        0        1        0
33430 libmarc-record-perl                	       0        3        0        3        0
33431 libmarc-spec-perl                  	       0        1        0        1        0
33432 libmarc-xml-perl                   	       0        3        0        3        0
33433 libmarc4j-java                     	       0        2        0        0        2
33434 libmarco-dev                       	       0        1        0        1        0
33435 libmarco-private0                  	       0        3        0        0        3
33436 libmarco-private1                  	       0       69        0        0       69
33437 libmariadb-java                    	       0       43        0        0       43
33438 libmariadb2                        	       0        2        0        0        2
33439 libmariadb3                        	       0     2205       55      157     1993
33440 libmariadbclient-dev               	       0        6        0        2        4
33441 libmariadbclient-dev-compat        	       0        3        0        0        3
33442 libmariadbclient18                 	       0      105        0        1      104
33443 libmariadbd18                      	       0        3        0        0        3
33444 libmariadbd19                      	       0        9        0        0        9
33445 libmariadbd19t64                   	       0        4        0        1        3
33446 libmarisa0                         	       0       44        1        6       37
33447 libmarkdent-perl                   	       0        1        0        1        0
33448 libmarkdown-php                    	       0        1        0        1        0
33449 libmarkdown2-dev                   	       0        2        0        2        0
33450 libmartchus-c++utilities5          	       0       10        0        6        4
33451 libmartchus-c++utilities5t64       	       0        1        1        0        0
33452 libmartchus-qtforkawesome1         	       0       10        0        6        4
33453 libmartchus-qtforkawesome1t64      	       0        1        1        0        0
33454 libmartchus-qtutilities6           	       0       11        1        6        4
33455 libmason-perl                      	       0        1        0        1        0
33456 libmason-plugin-cache-perl         	       0        1        0        1        0
33457 libmason-plugin-htmlfilters-perl   	       0        1        0        1        0
33458 libmason-plugin-routersimple-perl  	       0        1        0        1        0
33459 libmasonx-processdir-perl          	       0        1        0        1        0
33460 libmatch-simple-perl               	       0       32        1       31        0
33461 libmatch-simple-xs-perl            	       0       31        0        0       31
33462 libmatchbox1                       	       0        9        0        0        9
33463 libmate-desktop-doc                	       0        4        0        0        4
33464 libmate-menu-dev                   	       0        1        0        1        0
33465 libmate-panel-applet-dev           	       0        1        0        1        0
33466 libmate-panel-applet-doc           	       0        3        0        0        3
33467 libmate-sensors-applet-plugin0     	       0      108        2       21       85
33468 libmate-slab0t64                   	       0       21        0        0       21
33469 libmate-window-settings1t64        	       0       21        0        0       21
33470 libmatedict6                       	       0      518        0        2      516
33471 libmatekbd-common                  	       0      531        0        0      531
33472 libmatekbd-dev                     	       0        1        0        1        0
33473 libmatekeyring                     	       0        1        0        0        1
33474 libmatemixer-common                	       0      529        0        0      529
33475 libmatemixer-doc                   	       0        3        0        0        3
33476 libmatepolkit                      	       0        1        0        0        1
33477 libmateweather-dev                 	       0        1        0        1        0
33478 libmatewnck                        	       0        1        0        1        0
33479 libmatewnck-common                 	       0        1        0        0        1
33480 libmath-basecnv-perl               	       0        2        0        2        0
33481 libmath-bezier-perl                	       0        2        0        2        0
33482 libmath-bigint-gmp-perl            	       0        7        0        0        7
33483 libmath-bigint-perl                	       0       31        1       30        0
33484 libmath-cartesian-product-perl     	       0        1        0        1        0
33485 libmath-cephes-perl                	       0        1        0        0        1
33486 libmath-combinatorics-clojure      	       0        1        0        0        1
33487 libmath-combinatorics-perl         	       0        2        0        2        0
33488 libmath-convexhull-monotonechain-perl	       0       27        0        0       27
33489 libmath-derivative-perl            	       0        4        0        4        0
33490 libmath-geometry-voronoi-perl      	       0       27        0        0       27
33491 libmath-gmp-perl                   	       0        2        0        0        2
33492 libmath-int128-perl                	       0        5        0        0        5
33493 libmath-int64-perl                 	       0        5        0        0        5
33494 libmath-libm-perl                  	       0       27        0        0       27
33495 libmath-numeric-tower-clojure      	       0        1        0        0        1
33496 libmath-planepath-perl             	       0       27        0       27        0
33497 libmath-polygon-perl               	       0        1        0        1        0
33498 libmath-prime-util-gmp-perl        	       0        3        0        0        3
33499 libmath-prime-util-perl            	       0        3        0        3        0
33500 libmath-random-isaac-xs-perl       	       0      187        1        1      185
33501 libmath-random-mt-perl             	       0        4        1        1        2
33502 libmath-random-secure-perl         	       0        1        0        1        0
33503 libmath-round-perl                 	       0       17        2       15        0
33504 libmath-spline-perl                	       0        4        0        4        0
33505 libmath-tamuanova-perl             	       0        1        0        0        1
33506 libmath-units-perl                 	       0        1        0        1        0
33507 libmath-vec-perl                   	       0        2        0        2        0
33508 libmath-vecstat-perl               	       0        3        0        3        0
33509 libmatheval-dev                    	       0        1        0        1        0
33510 libmatheval1                       	       0       10        0        0       10
33511 libmathic-dev                      	       0        1        0        1        0
33512 libmathic0v5                       	       0        1        0        0        1
33513 libmathicgb-dev                    	       0        1        0        1        0
33514 libmathicgb0                       	       0        1        0        0        1
33515 libmathml-ruby1.9.1                	       0        1        0        0        1
33516 libmatio-doc                       	       0        1        0        0        1
33517 libmatio11                         	       0       34        1        0       33
33518 libmatio13                         	       0        5        0        0        5
33519 libmatio4                          	       0        3        0        0        3
33520 libmatroska-dev                    	       0       14        1       13        0
33521 libmatroska0                       	       0        3        0        0        3
33522 libmatroska5                       	       0        5        0        0        5
33523 libmatroska6                       	       0       13        0        1       12
33524 libmatroska6v5                     	       0      173        0        0      173
33525 libmatroska7                       	       0     1512        5       17     1490
33526 libmatthew-debug-java              	       0        2        0        0        2
33527 libmaven-ant-tasks-java            	       0        2        0        0        2
33528 libmaven-antrun-extended-plugin-java	       0        1        0        0        1
33529 libmaven-antrun-plugin-java        	       0        1        0        0        1
33530 libmaven-archiver-java             	       0       52        0        0       52
33531 libmaven-archiver-java-doc         	       0        1        0        0        1
33532 libmaven-artifact-transfer-java    	       0        4        0        0        4
33533 libmaven-assembly-plugin-java      	       0        1        0        0        1
33534 libmaven-bundle-plugin-java        	       0        5        0        0        5
33535 libmaven-clean-plugin-java         	       0        5        0        0        5
33536 libmaven-common-artifact-filters-java	       0        6        0        0        6
33537 libmaven-common-artifact-filters-java-doc	       0        1        0        0        1
33538 libmaven-compiler-plugin-java      	       0       24        0        0       24
33539 libmaven-dependency-analyzer-java  	       0        3        0        0        3
33540 libmaven-dependency-plugin-java    	       0        3        0        0        3
33541 libmaven-dependency-plugin-java-doc	       0        1        0        0        1
33542 libmaven-dependency-tree-java      	       0        6        0        0        6
33543 libmaven-dependency-tree-java-doc  	       0        1        0        0        1
33544 libmaven-deploy-plugin-java        	       0        5        0        0        5
33545 libmaven-doxia-tools-java          	       0        2        0        0        2
33546 libmaven-doxia-tools-java-doc      	       0        1        0        0        1
33547 libmaven-ejb-plugin-java           	       0        1        0        0        1
33548 libmaven-enforcer-plugin-java      	       0        2        0        0        2
33549 libmaven-exec-plugin-java          	       0        2        0        0        2
33550 libmaven-file-management-java      	       0      372        0        0      372
33551 libmaven-file-management-java-doc  	       0        1        0        0        1
33552 libmaven-filtering-java            	       0        5        0        0        5
33553 libmaven-install-plugin-java       	       0        6        0        0        6
33554 libmaven-invoker-java              	       0        3        0        0        3
33555 libmaven-invoker-plugin-java       	       0        1        0        0        1
33556 libmaven-jar-plugin-java           	       0       51        0        0       51
33557 libmaven-javadoc-plugin-java       	       0        3        0        0        3
33558 libmaven-jaxb2-plugin-java         	       0        1        0        0        1
33559 libmaven-mapping-java              	       0        1        0        0        1
33560 libmaven-parent-java               	       0      465        0        0      465
33561 libmaven-plugin-testing-java       	       0        1        0        0        1
33562 libmaven-plugin-tools-java         	       0        7        0        0        7
33563 libmaven-processor-plugin-java     	       0        1        0        0        1
33564 libmaven-reporting-api-java        	       0       11        0        0       11
33565 libmaven-reporting-exec-java       	       0        5        0        0        5
33566 libmaven-reporting-impl-java       	       0        8        0        0        8
33567 libmaven-reporting-impl-java-doc   	       0        1        0        0        1
33568 libmaven-repository-builder-java   	       0        1        0        0        1
33569 libmaven-repository-builder-java-doc	       0        1        0        0        1
33570 libmaven-resolver-1.6-java         	       0       10        0        0       10
33571 libmaven-resolver-java             	       0      461        0        0      461
33572 libmaven-resolver-transport-http-java	       0        3        0        0        3
33573 libmaven-resources-plugin-java     	       0        5        0        0        5
33574 libmaven-resources-plugin-java-doc 	       0        1        0        0        1
33575 libmaven-scm-java                  	       0        4        0        0        4
33576 libmaven-scm-java-doc              	       0        1        0        0        1
33577 libmaven-scm-providers-java        	       0        1        0        0        1
33578 libmaven-script-interpreter-java   	       0        1        0        0        1
33579 libmaven-shade-plugin-java         	       0        1        0        0        1
33580 libmaven-shade-plugin-java-doc     	       0        1        0        0        1
33581 libmaven-shared-incremental-java   	       0       24        0        0       24
33582 libmaven-shared-incremental-java-doc	       0        1        0        0        1
33583 libmaven-shared-io-java            	       0      371        0        0      371
33584 libmaven-shared-io-java-doc        	       0        1        0        0        1
33585 libmaven-shared-jar-java           	       0        1        0        0        1
33586 libmaven-shared-jar-java-doc       	       0        1        0        0        1
33587 libmaven-shared-utils-java         	       0      466        0        0      466
33588 libmaven-shared-utils-java-doc     	       0        2        0        0        2
33589 libmaven-site-plugin-java          	       0        5        0        0        5
33590 libmaven-site-plugin-java-doc      	       0        1        0        0        1
33591 libmaven-source-plugin-java        	       0        2        0        0        2
33592 libmaven-verifier-java             	       0        1        0        0        1
33593 libmaven-verifier-java-doc         	       0        1        0        0        1
33594 libmaven-war-plugin-java           	       0        1        0        0        1
33595 libmaven-war-plugin-java-doc       	       0        1        0        0        1
33596 libmaven2-core-java                	       0        4        0        0        4
33597 libmaven2-core-java-doc            	       0        1        0        0        1
33598 libmaven3-core-java                	       0      464        0        0      464
33599 libmaxflow0                        	       0        2        0        0        2
33600 libmaxmind-db-common-perl          	       0        5        0        5        0
33601 libmaxmind-db-reader-perl          	       0        5        0        5        0
33602 libmaxmind-db-reader-xs-perl       	       0        4        0        0        4
33603 libmaxminddb-dev                   	       0        4        0        4        0
33604 libmaxminddb0                      	       0     3602       50      116     3436
33605 libmbassador-java                  	       0       19        0        0       19
33606 libmbedcrypto0                     	       0        2        0        0        2
33607 libmbedcrypto16                    	       0      149       12       18      119
33608 libmbedcrypto3                     	       0      257        6       22      229
33609 libmbedcrypto7t64                  	       0      108        2       15       91
33610 libmbedtls-doc                     	       0        1        0        0        1
33611 libmbedtls10                       	       0        1        0        0        1
33612 libmbedtls12                       	       0      246        6       16      224
33613 libmbedtls14t64                    	       0       38        0        1       37
33614 libmbedtls21                       	       0       59        2        0       57
33615 libmbedx509-0                      	       0      247        6       16      225
33616 libmbedx509-1t64                   	       0       38        0        1       37
33617 libmbedx509-7                      	       0       59        2        0       57
33618 libmbim-glib0                      	       0        1        0        0        1
33619 libmbim-proxy                      	       0     2735        4      138     2593
33620 libmbt1                            	       0        4        0        0        4
33621 libmbtserver1                      	       0        2        0        0        2
33622 libmbus0                           	       0        1        0        1        0
33623 libmce-perl                        	       0        2        0        2        0
33624 libmckoisqldb-java                 	       0        4        0        0        4
33625 libmcpp-dev                        	       0        3        1        2        0
33626 libmcpp0                           	       0       75        0        0       75
33627 libmcrypt-dev                      	       0       19        0       19        0
33628 libmcs-utils                       	       0        1        0        1        0
33629 libmcs1                            	       0        2        0        2        0
33630 libmd3-1                           	       0        1        0        0        1
33631 libmd4c-dev                        	       0        1        0        1        0
33632 libmd4c-html0                      	       0        2        0        0        2
33633 libmd4c-html0-dev                  	       0        1        0        0        1
33634 libmd4c0-dbgsym                    	       0        1        0        1        0
33635 libmdb2                            	       0       10        0        0       10
33636 libmdb3                            	       0       24        0        0       24
33637 libmdb3t64                         	       0        3        0        0        3
33638 libmdbsql2                         	       0        9        0        0        9
33639 libmdbsql3                         	       0       24        0        0       24
33640 libmdbsql3t64                      	       0        3        0        0        3
33641 libmdbtools                        	       0        2        0        0        2
33642 libmdc2                            	       0        3        0        0        3
33643 libmdc3                            	       0       12        0        0       12
33644 libmdds-dev                        	       0        1        0        0        1
33645 libmdnsd1                          	       0        1        0        0        1
33646 libmeanwhile-dev                   	       0        4        1        3        0
33647 libmeanwhile1                      	       0      474       15       18      441
33648 libmecab-ruby1.9.1                 	       0        1        0        0        1
33649 libmecab2                          	       0       20        0        0       20
33650 libmed-dev                         	       0        1        0        0        1
33651 libmed-doc                         	       0        1        0        0        1
33652 libmed-tools                       	       0        3        0        3        0
33653 libmed11                           	       0        4        0        0        4
33654 libmedc-dev                        	       0        6        0        6        0
33655 libmedc11                          	       0      103        0        1      102
33656 libmedc11t64                       	       0       15        0        0       15
33657 libmedc1v5                         	       0        1        0        0        1
33658 libmedia-convert-perl              	       0        2        0        2        0
33659 libmediaart-1.0-0                  	       0        8        0        0        8
33660 libmediaart-1.0-dev                	       0        1        0        1        0
33661 libmediaart-2.0-0                  	       0      498        1        7      490
33662 libmediaart-doc                    	       0        1        0        0        1
33663 libmediainfo-dev                   	       0        3        0        3        0
33664 libmediainfo-doc                   	       0        4        0        0        4
33665 libmediainfo0                      	       0        1        0        0        1
33666 libmediainfo0v5                    	       0      195        1       14      180
33667 libmediascanner-2.0-4              	       0        1        0        0        1
33668 libmediastreamer-base10            	       0       15        0        0       15
33669 libmediastreamer-base3             	       0       16        0        0       16
33670 libmediastreamer-data              	       0       26        0        0       26
33671 libmediastreamer-dev               	       0        1        0        1        0
33672 libmediastreamer-voip10            	       0       15        0        0       15
33673 libmediastreamer0                  	       0        1        0        0        1
33674 libmediastreamer1                  	       0        1        0        0        1
33675 libmediastreamer11                 	       0        6        0        2        4
33676 libmediastreamer12                 	       0       23        1        0       22
33677 libmediastreamer13                 	       0        1        0        0        1
33678 libmediastreamer13t64              	       0        2        0        0        2
33679 libmedimport0v5                    	       0        4        0        0        4
33680 libmedley-clojure                  	       0        1        0        0        1
33681 libmeep-openmpi-dev                	       0        1        0        0        1
33682 libmeep-openmpi23                  	       0        1        0        0        1
33683 libmeep12                          	       0        1        0        0        1
33684 libmeep27                          	       0        1        0        0        1
33685 libmeep30                          	       0        3        0        0        3
33686 libmemcache-client-ruby1.9.1       	       0        1        0        0        1
33687 libmemcached-dev                   	       0        7        0        7        0
33688 libmemcached-tools                 	       0        5        0        5        0
33689 libmemcached10                     	       0        2        0        0        2
33690 libmemcached11t64                  	       0        9        0        4        5
33691 libmemcachedutil2t64               	       0        3        0        1        2
33692 libmemoize-expirelru-perl          	       0        4        1        3        0
33693 libmemtailor0                      	       0        1        0        0        1
33694 libmenhir-ocaml-dev                	       0        1        0        1        0
33695 libmenlo-legacy-perl               	       0        3        0        3        0
33696 libmenlo-perl                      	       0        3        0        3        0
33697 libmenu-cache-doc                  	       0        1        0        0        1
33698 libmenu-cache1                     	       0        1        0        0        1
33699 libmercator-0.2-4c2a               	       0        1        0        0        1
33700 libmercator-0.3-3                  	       0        1        0        0        1
33701 libmeshoptimizer-dev               	       0        1        0        1        0
33702 libmeshoptimizer2d                 	       0        4        0        0        4
33703 libmessage-filters-dev             	       0        2        0        2        0
33704 libmessage-filters1d               	       0        3        0        0        3
33705 libmessagecomposer4                	       0        4        0        1        3
33706 libmessagecore4                    	       0        4        0        1        3
33707 libmessagelist4                    	       0        2        0        0        2
33708 libmessageviewer4                  	       0        4        1        3        0
33709 libmessaging-menu-dev              	       0        1        0        1        0
33710 libmessaging-menu0                 	       0        9        0        0        9
33711 libmessagingmenu12.10-cil          	       0        1        0        0        1
33712 libmeta-perl                       	       0        1        0        0        1
33713 libmetacity1                       	       0        8        0        0        8
33714 libmetacity3                       	       0       89        1        5       83
33715 libmetadata-extractor-java         	       0       70        0        0       70
33716 libmethod-autoload-perl            	       0        3        0        3        0
33717 libmethod-signatures-simple-perl   	       0        1        0        1        0
33718 libmetis-dev                       	       0       13        0       13        0
33719 libmetis-edf4.1                    	       0        1        0        0        1
33720 libmetis5                          	       0     2605        0       14     2591
33721 libmetkit-data                     	       0        1        0        0        1
33722 libmetkit0d                        	       0        1        0        0        1
33723 libmetro-policy-java               	       0        3        0        0        3
33724 libmetview0d                       	       0        1        0        0        1
33725 libmfsio1                          	       0        1        0        0        1
33726 libmfx-dev                         	       0        6        0        6        0
33727 libmfx-tools                       	       0        2        0        2        0
33728 libmfx0                            	       0       14        0        0       14
33729 libmgba                            	       0        1        0        0        1
33730 libmgba-dev                        	       0        1        0        1        0
33731 libmgba0.10                        	       0       14        0        0       14
33732 libmgba0.10t64                     	       0        1        0        0        1
33733 libmgl-data                        	       0        8        0        0        8
33734 libmgl-fltk7.5.0                   	       0        1        0        0        1
33735 libmgl-fltk8                       	       0        3        0        0        3
33736 libmgl-qt5-8                       	       0        2        0        0        2
33737 libmgl7.2.0                        	       0        1        0        0        1
33738 libmgl7.5.0                        	       0        1        0        0        1
33739 libmgl8                            	       0        5        0        0        5
33740 libmhash-dev                       	       0        6        0        6        0
33741 libmia-2.4-4                       	       0        2        0        0        2
33742 libmialm3                          	       0        2        0        0        2
33743 libmiaviewit0                      	       0        2        0        0        2
33744 libmicroba-java                    	       0       25        0        0       25
33745 libmicroblog4                      	       0        7        0        0        7
33746 libmicrodns-dev                    	       0        4        0        4        0
33747 libmicrodns0                       	       0       50        0        0       50
33748 libmicrodns1                       	       0       65        1        2       62
33749 libmicrohttpd10                    	       0        9        0        0        9
33750 libmicrohttpd12                    	       0      179        3       14      162
33751 libmicrohttpd12t64                 	       0       23        2        2       19
33752 libmicrohttpd5                     	       0        1        0        0        1
33753 libmidi-perl                       	       0        2        0        2        0
33754 libmigemo1                         	       0        3        0        1        2
33755 libmiglayout-java                  	       0       45        0        0       45
33756 libmigrate-parsetree-ocaml         	       0        1        0        0        1
33757 libmikmod-config                   	       0       16        1        6        9
33758 libmikmod2                         	       0       12        0        0       12
33759 libmikmod2-dev                     	       0        3        0        3        0
33760 libmilter-dev                      	       0        5        1        4        0
33761 libmilter1.0.1                     	       0       66       14       22       30
33762 libmimalloc-dev                    	       0        2        1        1        0
33763 libmimalloc2.0                     	       0       14        0        0       14
33764 libmimalloc3                       	       0        2        0        0        2
33765 libmime-base32-perl                	       0        9        2        7        0
33766 libmime-base64-urlsafe-perl        	       0       22        1       21        0
33767 libmime-encwords-perl              	       0        3        0        3        0
33768 libmime-explode-perl               	       0        1        0        0        1
33769 libmime-lite-html-perl             	       0        3        0        3        0
33770 libmime-perl                       	       0        2        0        0        2
33771 libmimelib1-dev                    	       0        1        0        1        0
33772 libmimelib1c2a                     	       0        2        0        0        2
33773 libmimelib1c2a-trinity             	       0       29        0        1       28
33774 libmimepull-java                   	       0        3        0        0        3
33775 libmimetic0                        	       0        1        0        0        1
33776 libmimetic0t64                     	       0        1        0        0        1
33777 libmimetic0v5                      	       0        1        0        0        1
33778 libmimetreeparser-data             	       0        4        0        0        4
33779 libmimic-doc                       	       0        1        0        0        1
33780 libmimic0                          	       0       27        0        0       27
33781 libminc-dev                        	       0        2        0        2        0
33782 libminc2-5.2.0                     	       0        3        0        0        3
33783 libminc2-5.3.0                     	       0        8        0        0        8
33784 libming-dev                        	       0        1        0        1        0
33785 libming-util                       	       0        1        0        1        0
33786 libming0                           	       0        1        0        0        1
33787 libming1                           	       0        1        0        0        1
33788 libmini18n-dev                     	       0        1        0        1        0
33789 libmini18n1                        	       0        7        0        0        7
33790 libminidjvu-dev                    	       0        1        0        1        0
33791 libminidjvu-mod-settings0          	       0        1        0        0        1
33792 libminidjvu-mod0                   	       0        1        0        0        1
33793 libminidjvu0                       	       0        6        0        0        6
33794 libminidjvu0t64                    	       0        2        0        0        2
33795 libminify-maven-plugin-java        	       0        1        0        0        1
33796 libminilector38u-ccid-bit4id       	       0        3        0        3        0
33797 libminimap0                        	       0        1        0        0        1
33798 libminini1                         	       0        6        0        0        6
33799 libminion-backend-sqlite-perl      	       0        1        0        1        0
33800 libminion-perl                     	       0        1        0        1        0
33801 libminiupnpc-dev                   	       0       22        0       22        0
33802 libminiupnpc10                     	       0       36        0        0       36
33803 libminiupnpc17                     	       0      689       20       44      625
33804 libminiupnpc18                     	       0       66        0        9       57
33805 libminiupnpc5                      	       0       10        0       10        0
33806 libminiupnpc8                      	       0        2        0        0        2
33807 libminizip1t64                     	       0      155       12       39      104
33808 libminlog-java                     	       0       49        0        0       49
33809 libminpack1                        	       0        4        0        0        4
33810 libmirage11                        	       0        2        0        1        1
33811 libmiral3                          	       0        1        0        0        1
33812 libmiral5                          	       0        1        0        0        1
33813 libmirclient9                      	       0        1        0        0        1
33814 libmircommon7                      	       0        1        0        0        1
33815 libmircommon9                      	       0        2        0        0        2
33816 libmircookie2                      	       0        2        0        0        2
33817 libmircore1                        	       0        1        0        0        1
33818 libmircore2                        	       0        2        0        0        2
33819 libmirisdr0                        	       0       41        2       39        0
33820 libmiroil3                         	       0        1        0        0        1
33821 libmirplatform18                   	       0        1        0        0        1
33822 libmirplatform24                   	       0        2        0        0        2
33823 libmirprotobuf3                    	       0        1        0        0        1
33824 libmirserver53                     	       0        1        0        0        1
33825 libmirserver58                     	       0        1        0        0        1
33826 libmirwayland0                     	       0        1        0        0        1
33827 libmirwayland4                     	       0        2        0        0        2
33828 libmission-control-plugins0        	       0      332        2        9      321
33829 libmission-control-plugins0t64     	       0        9        0        0        9
33830 libmixin-linewise-perl             	       0       11        0       11        0
33831 libmixlib-cli-ruby1.9.1            	       0        1        0        0        1
33832 libmjollnir0                       	       0        1        0        0        1
33833 libmjpegtools-1.9                  	       0        1        0        0        1
33834 libmjpegtools-dev                  	       0        3        0        3        0
33835 libmjpegutils-2.0-0                	       0        3        0        0        3
33836 libmjpegutils-2.1-0                	       0     2753        0        1     2752
33837 libmjpegutils-2.1-0t64             	       0      191        0        0      191
33838 libmjpegutils-2.2-0                	       0       45        0        0       45
33839 libmjpegutils-2.2-0t64             	       0       12        0        0       12
33840 libmjson-java                      	       0        7        0        0        7
33841 libmkdoc-xml-perl                  	       0        1        1        0        0
33842 libmkl-avx                         	       0        5        0        0        5
33843 libmkl-avx2                        	       0        5        0        0        5
33844 libmkl-avx512                      	       0        5        0        0        5
33845 libmkl-avx512-mic                  	       0        5        0        0        5
33846 libmkl-blacs-intelmpi-ilp64        	       0        1        0        0        1
33847 libmkl-blacs-intelmpi-lp64         	       0        1        0        0        1
33848 libmkl-blacs-openmpi-ilp64         	       0        1        0        0        1
33849 libmkl-blacs-openmpi-lp64          	       0        1        0        0        1
33850 libmkl-blacs-sgimpt-ilp64          	       0        1        0        0        1
33851 libmkl-blacs-sgimpt-lp64           	       0        1        0        0        1
33852 libmkl-cdft-core                   	       0        1        0        0        1
33853 libmkl-cluster-dev                 	       0        1        0        0        1
33854 libmkl-computational-dev           	       0        4        0        0        4
33855 libmkl-core                        	       0        5        0        0        5
33856 libmkl-def                         	       0        5        0        0        5
33857 libmkl-dev                         	       0        4        0        4        0
33858 libmkl-gf-ilp64                    	       0        5        0        0        5
33859 libmkl-gf-lp64                     	       0        5        0        0        5
33860 libmkl-gnu-thread                  	       0        5        0        0        5
33861 libmkl-intel-ilp64                 	       0        5        0        0        5
33862 libmkl-intel-lp64                  	       0        5        0        0        5
33863 libmkl-intel-thread                	       0        5        0        0        5
33864 libmkl-interface-dev               	       0        4        0        0        4
33865 libmkl-locale                      	       0        5        0        0        5
33866 libmkl-mc                          	       0        5        0        0        5
33867 libmkl-mc3                         	       0        5        0        0        5
33868 libmkl-meta-cluster                	       0        1        0        0        1
33869 libmkl-meta-computational          	       0        5        0        0        5
33870 libmkl-meta-interface              	       0        5        0        0        5
33871 libmkl-meta-threading              	       0        5        0        0        5
33872 libmkl-pgi-thread                  	       0        5        0        0        5
33873 libmkl-rt                          	       0        4        0        0        4
33874 libmkl-scalapack-ilp64             	       0        1        0        0        1
33875 libmkl-scalapack-lp64              	       0        1        0        0        1
33876 libmkl-sequential                  	       0        5        0        0        5
33877 libmkl-tbb-thread                  	       0        2        0        0        2
33878 libmkl-threading-dev               	       0        4        0        0        4
33879 libmkl-vml-avx                     	       0        5        0        0        5
33880 libmkl-vml-avx2                    	       0        5        0        0        5
33881 libmkl-vml-avx512                  	       0        5        0        0        5
33882 libmkl-vml-avx512-mic              	       0        5        0        0        5
33883 libmkl-vml-cmpt                    	       0        5        0        0        5
33884 libmkl-vml-def                     	       0        5        0        0        5
33885 libmkl-vml-mc                      	       0        5        0        0        5
33886 libmkl-vml-mc2                     	       0        5        0        0        5
33887 libmkl-vml-mc3                     	       0        5        0        0        5
33888 libmkv0                            	       0        3        0        0        3
33889 libmldbm-sync-perl                 	       0        3        1        2        0
33890 libmlpack-dev                      	       0        1        0        1        0
33891 libmlpack3                         	       0        2        0        0        2
33892 libmlpost-ocaml-dev                	       0        3        0        3        0
33893 libmlpost-ocaml-doc                	       0        3        0        0        3
33894 libmlrisctools-smlnj               	       0        1        0        0        1
33895 libmlt++-7-7                       	       0        6        0        0        6
33896 libmlt++-dev                       	       0        2        0        2        0
33897 libmlt++3                          	       0       64        0        1       63
33898 libmlt++7                          	       0      153        0        1      152
33899 libmlt-7-7                         	       0        6        0        0        6
33900 libmlt-data                        	       0      213        0        0      213
33901 libmlt-dev                         	       0        1        0        1        0
33902 libmlt6                            	       0       64        0        1       63
33903 libmlt7                            	       0      153        0        1      152
33904 libmlt7-data                       	       0        6        0        0        6
33905 libmlv3                            	       0        1        0        0        1
33906 libmlv3-dev                        	       0        1        0        1        0
33907 libmm-dev                          	       0        1        0        1        0
33908 libmm-glib-doc                     	       0        1        0        0        1
33909 libmm-ocaml                        	       0        1        0        1        0
33910 libmm-ocaml-dev                    	       0        1        0        1        0
33911 libmm14                            	       0        6        0        1        5
33912 libmmap-ocaml                      	       0        1        0        1        0
33913 libmmdb2-0                         	       0        1        0        0        1
33914 libmmdb2-dev                       	       0        1        0        1        0
33915 libmmpong0.9                       	       0        1        0        0        1
33916 libmms-dev                         	       0        5        0        5        0
33917 libmms0                            	       0     1291       16       33     1242
33918 libmmtf-java                       	       0        1        0        0        1
33919 libmnemonicsetter-java             	       0       33        0        0       33
33920 libmng-dev                         	       0       15        0       15        0
33921 libmng2                            	       0       47        0        0       47
33922 libmnl-dev                         	       0       19        0       19        0
33923 libmobi-dev                        	       0        1        0        1        0
33924 libmobi-tools                      	       0        1        0        1        0
33925 libmobi0                           	       0        2        0        0        2
33926 libmockito-java                    	       0        3        0        0        3
33927 libmockobjects-java                	       0        2        0        0        2
33928 libmockobjects-java-doc            	       0        1        0        0        1
33929 libmodbus-dev                      	       0        7        0        7        0
33930 libmodbus5                         	       0       45        3       12       30
33931 libmodello-java                    	       0        4        0        0        4
33932 libmodello-maven-plugin-java       	       0        1        0        0        1
33933 libmodem-vgetty-perl               	       0        1        0        1        0
33934 libmodemmanagerqt1                 	       0        1        0        0        1
33935 libmodern-perl-perl                	       0        7        1        6        0
33936 libmodglue1                        	       0        1        0        1        0
33937 libmodhmm-dev                      	       0        1        0        0        1
33938 libmodhmm0                         	       0        1        0        0        1
33939 libmodpbase64-0                    	       0        4        0        0        4
33940 libmodpbase64-dev                  	       0        3        0        3        0
33941 libmodplug0c2                      	       0        1        0        0        1
33942 libmodplug1                        	       0     3271       20       33     3218
33943 libmodulator-java                  	       0        1        0        0        1
33944 libmodule-bundled-files-perl       	       0        2        0        2        0
33945 libmodule-cpanfile-perl            	       0       43        0       43        0
33946 libmodule-cpants-analyse-perl      	       0        1        0        1        0
33947 libmodule-depends-perl             	       0       10        0       10        0
33948 libmodule-extract-perl             	       0        1        0        1        0
33949 libmodule-extract-use-perl         	       0        1        0        1        0
33950 libmodule-extractuse-perl          	       0        1        0        1        0
33951 libmodule-info-perl                	       0        3        0        3        0
33952 libmodule-inspector-perl           	       0        1        0        1        0
33953 libmodule-install-authorrequires-perl	       0        1        0        1        0
33954 libmodule-install-authortests-perl 	       0        2        0        2        0
33955 libmodule-install-contributors-perl	       0        1        0        1        0
33956 libmodule-install-perl             	       0        5        0        5        0
33957 libmodule-install-readmefrompod-perl	       0        1        0        1        0
33958 libmodule-load-conditional-perl    	       0       37        1       36        0
33959 libmodule-manifest-perl            	       0        3        0        3        0
33960 libmodule-manifest-skip-perl       	       0        6        0        6        0
33961 libmodule-math-depends-perl        	       0        1        0        1        0
33962 libmodule-metadata-perl            	       0        5        0        5        0
33963 libmodule-path-perl                	       0        1        0        1        0
33964 libmodule-refresh-perl             	       0       20        1       19        0
33965 libmodule-runtime-conflicts-perl   	       0      109        4      105        0
33966 libmodule-scandeps-perl            	       0      191        1      190        0
33967 libmodule-starter-perl             	       0        2        0        2        0
33968 libmodule-used-perl                	       0        1        0        1        0
33969 libmodule-util-perl                	       0       12        0       12        0
33970 libmodule-versions-report-perl     	       0        1        0        1        0
33971 libmodule-want-perl                	       0        1        0        1        0
33972 libmodulemd2                       	       0        5        0        0        5
33973 libmoe1.5                          	       0        1        0        1        0
33974 libmoebinv-dev                     	       0        2        1        1        0
33975 libmoebinv0                        	       0        2        0        0        2
33976 libmoebinv0-dbgsym                 	       0        2        1        1        0
33977 libmojo-executor-java              	       0        1        0        0        1
33978 libmojo-ioloop-readwriteprocess-perl	       0        1        0        1        0
33979 libmojo-jwt-perl                   	       0        2        0        2        0
33980 libmojo-pg-perl                    	       0        1        0        1        0
33981 libmojo-rabbitmq-client-perl       	       0        1        0        1        0
33982 libmojo-sqlite-perl                	       0        1        0        1        0
33983 libmojolicious-plugin-assetpack-perl	       0        1        0        1        0
33984 libmojolicious-plugin-authentication-perl	       0        1        0        1        0
33985 libmojolicious-plugin-authorization-perl	       0        1        0        1        0
33986 libmojolicious-plugin-basicauth-perl	       0        1        0        1        0
33987 libmojolicious-plugin-bcrypt-perl  	       0        1        0        1        0
33988 libmojolicious-plugin-i18n-perl    	       0        1        0        1        0
33989 libmojolicious-plugin-mailexception-perl	       0        1        0        1        0
33990 libmojolicious-plugin-oauth2-perl  	       0        1        0        1        0
33991 libmojolicious-plugin-openapi-perl 	       0        3        1        2        0
33992 libmojolicious-plugin-renderfile-perl	       0        2        0        2        0
33993 libmondorescue-perl                	       0        1        0        1        0
33994 libmongo-client0                   	       0        2        0        0        2
33995 libmongoc-1.0-0                    	       0       60        0        0       60
33996 libmongoc-1.0-0t64                 	       0        6        0        0        6
33997 libmongoc-dev                      	       0        1        0        1        0
33998 libmongoclient-dev                 	       0        1        0        1        0
33999 libmongoclient0                    	       0        1        0        0        1
34000 libmongocrypt-dev                  	       0        1        0        1        0
34001 libmongocrypt0                     	       0       62        0        0       62
34002 libmongodb-java                    	       0      141        0        0      141
34003 libmongodb-perl                    	       0        3        0        3        0
34004 libmongoose2                       	       0       30        0        0       30
34005 libmongoose3                       	       0        1        0        0        1
34006 libmonkey-patch-action-perl        	       0        1        0        1        0
34007 libmono-2.0-1                      	       0       59        0        0       59
34008 libmono-accessibility2.0-cil       	       0        3        0        3        0
34009 libmono-addins-cil-dev             	       0        3        0        3        0
34010 libmono-addins-gui0.2-cil          	       0       21        0       21        0
34011 libmono-addins-msbuild-cil-dev     	       0        2        0        2        0
34012 libmono-addins-msbuild0.2-cil      	       0        3        0        3        0
34013 libmono-addins0.2-cil              	       0       27        0       27        0
34014 libmono-bytefx0.7.6.2-cil          	       0        1        0        1        0
34015 libmono-c5-1.1-cil                 	       0        3        0        3        0
34016 libmono-cairo2.0-cil               	       0        3        0        3        0
34017 libmono-cecil-vb0.9-cil            	       0        2        0        2        0
34018 libmono-corlib2.0-cil              	       0        3        0        3        0
34019 libmono-corlib4.0-cil              	       0        4        0        4        0
34020 libmono-corlib4.5-cil              	       0      315        1       50      264
34021 libmono-cscompmgd8.0-cil           	       0        3        0        3        0
34022 libmono-data-tds2.0-cil            	       0        3        0        3        0
34023 libmono-data2.0-cil                	       0        1        0        1        0
34024 libmono-debugger-soft-cil          	       0        1        0        0        1
34025 libmono-debugger-soft2.0a-cil      	       0        3        0        3        0
34026 libmono-debugging-cil              	       0        1        0        1        0
34027 libmono-debugging-soft-cil         	       0        1        0        0        1
34028 libmono-entityframework-sqlserver6.0-cil	       0        3        0        3        0
34029 libmono-entityframework6.0-cil     	       0        3        0        3        0
34030 libmono-firebirdsql1.7-cil         	       0        1        0        1        0
34031 libmono-getoptions2.0-cil          	       0        1        0        1        0
34032 libmono-i18n-west2.0-cil           	       0        3        0        3        0
34033 libmono-i18n2.0-cil                	       0        3        0        3        0
34034 libmono-i18n4.0-all                	       0      110        0        0      110
34035 libmono-ldap2.0-cil                	       0        3        0        3        0
34036 libmono-management2.0-cil          	       0        3        0        3        0
34037 libmono-messaging-rabbitmq2.0-cil  	       0        3        0        3        0
34038 libmono-messaging2.0-cil           	       0        3        0        3        0
34039 libmono-microsoft-build2.0-cil     	       0        3        0        3        0
34040 libmono-microsoft-visualbasic10.0-cil	       0        2        0        2        0
34041 libmono-microsoft-visualbasic11.0-cil	       0        1        0        1        0
34042 libmono-microsoft-visualbasic8.0-cil	       0        1        0        1        0
34043 libmono-microsoft8.0-cil           	       0        3        0        3        0
34044 libmono-npgsql2.0-cil              	       0        3        0        3        0
34045 libmono-npgsql4.0-cil              	       0        4        0        4        0
34046 libmono-opensystem-c4.0-cil        	       0        4        0        4        0
34047 libmono-oracle2.0-cil              	       0        3        0        3        0
34048 libmono-peapi2.0a-cil              	       0        3        0        3        0
34049 libmono-posix2.0-cil               	       0        3        0        3        0
34050 libmono-profiler-gui-thread-check  	       0       16        0        0       16
34051 libmono-rabbitmq2.0-cil            	       0        3        0        3        0
34052 libmono-relaxng2.0-cil             	       0        3        0        3        0
34053 libmono-security2.0-cil            	       0        3        0        3        0
34054 libmono-sharpzip2.6-cil            	       0        3        0        3        0
34055 libmono-sharpzip2.84-cil           	       0        3        0        3        0
34056 libmono-simd2.0-cil                	       0        3        0        3        0
34057 libmono-sqlite2.0-cil              	       0        3        0        3        0
34058 libmono-system-data-linq2.0-cil    	       0        3        0        3        0
34059 libmono-system-data-services2.0-cil	       0        3        0        3        0
34060 libmono-system-data2.0-cil         	       0        3        0        3        0
34061 libmono-system-json2.0-cil         	       0        3        0        3        0
34062 libmono-system-ldap2.0-cil         	       0        3        0        3        0
34063 libmono-system-messaging2.0-cil    	       0        3        0        3        0
34064 libmono-system-net2.0-cil          	       0        3        0        3        0
34065 libmono-system-runtime-interopservices-runtimeinformation4.0-cil	       0        3        0        3        0
34066 libmono-system-runtime2.0-cil      	       0        3        0        3        0
34067 libmono-system-web-mvc1.0-cil      	       0        3        0        3        0
34068 libmono-system-web-mvc2.0-cil      	       0        3        0        3        0
34069 libmono-system-web2.0-cil          	       0        3        0        3        0
34070 libmono-system2.0-cil              	       0        3        0        3        0
34071 libmono-tasklets2.0-cil            	       0        3        0        3        0
34072 libmono-upnp-cil                   	       0        2        0        2        0
34073 libmono-wcf3.0a-cil                	       0        3        0        3        0
34074 libmono-web4.0-cil                 	       0        6        0        6        0
34075 libmono-webbrowser2.0-cil          	       0        3        0        3        0
34076 libmono-windowsbase3.0-cil         	       0        3        0        3        0
34077 libmono-winforms2.0-cil            	       0        3        0        3        0
34078 libmono-xbuild-tasks2.0-cil        	       0        3        0        3        0
34079 libmono-zeroconf1.0-cil            	       0       10        0       10        0
34080 libmono0                           	       0        1        0        0        1
34081 libmono2.0-cil                     	       0        3        0        3        0
34082 libmonoboehm-2.0-1                 	       0       75        0        0       75
34083 libmonoboehm-2.0-dev               	       0        3        0        3        0
34084 libmonosgen-2.0-1                  	       0       99        0        0       99
34085 libmonospaceif-common              	       0        2        0        0        2
34086 libmoon                            	       0        1        0        0        1
34087 libmoose-autobox-perl              	       0        1        0        1        0
34088 libmoose-perl                      	       0      108        0        0      108
34089 libmoosex-abstractmethod-perl      	       0        1        0        1        0
34090 libmoosex-aliases-perl             	       0        2        0        2        0
34091 libmoosex-app-cmd-perl             	       0        2        0        2        0
34092 libmoosex-arrayref-perl            	       0        4        0        4        0
34093 libmoosex-async-perl               	       0        1        0        1        0
34094 libmoosex-attribute-chained-perl   	       0        1        0        1        0
34095 libmoosex-attribute-env-perl       	       0        2        0        2        0
34096 libmoosex-attributehelpers-perl    	       0        1        0        1        0
34097 libmoosex-attributeshortcuts-perl  	       0        1        0        1        0
34098 libmoosex-blessed-reconstruct-perl 	       0        1        0        1        0
34099 libmoosex-clone-perl               	       0        1        0        1        0
34100 libmoosex-compiletime-traits-perl  	       0        1        0        1        0
34101 libmoosex-configfromfile-perl      	       0        2        0        2        0
34102 libmoosex-daemonize-perl           	       0        1        0        1        0
34103 libmoosex-declare-perl             	       0        1        0        1        0
34104 libmoosex-emulate-class-accessor-fast-perl	       0        4        1        3        0
34105 libmoosex-followpbp-perl           	       0        2        0        2        0
34106 libmoosex-getopt-perl              	       0       11        2        9        0
34107 libmoosex-has-options-perl         	       0        1        0        1        0
34108 libmoosex-has-sugar-perl           	       0        7        0        7        0
34109 libmoosex-hasdefaults-perl         	       0        1        0        1        0
34110 libmoosex-lazyrequire-perl         	       0        2        0        2        0
34111 libmoosex-log-log4perl-perl        	       0        1        0        1        0
34112 libmoosex-markasmethods-perl       	       0        4        1        3        0
34113 libmoosex-meta-typeconstraint-forcecoercion-perl	       0        2        0        2        0
34114 libmoosex-meta-typeconstraint-mooish-perl	       0        1        0        1        0
34115 libmoosex-method-signatures-perl   	       0        2        0        2        0
34116 libmoosex-methodattributes-perl    	       0        4        1        3        0
34117 libmoosex-multiinitarg-perl        	       0        1        0        1        0
34118 libmoosex-nonmoose-perl            	       0        7        1        6        0
34119 libmoosex-object-pluggable-perl    	       0        2        0        2        0
34120 libmoosex-oneargnew-perl           	       0        1        0        1        0
34121 libmoosex-params-validate-perl     	       0        1        0        1        0
34122 libmoosex-role-parameterized-perl  	       0       16        2       14        0
34123 libmoosex-role-withoverloading-perl	       0        3        0        0        3
34124 libmoosex-semiaffordanceaccessor-perl	       0        7        0        7        0
34125 libmoosex-setonce-perl             	       0        1        0        1        0
34126 libmoosex-singlearg-perl           	       0        1        0        1        0
34127 libmoosex-singleton-perl           	       0        4        1        3        0
34128 libmoosex-storage-perl             	       0        1        0        1        0
34129 libmoosex-strictconstructor-perl   	       0        5        0        5        0
34130 libmoosex-traitfor-meta-class-betteranonclassnames-perl	       0        1        0        1        0
34131 libmoosex-traits-perl              	       0        3        0        3        0
34132 libmoosex-traits-pluggable-perl    	       0        3        1        2        0
34133 libmoosex-types-common-perl        	       0        2        0        2        0
34134 libmoosex-types-datetime-morecoercions-perl	       0        1        0        1        0
34135 libmoosex-types-datetime-perl      	       0        2        0        2        0
34136 libmoosex-types-json-perl          	       0        1        0        1        0
34137 libmoosex-types-loadableclass-perl 	       0        2        1        1        0
34138 libmoosex-types-netaddr-ip-perl    	       0        1        0        1        0
34139 libmoosex-types-path-class-perl    	       0        3        0        3        0
34140 libmoosex-types-path-tiny-perl     	       0        2        0        2        0
34141 libmoosex-types-perl               	       0       15        1       14        0
34142 libmoosex-types-perl-perl          	       0        1        0        1        0
34143 libmoosex-types-set-object-perl    	       0        1        0        1        0
34144 libmoosex-types-stringlike-perl    	       0        2        0        2        0
34145 libmoosex-types-structured-perl    	       0        3        0        3        0
34146 libmoosex-types-varianttable-perl  	       0        1        0        1        0
34147 libmoosex-util-perl                	       0        1        0        1        0
34148 libmoosex-yaml-perl                	       0        1        0        1        0
34149 libmoox-configfromfile-perl        	       0        5        1        4        0
34150 libmoox-file-configdir-perl        	       0        5        1        4        0
34151 libmoox-handlesvia-perl            	       0      109        2      107        0
34152 libmoox-late-perl                  	       0       20        1       19        0
34153 libmoox-locale-passthrough-perl    	       0        5        1        4        0
34154 libmoox-log-any-perl               	       0        1        0        1        0
34155 libmoox-options-perl               	       0        5        1        4        0
34156 libmoox-role-logger-perl           	       0        1        0        1        0
34157 libmoox-singleton-perl             	       0        1        0        1        0
34158 libmoox-strictconstructor-perl     	       0       11        1       10        0
34159 libmoox-types-mooselike-numeric-perl	       0        7        0        7        0
34160 libmoox-types-mooselike-perl       	       0       81        3       78        0
34161 libmoox-types-setobject-perl       	       0        1        0        1        0
34162 libmoox-typetiny-perl              	       0        3        0        3        0
34163 libmopac7-1gf                      	       0        3        0        0        3
34164 libmorfologik-stemming2-java       	       0        1        0        0        1
34165 libmorph                           	       0        6        0        0        6
34166 libmorph-dev                       	       0        1        0        1        0
34167 libmosquitto-dev                   	       0        5        0        5        0
34168 libmosquitto1                      	       0       79        0        3       76
34169 libmotif-common                    	       0      406        0        0      406
34170 libmotif-dev                       	       0       30        1       29        0
34171 libmotif3                          	       0        2        0        0        2
34172 libmotif4                          	       0        1        0        0        1
34173 libmount1-dbgsym                   	       0        1        0        1        0
34174 libmouse-perl                      	       0      644        0        0      644
34175 libmousex-configfromfile-perl      	       0        1        0        1        0
34176 libmousex-getopt-perl              	       0        1        0        1        0
34177 libmousex-nativetraits-perl        	       0       32        1       31        0
34178 libmousex-strictconstructor-perl   	       0       26        1       25        0
34179 libmousex-types-path-class-perl    	       0        1        0        1        0
34180 libmousex-types-perl               	       0        1        0        1        0
34181 libmove-base-msgs-dev              	       0        1        0        1        0
34182 libmovit-dev                       	       0        1        0        1        0
34183 libmovit5                          	       0        6        0        0        6
34184 libmovit8                          	       0      209        0        2      207
34185 libmowgli-2-0                      	       0        2        0        0        2
34186 libmowgli1                         	       0        1        0        0        1
34187 libmowgli2                         	       0        4        0        4        0
34188 libmozilla-ca-perl                 	       0        1        0        1        0
34189 libmozilla-publicsuffix-perl       	       0        8        0        8        0
34190 libmozjpeg-dev                     	       0        1        0        1        0
34191 libmozjpeg8                        	       0        1        0        0        1
34192 libmozjs-102-dev                   	       0        2        0        2        0
34193 libmozjs-115-0                     	       0        1        0        0        1
34194 libmozjs-128-0                     	       0       37        0        2       35
34195 libmozjs-24-0                      	       0       46        0        0       46
34196 libmozjs-52-0                      	       0       12        0        0       12
34197 libmozjs-60-0                      	       0       21        0        1       20
34198 libmozjs-60-dev                    	       0        1        0        1        0
34199 libmozjs-78-dev                    	       0        3        0        3        0
34200 libmozjs-91-0                      	       0        1        0        0        1
34201 libmozjs-dev                       	       0        1        0        1        0
34202 libmozjs0d                         	       0        1        0        0        1
34203 libmozjs10d                        	       0        8        0        0        8
34204 libmozjs17d                        	       0        4        0        0        4
34205 libmozjs185-1.0                    	       0       15        0        0       15
34206 libmozjs185-1.0-dbgsym             	       0        1        0        1        0
34207 libmozjs185-dev                    	       0        2        1        1        0
34208 libmozjs24d                        	       0       10        0        0       10
34209 libmozjs26d                        	       0        2        0        0        2
34210 libmozjs2d                         	       0        1        0        0        1
34211 libmozldap-0d                      	       0        1        0        0        1
34212 libmp3-info-perl                   	       0       64        0       64        0
34213 libmp3-tag-perl                    	       0       48        0       48        0
34214 libmp3lame-dev                     	       0       55        1       54        0
34215 libmp3lame-ocaml                   	       0        2        0        2        0
34216 libmp3lame-ocaml-dev               	       0        2        0        2        0
34217 libmp3spi-java                     	       0        1        0        0        1
34218 libmp3splt-dev                     	       0        1        0        1        0
34219 libmp3splt0                        	       0        7        0        0        7
34220 libmp3splt0-flac                   	       0        4        0        0        4
34221 libmp3splt0-mp3                    	       0        6        0        0        6
34222 libmp3splt0-ogg                    	       0        6        0        0        6
34223 libmp3tag-ruby1.9.1                	       0        1        0        0        1
34224 libmp4-info-perl                   	       0        3        0        3        0
34225 libmp4v2-1                         	       0        2        0        0        2
34226 libmp4v2-2                         	       0       45        0        1       44
34227 libmp4v2-dev                       	       0        2        0        2        0
34228 libmpack0                          	       0        3        0        0        3
34229 libmpc-dev                         	       0       46        2       44        0
34230 libmpc2                            	       0       31        0        0       31
34231 libmpcdec-dev                      	       0       21        1       20        0
34232 libmpcdec3                         	       0        2        0        0        2
34233 libmpcdec6                         	       0     3317       21       40     3256
34234 libmpd-dev                         	       0        1        0        1        0
34235 libmpd1                            	       0       19        0        0       19
34236 libmpdclient-dev                   	       0       14        0       14        0
34237 libmpdclient-doc                   	       0        2        0        0        2
34238 libmpdclient2t64                   	       0       21        3        6       12
34239 libmpdec-dev                       	       0        2        0        2        0
34240 libmpdec2                          	       0      563        1        0      562
34241 libmpeg2-4                         	       0     3080        4       13     3063
34242 libmpeg2-4-dev                     	       0       28        1       27        0
34243 libmpeg2encpp-2.0-0                	       0        3        0        0        3
34244 libmpeg2encpp-2.1-0                	       0     2752        0        0     2752
34245 libmpeg2encpp-2.1-0t64             	       0      191        0        0      191
34246 libmpeg2encpp-2.2-0                	       0       44        0        0       44
34247 libmpeg2encpp-2.2-0t64             	       0       12        0        0       12
34248 libmpeg3-1                         	       0        1        0        0        1
34249 libmpeg3-2                         	       0       21        0        0       21
34250 libmpeg3-2t64                      	       0        5        0        0        5
34251 libmpeg3-dev                       	       0       17        0       17        0
34252 libmpeg3hv-1.5.0-1                 	       0        1        0        0        1
34253 libmpfi-dev                        	       0       10        0        0       10
34254 libmpfi-dev-common                 	       0       10        0       10        0
34255 libmpfi0                           	       0       76        0        0       76
34256 libmpfr-doc                        	       0       10        0        0       10
34257 libmpfr1ldbl                       	       0        2        0        0        2
34258 libmpfr4                           	       0      486        0        0      486
34259 libmpich-dev                       	       0       14        0       14        0
34260 libmpich1.0-dev                    	       0        1        0        1        0
34261 libmpich1.0gf                      	       0        3        0        3        0
34262 libmpich12                         	       0       17        0        0       17
34263 libmpj-java                        	       0        1        0        1        0
34264 libmplex2-2.0-0                    	       0        3        0        0        3
34265 libmplex2-2.1-0                    	       0     2752        0        1     2751
34266 libmplex2-2.1-0t64                 	       0      191        0        0      191
34267 libmplex2-2.2-0                    	       0       44        0        0       44
34268 libmplex2-2.2-0t64                 	       0       12        0        0       12
34269 libmpris-qt5-1                     	       0        8        0        0        8
34270 libmps3                            	       0        1        0        0        1
34271 libmpv-dev                         	       0       15        0       15        0
34272 libmpv1                            	       0       26        0        1       25
34273 libmpv2                            	       0       75        1        3       71
34274 libmpvqt1                          	       0        1        0        0        1
34275 libmpx0                            	       0        7        0        0        7
34276 libmpx2                            	       0      517        0        0      517
34277 libmpx2-dbg                        	       0        1        0        1        0
34278 libmpx2-i386-cross                 	       0        4        0        0        4
34279 libmqtt-client-java                	       0        1        0        0        1
34280 libmrm4                            	       0       38        0        0       38
34281 libmrml1c2a                        	       0        5        0        0        5
34282 libmrss0                           	       0        8        0        0        8
34283 libmrss0-dev                       	       0        1        0        1        0
34284 libmsgcat-perl                     	       0        3        0        0        3
34285 libmsgpack-c2                      	       0       22        1        1       20
34286 libmsgpack-cxx-dev                 	       0        4        0        4        0
34287 libmsgpack-dev                     	       0        4        0        4        0
34288 libmsgpack-java                    	       0        1        0        0        1
34289 libmsgpack-ruby1.9.1               	       0        1        0        0        1
34290 libmsgpack3                        	       0        1        0        0        1
34291 libmsgpackc2                       	       0      120        1        4      115
34292 libmsgraph-0-1                     	       0      108        0        0      108
34293 libmsgraph-1-1                     	       0       15        0        0       15
34294 libmsgraph-doc                     	       0        1        0        0        1
34295 libmsgsl-dev                       	       0        4        0        0        4
34296 libmsi0                            	       0       20        0        0       20
34297 libmsiecf-utils                    	       0        1        0        1        0
34298 libmsiecf1                         	       0       15        0        0       15
34299 libmsn0.3                          	       0        5        0        0        5
34300 libmsoffice-word-surgeon-perl      	       0        1        0        1        0
34301 libmsoffice-word-template-perl     	       0        1        0        1        0
34302 libmspack-dev                      	       0        6        0        6        0
34303 libmspack-doc                      	       0        2        0        0        2
34304 libmspub-0.0-0                     	       0        1        0        0        1
34305 libmsv-java                        	       0      195        0        0      195
34306 libmsv1                            	       0        1        0        0        1
34307 libmt32emu                         	       0        1        0        0        1
34308 libmtbl-dev                        	       0        1        0        1        0
34309 libmtbl1                           	       0        1        0        0        1
34310 libmtj-java                        	       0        1        0        0        1
34311 libmtp-dev                         	       0       24        1       23        0
34312 libmtp-doc                         	       0        4        0        0        4
34313 libmtp7                            	       0        1        0        0        1
34314 libmtp9                            	       0     2208       10       40     2158
34315 libmtp9t64                         	       0      195        2        6      187
34316 libmu-dbm9                         	       0        3        0        0        3
34317 libmu-dbm9t64                      	       0        1        0        0        1
34318 libmu-tiny-perl                    	       0        1        0        1        0
34319 libmuffin-dev                      	       0        1        0        1        0
34320 libmujs-dev                        	       0       14        0       14        0
34321 libmujs1                           	       0       45        0        0       45
34322 libmujs2                           	       0      874        7       24      843
34323 libmujs3                           	       0      136        3        5      128
34324 libmultibitnums-ruby1.9.1          	       0        1        0        0        1
34325 libmulticobex1                     	       0       17        0        0       17
34326 libmulticobex1t64                  	       0        2        0        0        2
34327 libmultidimensional-perl           	       0       24        0        0       24
34328 libmultiverse-core-java            	       0       72        0        0       72
34329 libmumps-4.10.0                    	       0        1        0        0        1
34330 libmumps-5.3                       	       0        2        0        0        2
34331 libmumps-5.3.3                     	       0        1        0        0        1
34332 libmumps-5.4                       	       0        2        0        0        2
34333 libmumps-5.5                       	       0       52        0        0       52
34334 libmumps-5.6                       	       0        2        0        0        2
34335 libmumps-5.6t64                    	       0        1        0        0        1
34336 libmumps-5.7                       	       0        2        0        0        2
34337 libmumps-dev                       	       0        4        0        0        4
34338 libmumps-headers-dev               	       0        4        0        4        0
34339 libmumps-scotch-5.1.2              	       0        1        0        0        1
34340 libmumps-seq-5.3                   	       0        1        0        0        1
34341 libmumps-seq-5.5                   	       0        5        0        0        5
34342 libmumps-seq-5.6                   	       0        1        0        0        1
34343 libmumps-seq-dev                   	       0        1        0        0        1
34344 libmunge-dev                       	       0        1        1        0        0
34345 libmunge-maven-plugin-java         	       0        1        0        0        1
34346 libmunge2                          	       0      264        0        4      260
34347 libmuparser-dev                    	       0        6        0        6        0
34348 libmuparser2                       	       0        1        0        0        1
34349 libmuparserx-dev                   	       0        2        0        2        0
34350 libmuparserx4.0.11                 	       0        2        0        0        2
34351 libmupdf25.1                       	       0       16        0        0       16
34352 libmupen64plus-dev                 	       0        1        0        1        0
34353 libmupen64plus2                    	       0       15        0        0       15
34354 libmurmurhash2                     	       0        1        0        0        1
34355 libmuroar0                         	       0        1        0        0        1
34356 libmuscle1                         	       0        1        0        0        1
34357 libmusic1v5                        	       0        1        0        0        1
34358 libmusicbrainz                     	       0        1        0        1        0
34359 libmusicbrainz-discid-perl         	       0      102        0        0      102
34360 libmusicbrainz3-6                  	       0       23        0        0       23
34361 libmusicbrainz4c2a                 	       0        3        0        0        3
34362 libmusicbrainz5-0                  	       0        3        0        0        3
34363 libmusicbrainz5-1                  	       0       10        0        0       10
34364 libmusicbrainz5-2                  	       0      353        0        0      353
34365 libmusicbrainz5-dev                	       0        3        0        3        0
34366 libmusicbrainz5cc2v5               	       0      635        0        2      633
34367 libmustache-d-dev                  	       0        1        0        0        1
34368 libmustache-d0                     	       0        1        0        0        1
34369 libmutter-10-0                     	       0        1        0        0        1
34370 libmutter-12-0                     	       0        2        0        0        2
34371 libmutter-14-0                     	       0        5        0        3        2
34372 libmutter-15-0                     	       0        9        0        0        9
34373 libmutter-16-0                     	       0        1        0        0        1
34374 libmutter-3-0                      	       0        6        0        1        5
34375 libmutter-7-0                      	       0       31        0        4       27
34376 libmutter-9-0                      	       0        1        0        0        1
34377 libmutter0i                        	       0        4        0        0        4
34378 libmwaw-0.2-2                      	       0        1        0        0        1
34379 libmwaw-dev                        	       0        1        0        0        1
34380 libmx-1.0-2                        	       0        1        0        0        1
34381 libmx-bin                          	       0        6        0        6        0
34382 libmx-common                       	       0        6        0        0        6
34383 libmx4j-java                       	       0        4        0        0        4
34384 libmxml-bin                        	       0       40        0       40        0
34385 libmxml-dev                        	       0       46        1       45        0
34386 libmxml1                           	       0      116        4        2      110
34387 libmygpo-qt-dev                    	       0        2        0        2        0
34388 libmygpo-qt1                       	       0        6        0        0        6
34389 libmygpo-qt5-1                     	       0      114        5       15       94
34390 libmygui-dev                       	       0        5        1        4        0
34391 libmygui.ogreplatform0debian1v5    	       0        6        0        0        6
34392 libmygui.ogreplatform0debian1v5-dbgsym	       0        1        0        1        0
34393 libmygui.opengl3platform0debian1v5 	       0        5        0        0        5
34394 libmygui.opengl3platform0debian1v5-dbgsym	       0        1        0        1        0
34395 libmygui.openglplatform0debian1v5  	       0        5        0        0        5
34396 libmygui.openglplatform0debian1v5-dbgsym	       0        1        0        1        0
34397 libmyguiengine3debian1t64          	       0        1        0        0        1
34398 libmyguiengine3debian1v5           	       0       17        0        0       17
34399 libmyguiengine3debian1v5-dbgsym    	       0        1        0        1        0
34400 libmyodbc                          	       0       12        0        0       12
34401 libmypaint-1.3-0                   	       0      122        0        0      122
34402 libmypaint-1.5-1                   	       0     1559        0       16     1543
34403 libmypaint-common                  	       0     1657        0        0     1657
34404 libmypaint-dev                     	       0        1        0        1        0
34405 libmysofa-dev                      	       0       12        1       11        0
34406 libmysofa-utils                    	       0        5        0        5        0
34407 libmysofa0                         	       0      183        0        0      183
34408 libmyspell3c2                      	       0        1        0        0        1
34409 libmysql++-dev                     	       0        4        0        4        0
34410 libmysql++-doc                     	       0        1        0        1        0
34411 libmysql++3                        	       0        1        0        0        1
34412 libmysql++3v5                      	       0        3        0        0        3
34413 libmysql-java                      	       0        6        0        0        6
34414 libmysql-ocaml                     	       0        1        0        1        0
34415 libmysql-ocaml-dev                 	       0        1        0        1        0
34416 libmysql-ruby1.9.1                 	       0        1        0        0        1
34417 libmysqlclient-dev                 	       0       19        0       19        0
34418 libmysqlclient15-dev               	       0        2        0        2        0
34419 libmysqlclient15off                	       0        3        0        1        2
34420 libmysqlclient16                   	       0       10        0        0       10
34421 libmysqlclient18                   	       0       74        0        3       71
34422 libmysqlclient20                   	       0        2        0        0        2
34423 libmysqlclient21                   	       0        2        0        0        2
34424 libmysqlcppconn-dev                	       0        3        0        3        0
34425 libmysqlcppconn7                   	       0        1        0        0        1
34426 libmysqlcppconn7t64                	       0        1        0        0        1
34427 libmysqlcppconn7v5                 	       0        5        0        1        4
34428 libmyth-33                         	       0        3        1        0        2
34429 libmyth-34                         	       0        3        0        3        0
34430 libmythavcodec58                   	       0        1        0        0        1
34431 libmythavcodec59                   	       0        6        1        3        2
34432 libmythavdevice58                  	       0        1        0        0        1
34433 libmythavdevice59                  	       0        4        0        0        4
34434 libmythavfilter7                   	       0        1        0        0        1
34435 libmythavfilter8                   	       0        6        1        3        2
34436 libmythavformat58                  	       0        1        0        0        1
34437 libmythavformat59                  	       0        6        1        3        2
34438 libmythavutil52                    	       0        1        0        0        1
34439 libmythavutil56                    	       0        1        0        0        1
34440 libmythavutil57                    	       0        6        1        3        2
34441 libmythes-dev                      	       0        1        0        0        1
34442 libmythexiv2-0.28-33               	       0        3        1        0        2
34443 libmythpostproc52                  	       0        1        0        0        1
34444 libmythpostproc55                  	       0        1        0        0        1
34445 libmythpostproc56                  	       0        6        1        3        2
34446 libmythswresample0                 	       0        1        0        0        1
34447 libmythswresample3                 	       0        1        0        0        1
34448 libmythswresample4                 	       0        6        1        3        2
34449 libmythswscale2                    	       0        1        0        0        1
34450 libmythswscale5                    	       0        1        0        0        1
34451 libmythswscale6                    	       0        6        1        3        2
34452 libmythtv-perl                     	       0        4        0        4        0
34453 libmythzmq1                        	       0        1        0        0        1
34454 libn32atomic1-mips-cross           	       0        2        0        0        2
34455 libn32atomic1-mipsel-cross         	       0        1        0        0        1
34456 libn32gcc-12-dev-mips-cross        	       0        1        0        0        1
34457 libn32gcc-12-dev-mipsel-cross      	       0        1        0        0        1
34458 libn32gcc-s1-mips-cross            	       0        1        0        0        1
34459 libn32gcc-s1-mipsel-cross          	       0        1        0        0        1
34460 libn32gomp1-mips-cross             	       0        2        0        0        2
34461 libn32gomp1-mipsel-cross           	       0        1        0        0        1
34462 libn32stdc++-12-dev-mips-cross     	       0        1        0        0        1
34463 libn32stdc++-12-dev-mipsel-cross   	       0        1        0        0        1
34464 libn32stdc++6-mips-cross           	       0        1        0        0        1
34465 libn32stdc++6-mipsel-cross         	       0        1        0        0        1
34466 libnabrit-dev                      	       0        1        0        1        0
34467 libnabrit3                         	       0        5        0        0        5
34468 libnacl-dev                        	       0        2        0        2        0
34469 libnacore-dev                      	       0        1        0        1        0
34470 libnacore5                         	       0        5        0        0        5
34471 libnaga-java                       	       0       15        0        0       15
34472 libnagios-object-perl              	       0        2        1        1        0
34473 libnagios-plugin-perl              	       0        6        3        3        0
34474 libnanomsg-dev                     	       0        2        0        2        0
34475 libnanomsg5                        	       0        5        0        1        4
34476 libnanopb-dev                      	       0        1        1        0        0
34477 libnanoxml2-java                   	       0        6        0        0        6
34478 libnarray-miss-ruby1.9.1           	       0        1        0        0        1
34479 libnarray-ruby1.9.1                	       0        1        0        0        1
34480 libnative-platform-java            	       0       49        0        0       49
34481 libnative-platform-jni             	       0       49        2       47        0
34482 libnatpmp-dev                      	       0        5        0        5        0
34483 libnatpmp1                         	       0      628       14       34      580
34484 libnatpmp1t64                      	       0       59        0        6       53
34485 libnatspec-dev                     	       0        1        0        1        0
34486 libnatspec0                        	       0        2        0        0        2
34487 libnautilus-burn3                  	       0        1        0        0        1
34488 libnautilus-burn4                  	       0        1        0        0        1
34489 libnautilus-extension-dev          	       0        3        0        3        0
34490 libnautilus-extension1a            	       0      349        0        4      345
34491 libnauty-2.8.9                     	       0        2        0        0        2
34492 libnauty2                          	       0       12        0        0       12
34493 libnauty2-dev                      	       0        3        0        3        0
34494 libnav-msgs-dev                    	       0        1        0        1        0
34495 libnb-absolutelayout-java          	       0        4        0        0        4
34496 libnb-apisupport3-java             	       0        1        0        0        1
34497 libnb-ide14-java                   	       0        1        0        1        0
34498 libnb-java5-java                   	       0        1        0        1        0
34499 libnb-javaparser-java              	       0        3        0        0        3
34500 libnb-org-openide-modules-java     	       0       20        0        0       20
34501 libnb-org-openide-util-java        	       0       64        0        0       64
34502 libnb-org-openide-util-lookup-java 	       0       64        0        0       64
34503 libnb-platform-devel-java          	       0        3        0        0        3
34504 libnb-platform13-java              	       0        1        0        0        1
34505 libnb-platform18-java              	       0       19        0        0       19
34506 libnbd-bin                         	       0        2        0        2        0
34507 libnbd-dev                         	       0        1        0        1        0
34508 libnbd0                            	       0       60        0        0       60
34509 libncap44                          	       0        5        0        0        5
34510 libncbi-ngs3                       	       0        6        0        0        6
34511 libncbi-vdb3                       	       0        6        0        0        6
34512 libncbi-wvdb2                      	       0        1        0        0        1
34513 libncbi6                           	       0        5        0        0        5
34514 libncl2                            	       0        1        0        0        1
34515 libncurses-ruby1.9                 	       0        1        0        0        1
34516 libncurses-ruby1.9.1               	       0        1        0        0        1
34517 libncurses5                        	       0      879        0        1      878
34518 libncurses5-dev                    	       0      337        0       40      297
34519 libncursesada11-dev                	       0        1        0        1        0
34520 libncursesada6.2.3                 	       0        2        0        0        2
34521 libncursesada9-dev                 	       0        1        0        1        0
34522 libncursesw5                       	       0      806        1        0      805
34523 libncursesw5-dev                   	       0      103        0        5       98
34524 libndesk-dbus-glib1.0-cil          	       0        1        0        1        0
34525 libndesk-dbus1.0-cil               	       0        1        0        1        0
34526 libndi4                            	       0        1        0        1        0
34527 libndpi-bin                        	       0        1        0        1        0
34528 libndpi-wireshark                  	       0        1        0        0        1
34529 libndpi1a                          	       0        1        0        0        1
34530 libndpi2.6                         	       0        3        0        2        1
34531 libndpi4.2                         	       0        1        0        0        1
34532 libneatvnc0                        	       0       11        0        0       11
34533 libneko2                           	       0        5        0        0        5
34534 libnekohtml-java                   	       0       52        0        0       52
34535 libnel0                            	       0        1        0        0        1
34536 libnemesis3                        	       0        1        0        0        1
34537 libnemo-extension-dev              	       0        2        0        2        0
34538 libneon27                          	       0     2169        1        9     2159
34539 libneon27-dev                      	       0       13        0       13        0
34540 libneon27-gnutls                   	       0     1375        6       11     1358
34541 libneon27-gnutls-dev               	       0        3        0        3        0
34542 libneon27t64                       	       0      194        2        0      192
34543 libneon27t64-gnutls                	       0       78        0        1       77
34544 libnepomuk4                        	       0       24        0        1       23
34545 libnepomukcore4                    	       0        9        0        1        8
34546 libnepomukquery4a                  	       0       24        0        1       23
34547 libnepomukutils4                   	       0       24        0        1       23
34548 libnessus2                         	       0        1        0        0        1
34549 libnet-address-ip-local-perl       	       0        1        0        1        0
34550 libnet-amazon-s3-perl              	       0        1        0        1        0
34551 libnet-amazon-signature-v4-perl    	       0        1        0        1        0
34552 libnet-amqp-perl                   	       0        2        0        2        0
34553 libnet-arp-perl                    	       0        2        0        0        2
34554 libnet-async-fastcgi-perl          	       0        1        0        1        0
34555 libnet-bluetooth-perl              	       0        3        0        0        3
34556 libnet-bonjour-perl                	       0        1        0        1        0
34557 libnet-cidr-lite-perl              	       0       41        4       37        0
34558 libnet-cli-interact-perl           	       0        1        0        1        0
34559 libnet-cups-perl                   	       0       34        0        0       34
34560 libnet-dbus-glib-perl              	       0       14        0        0       14
34561 libnet-dbus-perl                   	       0     3194        6       10     3178
34562 libnet-dhcp-perl                   	       0        1        0        1        0
34563 libnet-dns-async-perl              	       0        1        0        1        0
34564 libnet-dns-fingerprint-perl        	       0        3        0        3        0
34565 libnet-dns-resolver-mock-perl      	       0        1        0        1        0
34566 libnet-dns-sec-perl                	       0      319        0        3      316
34567 libnet-dropbox-api-perl            	       0        3        0        3        0
34568 libnet-fastcgi-perl                	       0        1        0        1        0
34569 libnet-freedb-perl                 	       0        4        0        0        4
34570 libnet-github-perl                 	       0        2        0        2        0
34571 libnet-gpsd3-perl                  	       0        1        0        1        0
34572 libnet-gpsd3-poe-perl              	       0        1        0        1        0
34573 libnet-https-any-perl              	       0        2        0        2        0
34574 libnet-httpserver-perl             	       0        1        0        1        0
34575 libnet-ident-perl                  	       0       16        2       14        0
34576 libnet-idn-encode-perl             	       0       74       10       41       23
34577 libnet-imap-perl                   	       0        1        0        1        0
34578 libnet-imap-simple-perl            	       0       55        0       55        0
34579 libnet-imap-simple-ssl-perl        	       0        2        0        2        0
34580 libnet-inet6glue-perl              	       0        3        1        2        0
34581 libnet-interface-perl              	       0        1        0        0        1
34582 libnet-ip-minimal-perl             	       0        1        0        1        0
34583 libnet-jabber-perl                 	       0        2        0        2        0
34584 libnet-ldap-perl                   	       0       69        7       62        0
34585 libnet-libidn-perl                 	       0      383       20       41      322
34586 libnet-libidn2-perl                	       0       82        3       14       65
34587 libnet-mac-vendor-perl             	       0        1        0        1        0
34588 libnet-managesieve-perl            	       0        1        1        0        0
34589 libnet-nbname-perl                 	       0        3        0        3        0
34590 libnet-nslookup-perl               	       0        2        0        2        0
34591 libnet-ntp-perl                    	       0        1        0        1        0
34592 libnet-oauth2-authorizationserver-perl	       0        2        0        2        0
34593 libnet-openid-common-perl          	       0        4        0        4        0
34594 libnet-openid-consumer-perl        	       0        4        0        4        0
34595 libnet-openid-server-perl          	       0        1        0        1        0
34596 libnet-openssh-perl                	       0        4        0        4        0
34597 libnet-patricia-perl               	       0       31        4       14       13
34598 libnet-pcap-perl                   	       0        4        0        4        0
34599 libnet-ph-perl                     	       0        1        0        1        0
34600 libnet-ping-external-perl          	       0        1        0        1        0
34601 libnet-proxy-perl                  	       0        1        0        1        0
34602 libnet-radius-perl                 	       0        1        0        1        0
34603 libnet-rawip-perl                  	       0        4        0        0        4
34604 libnet-rblclient-perl              	       0       22        0       22        0
34605 libnet-scp-perl                    	       0        1        0        1        0
34606 libnet-scp-ruby1.9.1               	       0        1        0        0        1
34607 libnet-server-ss-prefork-perl      	       0        3        0        3        0
34608 libnet-sftp-foreign-perl           	       0       16        0       16        0
34609 libnet-sftp-sftpserver-perl        	       0        1        0        1        0
34610 libnet-sftp2-ruby1.9.1             	       0        1        0        0        1
34611 libnet-smtp-tls-butmaintained-perl 	       0        1        0        1        0
34612 libnet-smtps-perl                  	       0       53        0       53        0
34613 libnet-snpp-perl                   	       0        1        0        1        0
34614 libnet-ssh-authorizedkeysfile-perl 	       0        1        0        1        0
34615 libnet-ssh-gateway-ruby1.9.1       	       0        1        0        0        1
34616 libnet-ssh-multi-ruby1.9.1         	       0        1        0        0        1
34617 libnet-ssh-perl                    	       0        5        0        5        0
34618 libnet-ssh2-perl                   	       0       24        0        0       24
34619 libnet-ssh2-ruby1.9.1              	       0        1        0        0        1
34620 libnet-ssleay-perl                 	       0     3656       43       99     3514
34621 libnet-sslglue-perl                	       0        1        0        1        0
34622 libnet-stomp-perl                  	       0        2        1        1        0
34623 libnet-subnet-perl                 	       0        1        0        1        0
34624 libnet-twitter-perl                	       0        1        0        1        0
34625 libnet-upnp-perl                   	       0        8        0        8        0
34626 libnet-xmpp-perl                   	       0       10        1        9        0
34627 libnet-xwhois-perl                 	       0       18        1       17        0
34628 libnet-z3950-simple2zoom-perl      	       0        1        0        1        0
34629 libnet-z3950-simpleserver-perl     	       0        3        0        0        3
34630 libnet-z3950-zoom-perl             	       0        3        1        1        1
34631 libnet0                            	       0        1        0        0        1
34632 libnet1                            	       0      303        5       21      277
34633 libnetaddr-ip-perl                 	       0     1436       28       59     1349
34634 libnetaid1                         	       0        1        0        1        0
34635 libnetcdf-c++4                     	       0       71        0        0       71
34636 libnetcdf-c++4-1                   	       0        5        0        0        5
34637 libnetcdf-c++4-doc                 	       0        1        0        0        1
34638 libnetcdf-cxx-legacy-dev           	       0       11        0       11        0
34639 libnetcdf-mpi-18                   	       0        1        0        0        1
34640 libnetcdf-mpi-19                   	       0        2        0        0        2
34641 libnetcdf11                        	       0       14        0        0       14
34642 libnetcdf13                        	       0       53        0        0       53
34643 libnetcdf15                        	       0        1        0        0        1
34644 libnetcdf18                        	       0      144        0        2      142
34645 libnetcdf19                        	       0      497        3        8      486
34646 libnetcdf19t64                     	       0       60        0        0       60
34647 libnetcdf22                        	       0        7        0        0        7
34648 libnetcdf3                         	       0        1        0        0        1
34649 libnetcdfc++4                      	       0        2        0        0        2
34650 libnetcdfc7                        	       0        5        0        0        5
34651 libnetcdff-dev                     	       0        3        0        3        0
34652 libnetcdff5                        	       0        1        0        0        1
34653 libnetcdff7                        	       0        4        0        0        4
34654 libnetcf1                          	       0      155       14       52       89
34655 libnetclasses0                     	       0        1        0        1        0
34656 libnetfilter-acct-dev              	       0        1        0        1        0
34657 libnetfilter-acct1                 	       0     1276        4        9     1263
34658 libnetfilter-conntrack-dev         	       0        3        0        3        0
34659 libnetfilter-conntrack-doc         	       0        1        0        0        1
34660 libnetfilter-cthelper-doc          	       0        1        0        0        1
34661 libnetfilter-cthelper0             	       0       24        3        2       19
34662 libnetfilter-cttimeout-doc         	       0        1        0        0        1
34663 libnetfilter-log-dev               	       0        2        0        2        0
34664 libnetfilter-log-doc               	       0        1        0        0        1
34665 libnetfilter-log1                  	       0       20        3        8        9
34666 libnetfilter-queue-doc             	       0        2        0        0        2
34667 libnetfilter-queue1                	       0       40        4        9       27
34668 libnethttpd-ocaml-dev              	       0        1        0        1        0
34669 libnetlib-java                     	       0        1        0        0        1
34670 libnetpbm10                        	       0      683        0        1      682
34671 libnetpbm10-dev                    	       0        4        0        4        0
34672 libnetpbm11                        	       0     1626        0        0     1626
34673 libnetpbm11t64                     	       0      146        0        0      146
34674 libnetpbm9                         	       0        1        0        0        1
34675 libnetpbm9-dev                     	       0        1        0        1        0
34676 libnetsnmptrapd40                  	       0       36        0        1       35
34677 libnetsnmptrapd40t64               	       0        2        0        0        2
34678 libnettle3                         	       0        1        0        0        1
34679 libnettle4                         	       0      184        1        3      180
34680 libnettle6                         	       0      953        3       12      938
34681 libnettle7                         	       0        1        0        1        0
34682 libnetty-3.9-java                  	       0        2        0        0        2
34683 libnetty-java                      	       0       28        0        0       28
34684 libnetty-reactive-streams-java     	       0        3        0        0        3
34685 libnetty-tcnative-java             	       0        4        0        0        4
34686 libnetty-tcnative-jni              	       0        4        0        0        4
34687 libnetworkmanagerqt1               	       0        1        0        0        1
34688 libnetx-java                       	       0        3        0        0        3
34689 libnewlib-arm-none-eabi            	       0       51        0        0       51
34690 libnewlib-doc                      	       0        2        0        0        2
34691 libnewlib-ia16-elf                 	       0        1        0        1        0
34692 libnewlib-nano-arm-none-eabi       	       0        1        0        0        1
34693 libnewmat10-dev                    	       0        2        0        2        0
34694 libnewmat10ldbl                    	       0        3        0        0        3
34695 libnews-nntpclient-perl            	       0        4        0        4        0
34696 libnewt-pic                        	       0        1        0        0        1
34697 libnewt0.52                        	       0     4126        1        8     4117
34698 libnewtonsoft-json4.5-cil          	       0        1        0        1        0
34699 libnewtonsoft-json5.0-cil          	       0       14        0       14        0
34700 libnexstar-dev                     	       0        2        0        2        0
34701 libnexstar0                        	       0        2        0        0        2
34702 libnextaw0                         	       0        1        0        0        1
34703 libnextcloudsync-dev               	       0        2        0        2        0
34704 libnextcloudsync0                  	       0       86       12       32       42
34705 libnextcloudsync0t64               	       0       12        1        6        5
34706 libnexus1                          	       0        1        0        0        1
34707 libnf2ff0                          	       0        6        0        0        6
34708 libnfc-bin                         	       0        7        0        7        0
34709 libnfc-dev                         	       0        4        0        4        0
34710 libnfc-examples                    	       0        2        0        2        0
34711 libnfc5                            	       0        2        0        2        0
34712 libnfc6                            	       0       28        0       28        0
34713 libnfft3-dev                       	       0        1        0        1        0
34714 libnfft3-double2                   	       0        3        0        0        3
34715 libnfft3-long2                     	       0        1        0        0        1
34716 libnfft3-single2                   	       0        1        0        0        1
34717 libnfqueue-perl                    	       0        1        0        0        1
34718 libnfs-dev                         	       0       17        1       16        0
34719 libnfs0                            	       0        1        0        0        1
34720 libnfs1                            	       0        1        0        0        1
34721 libnfs11                           	       0        1        0        0        1
34722 libnfs12                           	       0      142        0        0      142
34723 libnfs13                           	       0     2113       10       25     2078
34724 libnfs14                           	       0      229        5        5      219
34725 libnfs16                           	       0        7        0        0        7
34726 libnfs4                            	       0        4        0        0        4
34727 libnfs8                            	       0       80        0        0       80
34728 libnfsidmap-dev                    	       0        2        1        1        0
34729 libnfsidmap2                       	       0      352       26      126      200
34730 libnftables-dev                    	       0        2        0        2        0
34731 libnftables0                       	       0      111        0        0      111
34732 libnftnl-dev                       	       0        6        0        6        0
34733 libnftnl-dev-doc                   	       0        1        0        0        1
34734 libnftnl4                          	       0        6        0        0        6
34735 libnghttp2-doc                     	       0        1        0        0        1
34736 libnghttp3-3                       	       0        7        0        0        7
34737 libnginx-mod-http-auth-pam         	       0       56       13       43        0
34738 libnginx-mod-http-cache-purge      	       0        7        1        6        0
34739 libnginx-mod-http-dav-ext          	       0       55       13       42        0
34740 libnginx-mod-http-echo             	       0       79       18       61        0
34741 libnginx-mod-http-fancyindex       	       0       10        3        7        0
34742 libnginx-mod-http-geoip            	       0       54       12       42        0
34743 libnginx-mod-http-geoip2           	       0       36       11       25        0
34744 libnginx-mod-http-headers-more-filter	       0        9        2        7        0
34745 libnginx-mod-http-image-filter     	       0       54       12       42        0
34746 libnginx-mod-http-lua              	       0        7        1        6        0
34747 libnginx-mod-http-ndk              	       0        8        1        7        0
34748 libnginx-mod-http-perl             	       0        7        1        6        0
34749 libnginx-mod-http-subs-filter      	       0       55       13       42        0
34750 libnginx-mod-http-uploadprogress   	       0        7        1        6        0
34751 libnginx-mod-http-upstream-fair    	       0       54       13       41        0
34752 libnginx-mod-http-xslt-filter      	       0       53       12       41        0
34753 libnginx-mod-mail                  	       0       53       12       41        0
34754 libnginx-mod-nchan                 	       0        7        1        6        0
34755 libnginx-mod-rtmp                  	       0        2        1        1        0
34756 libnginx-mod-stream                	       0       73       17       56        0
34757 libnginx-mod-stream-geoip          	       0       33       10       23        0
34758 libnginx-mod-stream-geoip2         	       0       35       11       24        0
34759 libnginx-mod-stream-js             	       0        1        1        0        0
34760 libnglib-4.9.13                    	       0        2        0        0        2
34761 libnglib-6.2                       	       0        5        0        0        5
34762 libnglib-6.2t64                    	       0        1        0        0        1
34763 libnglib-dev                       	       0        2        0        2        0
34764 libngraph0                         	       0        3        0        0        3
34765 libngs-java                        	       0        7        0        0        7
34766 libngs-jni                         	       0        6        0        0        6
34767 libngs-sdk-dev                     	       0        2        0        2        0
34768 libngs-sdk1                        	       0        1        0        0        1
34769 libngs-sdk2                        	       0        2        0        0        2
34770 libngspice0                        	       0      122        0        0      122
34771 libngspice0-dev                    	       0        6        0        6        0
34772 libngtcp2-9                        	       0        1        0        0        1
34773 libnice-dev                        	       0        3        0        3        0
34774 libnice-doc                        	       0        1        0        0        1
34775 libnice0                           	       0        1        0        0        1
34776 libnice10                          	       0     3002        0        6     2996
34777 libnids1.21                        	       0       42        0        0       42
34778 libnids1.21t64                     	       0        2        0        0        2
34779 libnifti-dev                       	       0        3        0        0        3
34780 libnifti2                          	       0        4        0        0        4
34781 libnifti2-2                        	       0        5        0        0        5
34782 libnifti2-dev                      	       0        3        0        3        0
34783 libnifticdf-dev                    	       0        3        0        3        0
34784 libnifticdf2                       	       0        6        0        0        6
34785 libniftiio-dev                     	       0        3        0        3        0
34786 libniftiio2                        	       0       17        0        0       17
34787 libniftiio2t64                     	       0        1        0        0        1
34788 libnih-dbus1                       	       0      134        4       24      106
34789 libnih1                            	       0      134        4       24      106
34790 libnini1.1-cil                     	       0        1        0        1        0
34791 libnitrokey-dev                    	       0        1        0        1        0
34792 libnitrokey3                       	       0       10        0        2        8
34793 libnjb5                            	       0        9        0        9        0
34794 libnkf-perl                        	       0        1        0        0        1
34795 libnl-3-dev                        	       0      157        2      155        0
34796 libnl-3-doc                        	       0        2        0        0        2
34797 libnl-cli-3-200                    	       0       13        0        0       13
34798 libnl-cli-3-dev                    	       0        2        0        0        2
34799 libnl-genl-3-dev                   	       0       24        0        0       24
34800 libnl-idiag-3-200                  	       0        5        0        0        5
34801 libnl-idiag-3-dev                  	       0        3        0        0        3
34802 libnl-nf-3-200                     	       0       13        0        0       13
34803 libnl-nf-3-dev                     	       0        2        0        0        2
34804 libnl-route-3-dev                  	       0      142        0        0      142
34805 libnl-utils                        	       0        3        0        3        0
34806 libnl-xfrm-3-200                   	       0        1        0        0        1
34807 libnl-xfrm-3-dev                   	       0        1        0        0        1
34808 libnl1                             	       0        5        0        0        5
34809 libnl2                             	       0        1        0        0        1
34810 libnlopt-cxx-dev                   	       0        3        0        0        3
34811 libnlopt-cxx0                      	       0       15        0        0       15
34812 libnlopt0                          	       0       73        0        2       71
34813 libnm-glib-vpn1                    	       0       27        0        0       27
34814 libnm-glib2                        	       0        1        0        0        1
34815 libnm-glib4                        	       0       55        0        0       55
34816 libnm-gtk-common                   	       0       12        0        0       12
34817 libnm-gtk0                         	       0       25        0        0       25
34818 libnm-util1                        	       0        1        0        0        1
34819 libnm-util2                        	       0       57        0        0       57
34820 libnma-dev                         	       0        2        0        1        1
34821 libnma-headers                     	       0        1        0        1        0
34822 libnmz7                            	       0        2        0        0        2
34823 libnng-dev                         	       0        1        0        1        0
34824 libnng1                            	       0        4        0        0        4
34825 libnode108                         	       0      255        3       16      236
34826 libnode109                         	       0        2        0        1        1
34827 libnode115                         	       0       39        0        0       39
34828 libnode64                          	       0       29        0        0       29
34829 libnode72                          	       0       64        0        1       63
34830 libnode83                          	       0        1        0        0        1
34831 libnode93                          	       0        2        0        0        2
34832 libnodelet-dev                     	       0        1        0        1        0
34833 libnodelet-topic-tools-dev         	       0        1        0        1        0
34834 libnodeletlib-dev                  	       0        1        0        1        0
34835 libnodeletlib-tools                	       0        1        0        1        0
34836 libnodeletlib1d                    	       0        2        0        0        2
34837 libnokogiri-ruby1.9                	       0        1        0        0        1
34838 libnokogiri-ruby1.9.1              	       0        1        0        0        1
34839 libnomacscore3                     	       0        1        0        0        1
34840 libnorm-dev                        	       0       42        0       42        0
34841 libnorm-doc                        	       0        1        0        1        0
34842 libnorm1t64                        	       0      227       14       33      180
34843 libnormaliz-dev                    	       0        1        0        0        1
34844 libnormaliz-dev-common             	       0        1        0        1        0
34845 libnormaliz3                       	       0       14        0        0       14
34846 libnotcurses-core-dev              	       0        3        0        3        0
34847 libnotcurses-core2                 	       0        5        0        0        5
34848 libnotcurses-dev                   	       0        3        0        0        3
34849 libnotcurses2                      	       0        5        0        0        5
34850 libnoteshared4                     	       0        2        0        1        1
34851 libnotify-cil-dev                  	       0        1        0        1        0
34852 libnotify-doc                      	       0        7        0        0        7
34853 libnotify0.4-cil                   	       0        8        0        0        8
34854 libnotify1                         	       0        7        0        0        7
34855 libnotify3.0-cil                   	       0        2        0        0        2
34856 libnotify3.0-cil-dev               	       0        2        0        2        0
34857 libnotmuch4                        	       0       86        0        0       86
34858 libnotmuch5                        	       0       54        2        4       48
34859 libnotmuch5t64                     	       0       12        1        1       10
34860 libnova-0.14-0                     	       0        3        0        0        3
34861 libnova-0.16-0                     	       0       83        0        4       79
34862 libnova-0.16-0t64                  	       0       20        0        0       20
34863 libnova-dev                        	       0        7        0        7        0
34864 libnova-dev-bin                    	       0        7        0        7        0
34865 libnozzle1                         	       0        2        0        2        0
34866 libnpf-dev                         	       0        1        0        1        0
34867 libnpf1                            	       0        1        0        0        1
34868 libnpgsql2.2-cil                   	       0        1        0        1        0
34869 libnpp-11-7                        	       0        1        0        0        1
34870 libnpp-12-4                        	       0        2        0        0        2
34871 libnpp-12-6                        	       0        5        0        0        5
34872 libnpp-12-8                        	       0        1        0        0        1
34873 libnpp-dev-11-7                    	       0        1        0        1        0
34874 libnpp-dev-12-4                    	       0        2        0        2        0
34875 libnpp-dev-12-8                    	       0        1        0        1        0
34876 libnppc11                          	       0       20        0        0       20
34877 libnppc12                          	       0        3        0        0        3
34878 libnppc8.0                         	       0        1        0        0        1
34879 libnppc9.2                         	       0        1        0        0        1
34880 libnppi8.0                         	       0        1        0        0        1
34881 libnppial11                        	       0       19        0        0       19
34882 libnppial12                        	       0        3        0        0        3
34883 libnppial8.0                       	       0        1        0        0        1
34884 libnppial9.2                       	       0        1        0        0        1
34885 libnppicc11                        	       0       20        0        0       20
34886 libnppicc12                        	       0        3        0        0        3
34887 libnppicc8.0                       	       0        1        0        0        1
34888 libnppicc9.2                       	       0        1        0        0        1
34889 libnppicom8.0                      	       0        1        0        0        1
34890 libnppicom9.2                      	       0        1        0        0        1
34891 libnppidei11                       	       0       20        0        0       20
34892 libnppidei12                       	       0        3        0        0        3
34893 libnppidei8.0                      	       0        1        0        0        1
34894 libnppidei9.2                      	       0        1        0        0        1
34895 libnppif11                         	       0       20        0        0       20
34896 libnppif12                         	       0        3        0        0        3
34897 libnppif8.0                        	       0        1        0        0        1
34898 libnppif9.2                        	       0        1        0        0        1
34899 libnppig11                         	       0       20        0        0       20
34900 libnppig12                         	       0        3        0        0        3
34901 libnppig8.0                        	       0        1        0        0        1
34902 libnppig9.2                        	       0        1        0        0        1
34903 libnppim11                         	       0       19        0        0       19
34904 libnppim12                         	       0        3        0        0        3
34905 libnppim8.0                        	       0        1        0        0        1
34906 libnppim9.2                        	       0        1        0        0        1
34907 libnppist11                        	       0       19        0        0       19
34908 libnppist12                        	       0        3        0        0        3
34909 libnppist8.0                       	       0        1        0        0        1
34910 libnppist9.2                       	       0        1        0        0        1
34911 libnppisu11                        	       0       19        0        0       19
34912 libnppisu12                        	       0        3        0        0        3
34913 libnppisu8.0                       	       0        1        0        0        1
34914 libnppisu9.2                       	       0        1        0        0        1
34915 libnppitc11                        	       0       19        0        0       19
34916 libnppitc12                        	       0        3        0        0        3
34917 libnppitc8.0                       	       0        1        0        0        1
34918 libnppitc9.2                       	       0        1        0        0        1
34919 libnpps11                          	       0       19        0        0       19
34920 libnpps12                          	       0        3        0        0        3
34921 libnpps8.0                         	       0        1        0        0        1
34922 libnpps9.2                         	       0        1        0        0        1
34923 libnpth-mingw-w64-dev              	       0        1        0        1        0
34924 libnpth0-dbgsym                    	       0        1        0        1        0
34925 libnpth0-dev                       	       0        6        0        6        0
34926 libnpupnp1                         	       0        1        0        0        1
34927 libnpupnp13                        	       0        1        0        0        1
34928 libnpupnp13t64                     	       0        1        0        0        1
34929 libnpupnp4                         	       0        1        0        0        1
34930 libnpupnp9                         	       0        3        1        1        1
34931 libnrepl-clojure                   	       0        5        0        0        5
34932 libnrepl-incomplete-clojure        	       0        5        0        0        5
34933 libnspr4-0d                        	       0        2        0        0        2
34934 libnspr4-dev                       	       0       76        2       74        0
34935 libnss-db                          	       0        7        1        6        0
34936 libnss-docker                      	       0        1        0        1        0
34937 libnss-extrausers                  	       0        2        0        1        1
34938 libnss-gw-name                     	       0        1        0        1        0
34939 libnss-ldap                        	       0        9        2        1        6
34940 libnss-ldapd                       	       0       33       12       21        0
34941 libnss-libvirt                     	       0       10        0        2        8
34942 libnss-lwres                       	       0        4        0        0        4
34943 libnss-nis                         	       0      812       46      193      573
34944 libnss-nisplus                     	       0      810        0        0      810
34945 libnss-pgsql2                      	       0        1        0        0        1
34946 libnss-sudo                        	       0       32        0        0       32
34947 libnss-tls                         	       0        3        1        1        1
34948 libnss-unknown                     	       0        1        0        1        0
34949 libnss-winbind                     	       0       63       15       22       26
34950 libnss-wrapper                     	       0        6        0        0        6
34951 libnss3-1d                         	       0        4        0        0        4
34952 libntdb1                           	       0       66        0        0       66
34953 libntfs-3g-dev                     	       0        1        0        1        0
34954 libntfs-3g0                        	       0        1        0        0        1
34955 libntfs-3g31                       	       0        1        0        0        1
34956 libntfs-3g75                       	       0        3        0        0        3
34957 libntfs-3g871                      	       0      102        0        0      102
34958 libntfs-3g872                      	       0        1        0        0        1
34959 libntfs-3g881                      	       0        1        0        0        1
34960 libntfs-3g883                      	       0      689        2        8      679
34961 libntfs-3g89t64                    	       0      219        0        7      212
34962 libntfs-dev                        	       0        1        0        1        0
34963 libntfs10                          	       0        7        0        0        7
34964 libntfs9                           	       0        1        0        0        1
34965 libntirpc-dev                      	       0        1        0        1        0
34966 libntirpc4.3                       	       0        1        0        0        1
34967 libntl35                           	       0        4        0        0        4
34968 libntl43                           	       0        2        0        0        2
34969 libntl44                           	       0       27        0        0       27
34970 libntlm0                           	       0     2006       21       64     1921
34971 libntlm0-dev                       	       0        6        0        6        0
34972 libntrack-qt4-1                    	       0       44        0        1       43
34973 libntrack0                         	       0       64        0        1       63
34974 libnucleotidelikelihoodcore0       	       0        1        0        0        1
34975 libnuget-core-cil                  	       0        2        0        2        0
34976 libnum-ocaml                       	       0        1        0        1        0
34977 libnumber-bytes-human-perl         	       0       42        3       39        0
34978 libnumber-format-perl              	       0       14        1       13        0
34979 libnumber-fraction-perl            	       0        1        0        1        0
34980 libnumbertext-data                 	       0     2788        0        0     2788
34981 libnumbertext-dev                  	       0        1        0        1        0
34982 libnumbertext-java                 	       0        1        0        0        1
34983 libnumbertext-tools                	       0        1        0        1        0
34984 libnunit-console-runner2.6.3-cil   	       0       66        0        0       66
34985 libnunit-doc                       	       0        1        0        1        0
34986 libnunit2.4-cil                    	       0        1        0        1        0
34987 libnunit2.6-cil                    	       0        1        0        1        0
34988 libnusoap-php                      	       0        2        1        1        0
34989 libnuspell5                        	       0        2        0        0        2
34990 libnutscan1                        	       0       14        0        0       14
34991 libnutscan2                        	       0       42        0        0       42
34992 libnutscan2t64                     	       0        1        0        0        1
34993 libnv-dev                          	       0        1        0        1        0
34994 libnv1                             	       0        1        0        0        1
34995 libnvblas11                        	       0       18        0        0       18
34996 libnvblas12                        	       0        3        0        0        3
34997 libnvblas6.0                       	       0        1        0        0        1
34998 libnvblas8.0                       	       0        1        0        0        1
34999 libnvblas9.2                       	       0        1        0        0        1
35000 libnvcuvid1                        	       0      162        2        2      158
35001 libnvfatbin-12-4                   	       0        2        0        0        2
35002 libnvfatbin-12-6                   	       0        4        0        0        4
35003 libnvfatbin-12-8                   	       0        1        0        0        1
35004 libnvfatbin-dev-12-4               	       0        2        0        2        0
35005 libnvfatbin-dev-12-8               	       0        1        0        1        0
35006 libnvgraph8.0                      	       0        1        0        0        1
35007 libnvgraph9.2                      	       0        1        0        0        1
35008 libnvidia-allocator1               	       0      130        4        7      119
35009 libnvidia-api1                     	       0        9        0        0        9
35010 libnvidia-cbl                      	       0       26        0        0       26
35011 libnvidia-compiler                 	       0       13        0        0       13
35012 libnvidia-container-tools          	       0        4        0        4        0
35013 libnvidia-container1               	       0        4        0        0        4
35014 libnvidia-egl-wayland-dev          	       0        7        0        0        7
35015 libnvidia-egl-wayland1-dbgsym      	       0        1        0        1        0
35016 libnvidia-egl-xcb1                 	       0       11        1        1        9
35017 libnvidia-egl-xlib1                	       0        1        0        0        1
35018 libnvidia-encode1                  	       0      161        2        2      157
35019 libnvidia-fatbinaryloader          	       0        6        0        0        6
35020 libnvidia-fbc1                     	       0       13        0        0       13
35021 libnvidia-gpucomp                  	       0       14        2        7        5
35022 libnvidia-gpucomp1                 	       0        1        0        1        0
35023 libnvidia-legacy-304xx-glcore      	       0        1        0        0        1
35024 libnvidia-legacy-340xx-cfg1        	       0        8        0        1        7
35025 libnvidia-legacy-340xx-compiler    	       0        3        0        0        3
35026 libnvidia-legacy-340xx-cuda1       	       0        5        0        0        5
35027 libnvidia-legacy-340xx-cuda1-i386  	       0        1        0        0        1
35028 libnvidia-legacy-340xx-eglcore     	       0       10        0        1        9
35029 libnvidia-legacy-340xx-encode1     	       0        4        0        0        4
35030 libnvidia-legacy-340xx-fbc1        	       0        2        0        0        2
35031 libnvidia-legacy-340xx-glcore      	       0       11        0        5        6
35032 libnvidia-legacy-340xx-ifr1        	       0        2        0        0        2
35033 libnvidia-legacy-340xx-ml1         	       0        8        0        0        8
35034 libnvidia-legacy-340xx-nvcuvid1    	       0        5        0        0        5
35035 libnvidia-legacy-390xx-cfg1        	       0       14        1        3       10
35036 libnvidia-legacy-390xx-compiler    	       0        1        0        0        1
35037 libnvidia-legacy-390xx-cuda1       	       0       12        1        1       10
35038 libnvidia-legacy-390xx-cuda1-i386  	       0        4        0        0        4
35039 libnvidia-legacy-390xx-eglcore     	       0       16        2        1       13
35040 libnvidia-legacy-390xx-encode1     	       0       11        0        0       11
35041 libnvidia-legacy-390xx-fatbinaryloader	       0       14        1        1       12
35042 libnvidia-legacy-390xx-glcore      	       0       16        2        3       11
35043 libnvidia-legacy-390xx-ml1         	       0       14        0        0       14
35044 libnvidia-legacy-390xx-nvcuvid1    	       0       11        0        0       11
35045 libnvidia-legacy-390xx-ptxjitcompiler1	       0       14        0        0       14
35046 libnvidia-ml-dev                   	       0       18        0       18        0
35047 libnvidia-ml1                      	       0      186        1        7      178
35048 libnvidia-ngx1                     	       0       11        0        6        5
35049 libnvidia-nvvm4                    	       0       37        0        0       37
35050 libnvidia-opticalflow1             	       0       14        0        0       14
35051 libnvidia-pkcs11                   	       0        4        0        0        4
35052 libnvidia-pkcs11-openssl3          	       0      134        0        0      134
35053 libnvidia-ptxjitcompiler1          	       0      183        0        0      183
35054 libnvidia-rtcore                   	       0      155        1        0      154
35055 libnvidia-sandboxutils             	       0        4        0        0        4
35056 libnvidia-tesla-450-cbl            	       0        2        0        0        2
35057 libnvidia-tesla-450-cfg1           	       0        2        0        0        2
35058 libnvidia-tesla-450-compiler       	       0        1        0        0        1
35059 libnvidia-tesla-450-cuda1          	       0        2        0        0        2
35060 libnvidia-tesla-450-eglcore        	       0        2        0        0        2
35061 libnvidia-tesla-450-encode1        	       0        2        0        0        2
35062 libnvidia-tesla-450-glcore         	       0        2        0        1        1
35063 libnvidia-tesla-450-glvkspirv      	       0        2        0        0        2
35064 libnvidia-tesla-450-ml1            	       0        2        0        0        2
35065 libnvidia-tesla-450-nvcuvid1       	       0        2        0        0        2
35066 libnvidia-tesla-450-ptxjitcompiler1	       0        2        0        0        2
35067 libnvidia-tesla-450-rtcore         	       0        2        0        0        2
35068 libnvidia-tesla-470-cbl            	       0       14        0        0       14
35069 libnvidia-tesla-470-cfg1           	       0       15        2        3       10
35070 libnvidia-tesla-470-cuda1          	       0       13        0        0       13
35071 libnvidia-tesla-470-eglcore        	       0       16        4        7        5
35072 libnvidia-tesla-470-encode1        	       0       12        0        0       12
35073 libnvidia-tesla-470-glcore         	       0       16        4        7        5
35074 libnvidia-tesla-470-glvkspirv      	       0       15        0        1       14
35075 libnvidia-tesla-470-ml1            	       0       17        0        0       17
35076 libnvidia-tesla-470-nvcuvid1       	       0       12        0        0       12
35077 libnvidia-tesla-470-ptxjitcompiler1	       0       13        0        0       13
35078 libnvidia-tesla-470-rtcore         	       0       13        0        0       13
35079 libnvidia-tesla-glcore             	       0        1        0        0        1
35080 libnvidia-tesla-glvkspirv          	       0        1        0        0        1
35081 libnvidia-tesla-ml1                	       0        1        0        0        1
35082 libnvidia-wayland-client           	       0        1        0        0        1
35083 libnvjitlink-12-4                  	       0        2        0        0        2
35084 libnvjitlink-12-6                  	       0        4        0        0        4
35085 libnvjitlink-12-8                  	       0        1        0        0        1
35086 libnvjitlink-dev-12-4              	       0        2        0        2        0
35087 libnvjitlink-dev-12-8              	       0        1        0        1        0
35088 libnvjitlink12                     	       0        4        0        0        4
35089 libnvjpeg-11-7                     	       0        1        0        0        1
35090 libnvjpeg-12-4                     	       0        2        0        0        2
35091 libnvjpeg-12-6                     	       0        4        0        0        4
35092 libnvjpeg-12-8                     	       0        1        0        0        1
35093 libnvjpeg-dev-11-7                 	       0        1        0        1        0
35094 libnvjpeg-dev-12-4                 	       0        2        0        2        0
35095 libnvjpeg-dev-12-8                 	       0        1        0        1        0
35096 libnvjpeg11                        	       0       18        0        0       18
35097 libnvjpeg12                        	       0        3        0        0        3
35098 libnvme1                           	       0       76        2       13       61
35099 libnvoptix1                        	       0       14        0        0       14
35100 libnvpair1                         	       0        1        0        0        1
35101 libnvpair1linux                    	       0        3        0        0        3
35102 libnvpair3                         	       0        1        0        1        0
35103 libnvrtc-builtins11.8              	       0       16        0        0       16
35104 libnvrtc-builtins12.2              	       0        3        0        0        3
35105 libnvrtc11.1                       	       0        1        0        0        1
35106 libnvrtc11.2                       	       0       18        0        0       18
35107 libnvrtc12                         	       0        3        0        0        3
35108 libnvrtc8.0                        	       0        1        0        0        1
35109 libnvrtc9.2                        	       0        1        0        0        1
35110 libnvtoolsext1                     	       0       20        0        0       20
35111 libnvtt-bin                        	       0        1        0        1        0
35112 libnvtt-dev                        	       0        3        0        3        0
35113 libnvtt2                           	       0       20        0        0       20
35114 libnvvm2                           	       0        1        0        0        1
35115 libnvvm3                           	       0        2        0        0        2
35116 libnvvm4                           	       0       21        0        0       21
35117 libnx-x11-6                        	       0       67        2        2       63
35118 libnx-x11-6t64                     	       0        4        0        1        3
35119 libnx-x11-dev                      	       0        1        0        1        0
35120 libnx-xcomposite1                  	       0        1        0        0        1
35121 libnx-xdamage1                     	       0        1        0        0        1
35122 libnx-xdmcp6                       	       0        1        0        0        1
35123 libnx-xext6                        	       0        1        0        0        1
35124 libnx-xfixes3                      	       0        1        0        0        1
35125 libnx-xinerama1                    	       0        1        0        0        1
35126 libnx-xpm4                         	       0        1        0        0        1
35127 libnx-xrandr2                      	       0        1        0        0        1
35128 libnx-xrender1                     	       0        1        0        0        1
35129 libnx-xtst6                        	       0        1        0        0        1
35130 libnxcl-bin                        	       0        2        0        2        0
35131 libnxcl-dev                        	       0        1        0        1        0
35132 libnxcl1                           	       0        2        0        0        2
35133 libnxml0                           	       0        9        0        0        9
35134 libnxml0-dev                       	       0        1        0        1        0
35135 libo2                              	       0        1        0        0        1
35136 libo3dgc-dev                       	       0        1        0        1        0
35137 liboakleaf0                        	       0        2        0        0        2
35138 liboar-perl                        	       0        1        1        0        0
35139 liboasis-ocaml                     	       0        1        0        1        0
35140 liboasis-ocaml-dev                 	       0        1        0        1        0
35141 liboasis-ocaml-doc                 	       0        1        0        0        1
35142 liboasis3-0d                       	       0        1        0        0        1
35143 liboasis3-dev                      	       0        1        0        0        1
35144 liboath-dev                        	       0        1        0        1        0
35145 liboath0                           	       0       80        0        2       78
35146 liboath0t64                        	       0        7        0        0        7
35147 liboauth-dev                       	       0        2        0        2        0
35148 liboauth-ruby1.9.1                 	       0        1        0        0        1
35149 liboauth-signpost-java             	       0        8        0        0        8
35150 liboauth0                          	       0      572        0        6      566
35151 libobantoo-java                    	       0        4        0        0        4
35152 libobasis24.2-base                 	       0        5        0        0        5
35153 libobasis24.2-calc                 	       0        5        0        0        5
35154 libobasis24.2-core                 	       0        5        0        0        5
35155 libobasis24.2-de                   	       0        1        0        0        1
35156 libobasis24.2-draw                 	       0        5        0        0        5
35157 libobasis24.2-en-gb                	       0        2        0        0        2
35158 libobasis24.2-en-gb-help           	       0        2        0        0        2
35159 libobasis24.2-en-us                	       0        5        0        0        5
35160 libobasis24.2-en-us-help           	       0        1        0        0        1
35161 libobasis24.2-extension-beanshell-script-provider	       0        5        0        0        5
35162 libobasis24.2-extension-javascript-script-provider	       0        5        0        0        5
35163 libobasis24.2-extension-mediawiki-publisher	       0        5        0        0        5
35164 libobasis24.2-extension-nlpsolver  	       0        5        0        0        5
35165 libobasis24.2-extension-pdf-import 	       0        5        0        0        5
35166 libobasis24.2-extension-report-builder	       0        5        0        0        5
35167 libobasis24.2-firebird             	       0        5        0        0        5
35168 libobasis24.2-fr                   	       0        1        0        0        1
35169 libobasis24.2-gnome-integration    	       0        5        0        0        5
35170 libobasis24.2-graphicfilter        	       0        5        0        0        5
35171 libobasis24.2-images               	       0        5        0        0        5
35172 libobasis24.2-impress              	       0        5        0        0        5
35173 libobasis24.2-kde-integration      	       0        5        0        0        5
35174 libobasis24.2-librelogo            	       0        5        0        0        5
35175 libobasis24.2-libreofficekit-data  	       0        5        0        0        5
35176 libobasis24.2-math                 	       0        5        0        0        5
35177 libobasis24.2-ogltrans             	       0        5        0        0        5
35178 libobasis24.2-onlineupdate         	       0        5        0        0        5
35179 libobasis24.2-ooofonts             	       0        6        0        0        6
35180 libobasis24.2-ooolinguistic        	       0        5        0        0        5
35181 libobasis24.2-postgresql-sdbc      	       0        5        0        0        5
35182 libobasis24.2-python-script-provider	       0        5        0        0        5
35183 libobasis24.2-pyuno                	       0        5        0        5        0
35184 libobasis24.2-writer               	       0        5        0        0        5
35185 libobasis24.2-xsltfilter           	       0        5        0        0        5
35186 libobasis24.8-base                 	       0       11        0        0       11
35187 libobasis24.8-calc                 	       0       11        0        1       10
35188 libobasis24.8-core                 	       0       11        0        2        9
35189 libobasis24.8-de                   	       0        2        0        0        2
35190 libobasis24.8-draw                 	       0       10        0        0       10
35191 libobasis24.8-el                   	       0        1        0        0        1
35192 libobasis24.8-el-help              	       0        1        0        0        1
35193 libobasis24.8-en-gb                	       0        3        0        0        3
35194 libobasis24.8-en-gb-help           	       0        3        0        0        3
35195 libobasis24.8-en-us                	       0       11        0        0       11
35196 libobasis24.8-en-us-help           	       0        1        0        0        1
35197 libobasis24.8-extension-beanshell-script-provider	       0       10        0        0       10
35198 libobasis24.8-extension-javascript-script-provider	       0       10        0        0       10
35199 libobasis24.8-extension-mediawiki-publisher	       0       10        0        0       10
35200 libobasis24.8-extension-nlpsolver  	       0       10        0        0       10
35201 libobasis24.8-extension-pdf-import 	       0       10        0        0       10
35202 libobasis24.8-extension-report-builder	       0       10        0        0       10
35203 libobasis24.8-firebird             	       0       10        0        0       10
35204 libobasis24.8-fr                   	       0        2        0        0        2
35205 libobasis24.8-gnome-integration    	       0       11        0        2        9
35206 libobasis24.8-graphicfilter        	       0       11        0        0       11
35207 libobasis24.8-images               	       0       12        0        0       12
35208 libobasis24.8-impress              	       0       10        0        0       10
35209 libobasis24.8-kde-integration      	       0       10        0        0       10
35210 libobasis24.8-librelogo            	       0       10        0        0       10
35211 libobasis24.8-libreofficekit-data  	       0       10        0        0       10
35212 libobasis24.8-math                 	       0       10        0        0       10
35213 libobasis24.8-ogltrans             	       0       10        0        0       10
35214 libobasis24.8-onlineupdate         	       0       11        0        2        9
35215 libobasis24.8-ooofonts             	       0       11        0        2        9
35216 libobasis24.8-ooolinguistic        	       0       11        0        0       11
35217 libobasis24.8-postgresql-sdbc      	       0       10        0        0       10
35218 libobasis24.8-python-script-provider	       0       10        0        0       10
35219 libobasis24.8-pyuno                	       0       11        1       10        0
35220 libobasis24.8-writer               	       0       11        0        2        9
35221 libobasis24.8-xsltfilter           	       0       10        0        0       10
35222 libobasis25.2-base                 	       0        2        0        0        2
35223 libobasis25.2-calc                 	       0        2        0        0        2
35224 libobasis25.2-core                 	       0        2        0        0        2
35225 libobasis25.2-draw                 	       0        2        0        0        2
35226 libobasis25.2-en-us                	       0        2        0        0        2
35227 libobasis25.2-extension-beanshell-script-provider	       0        2        0        0        2
35228 libobasis25.2-extension-javascript-script-provider	       0        2        0        0        2
35229 libobasis25.2-extension-mediawiki-publisher	       0        2        0        0        2
35230 libobasis25.2-extension-nlpsolver  	       0        2        0        0        2
35231 libobasis25.2-extension-pdf-import 	       0        2        0        0        2
35232 libobasis25.2-extension-report-builder	       0        2        0        0        2
35233 libobasis25.2-firebird             	       0        2        0        0        2
35234 libobasis25.2-gnome-integration    	       0        2        0        0        2
35235 libobasis25.2-graphicfilter        	       0        2        0        0        2
35236 libobasis25.2-images               	       0        2        0        0        2
35237 libobasis25.2-impress              	       0        2        0        0        2
35238 libobasis25.2-kde-integration      	       0        2        0        0        2
35239 libobasis25.2-librelogo            	       0        2        0        0        2
35240 libobasis25.2-libreofficekit-data  	       0        2        0        0        2
35241 libobasis25.2-math                 	       0        2        0        0        2
35242 libobasis25.2-ogltrans             	       0        2        0        0        2
35243 libobasis25.2-onlineupdate         	       0        2        0        0        2
35244 libobasis25.2-ooofonts             	       0        2        0        0        2
35245 libobasis25.2-ooolinguistic        	       0        2        0        0        2
35246 libobasis25.2-postgresql-sdbc      	       0        2        0        0        2
35247 libobasis25.2-python-script-provider	       0        2        0        0        2
35248 libobasis25.2-writer               	       0        2        0        0        2
35249 libobasis25.2-xsltfilter           	       0        2        0        0        2
35250 libobasis4.3-base                  	       0        1        0        0        1
35251 libobasis4.3-calc                  	       0        1        0        0        1
35252 libobasis4.3-core01                	       0        1        0        0        1
35253 libobasis4.3-core02                	       0        1        0        0        1
35254 libobasis4.3-core03                	       0        1        0        0        1
35255 libobasis4.3-core04                	       0        1        0        0        1
35256 libobasis4.3-core05                	       0        1        0        0        1
35257 libobasis4.3-core06                	       0        1        0        0        1
35258 libobasis4.3-core07                	       0        1        0        0        1
35259 libobasis4.3-draw                  	       0        1        0        0        1
35260 libobasis4.3-en-us                 	       0        1        0        0        1
35261 libobasis4.3-en-us-base            	       0        1        0        0        1
35262 libobasis4.3-en-us-calc            	       0        1        0        0        1
35263 libobasis4.3-en-us-help            	       0        1        0        0        1
35264 libobasis4.3-en-us-math            	       0        1        0        0        1
35265 libobasis4.3-en-us-res             	       0        1        0        0        1
35266 libobasis4.3-en-us-writer          	       0        1        0        0        1
35267 libobasis4.3-extension-beanshell-script-provider	       0        1        0        0        1
35268 libobasis4.3-extension-javascript-script-provider	       0        1        0        0        1
35269 libobasis4.3-extension-mediawiki-publisher	       0        1        0        0        1
35270 libobasis4.3-extension-nlpsolver   	       0        1        0        0        1
35271 libobasis4.3-extension-pdf-import  	       0        1        0        0        1
35272 libobasis4.3-extension-report-builder	       0        1        0        0        1
35273 libobasis4.3-filter-data           	       0        1        0        0        1
35274 libobasis4.3-gnome-integration     	       0        1        0        0        1
35275 libobasis4.3-graphicfilter         	       0        1        0        0        1
35276 libobasis4.3-images                	       0        1        0        0        1
35277 libobasis4.3-impress               	       0        1        0        0        1
35278 libobasis4.3-kde-integration       	       0        1        0        0        1
35279 libobasis4.3-librelogo             	       0        1        0        0        1
35280 libobasis4.3-math                  	       0        1        0        0        1
35281 libobasis4.3-ogltrans              	       0        1        0        0        1
35282 libobasis4.3-onlineupdate          	       0        1        0        0        1
35283 libobasis4.3-ooofonts              	       0        1        0        0        1
35284 libobasis4.3-ooolinguistic         	       0        1        0        0        1
35285 libobasis4.3-postgresql-sdbc       	       0        1        0        0        1
35286 libobasis4.3-python-script-provider	       0        1        0        0        1
35287 libobasis4.3-pyuno                 	       0        1        0        0        1
35288 libobasis4.3-writer                	       0        1        0        0        1
35289 libobasis4.3-xsltfilter            	       0        1        0        0        1
35290 libobasis5.0-base                  	       0        1        0        0        1
35291 libobasis5.0-calc                  	       0        1        0        0        1
35292 libobasis5.0-core                  	       0        1        0        0        1
35293 libobasis5.0-draw                  	       0        1        0        0        1
35294 libobasis5.0-en-us                 	       0        1        0        0        1
35295 libobasis5.0-en-us-base            	       0        1        0        0        1
35296 libobasis5.0-en-us-calc            	       0        1        0        0        1
35297 libobasis5.0-en-us-help            	       0        1        0        0        1
35298 libobasis5.0-en-us-math            	       0        1        0        0        1
35299 libobasis5.0-en-us-res             	       0        1        0        0        1
35300 libobasis5.0-en-us-writer          	       0        1        0        0        1
35301 libobasis5.0-extension-beanshell-script-provider	       0        1        0        0        1
35302 libobasis5.0-extension-javascript-script-provider	       0        1        0        0        1
35303 libobasis5.0-extension-mediawiki-publisher	       0        1        0        0        1
35304 libobasis5.0-extension-nlpsolver   	       0        1        0        0        1
35305 libobasis5.0-extension-pdf-import  	       0        1        0        0        1
35306 libobasis5.0-extension-report-builder	       0        1        0        0        1
35307 libobasis5.0-filter-data           	       0        1        0        0        1
35308 libobasis5.0-gnome-integration     	       0        1        0        0        1
35309 libobasis5.0-graphicfilter         	       0        1        0        0        1
35310 libobasis5.0-images                	       0        1        0        0        1
35311 libobasis5.0-impress               	       0        1        0        0        1
35312 libobasis5.0-kde-integration       	       0        1        0        0        1
35313 libobasis5.0-librelogo             	       0        1        0        0        1
35314 libobasis5.0-math                  	       0        1        0        0        1
35315 libobasis5.0-ogltrans              	       0        1        0        0        1
35316 libobasis5.0-onlineupdate          	       0        1        0        0        1
35317 libobasis5.0-ooofonts              	       0        1        0        0        1
35318 libobasis5.0-ooolinguistic         	       0        1        0        0        1
35319 libobasis5.0-postgresql-sdbc       	       0        1        0        0        1
35320 libobasis5.0-python-script-provider	       0        1        0        0        1
35321 libobasis5.0-pyuno                 	       0        1        0        0        1
35322 libobasis5.0-writer                	       0        1        0        0        1
35323 libobasis5.0-xsltfilter            	       0        1        0        0        1
35324 libobasis5.1-base                  	       0        1        0        0        1
35325 libobasis5.1-calc                  	       0        1        0        0        1
35326 libobasis5.1-core                  	       0        1        0        0        1
35327 libobasis5.1-draw                  	       0        1        0        0        1
35328 libobasis5.1-en-us                 	       0        1        0        0        1
35329 libobasis5.1-en-us-base            	       0        1        0        0        1
35330 libobasis5.1-en-us-calc            	       0        1        0        0        1
35331 libobasis5.1-en-us-help            	       0        1        0        0        1
35332 libobasis5.1-en-us-math            	       0        1        0        0        1
35333 libobasis5.1-en-us-res             	       0        1        0        0        1
35334 libobasis5.1-en-us-writer          	       0        1        0        0        1
35335 libobasis5.1-extension-beanshell-script-provider	       0        1        0        0        1
35336 libobasis5.1-extension-javascript-script-provider	       0        1        0        0        1
35337 libobasis5.1-extension-mediawiki-publisher	       0        1        0        0        1
35338 libobasis5.1-extension-nlpsolver   	       0        1        0        0        1
35339 libobasis5.1-extension-pdf-import  	       0        1        0        0        1
35340 libobasis5.1-extension-report-builder	       0        1        0        0        1
35341 libobasis5.1-filter-data           	       0        1        0        0        1
35342 libobasis5.1-gnome-integration     	       0        1        0        0        1
35343 libobasis5.1-graphicfilter         	       0        1        0        0        1
35344 libobasis5.1-images                	       0        1        0        0        1
35345 libobasis5.1-impress               	       0        1        0        0        1
35346 libobasis5.1-kde-integration       	       0        1        0        0        1
35347 libobasis5.1-librelogo             	       0        1        0        0        1
35348 libobasis5.1-math                  	       0        1        0        0        1
35349 libobasis5.1-ogltrans              	       0        1        0        0        1
35350 libobasis5.1-onlineupdate          	       0        1        0        0        1
35351 libobasis5.1-ooofonts              	       0        1        0        0        1
35352 libobasis5.1-ooolinguistic         	       0        1        0        0        1
35353 libobasis5.1-postgresql-sdbc       	       0        1        0        0        1
35354 libobasis5.1-python-script-provider	       0        1        0        0        1
35355 libobasis5.1-pyuno                 	       0        1        0        0        1
35356 libobasis5.1-writer                	       0        1        0        0        1
35357 libobasis5.1-xsltfilter            	       0        1        0        0        1
35358 libobasis5.2-base                  	       0        1        0        0        1
35359 libobasis5.2-calc                  	       0        1        0        0        1
35360 libobasis5.2-core                  	       0        1        0        0        1
35361 libobasis5.2-draw                  	       0        1        0        0        1
35362 libobasis5.2-en-us                 	       0        1        0        0        1
35363 libobasis5.2-en-us-base            	       0        1        0        0        1
35364 libobasis5.2-en-us-calc            	       0        1        0        0        1
35365 libobasis5.2-en-us-help            	       0        1        0        0        1
35366 libobasis5.2-en-us-math            	       0        1        0        0        1
35367 libobasis5.2-en-us-res             	       0        1        0        0        1
35368 libobasis5.2-en-us-writer          	       0        1        0        0        1
35369 libobasis5.2-extension-beanshell-script-provider	       0        1        0        0        1
35370 libobasis5.2-extension-javascript-script-provider	       0        1        0        0        1
35371 libobasis5.2-extension-mediawiki-publisher	       0        1        0        0        1
35372 libobasis5.2-extension-nlpsolver   	       0        1        0        0        1
35373 libobasis5.2-extension-pdf-import  	       0        1        0        0        1
35374 libobasis5.2-extension-report-builder	       0        1        0        0        1
35375 libobasis5.2-filter-data           	       0        1        0        0        1
35376 libobasis5.2-gnome-integration     	       0        1        0        0        1
35377 libobasis5.2-graphicfilter         	       0        1        0        0        1
35378 libobasis5.2-images                	       0        1        0        0        1
35379 libobasis5.2-impress               	       0        1        0        0        1
35380 libobasis5.2-kde-integration       	       0        1        0        0        1
35381 libobasis5.2-librelogo             	       0        1        0        0        1
35382 libobasis5.2-math                  	       0        1        0        0        1
35383 libobasis5.2-ogltrans              	       0        1        0        0        1
35384 libobasis5.2-onlineupdate          	       0        1        0        0        1
35385 libobasis5.2-ooofonts              	       0        1        0        0        1
35386 libobasis5.2-ooolinguistic         	       0        1        0        0        1
35387 libobasis5.2-postgresql-sdbc       	       0        1        0        0        1
35388 libobasis5.2-python-script-provider	       0        1        0        0        1
35389 libobasis5.2-pyuno                 	       0        1        0        0        1
35390 libobasis5.2-writer                	       0        1        0        0        1
35391 libobasis5.2-xsltfilter            	       0        1        0        0        1
35392 libobasis6.0-base                  	       0        2        0        0        2
35393 libobasis6.0-calc                  	       0        2        0        0        2
35394 libobasis6.0-core                  	       0        2        0        0        2
35395 libobasis6.0-draw                  	       0        2        0        0        2
35396 libobasis6.0-en-us                 	       0        2        0        0        2
35397 libobasis6.0-en-us-help            	       0        1        0        0        1
35398 libobasis6.0-extension-beanshell-script-provider	       0        2        0        0        2
35399 libobasis6.0-extension-javascript-script-provider	       0        2        0        0        2
35400 libobasis6.0-extension-mediawiki-publisher	       0        2        0        0        2
35401 libobasis6.0-extension-nlpsolver   	       0        2        0        0        2
35402 libobasis6.0-extension-pdf-import  	       0        2        0        0        2
35403 libobasis6.0-extension-report-builder	       0        2        0        0        2
35404 libobasis6.0-firebird              	       0        2        0        0        2
35405 libobasis6.0-gnome-integration     	       0        2        0        0        2
35406 libobasis6.0-graphicfilter         	       0        2        0        0        2
35407 libobasis6.0-images                	       0        2        0        0        2
35408 libobasis6.0-impress               	       0        2        0        0        2
35409 libobasis6.0-kde-integration       	       0        2        0        0        2
35410 libobasis6.0-librelogo             	       0        2        0        0        2
35411 libobasis6.0-math                  	       0        2        0        0        2
35412 libobasis6.0-ogltrans              	       0        2        0        0        2
35413 libobasis6.0-onlineupdate          	       0        2        0        0        2
35414 libobasis6.0-ooofonts              	       0        2        0        0        2
35415 libobasis6.0-ooolinguistic         	       0        2        0        0        2
35416 libobasis6.0-postgresql-sdbc       	       0        2        0        0        2
35417 libobasis6.0-python-script-provider	       0        2        0        0        2
35418 libobasis6.0-pyuno                 	       0        2        0        1        1
35419 libobasis6.0-writer                	       0        2        0        0        2
35420 libobasis6.0-xsltfilter            	       0        2        0        0        2
35421 libobasis6.2-base                  	       0        2        0        0        2
35422 libobasis6.2-calc                  	       0        2        0        0        2
35423 libobasis6.2-core                  	       0        2        0        0        2
35424 libobasis6.2-draw                  	       0        2        0        0        2
35425 libobasis6.2-en-us                 	       0        2        0        0        2
35426 libobasis6.2-en-us-help            	       0        1        0        0        1
35427 libobasis6.2-extension-beanshell-script-provider	       0        2        0        0        2
35428 libobasis6.2-extension-javascript-script-provider	       0        2        0        0        2
35429 libobasis6.2-extension-mediawiki-publisher	       0        2        0        0        2
35430 libobasis6.2-extension-nlpsolver   	       0        2        0        0        2
35431 libobasis6.2-extension-pdf-import  	       0        2        0        0        2
35432 libobasis6.2-extension-report-builder	       0        2        0        0        2
35433 libobasis6.2-firebird              	       0        2        0        0        2
35434 libobasis6.2-gnome-integration     	       0        2        0        0        2
35435 libobasis6.2-graphicfilter         	       0        2        0        0        2
35436 libobasis6.2-images                	       0        2        0        0        2
35437 libobasis6.2-impress               	       0        2        0        0        2
35438 libobasis6.2-kde-integration       	       0        2        0        0        2
35439 libobasis6.2-librelogo             	       0        2        0        0        2
35440 libobasis6.2-libreofficekit-data   	       0        2        0        0        2
35441 libobasis6.2-math                  	       0        2        0        0        2
35442 libobasis6.2-ogltrans              	       0        2        0        0        2
35443 libobasis6.2-onlineupdate          	       0        2        0        0        2
35444 libobasis6.2-ooofonts              	       0        2        0        0        2
35445 libobasis6.2-ooolinguistic         	       0        2        0        0        2
35446 libobasis6.2-postgresql-sdbc       	       0        2        0        0        2
35447 libobasis6.2-python-script-provider	       0        2        0        0        2
35448 libobasis6.2-pyuno                 	       0        2        0        2        0
35449 libobasis6.2-writer                	       0        2        0        0        2
35450 libobasis6.2-xsltfilter            	       0        2        0        0        2
35451 libobasis6.3-base                  	       0        3        0        0        3
35452 libobasis6.3-calc                  	       0        3        0        0        3
35453 libobasis6.3-core                  	       0        3        0        0        3
35454 libobasis6.3-draw                  	       0        3        0        0        3
35455 libobasis6.3-en-us                 	       0        3        0        0        3
35456 libobasis6.3-en-us-help            	       0        2        0        0        2
35457 libobasis6.3-extension-beanshell-script-provider	       0        2        0        0        2
35458 libobasis6.3-extension-javascript-script-provider	       0        2        0        0        2
35459 libobasis6.3-extension-mediawiki-publisher	       0        2        0        0        2
35460 libobasis6.3-extension-nlpsolver   	       0        2        0        0        2
35461 libobasis6.3-extension-pdf-import  	       0        3        0        0        3
35462 libobasis6.3-extension-report-builder	       0        2        0        0        2
35463 libobasis6.3-firebird              	       0        2        0        0        2
35464 libobasis6.3-gnome-integration     	       0        2        0        0        2
35465 libobasis6.3-graphicfilter         	       0        3        0        0        3
35466 libobasis6.3-images                	       0        3        0        0        3
35467 libobasis6.3-impress               	       0        3        0        0        3
35468 libobasis6.3-kde-integration       	       0        2        0        0        2
35469 libobasis6.3-librelogo             	       0        2        0        0        2
35470 libobasis6.3-libreofficekit-data   	       0        2        0        0        2
35471 libobasis6.3-math                  	       0        3        0        0        3
35472 libobasis6.3-ogltrans              	       0        3        0        0        3
35473 libobasis6.3-onlineupdate          	       0        2        0        0        2
35474 libobasis6.3-ooofonts              	       0        4        0        0        4
35475 libobasis6.3-ooolinguistic         	       0        3        0        0        3
35476 libobasis6.3-postgresql-sdbc       	       0        2        0        0        2
35477 libobasis6.3-python-script-provider	       0        2        0        0        2
35478 libobasis6.3-pyuno                 	       0        2        0        1        1
35479 libobasis6.3-writer                	       0        3        0        0        3
35480 libobasis6.3-xsltfilter            	       0        3        0        0        3
35481 libobasis6.4-base                  	       0        4        0        0        4
35482 libobasis6.4-calc                  	       0        4        0        0        4
35483 libobasis6.4-core                  	       0        4        0        0        4
35484 libobasis6.4-draw                  	       0        4        0        0        4
35485 libobasis6.4-en-us                 	       0        4        0        0        4
35486 libobasis6.4-en-us-help            	       0        1        0        0        1
35487 libobasis6.4-extension-beanshell-script-provider	       0        4        0        0        4
35488 libobasis6.4-extension-javascript-script-provider	       0        4        0        0        4
35489 libobasis6.4-extension-mediawiki-publisher	       0        4        0        0        4
35490 libobasis6.4-extension-nlpsolver   	       0        4        0        0        4
35491 libobasis6.4-extension-pdf-import  	       0        4        0        0        4
35492 libobasis6.4-extension-report-builder	       0        4        0        0        4
35493 libobasis6.4-firebird              	       0        4        0        0        4
35494 libobasis6.4-fr                    	       0        1        0        0        1
35495 libobasis6.4-gnome-integration     	       0        4        0        0        4
35496 libobasis6.4-graphicfilter         	       0        4        0        0        4
35497 libobasis6.4-images                	       0        4        0        0        4
35498 libobasis6.4-impress               	       0        4        0        0        4
35499 libobasis6.4-kde-integration       	       0        4        0        0        4
35500 libobasis6.4-librelogo             	       0        4        0        0        4
35501 libobasis6.4-libreofficekit-data   	       0        4        0        0        4
35502 libobasis6.4-math                  	       0        4        0        0        4
35503 libobasis6.4-ogltrans              	       0        4        0        0        4
35504 libobasis6.4-onlineupdate          	       0        4        0        0        4
35505 libobasis6.4-ooofonts              	       0        6        0        0        6
35506 libobasis6.4-ooolinguistic         	       0        4        0        0        4
35507 libobasis6.4-postgresql-sdbc       	       0        4        0        0        4
35508 libobasis6.4-python-script-provider	       0        4        0        0        4
35509 libobasis6.4-pyuno                 	       0        4        0        4        0
35510 libobasis6.4-ru                    	       0        1        0        0        1
35511 libobasis6.4-ru-help               	       0        1        0        0        1
35512 libobasis6.4-writer                	       0        4        0        0        4
35513 libobasis6.4-xsltfilter            	       0        4        0        0        4
35514 libobasis7.0-ooofonts              	       0        1        0        0        1
35515 libobasis7.1-base                  	       0        2        0        0        2
35516 libobasis7.1-calc                  	       0        2        0        0        2
35517 libobasis7.1-core                  	       0        2        0        0        2
35518 libobasis7.1-draw                  	       0        2        0        0        2
35519 libobasis7.1-en-us                 	       0        2        0        0        2
35520 libobasis7.1-extension-beanshell-script-provider	       0        2        0        0        2
35521 libobasis7.1-extension-javascript-script-provider	       0        2        0        0        2
35522 libobasis7.1-extension-mediawiki-publisher	       0        2        0        0        2
35523 libobasis7.1-extension-nlpsolver   	       0        2        0        0        2
35524 libobasis7.1-extension-pdf-import  	       0        2        0        0        2
35525 libobasis7.1-extension-report-builder	       0        2        0        0        2
35526 libobasis7.1-firebird              	       0        2        0        0        2
35527 libobasis7.1-gnome-integration     	       0        2        0        0        2
35528 libobasis7.1-graphicfilter         	       0        2        0        0        2
35529 libobasis7.1-images                	       0        2        0        0        2
35530 libobasis7.1-impress               	       0        2        0        0        2
35531 libobasis7.1-kde-integration       	       0        2        0        0        2
35532 libobasis7.1-librelogo             	       0        2        0        0        2
35533 libobasis7.1-libreofficekit-data   	       0        2        0        0        2
35534 libobasis7.1-math                  	       0        2        0        0        2
35535 libobasis7.1-ogltrans              	       0        2        0        0        2
35536 libobasis7.1-onlineupdate          	       0        2        0        0        2
35537 libobasis7.1-ooofonts              	       0        3        0        0        3
35538 libobasis7.1-ooolinguistic         	       0        2        0        0        2
35539 libobasis7.1-postgresql-sdbc       	       0        2        0        0        2
35540 libobasis7.1-python-script-provider	       0        2        0        0        2
35541 libobasis7.1-pyuno                 	       0        2        0        2        0
35542 libobasis7.1-writer                	       0        2        0        0        2
35543 libobasis7.1-xsltfilter            	       0        2        0        0        2
35544 libobasis7.2-base                  	       0        1        0        0        1
35545 libobasis7.2-calc                  	       0        1        0        0        1
35546 libobasis7.2-core                  	       0        1        0        0        1
35547 libobasis7.2-draw                  	       0        1        0        0        1
35548 libobasis7.2-en-us                 	       0        1        0        0        1
35549 libobasis7.2-extension-beanshell-script-provider	       0        1        0        0        1
35550 libobasis7.2-extension-javascript-script-provider	       0        1        0        0        1
35551 libobasis7.2-extension-mediawiki-publisher	       0        1        0        0        1
35552 libobasis7.2-extension-nlpsolver   	       0        1        0        0        1
35553 libobasis7.2-extension-pdf-import  	       0        1        0        0        1
35554 libobasis7.2-extension-report-builder	       0        1        0        0        1
35555 libobasis7.2-firebird              	       0        1        0        0        1
35556 libobasis7.2-gnome-integration     	       0        1        0        0        1
35557 libobasis7.2-graphicfilter         	       0        1        0        0        1
35558 libobasis7.2-images                	       0        1        0        0        1
35559 libobasis7.2-impress               	       0        1        0        0        1
35560 libobasis7.2-kde-integration       	       0        1        0        0        1
35561 libobasis7.2-librelogo             	       0        1        0        0        1
35562 libobasis7.2-libreofficekit-data   	       0        1        0        0        1
35563 libobasis7.2-math                  	       0        1        0        0        1
35564 libobasis7.2-ogltrans              	       0        1        0        0        1
35565 libobasis7.2-onlineupdate          	       0        1        0        0        1
35566 libobasis7.2-ooofonts              	       0        1        0        0        1
35567 libobasis7.2-ooolinguistic         	       0        1        0        0        1
35568 libobasis7.2-postgresql-sdbc       	       0        1        0        0        1
35569 libobasis7.2-python-script-provider	       0        1        0        0        1
35570 libobasis7.2-pyuno                 	       0        1        0        1        0
35571 libobasis7.2-writer                	       0        1        0        0        1
35572 libobasis7.2-xsltfilter            	       0        1        0        0        1
35573 libobasis7.3-base                  	       0        1        0        0        1
35574 libobasis7.3-calc                  	       0        1        0        0        1
35575 libobasis7.3-core                  	       0        1        0        0        1
35576 libobasis7.3-draw                  	       0        1        0        0        1
35577 libobasis7.3-en-us                 	       0        1        0        0        1
35578 libobasis7.3-extension-beanshell-script-provider	       0        1        0        0        1
35579 libobasis7.3-extension-javascript-script-provider	       0        1        0        0        1
35580 libobasis7.3-extension-mediawiki-publisher	       0        1        0        0        1
35581 libobasis7.3-extension-nlpsolver   	       0        1        0        0        1
35582 libobasis7.3-extension-pdf-import  	       0        1        0        0        1
35583 libobasis7.3-extension-report-builder	       0        1        0        0        1
35584 libobasis7.3-firebird              	       0        1        0        0        1
35585 libobasis7.3-gnome-integration     	       0        1        0        0        1
35586 libobasis7.3-graphicfilter         	       0        1        0        0        1
35587 libobasis7.3-images                	       0        1        0        0        1
35588 libobasis7.3-impress               	       0        1        0        0        1
35589 libobasis7.3-kde-integration       	       0        1        0        0        1
35590 libobasis7.3-librelogo             	       0        1        0        0        1
35591 libobasis7.3-libreofficekit-data   	       0        1        0        0        1
35592 libobasis7.3-math                  	       0        1        0        0        1
35593 libobasis7.3-ogltrans              	       0        1        0        0        1
35594 libobasis7.3-onlineupdate          	       0        1        0        0        1
35595 libobasis7.3-ooofonts              	       0        2        0        0        2
35596 libobasis7.3-ooolinguistic         	       0        1        0        0        1
35597 libobasis7.3-postgresql-sdbc       	       0        1        0        0        1
35598 libobasis7.3-python-script-provider	       0        1        0        0        1
35599 libobasis7.3-pyuno                 	       0        1        0        1        0
35600 libobasis7.3-ru                    	       0        1        0        0        1
35601 libobasis7.3-ru-help               	       0        1        0        0        1
35602 libobasis7.3-writer                	       0        1        0        0        1
35603 libobasis7.3-xsltfilter            	       0        1        0        0        1
35604 libobasis7.4-base                  	       0        1        0        0        1
35605 libobasis7.4-calc                  	       0       11        0        0       11
35606 libobasis7.4-core                  	       0       11        0        0       11
35607 libobasis7.4-draw                  	       0       11        0        0       11
35608 libobasis7.4-en-us                 	       0        1        0        0        1
35609 libobasis7.4-en-us-help            	       0        1        0        0        1
35610 libobasis7.4-extension-beanshell-script-provider	       0        1        0        0        1
35611 libobasis7.4-extension-javascript-script-provider	       0        1        0        0        1
35612 libobasis7.4-extension-mediawiki-publisher	       0        1        0        0        1
35613 libobasis7.4-extension-nlpsolver   	       0        1        0        0        1
35614 libobasis7.4-extension-pdf-import  	       0        1        0        0        1
35615 libobasis7.4-extension-report-builder	       0        1        0        0        1
35616 libobasis7.4-firebird              	       0        1        0        0        1
35617 libobasis7.4-gnome-integration     	       0       11        0        0       11
35618 libobasis7.4-graphicfilter         	       0        1        0        0        1
35619 libobasis7.4-images                	       0       11        0        0       11
35620 libobasis7.4-impress               	       0       11        0        0       11
35621 libobasis7.4-it                    	       0       10        0        0       10
35622 libobasis7.4-kde-integration       	       0        1        0        0        1
35623 libobasis7.4-librelogo             	       0        1        0        0        1
35624 libobasis7.4-libreofficekit-data   	       0        1        0        0        1
35625 libobasis7.4-math                  	       0        1        0        0        1
35626 libobasis7.4-ogltrans              	       0        1        0        0        1
35627 libobasis7.4-onlineupdate          	       0        1        0        0        1
35628 libobasis7.4-ooofonts              	       0       13        0        0       13
35629 libobasis7.4-ooolinguistic         	       0       11        0        0       11
35630 libobasis7.4-postgresql-sdbc       	       0        1        0        0        1
35631 libobasis7.4-python-script-provider	       0        1        0        0        1
35632 libobasis7.4-pyuno                 	       0        1        0        1        0
35633 libobasis7.4-writer                	       0       11        0        0       11
35634 libobasis7.4-xsltfilter            	       0        1        0        0        1
35635 libobasis7.5-base                  	       0        5        0        0        5
35636 libobasis7.5-calc                  	       0        5        0        0        5
35637 libobasis7.5-core                  	       0        5        0        0        5
35638 libobasis7.5-draw                  	       0        5        0        0        5
35639 libobasis7.5-en-us                 	       0        5        0        0        5
35640 libobasis7.5-en-us-help            	       0        2        0        0        2
35641 libobasis7.5-extension-beanshell-script-provider	       0        5        0        0        5
35642 libobasis7.5-extension-javascript-script-provider	       0        5        0        0        5
35643 libobasis7.5-extension-mediawiki-publisher	       0        5        0        0        5
35644 libobasis7.5-extension-nlpsolver   	       0        5        0        0        5
35645 libobasis7.5-extension-pdf-import  	       0        5        0        0        5
35646 libobasis7.5-extension-report-builder	       0        5        0        0        5
35647 libobasis7.5-firebird              	       0        5        0        0        5
35648 libobasis7.5-gnome-integration     	       0        5        0        0        5
35649 libobasis7.5-graphicfilter         	       0        5        0        0        5
35650 libobasis7.5-images                	       0        5        0        0        5
35651 libobasis7.5-impress               	       0        5        0        0        5
35652 libobasis7.5-kde-integration       	       0        5        0        0        5
35653 libobasis7.5-librelogo             	       0        5        0        0        5
35654 libobasis7.5-libreofficekit-data   	       0        5        0        0        5
35655 libobasis7.5-math                  	       0        5        0        0        5
35656 libobasis7.5-nl                    	       0        1        0        0        1
35657 libobasis7.5-nl-help               	       0        1        0        0        1
35658 libobasis7.5-ogltrans              	       0        5        0        0        5
35659 libobasis7.5-onlineupdate          	       0        5        0        0        5
35660 libobasis7.5-ooofonts              	       0        6        0        0        6
35661 libobasis7.5-ooolinguistic         	       0        5        0        0        5
35662 libobasis7.5-postgresql-sdbc       	       0        5        0        0        5
35663 libobasis7.5-python-script-provider	       0        5        0        0        5
35664 libobasis7.5-pyuno                 	       0        5        1        3        1
35665 libobasis7.5-writer                	       0        5        0        0        5
35666 libobasis7.5-xsltfilter            	       0        5        0        0        5
35667 libobasis7.6-base                  	       0        4        0        0        4
35668 libobasis7.6-calc                  	       0        4        0        0        4
35669 libobasis7.6-core                  	       0        4        0        0        4
35670 libobasis7.6-draw                  	       0        4        0        0        4
35671 libobasis7.6-en-us                 	       0        4        0        0        4
35672 libobasis7.6-extension-beanshell-script-provider	       0        4        0        0        4
35673 libobasis7.6-extension-javascript-script-provider	       0        4        0        0        4
35674 libobasis7.6-extension-mediawiki-publisher	       0        4        0        0        4
35675 libobasis7.6-extension-nlpsolver   	       0        4        0        0        4
35676 libobasis7.6-extension-pdf-import  	       0        4        0        0        4
35677 libobasis7.6-extension-report-builder	       0        4        0        0        4
35678 libobasis7.6-firebird              	       0        4        0        0        4
35679 libobasis7.6-gnome-integration     	       0        4        0        0        4
35680 libobasis7.6-graphicfilter         	       0        4        0        0        4
35681 libobasis7.6-images                	       0        4        0        0        4
35682 libobasis7.6-impress               	       0        4        0        0        4
35683 libobasis7.6-kde-integration       	       0        4        0        0        4
35684 libobasis7.6-librelogo             	       0        4        0        0        4
35685 libobasis7.6-libreofficekit-data   	       0        4        0        0        4
35686 libobasis7.6-math                  	       0        4        0        0        4
35687 libobasis7.6-ogltrans              	       0        4        0        0        4
35688 libobasis7.6-onlineupdate          	       0        4        0        0        4
35689 libobasis7.6-ooofonts              	       0        5        0        0        5
35690 libobasis7.6-ooolinguistic         	       0        4        0        0        4
35691 libobasis7.6-postgresql-sdbc       	       0        4        0        0        4
35692 libobasis7.6-python-script-provider	       0        4        0        0        4
35693 libobasis7.6-pyuno                 	       0        4        0        4        0
35694 libobasis7.6-writer                	       0        4        0        0        4
35695 libobasis7.6-xsltfilter            	       0        4        0        0        4
35696 libobexftp-perl                    	       0        1        0        0        1
35697 libobexftp0                        	       0       17        0        0       17
35698 libobexftp0t64                     	       0        2        0        0        2
35699 libobjc-10-dev                     	       0      125        0        0      125
35700 libobjc-11-dev                     	       0        8        0        0        8
35701 libobjc-12-dev                     	       0      258        0        0      258
35702 libobjc-12-dev-armel-cross         	       0        1        0        0        1
35703 libobjc-12-dev-armhf-cross         	       0        1        0        0        1
35704 libobjc-13-dev                     	       0       37        0        0       37
35705 libobjc-14-dev                     	       0       38        0        0       38
35706 libobjc-4.9-dev                    	       0       13        0        0       13
35707 libobjc-5-dev                      	       0        1        0        0        1
35708 libobjc-6-dev                      	       0       17        0        0       17
35709 libobjc-8-dev                      	       0       20        0        0       20
35710 libobjc-9-dev                      	       0        3        0        0        3
35711 libobjc2                           	       0        1        0        0        1
35712 libobjc4                           	       0     1050        0        1     1049
35713 libobjc4-armel-cross               	       0        1        0        0        1
35714 libobjc4-armhf-cross               	       0        1        0        0        1
35715 libobjc4-dbg                       	       0        1        0        1        0
35716 libobjc4-dbgsym                    	       0        1        0        1        0
35717 libobject-accessor-perl            	       0       56        0       56        0
35718 libobject-event-perl               	       0        8        0        8        0
35719 libobject-multitype-perl           	       0        1        0        1        0
35720 libobject-pad-perl                 	       0      159        0        0      159
35721 libobject-signature-perl           	       0        2        1        1        0
35722 libobjenesis-java                  	       0       60        0        0       60
35723 libobjenesis-java-doc              	       0        1        0        0        1
35724 libobrender27                      	       0        1        0        0        1
35725 libobrender29                      	       0        4        0        0        4
35726 libobs-dev                         	       0        9        0        9        0
35727 libobs0t64                         	       0       16        2        0       14
35728 libobt0                            	       0        1        0        0        1
35729 libobt2                            	       0        4        0        0        4
35730 libocaml-compiler-libs-ocaml-dev   	       0        6        0        6        0
35731 libocamlnet-ocaml                  	       0        2        0        2        0
35732 libocamlnet-ocaml-dev              	       0        1        0        1        0
35733 libocamlnet-ocaml-doc              	       0        2        0        0        2
35734 libocas-dev                        	       0        2        0        2        0
35735 libocas0                           	       0        2        0        0        2
35736 libocct-data-exchange-7.3          	       0        3        0        0        3
35737 libocct-data-exchange-7.5          	       0       36        0        1       35
35738 libocct-data-exchange-7.6          	       0      137        1        4      132
35739 libocct-data-exchange-7.6t64       	       0        1        0        0        1
35740 libocct-data-exchange-7.8          	       0       23        0        0       23
35741 libocct-data-exchange-dev          	       0        8        0        8        0
35742 libocct-doc                        	       0        2        0        0        2
35743 libocct-draw-7.5                   	       0        1        0        0        1
35744 libocct-draw-7.6                   	       0      137        0        0      137
35745 libocct-draw-7.6t64                	       0        1        0        0        1
35746 libocct-draw-7.8                   	       0       23        0        0       23
35747 libocct-draw-dev                   	       0        6        0        1        5
35748 libocct-foundation-7.3             	       0        3        0        0        3
35749 libocct-foundation-7.5             	       0       36        0        1       35
35750 libocct-foundation-7.6             	       0      137        1        4      132
35751 libocct-foundation-7.6t64          	       0        1        0        0        1
35752 libocct-foundation-7.8             	       0       24        0        0       24
35753 libocct-foundation-dev             	       0        9        0        9        0
35754 libocct-modeling-algorithms-7.3    	       0        3        0        0        3
35755 libocct-modeling-algorithms-7.5    	       0       36        0        1       35
35756 libocct-modeling-algorithms-7.6    	       0      137        1        4      132
35757 libocct-modeling-algorithms-7.6t64 	       0        1        0        0        1
35758 libocct-modeling-algorithms-7.8    	       0       24        0        0       24
35759 libocct-modeling-algorithms-dev    	       0        9        0        1        8
35760 libocct-modeling-data-7.3          	       0        3        0        0        3
35761 libocct-modeling-data-7.5          	       0       36        0        1       35
35762 libocct-modeling-data-7.6          	       0      137        1        4      132
35763 libocct-modeling-data-7.6t64       	       0        1        0        0        1
35764 libocct-modeling-data-7.8          	       0       24        0        0       24
35765 libocct-modeling-data-dev          	       0        9        0        1        8
35766 libocct-ocaf-7.3                   	       0        3        0        0        3
35767 libocct-ocaf-7.5                   	       0       36        0        1       35
35768 libocct-ocaf-7.6                   	       0      137        0        4      133
35769 libocct-ocaf-7.6t64                	       0        1        0        0        1
35770 libocct-ocaf-7.8                   	       0       23        0        0       23
35771 libocct-ocaf-dev                   	       0        9        0        1        8
35772 libocct-visualization-7.3          	       0        3        0        0        3
35773 libocct-visualization-7.5          	       0       36        0        1       35
35774 libocct-visualization-7.6          	       0      137        0        4      133
35775 libocct-visualization-7.6t64       	       0        1        0        0        1
35776 libocct-visualization-7.8          	       0       23        0        0       23
35777 libocct-visualization-dev          	       0        9        0        9        0
35778 liboce-foundation-dev              	       0        4        0        4        0
35779 liboce-foundation10                	       0       10        0        0       10
35780 liboce-foundation11                	       0       22        0        0       22
35781 liboce-foundation2                 	       0        1        0        0        1
35782 liboce-foundation8                 	       0        3        0        0        3
35783 liboce-modeling-dev                	       0        4        0        4        0
35784 liboce-modeling10                  	       0       10        0        0       10
35785 liboce-modeling11                  	       0       22        0        0       22
35786 liboce-modeling2                   	       0        1        0        0        1
35787 liboce-modeling8                   	       0        3        0        0        3
35788 liboce-ocaf-dev                    	       0        3        0        0        3
35789 liboce-ocaf-lite-dev               	       0        3        0        0        3
35790 liboce-ocaf-lite10                 	       0       10        0        0       10
35791 liboce-ocaf-lite11                 	       0       21        0        0       21
35792 liboce-ocaf-lite8                  	       0        2        0        0        2
35793 liboce-ocaf10                      	       0       10        0        0       10
35794 liboce-ocaf11                      	       0       21        0        0       21
35795 liboce-ocaf8                       	       0        2        0        0        2
35796 liboce-visualization-dev           	       0        3        0        3        0
35797 liboce-visualization10             	       0       10        0        0       10
35798 liboce-visualization11             	       0       21        0        0       21
35799 liboce-visualization8              	       0        2        0        0        2
35800 liboclgrind-16.10                  	       0        1        0        0        1
35801 liboclgrind-19.10                  	       0        1        0        0        1
35802 liboclgrind-dev                    	       0        1        0        1        0
35803 libocplib-endian-ocaml             	       0        4        0        3        1
35804 libocplib-endian-ocaml-dev         	       0        3        0        3        0
35805 libocrad-dev                       	       0        2        0        2        0
35806 liboctave-dev                      	       0       12        0        7        5
35807 liboctave3v5                       	       0        1        0        0        1
35808 liboctave6                         	       0        5        0        0        5
35809 liboctave7                         	       0        1        0        0        1
35810 liboctave8                         	       0       15        0        0       15
35811 liboctomap-dev                     	       0        2        0        2        0
35812 liboctomap1.9                      	       0        2        0        0        2
35813 liboctovis-dev                     	       0        1        0        1        0
35814 liboctovis1.9                      	       0        2        0        0        2
35815 libodbc-ruby1.9.1                  	       0        1        0        0        1
35816 libodbc1                           	       0      366        2        7      357
35817 libodbc2                           	       0      853       12       24      817
35818 libodbccr2                         	       0      211        0        0      211
35819 libodbcinst2                       	       0      574        5       10      559
35820 libodbcinstq4-1                    	       0        1        0        0        1
35821 libodc-0d                          	       0        5        0        0        5
35822 libodc-dev                         	       0        1        0        1        0
35823 libode-dev                         	       0        5        1        4        0
35824 libode0-dev                        	       0        1        0        1        0
35825 libode0debian1                     	       0        1        0        0        1
35826 libode1                            	       0        1        0        0        1
35827 libode1sp                          	       0        2        0        0        2
35828 libode6                            	       0        3        0        0        3
35829 libode8                            	       0       36        0        0       36
35830 libode8t64                         	       0        2        0        0        2
35831 libodfdom-java                     	       0        2        0        0        2
35832 libodfgen-0.0-0                    	       0        1        0        0        1
35833 libodil0                           	       0        2        0        0        2
35834 libodin-dev                        	       0        3        0        3        0
35835 libodsstream0                      	       0        1        0        0        1
35836 libofa0                            	       0      708        0        2      706
35837 libofa0-dev                        	       0        2        0        2        0
35838 libofficebean-java                 	       0        8        0        8        0
35839 libofx-dev                         	       0        5        1        4        0
35840 libofx-doc                         	       0        2        0        0        2
35841 libofx6                            	       0        7        0        0        7
35842 libofx7                            	       0      107        1        4      102
35843 libofx7t64                         	       0        8        0        1        7
35844 libogdf-tulip-5.4-0                	       0        2        0        0        2
35845 libogdi3.2                         	       0       67        0       67        0
35846 libogdi3.2-dev                     	       0        4        0        4        0
35847 libogg-ocaml                       	       0        5        0        4        1
35848 libogg-vorbis-decoder-perl         	       0        1        0        0        1
35849 libogg-vorbis-header-pureperl-perl 	       0       19        0       19        0
35850 liboggflac3                        	       0        2        0        0        2
35851 liboggkate1                        	       0       23        0        0       23
35852 liboggz2                           	       0       35        0        0       35
35853 liboggz2-dev                       	       0        3        0        3        0
35854 liboglappth2                       	       0        3        0        0        3
35855 libogmrip-dev                      	       0        1        0        1        0
35856 libogmrip1                         	       0       14        0        0       14
35857 libogre-1.12-dev                   	       0        1        1        0        0
35858 libogre-1.8-dev                    	       0        1        0        1        0
35859 libogre-1.8.0                      	       0        1        0        0        1
35860 libogre-1.9-dev                    	       0        2        0        2        0
35861 libogre-1.9.0                      	       0        1        0        0        1
35862 libogre-1.9.0v5                    	       0        8        0        0        8
35863 libogre-1.9.0v5-dbg                	       0        1        0        1        0
35864 libogre1.12.10                     	       0        9        0        9        0
35865 liboidc-agent4                     	       0        1        0        0        1
35866 liboil0.3                          	       0        6        0        6        0
35867 liboil0.3-dev                      	       0        1        0        1        0
35868 libois-1.3.0                       	       0        1        0        0        1
35869 libois-1.3.0v5                     	       0        8        0        0        8
35870 libois-dev                         	       0        3        0        3        0
35871 libois-perl                        	       0        2        0        0        2
35872 libokhttp-java                     	       0       21        0        0       21
35873 libokhttp-signpost-java            	       0        2        0        0        2
35874 libokio-java                       	       0       21        0        0       21
35875 libokteta-l10n                     	       0       99        0        0       99
35876 libokteta1core1                    	       0        1        0        0        1
35877 libokteta1gui1                     	       0        1        0        0        1
35878 libokteta2core2                    	       0        5        0        0        5
35879 libokteta2gui2                     	       0        5        0        0        5
35880 libokteta3core0                    	       0       99        0        1       98
35881 libokteta3gui0                     	       0       99        0        1       98
35882 libokular-csp5core9                	       0        1        0        0        1
35883 libokular-ruby1.8                  	       0        1        0        0        1
35884 libokular5core10                   	       0      493        4       15      474
35885 libokular5core11                   	       0       35        0        2       33
35886 libokular5core8                    	       0       32        0        0       32
35887 libokular5core9                    	       0      113        0        4      109
35888 libokular6core3                    	       0       22        2        1       19
35889 libokularcore5                     	       0        3        0        1        2
35890 libokularcore7                     	       0       13        0        0       13
35891 libola1                            	       0        4        0        2        2
35892 libole-ruby1.9.1                   	       0        1        0        0        1
35893 libolecf1                          	       0       14        0        0       14
35894 libolm-dev                         	       0        6        0        6        0
35895 libolm3                            	       0       37        1        3       33
35896 libomc                             	       0        1        0        0        1
35897 libomcsimulation                   	       0        1        0        1        0
35898 libomemo-c0                        	       0        2        0        1        1
35899 libomemo0                          	       0        8        2        1        5
35900 libomniorb4-2                      	       0        4        0        0        4
35901 libomniorb4-dev                    	       0        1        0        1        0
35902 libomnithread4                     	       0        4        0        0        4
35903 libomnithread4-dev                 	       0        1        0        1        0
35904 libomp-10-dev                      	       0        4        0        4        0
35905 libomp-11-dev                      	       0       91        4       85        2
35906 libomp-11-doc                      	       0        1        0        0        1
35907 libomp-14-dev                      	       0        5        0        5        0
35908 libomp-15-dev                      	       0        1        0        1        0
35909 libomp-16-dev                      	       0        1        0        1        0
35910 libomp-19-doc                      	       0        1        0        0        1
35911 libomp-7-dev                       	       0       16        0       16        0
35912 libomp-8-dev                       	       0        1        0        1        0
35913 libomp-dev                         	       0       12        0        0       12
35914 libomp5                            	       0        3        0        0        3
35915 libomp5-10                         	       0        4        0        4        0
35916 libomp5-11                         	       0       91        4       85        2
35917 libomp5-13                         	       0        1        0        1        0
35918 libomp5-14                         	       0        6        0        6        0
35919 libomp5-15                         	       0        1        0        1        0
35920 libomp5-16t64                      	       0        1        0        1        0
35921 libomp5-7                          	       0       16        0       16        0
35922 libomp5-8                          	       0        2        0        2        0
35923 libompl-dev                        	       0        1        0        1        0
35924 libompl16                          	       0        1        0        0        1
35925 libomplot                          	       0        1        0        0        1
35926 libomsensplugin                    	       0        1        0        0        1
35927 libomsimulator                     	       0        1        0        1        0
35928 libomxil-bellagio-dev              	       0       17        0       17        0
35929 libomxil-bellagio0                 	       0       34        0        0       34
35930 libomxil-bellagio0-components-base 	       0        1        0        0        1
35931 libonig-dev                        	       0       11        0       11        0
35932 libonig2                           	       0       63        1        6       56
35933 libonig4                           	       0       16        0        0       16
35934 libonnx1                           	       0       14        0        0       14
35935 libonnx1t64                        	       0      103        0        0      103
35936 libonnxruntime1.19.2               	       0       85        0        0       85
35937 libonnxruntime1.20.1               	       0       17        0        0       17
35938 libonvif1                          	       0        6        0        0        6
35939 liboobs-1-4                        	       0        2        0        0        2
35940 liboobs-1-5                        	       0      247        0        1      246
35941 liboofem2                          	       0        1        0        0        1
35942 libooolib-perl                     	       0        1        0        1        0
35943 liboop-doc                         	       0        2        0        0        2
35944 liboop4                            	       0        2        1        0        1
35945 liboop4t64                         	       0        1        0        0        1
35946 libooptools-dev                    	       0        1        0        1        0
35947 libopal3.10.10                     	       0        8        0        8        0
35948 libopal3.10.4                      	       0        1        0        1        0
35949 libopal3.6.8                       	       0        1        0        1        0
35950 libopen-trace-format1              	       0        2        0        0        2
35951 libopen4-ruby1.9.1                 	       0        1        0        0        1
35952 libopenal-data                     	       0     3204        0        0     3204
35953 libopenal0a                        	       0        1        0        0        1
35954 libopenaptx-dev                    	       0        1        0        1        0
35955 libopenaptx0                       	       0       56        0        1       55
35956 libopenbabel-dev                   	       0        2        0        2        0
35957 libopenbabel-doc                   	       0        1        0        0        1
35958 libopenbabel4v5                    	       0        3        0        3        0
35959 libopenbabel5                      	       0        3        0        3        0
35960 libopenbabel7                      	       0       60        0        0       60
35961 libopenblas-base                   	       0       15        0        2       13
35962 libopenblas-dev                    	       0      378        0        2      376
35963 libopenblas0                       	       0      412        0        0      412
35964 libopenblas0-pthread               	       0      469        7       52      410
35965 libopenblas0-serial                	       0        1        0        0        1
35966 libopenblas64-0                    	       0        1        0        0        1
35967 libopenblas64-0-pthread            	       0        1        0        0        1
35968 libopenblas64-dev                  	       0        1        0        0        1
35969 libopenblas64-pthread-dev          	       0        1        0        1        0
35970 libopencc-data                     	       0       43        0        0       43
35971 libopencc1.1                       	       0       42        1        4       37
35972 libopencc2                         	       0        2        0        0        2
35973 libopencc2-data                    	       0        2        0        0        2
35974 libopencdk8                        	       0        4        0        0        4
35975 libopencdk8-dev                    	       0        1        0        1        0
35976 libopencensus-java                 	       0        4        0        0        4
35977 libopencl-clang-15-dev             	       0        1        0        1        0
35978 libopencl-clang-dev                	       0        1        0        1        0
35979 libopencl-clang11                  	       0        3        0        0        3
35980 libopencl-clang14                  	       0       13        0        0       13
35981 libopencl-clang15                  	       0        1        0        0        1
35982 libopencolorio1                    	       0        1        0        0        1
35983 libopencolorio1v5                  	       0       78        0        0       78
35984 libopencolorio2.1                  	       0      202        0        1      201
35985 libopencolorio2.1t64               	       0       12        0        0       12
35986 libopenconnect-dev                 	       0        3        0        3        0
35987 libopenconnect1                    	       0        1        0        0        1
35988 libopenconnect3                    	       0        1        0        0        1
35989 libopencore-amrnb-dev              	       0       23        0       23        0
35990 libopencore-amrnb0                 	       0     3227       29      121     3077
35991 libopencore-amrwb-dev              	       0       23        0       23        0
35992 libopencore-amrwb0                 	       0     3229       29      115     3085
35993 libopencryptoki-dev                	       0        1        0        1        0
35994 libopencryptoki0                   	       0        6        0        1        5
35995 libopencsd0                        	       0       20        0        0       20
35996 libopencsd1                        	       0       59        0        0       59
35997 libopencsg-dev                     	       0        5        1        4        0
35998 libopencsg1                        	       0       80        1        2       77
35999 libopenct1                         	       0        2        0        0        2
36000 libopenct1-dev                     	       0        1        0        1        0
36001 libopenctm-dev                     	       0        1        0        1        0
36002 libopenctm1                        	       0       31        0        0       31
36003 libopencv-apps2d                   	       0        1        0        0        1
36004 libopencv-calib3d2.4               	       0        2        0        0        2
36005 libopencv-calib3d2.4v5             	       0       46        0        0       46
36006 libopencv-calib3d3.2               	       0       12        0        0       12
36007 libopencv-calib3d4.5               	       0       15        0        0       15
36008 libopencv-calib3d406               	       0      213        0        0      213
36009 libopencv-calib3d406t64            	       0       36        0        1       35
36010 libopencv-calib3d410               	       0        6        1        0        5
36011 libopencv-contrib-dev              	       0       46        0        0       46
36012 libopencv-contrib2.4               	       0        2        0        0        2
36013 libopencv-contrib2.4v5             	       0       16        0        0       16
36014 libopencv-contrib3.2               	       0       12        0        0       12
36015 libopencv-contrib4.5               	       0       14        0        0       14
36016 libopencv-contrib406               	       0      180        0        0      180
36017 libopencv-contrib406t64            	       0       28        0        1       27
36018 libopencv-contrib410               	       0        5        0        0        5
36019 libopencv-core2.3                  	       0       11        0        0       11
36020 libopencv-core2.4                  	       0       10        0        0       10
36021 libopencv-core2.4v5                	       0       99        0        0       99
36022 libopencv-core3.2                  	       0       37        0        0       37
36023 libopencv-core4.1                  	       0        1        0        0        1
36024 libopencv-core4.2                  	       0        1        0        0        1
36025 libopencv-core4.5                  	       0       58        0        0       58
36026 libopencv-core4.5d                 	       0        1        0        0        1
36027 libopencv-core406                  	       0      363        0        4      359
36028 libopencv-core406t64               	       0       52        0        1       51
36029 libopencv-core410                  	       0        8        1        0        7
36030 libopencv-dnn-dev                  	       0       46        0        0       46
36031 libopencv-dnn4.5                   	       0       20        0        0       20
36032 libopencv-dnn406                   	       0      238        0        1      237
36033 libopencv-dnn406t64                	       0       35        0        1       34
36034 libopencv-dnn410                   	       0        6        1        0        5
36035 libopencv-features2d2.4            	       0        2        0        0        2
36036 libopencv-features2d2.4v5          	       0       46        0        0       46
36037 libopencv-features2d3.2            	       0       12        0        0       12
36038 libopencv-features2d4.5            	       0       15        0        0       15
36039 libopencv-features2d406            	       0      213        0        0      213
36040 libopencv-features2d406t64         	       0       36        0        1       35
36041 libopencv-features2d410            	       0        6        1        0        5
36042 libopencv-flann2.4                 	       0        2        0        0        2
36043 libopencv-flann2.4v5               	       0       47        0        0       47
36044 libopencv-flann3.2                 	       0       13        0        0       13
36045 libopencv-flann4.5                 	       0       15        0        0       15
36046 libopencv-flann406                 	       0      213        0        0      213
36047 libopencv-flann406t64              	       0       36        0        1       35
36048 libopencv-flann410                 	       0        6        1        0        5
36049 libopencv-gpu-dev                  	       0        1        0        0        1
36050 libopencv-gpu2.3                   	       0        1        0        0        1
36051 libopencv-gpu2.4v5                 	       0       12        0        0       12
36052 libopencv-highgui2.4               	       0        2        0        0        2
36053 libopencv-highgui2.4-deb0          	       0       49        0        0       49
36054 libopencv-highgui3.2               	       0       14        0        0       14
36055 libopencv-highgui4.5               	       0       14        0        0       14
36056 libopencv-highgui406               	       0      181        0        0      181
36057 libopencv-highgui406t64            	       0       28        0        0       28
36058 libopencv-highgui410               	       0        5        0        0        5
36059 libopencv-imgcodecs3.2             	       0       28        0        0       28
36060 libopencv-imgcodecs4.1             	       0        1        0        0        1
36061 libopencv-imgcodecs4.5             	       0       57        0        0       57
36062 libopencv-imgcodecs406             	       0      344        0        3      341
36063 libopencv-imgcodecs406t64          	       0       39        0        0       39
36064 libopencv-imgcodecs410             	       0        6        0        0        6
36065 libopencv-imgproc2.3               	       0        5        0        0        5
36066 libopencv-imgproc2.4               	       0       10        0        0       10
36067 libopencv-imgproc2.4v5             	       0       98        0        0       98
36068 libopencv-imgproc3.2               	       0       37        0        0       37
36069 libopencv-imgproc4.1               	       0        1        0        0        1
36070 libopencv-imgproc4.2               	       0        1        0        0        1
36071 libopencv-imgproc4.5               	       0       58        0        0       58
36072 libopencv-imgproc4.5d              	       0        1        0        0        1
36073 libopencv-imgproc406               	       0      363        0        4      359
36074 libopencv-imgproc406t64            	       0       52        0        1       51
36075 libopencv-imgproc410               	       0        8        1        0        7
36076 libopencv-java                     	       0       28        0        0       28
36077 libopencv-legacy-dev               	       0        1        0        0        1
36078 libopencv-legacy2.4                	       0        2        0        0        2
36079 libopencv-legacy2.4v5              	       0       13        0        0       13
36080 libopencv-ml-dev                   	       0       49        0        0       49
36081 libopencv-ml2.4                    	       0        2        0        0        2
36082 libopencv-ml2.4v5                  	       0       16        0        0       16
36083 libopencv-ml3.2                    	       0       15        0        0       15
36084 libopencv-ml4.5                    	       0       14        0        0       14
36085 libopencv-ml406                    	       0      209        0        1      208
36086 libopencv-ml406t64                 	       0       32        0        0       32
36087 libopencv-ml410                    	       0        6        0        0        6
36088 libopencv-objdetect-dev            	       0       46        0        0       46
36089 libopencv-objdetect2.4             	       0        2        0        0        2
36090 libopencv-objdetect2.4v5           	       0       46        0        0       46
36091 libopencv-objdetect3.2             	       0       14        0        0       14
36092 libopencv-objdetect4.5             	       0       15        0        0       15
36093 libopencv-objdetect406             	       0      212        0        0      212
36094 libopencv-objdetect406t64          	       0       35        0        1       34
36095 libopencv-objdetect410             	       0        6        1        0        5
36096 libopencv-ocl-dev                  	       0        1        0        0        1
36097 libopencv-ocl2.4v5                 	       0       12        0        0       12
36098 libopencv-photo2.4                 	       0        2        0        0        2
36099 libopencv-photo2.4v5               	       0       13        0        0       13
36100 libopencv-photo3.2                 	       0       13        0        0       13
36101 libopencv-photo4.5                 	       0       13        0        0       13
36102 libopencv-photo406                 	       0       68        0        0       68
36103 libopencv-photo406t64              	       0       13        0        0       13
36104 libopencv-photo410                 	       0        2        0        0        2
36105 libopencv-shape-dev                	       0       46        0        0       46
36106 libopencv-shape3.2                 	       0       13        0        0       13
36107 libopencv-shape4.5                 	       0       13        0        0       13
36108 libopencv-shape406                 	       0       68        0        0       68
36109 libopencv-shape406t64              	       0       12        0        0       12
36110 libopencv-shape410                 	       0        2        0        0        2
36111 libopencv-stitching-dev            	       0       46        0        0       46
36112 libopencv-stitching2.4v5           	       0       12        0        0       12
36113 libopencv-stitching3.2             	       0       12        0        0       12
36114 libopencv-stitching4.5             	       0       13        0        0       13
36115 libopencv-stitching406             	       0       67        0        0       67
36116 libopencv-stitching406t64          	       0       12        0        0       12
36117 libopencv-stitching410             	       0        2        0        0        2
36118 libopencv-superres-dev             	       0       46        0        0       46
36119 libopencv-superres2.4v5            	       0       12        0        0       12
36120 libopencv-superres3.2              	       0       12        0        0       12
36121 libopencv-superres4.5              	       0        6        0        0        6
36122 libopencv-superres406              	       0       32        0        0       32
36123 libopencv-superres406t64           	       0        7        0        0        7
36124 libopencv-superres410              	       0        1        0        0        1
36125 libopencv-ts-dev                   	       0        1        0        1        0
36126 libopencv-ts2.4v5                  	       0       12        0        0       12
36127 libopencv-video2.4                 	       0        2        0        0        2
36128 libopencv-video2.4v5               	       0       47        0        0       47
36129 libopencv-video3.2                 	       0       14        0        0       14
36130 libopencv-video4.5                 	       0       14        0        0       14
36131 libopencv-video406                 	       0      211        0        0      211
36132 libopencv-video406t64              	       0       32        0        1       31
36133 libopencv-video410                 	       0        5        0        0        5
36134 libopencv-videoio3.2               	       0       26        0        0       26
36135 libopencv-videoio4.5               	       0       51        0        0       51
36136 libopencv-videoio406               	       0      256        0        1      255
36137 libopencv-videoio406t64            	       0       28        0        0       28
36138 libopencv-videoio410               	       0        2        0        0        2
36139 libopencv-videostab-dev            	       0       47        0        0       47
36140 libopencv-videostab2.4v5           	       0       12        0        0       12
36141 libopencv-videostab3.2             	       0       12        0        0       12
36142 libopencv-videostab4.5             	       0        6        0        0        6
36143 libopencv-videostab406             	       0       31        0        0       31
36144 libopencv-videostab406t64          	       0        8        0        0        8
36145 libopencv-videostab410             	       0        1        0        0        1
36146 libopencv-viz-dev                  	       0       45        0        0       45
36147 libopencv-viz3.2                   	       0       12        0        0       12
36148 libopencv-viz4.5                   	       0        6        0        0        6
36149 libopencv-viz406                   	       0       67        0        0       67
36150 libopencv-viz406t64                	       0       11        0        0       11
36151 libopencv-viz410                   	       0        2        0        0        2
36152 libopencv2.4-java                  	       0        1        0        0        1
36153 libopencv2.4-jni                   	       0        2        0        2        0
36154 libopencv4.5-java                  	       0        6        0        0        6
36155 libopencv4.5-jni                   	       0        6        0        6        0
36156 libopendbx1                        	       0       40       11       18       11
36157 libopendbx1-dev                    	       0        3        0        3        0
36158 libopendbx1-mysql                  	       0        4        0        4        0
36159 libopendbx1-pgsql                  	       0        2        0        2        0
36160 libopendbx1-sqlite3                	       0       34        0       34        0
36161 libopendbx1t64                     	       0        3        0        3        0
36162 libopendht-c-dev                   	       0        1        0        1        0
36163 libopendht-c3t64                   	       0        1        0        0        1
36164 libopendht-dev                     	       0        1        0        1        0
36165 libopendht2                        	       0       13        0        0       13
36166 libopendht3t64                     	       0        3        0        0        3
36167 libopendkim-dev                    	       0        1        0        1        0
36168 libopendkim11                      	       0       40       11       21        8
36169 libopendmarc2                      	       0        2        0        2        0
36170 libopendmarc2t64                   	       0        1        0        1        0
36171 libopenems0                        	       0        6        0        0        6
36172 libopenexr22                       	       0      127        0        0      127
36173 libopenexr24                       	       0        2        0        0        2
36174 libopenexr2c2a                     	       0        1        0        0        1
36175 libopenexr6                        	       0       45        0        1       44
36176 libopenfec-dev                     	       0        1        0        1        0
36177 libopenfec1                        	       0      113        0        0      113
36178 libopenfoam                        	       0        2        0        2        0
36179 libopengl-dev                      	       0      746        0        0      746
36180 libopengl-image-perl               	       0        2        0        2        0
36181 libopengl-perl                     	       0       74        0        0       74
36182 libopengl-xscreensaver-perl        	       0       41        0        0       41
36183 libopengl0-glvnd-nvidia            	       0        1        0        0        1
36184 libopenh264-1                      	       0        2        0        0        2
36185 libopenh264-2                      	       0       10        0        0       10
36186 libopenh264-4                      	       0        3        0        0        3
36187 libopenh264-5                      	       0       11        0        2        9
36188 libopenh264-6                      	       0       32        3       15       14
36189 libopenh264-8                      	       0       19        3        0       16
36190 libopenh264-dev                    	       0        5        0        5        0
36191 libopenhmd-dev                     	       0        1        0        1        0
36192 libopenhmd0                        	       0       28        0        0       28
36193 libopenhpi2                        	       0        2        0        2        0
36194 libopenhpi3                        	       0        6        0        6        0
36195 libopenigtlink-dev                 	       0        1        0        1        0
36196 libopenigtlink1.11                 	       0        2        0        0        2
36197 libopenimageio-dev                 	       0        4        0        4        0
36198 libopenimageio-doc                 	       0        5        0        0        5
36199 libopenimageio1.6                  	       0        8        0        0        8
36200 libopenimageio2.0                  	       0       13        0        0       13
36201 libopenimageio2.2                  	       0       32        0        0       32
36202 libopenimageio2.3                  	       0        1        0        0        1
36203 libopenimageio2.4                  	       0      120        0        1      119
36204 libopenimageio2.4t64               	       0        2        0        0        2
36205 libopenimageio2.5                  	       0       10        0        0       10
36206 libopenipmi-dev                    	       0        1        0        1        0
36207 libopenipmi0                       	       0      119        0        4      115
36208 libopenipmi0t64                    	       0        3        0        0        3
36209 libopeniscsiusr                    	       0       45        8       13       24
36210 libopenjfx-java                    	       0      127        0        0      127
36211 libopenjfx-java-doc                	       0        1        0        0        1
36212 libopenjfx-jni                     	       0      127        2        0      125
36213 libopenjp2-tools                   	       0        3        0        3        0
36214 libopenjp3d-tools                  	       0        1        0        1        0
36215 libopenjp3d7                       	       0        1        0        0        1
36216 libopenjpeg-dev                    	       0        3        0        3        0
36217 libopenjpeg2                       	       0       28        0        0       28
36218 libopenjpeg5                       	       0      104        0        2      102
36219 libopenjpip7                       	       0        1        0        0        1
36220 libopenlibm2                       	       0        3        0        0        3
36221 libopenlibm3                       	       0       14        0        0       14
36222 libopenmpi-dev                     	       0      125        0        3      122
36223 libopenmpi1.3                      	       0        1        0        1        0
36224 libopenmpi1.6                      	       0        2        0        2        0
36225 libopenmpi2                        	       0        9        0        0        9
36226 libopenmpi3                        	       0      291        0        1      290
36227 libopenmpi3-dbgsym                 	       0        1        0        1        0
36228 libopenmpi3t64                     	       0       18        0        0       18
36229 libopenmpi40                       	       0       21        0        0       21
36230 libopenmpt-dev                     	       0       15        1       14        0
36231 libopenmpt-modplug-dev             	       0        5        0        5        0
36232 libopenmpt-modplug1                	       0     1622        2       13     1607
36233 libopenmpt0t64                     	       0      238       14       34      190
36234 libopenni-dev                      	       0        3        0        3        0
36235 libopenni-java                     	       0        1        0        0        1
36236 libopenni-sensor-pointclouds-dev   	       0        1        0        0        1
36237 libopenni-sensor-pointclouds0      	       0        4        0        4        0
36238 libopenni0                         	       0        5        0        0        5
36239 libopenni2-dev                     	       0        6        0        6        0
36240 libopenobex1                       	       0        4        0        2        2
36241 libopenobex2                       	       0       38        2       36        0
36242 libopenobex2-dev                   	       0        2        0        2        0
36243 libopenoffice-oodoc-perl           	       0        8        0        8        0
36244 libopenr2-3                        	       0        2        1        1        0
36245 libopenraw-dev                     	       0        1        0        1        0
36246 libopenraw1                        	       0       26        0        0       26
36247 libopenraw1v5                      	       0        1        0        0        1
36248 libopenraw7                        	       0       31        0        2       29
36249 libopenraw7t64                     	       0        3        0        0        3
36250 libopenrawgnome1v5                 	       0        1        0        0        1
36251 libopenrawgnome7                   	       0       30        0        2       28
36252 libopenrawgnome7t64                	       0        3        0        0        3
36253 libopenrazer0                      	       0        1        0        0        1
36254 libopenrefine-arithcode-java       	       0        2        0        0        2
36255 libopenrefine-butterfly-java       	       0        2        0        0        2
36256 libopenrefine-opencsv-java         	       0        2        0        0        2
36257 libopenrefine-vicino-java          	       0        2        0        0        2
36258 libopensc2                         	       0        1        0        1        0
36259 libopenscap25                      	       0        1        0        0        1
36260 libopenscenegraph-3.4-131          	       0        1        0        0        1
36261 libopenscenegraph-dev              	       0       11        0        0       11
36262 libopenscenegraph100v5             	       0        1        0        0        1
36263 libopenscenegraph161               	       0      164        0        0      164
36264 libopenshot-audio10                	       0        2        0        0        2
36265 libopenshot-audio6                 	       0        9        0        0        9
36266 libopenshot-audio7                 	       0       27        0        0       27
36267 libopenshot-audio8                 	       0       58        0        0       58
36268 libopenshot-audio9t64              	       0        4        0        0        4
36269 libopenshot-doc                    	       0        1        0        0        1
36270 libopenshot16                      	       0        9        0        0        9
36271 libopenshot19                      	       0       24        0        0       24
36272 libopenshot21                      	       0       57        0        0       57
36273 libopenshot25t64                   	       0        4        0        0        4
36274 libopenshot27                      	       0        2        0        0        2
36275 libopenslide0                      	       0       22        1        0       21
36276 libopensm9                         	       0        4        1        0        3
36277 libopensmtpd0                      	       0        1        0        1        0
36278 libopenspecfun1                    	       0        1        0        0        1
36279 libopenssl-ruby                    	       0        1        0        0        1
36280 libopenssl0.9.8                    	       0        1        0        1        0
36281 libopensync0                       	       0       12        0       12        0
36282 libopentest4j-java                 	       0        7        0        0        7
36283 libopentest4j-reporting-java       	       0        7        0        0        7
36284 libopenthreads-dev                 	       0       13        0        0       13
36285 libopenthreads20                   	       0        5        0        0        5
36286 libopenthreads21                   	       0      165        0        0      165
36287 libopentk-cil-dev                  	       0        1        0        1        0
36288 libopentk1.1-cil                   	       0        3        0        3        0
36289 libopentracing-c-wrapper0          	       0        9        3        6        0
36290 libopentracing1                    	       0        9        3        6        0
36291 libopenturns-dev                   	       0        1        0        1        0
36292 libopenturns0.21                   	       0        3        0        0        3
36293 libopenvas9                        	       0        1        0        0        1
36294 libopenvdb-dev                     	       0        4        1        3        0
36295 libopenvdb-tools                   	       0        1        0        1        0
36296 libopenvdb10.0                     	       0      126        0        3      123
36297 libopenvdb10.0t64                  	       0       13        0        0       13
36298 libopenvdb3.2                      	       0        8        0        0        8
36299 libopenvdb5.2                      	       0       13        0        0       13
36300 libopenvdb7.1                      	       0       33        0        0       33
36301 libopenvdb9.1                      	       0        2        0        0        2
36302 libopenvg1-mesa                    	       0       36        0        0       36
36303 libopenvg1-mesa-dev                	       0        1        0        1        0
36304 libopenvlbi-doc                    	       0        1        0        0        1
36305 libopenvr-api1                     	       0        2        0        0        2
36306 libopenvr-dev                      	       0        2        0        2        0
36307 libopenxr-dev                      	       0        3        0        3        0
36308 libopenxr-loader1                  	       0       14        0        0       14
36309 libopenxr-utils                    	       0        1        0        1        0
36310 liboping-dev                       	       0        1        0        1        0
36311 liboping0                          	       0       23        0        1       22
36312 libopm-models-doc                  	       0        1        0        0        1
36313 libopm-simulators-doc              	       0        1        0        0        1
36314 libopts25                          	       0      550       51      178      321
36315 libopus-doc                        	       0        2        0        0        2
36316 libopus-ocaml                      	       0        2        0        2        0
36317 libopus-ocaml-dev                  	       0        1        0        1        0
36318 libopusenc0                        	       0       76        1        0       75
36319 libopusfile-dbg                    	       0        1        0        1        0
36320 libopusfile-dev                    	       0       42        1       41        0
36321 libopusfile-doc                    	       0        2        0        0        2
36322 libopusfile0                       	       0     1471        8       11     1452
36323 liborange0                         	       0        2        0        0        2
36324 liborbit-2-0                       	       0      174        0        0      174
36325 liborbit0ldbl                      	       0        1        0        0        1
36326 liborbit2                          	       0       35        0        0       35
36327 liborbit2-dev                      	       0        6        0        6        0
36328 liborc-0.4-doc                     	       0        1        0        0        1
36329 liborcania2.1                      	       0        2        0        0        2
36330 liborcania2.3                      	       0        5        0        0        5
36331 liborcus-0.10-0v5                  	       0        1        0        0        1
36332 liborcus-0.11-0                    	       0       77        0        0       77
36333 liborcus-0.14-0                    	       0      156        0        0      156
36334 liborcus-0.15-0                    	       0        2        0        0        2
36335 liborcus-0.16-0                    	       0      460        3       22      435
36336 liborcus-0.18-0                    	       0      191        3        3      185
36337 liborcus-0.6-0                     	       0        1        0        0        1
36338 liborcus-0.8-0                     	       0       20        0        0       20
36339 liborcus-bin                       	       0        1        0        1        0
36340 liborcus-dev                       	       0        2        0        0        2
36341 liborcus-doc                       	       0        1        0        0        1
36342 liborcus-mso-0.17-0                	       0        1        0        0        1
36343 liborcus-mso-0.18-0                	       0        1        0        0        1
36344 liborcus-parser-0.15-0             	       0        2        0        0        2
36345 liborcus-parser-0.16-0             	       0      460        3       22      435
36346 liborcus-parser-0.18-0             	       0      191        3        3      185
36347 liborcus-spreadsheet-model-0.14-0  	       0        1        0        0        1
36348 liborcus-spreadsheet-model-0.17-0  	       0        1        0        0        1
36349 liborcus-spreadsheet-model-0.18-0  	       0        1        0        0        1
36350 libordered-clojure                 	       0        1        0        0        1
36351 liborigin2-1v5                     	       0        2        0        0        2
36352 liborlite-migrate-perl             	       0        1        0        1        0
36353 liborlite-mirror-perl              	       0        1        0        1        0
36354 liborlite-perl                     	       0        2        0        2        0
36355 liboro-java                        	       0       36        0        0       36
36356 liboro-java-doc                    	       0        1        0        0        1
36357 liborocos-kdl-dev                  	       0        2        0        2        0
36358 liborocos-kdl1.5                   	       0        2        0        0        2
36359 libortp-dev                        	       0        4        0        4        0
36360 libortp13                          	       0       15        0        0       15
36361 libortp15                          	       0        6        0        2        4
36362 libortp16                          	       0       28        1        0       27
36363 libortp5                           	       0        1        0        0        1
36364 libortp7                           	       0        1        0        0        1
36365 libortp8                           	       0        1        0        0        1
36366 libortp9                           	       0       17        0        0       17
36367 liboscache-java                    	       0        1        0        0        1
36368 liboscpack-dev                     	       0        1        0        1        0
36369 liboscpack1                        	       0        5        0        0        5
36370 libosd-dev                         	       0        1        0        1        0
36371 libosdcpu3.4.3                     	       0       32        0        0       32
36372 libosdcpu3.5.0                     	       0      117        0        1      116
36373 libosdcpu3.5.0t64                  	       0        2        0        0        2
36374 libosdcpu3.6.0                     	       0       10        0        0       10
36375 libosdgpu3.4.3                     	       0       32        0        0       32
36376 libosdgpu3.5.0                     	       0      117        0        1      116
36377 libosdgpu3.5.0t64                  	       0        2        0        0        2
36378 libosdgpu3.6.0                     	       0       10        0        0       10
36379 libosgi-annotation-java            	       0       78        0        0       78
36380 libosgi-annotation-java-doc        	       0        7        0        0        7
36381 libosgi-compendium-java            	       0       78        0        0       78
36382 libosgi-compendium-java-doc        	       0        7        0        0        7
36383 libosgi-core-java                  	       0       78        0        0       78
36384 libosgi-core-java-doc              	       0        7        0        0        7
36385 libosgi-foundation-ee-java         	       0        6        0        0        6
36386 libosinfo-1.0-0                    	       0      595        7       14      574
36387 libosinfo-1.0-dev                  	       0        2        0        2        0
36388 libosinfo-l10n                     	       0      441        1        4      436
36389 libosip2-11                        	       0        4        0        0        4
36390 libosip2-15                        	       0        1        0        0        1
36391 libosip2-3deb                      	       0        1        0        0        1
36392 libosip2-4                         	       0        1        0        0        1
36393 libosip2-7                         	       0        2        0        0        2
36394 libosip2-dev                       	       0        1        0        1        0
36395 libosl1v5                          	       0        2        0        0        2
36396 libosmcomp5                        	       0        4        1        0        3
36397 libosmesa6                         	       0      581        0        1      580
36398 libosmgpsmap-1.0-1                 	       0      162        0        0      162
36399 libosmgpsmap-1.0-dev               	       0        3        0        3        0
36400 libosmgpsmap2                      	       0        1        0        0        1
36401 libosmium2-dev                     	       0        2        0        0        2
36402 libosmo-fl2k0                      	       0        3        0        0        3
36403 libosmocodec0                      	       0        2        0        0        2
36404 libosmocodec4                      	       0        1        0        0        1
36405 libosmocoding0                     	       0        3        0        0        3
36406 libosmocore19                      	       0        2        0        0        2
36407 libosmocore22                      	       0        1        0        0        1
36408 libosmogsm18                       	       0        2        0        0        2
36409 libosmogsm20                       	       0        1        0        0        1
36410 libosmoisdn0                       	       0        1        0        0        1
36411 libosmosccp0                       	       0        1        0        0        1
36412 libosmosdr-dev                     	       0        1        0        1        0
36413 libosmpbf-dev                      	       0        1        0        1        0
36414 libosmpbf-java                     	       0       11        0        0       11
36415 libosmpbf1                         	       0        2        0        0        2
36416 libosmvendor5                      	       0        4        1        0        3
36417 libosp-dev                         	       0        6        1        5        0
36418 libosp5                            	       0      331        1        5      325
36419 libosptk-dev                       	       0        1        0        1        0
36420 libosptk4                          	       0        1        0        0        1
36421 libossim1                          	       0        3        0        0        3
36422 libossp-uuid-dev                   	       0        5        0        5        0
36423 libossp-uuid-perl                  	       0      160        0        3      157
36424 libossp-uuid15                     	       0        1        0        0        1
36425 libossp-uuid16                     	       0      222        0        3      219
36426 libostree-dev                      	       0        6        0        6        0
36427 libostyle1c2                       	       0      163        0        0      163
36428 libostyle1t64                      	       0       24        0        0       24
36429 libotb                             	       0        2        0        0        2
36430 libotb-apps                        	       0        2        0        0        2
36431 libotb-dev                         	       0        2        0        2        0
36432 libotbapplicationengine-7.2-1      	       0        1        0        0        1
36433 libotbapplicationengine-8.1-1      	       0        3        0        0        3
36434 libotbcarto-8.1-1                  	       0        2        0        0        2
36435 libotbcommandline-8.1-1            	       0        2        0        0        2
36436 libotbcommon-7.2-1                 	       0        1        0        0        1
36437 libotbcommon-8.1-1                 	       0        3        0        0        3
36438 libotbcurladapters-8.1-1           	       0        2        0        0        2
36439 libotbextendedfilename-7.2-1       	       0        1        0        0        1
36440 libotbextendedfilename-8.1-1       	       0        3        0        0        3
36441 libotbfuzzy-8.1-1                  	       0        2        0        0        2
36442 libotbgdaladapters-7.2-1           	       0        1        0        0        1
36443 libotbgdaladapters-8.1-1           	       0        3        0        0        3
36444 libotbice-8.1-1                    	       0        2        0        0        2
36445 libotbimagebase-7.2-1              	       0        1        0        0        1
36446 libotbimagebase-8.1-1              	       0        3        0        0        3
36447 libotbimageio-7.2-1                	       0        1        0        0        1
36448 libotbimageio-8.1-1                	       0        3        0        0        3
36449 libotbimagemanipulation-8.1-1      	       0        2        0        0        2
36450 libotbiobsq-7.2-1                  	       0        1        0        0        1
36451 libotbiobsq-8.1-1                  	       0        3        0        0        3
36452 libotbiogdal-7.2-1                 	       0        1        0        0        1
36453 libotbiogdal-8.1-1                 	       0        3        0        0        3
36454 libotbiokml-8.1-1                  	       0        2        0        0        2
36455 libotbiolum-7.2-1                  	       0        1        0        0        1
36456 libotbiolum-8.1-1                  	       0        3        0        0        3
36457 libotbiomstar-7.2-1                	       0        1        0        0        1
36458 libotbiomstar-8.1-1                	       0        3        0        0        3
36459 libotbioonera-7.2-1                	       0        1        0        0        1
36460 libotbioonera-8.1-1                	       0        3        0        0        3
36461 libotbiorad-7.2-1                  	       0        1        0        0        1
36462 libotbiorad-8.1-1                  	       0        3        0        0        3
36463 libotblearningbase-8.1-1           	       0        2        0        0        2
36464 libotbmapla-8.1-1                  	       0        2        0        0        2
36465 libotbmathparser-8.1-1             	       0        2        0        0        2
36466 libotbmathparserx-8.1-1            	       0        2        0        0        2
36467 libotbmetadata-7.2-1               	       0        1        0        0        1
36468 libotbmetadata-8.1-1               	       0        3        0        0        3
36469 libotbmonteverdi-8.1-1             	       0        2        0        0        2
36470 libotbmonteverdicore-8.1-1         	       0        2        0        0        2
36471 libotbmonteverdigui-8.1-1          	       0        2        0        0        2
36472 libotbossimadapters-7.2-1          	       0        1        0        0        1
36473 libotbossimplugins-6.6-1           	       0        1        0        0        1
36474 libotbossimplugins-7.2-1           	       0        1        0        0        1
36475 libotbpolarimetry-8.1-1            	       0        2        0        0        2
36476 libotbprojection-8.1-1             	       0        2        0        0        2
36477 libotbqtadapters-8.1-1             	       0        2        0        0        2
36478 libotbqtwidget-8.1-1               	       0        2        0        0        2
36479 libotbsampling-8.1-1               	       0        2        0        0        2
36480 libotbsiftfast-8.1-1               	       0        2        0        0        2
36481 libotbstatistics-8.1-1             	       0        2        0        0        2
36482 libotbstreaming-7.2-1              	       0        1        0        0        1
36483 libotbstreaming-8.1-1              	       0        3        0        0        3
36484 libotbsupervised-8.1-1             	       0        2        0        0        2
36485 libotbtestkernel-8.1-1             	       0        3        0        0        3
36486 libotbtransform-6.6-1              	       0        1        0        0        1
36487 libotbtransform-8.1-1              	       0        3        0        0        3
36488 libotbvectordatabase-7.2-1         	       0        1        0        0        1
36489 libotbvectordatabase-8.1-1         	       0        3        0        0        3
36490 libotbvectordataio-7.2-1           	       0        1        0        0        1
36491 libotbvectordataio-8.1-1           	       0        3        0        0        3
36492 libotbwavelet-8.1-1                	       0        2        0        0        2
36493 libotcl1                           	       0        2        0        0        2
36494 libotf-bin                         	       0        5        0        5        0
36495 libotf-dev                         	       0       10        1        9        0
36496 libotf0                            	       0      110        2        4      104
36497 libotf1                            	       0      312       16       57      239
36498 libotp0-heimdal                    	       0       21        0        0       21
36499 libotp0t64-heimdal                 	       0        1        0        0        1
36500 libotr5                            	       0     1519        7       17     1495
36501 libotr5-bin                        	       0        8        0        8        0
36502 libotr5-dev                        	       0        2        0        2        0
36503 libotr5t64                         	       0      119        0        7      112
36504 libots-dev                         	       0        2        0        2        0
36505 libots0                            	       0      100        0       10       90
36506 libounit-ocaml-dev                 	       0        1        0        1        0
36507 libout123-0                        	       0      240        0        0      240
36508 libout123-0t64                     	       0       30        0        0       30
36509 liboverload-filecheck-perl         	       0        1        0        0        1
36510 libow-3.1-5                        	       0        1        0        0        1
36511 libow-3.2-3                        	       0        5        0        0        5
36512 libow-3.2-4                        	       0        7        0        3        4
36513 libow-3.2-4t64                     	       0        1        0        0        1
36514 libowasp-antisamy-java             	       0        2        0        0        2
36515 libowasp-encoder-java              	       0        2        0        0        2
36516 libowasp-esapi-java                	       0        2        0        0        2
36517 libowcapi-3.1-5                    	       0        1        0        0        1
36518 libowcapi-3.2-3                    	       0        4        0        0        4
36519 libowcapi-3.2-4                    	       0        3        0        0        3
36520 libowcapi-3.2-4t64                 	       0        1        0        0        1
36521 libowfat-dev                       	       0        1        0        1        0
36522 libowfat0                          	       0        2        0        0        2
36523 libowfat0t64                       	       0        1        0        0        1
36524 libowncloudsync0                   	       0       12        1        1       10
36525 libowncloudsync0t64                	       0        1        0        0        1
36526 libowrx-connector                  	       0        1        0        0        1
36527 liboxygenstyle5-6                  	       0        4        1        0        3
36528 liboxygenstyle6-6                  	       0       23        1        2       20
36529 liboxygenstyleconfig5-5            	       0      535        1        5      529
36530 liboxygenstyleconfig6-6            	       0       23        0        0       23
36531 libp11-2                           	       0        3        0        0        3
36532 libp11-3                           	       0        3        0        0        3
36533 libp11-dev                         	       0        3        0        3        0
36534 libp4est-2.2                       	       0        1        0        0        1
36535 libp4est-2.3                       	       0        1        0        0        1
36536 libp4est-dev                       	       0        1        0        1        0
36537 libp4est-sc-2.2                    	       0        1        0        0        1
36538 libp4est-sc-2.3                    	       0        1        0        0        1
36539 libp8-platform-dev                 	       0        2        0        2        0
36540 libp8-platform2                    	       0      109        2       12       95
36541 libpacemaker1                      	       0        2        0        2        0
36542 libpackage-deprecationmanager-perl 	       0      122        4      118        0
36543 libpackage-new-perl                	       0        4        0        4        0
36544 libpackage-stash-xs-perl           	       0     1099        4        5     1090
36545 libpackage-variant-perl            	       0        7        1        6        0
36546 libpackagekit-glib2-14             	       0        2        0        0        2
36547 libpackagekit-glib2-16             	       0        2        0        0        2
36548 libpackagekit-glib2-dev            	       0        2        0        2        0
36549 libpackagekitqt5-0                 	       0       12        0        0       12
36550 libpackagekitqt5-dev               	       0        5        0        5        0
36551 libpackagekitqt6-dev               	       0        1        0        1        0
36552 libpacket-ruby1.9.1                	       0        2        0        0        2
36553 libpacketdump3                     	       0        1        0        1        0
36554 libpadre-plugin-autoformat-perl    	       0        1        0        1        0
36555 libpadre-plugin-datawalker-perl    	       0        1        0        1        0
36556 libpadre-plugin-git-perl           	       0        1        0        1        0
36557 libpadre-plugin-moose-perl         	       0        1        0        1        0
36558 libpadre-plugin-parsertool-perl    	       0        1        0        1        0
36559 libpadre-plugin-pdl-perl           	       0        1        0        1        0
36560 libpadre-plugin-perlcritic-perl    	       0        1        0        1        0
36561 libpadre-plugin-perltidy-perl      	       0        1        0        1        0
36562 libpadre-plugin-snippet-perl       	       0        1        0        1        0
36563 libpadre-plugin-spellcheck-perl    	       0        1        0        1        0
36564 libpadre-plugin-svn-perl           	       0        1        0        1        0
36565 libpadre-plugin-yaml-perl          	       0        1        0        1        0
36566 libpadwalker-perl                  	       0      586        0        0      586
36567 libpagmo8                          	       0        2        0        0        2
36568 libpagmo9                          	       0        1        0        0        1
36569 libpaho-mqtt-dev                   	       0        1        0        1        0
36570 libpaho-mqtt1.3                    	       0        4        0        0        4
36571 libpal-java                        	       0        1        0        0        1
36572 libpalm-pdb-perl                   	       0        7        0        7        0
36573 libpalm-perl                       	       0        7        0        7        0
36574 libpam-afs-session                 	       0        2        1        1        0
36575 libpam-apparmor                    	       0        1        0        1        0
36576 libpam-blue                        	       0        3        0        3        0
36577 libpam-cap                         	       0      672      115      323      234
36578 libpam-ccreds                      	       0        1        0        1        0
36579 libpam-cgfs                        	       0       73       15       37       21
36580 libpam-cgroup                      	       0        6        0        0        6
36581 libpam-chksshpwd                   	       0        1        0        1        0
36582 libpam-chroot                      	       0        1        0        0        1
36583 libpam-ck-connector                	       0       93        6       15       72
36584 libpam-cracklib                    	       0        7        0        1        6
36585 libpam-dbus                        	       0        1        0        1        0
36586 libpam-doc                         	       0       10        0        0       10
36587 libpam-encfs                       	       0        2        0        2        0
36588 libpam-fprintd                     	       0       36        1        8       27
36589 libpam-freerdp2                    	       0        6        0        6        0
36590 libpam-freerdp2-dev                	       0        1        0        1        0
36591 libpam-fscrypt                     	       0        1        1        0        0
36592 libpam-google-authenticator        	       0       28        0       28        0
36593 libpam-heimdal                     	       0        3        0        1        2
36594 libpam-krb5                        	       0       18        2       14        2
36595 libpam-krb5-migrate-heimdal        	       0        1        0        0        1
36596 libpam-krb5-migrate-mit            	       0        1        0        0        1
36597 libpam-ldap                        	       0        9        1        1        7
36598 libpam-ldapd                       	       0       32       11       20        1
36599 libpam-mount                       	       0       19        2       12        5
36600 libpam-mysql                       	       0        1        0        0        1
36601 libpam-net                         	       0        2        0        0        2
36602 libpam-oath                        	       0        4        0        0        4
36603 libpam-opie                        	       0        1        0        1        0
36604 libpam-passwdqc                    	       0        4        1        1        2
36605 libpam-pkcs11                      	       0        4        0        4        0
36606 libpam-poldi                       	       0        2        0        1        1
36607 libpam-pwdfile                     	       0        3        0        0        3
36608 libpam-python                      	       0        9        0        6        3
36609 libpam-python-doc                  	       0        1        0        0        1
36610 libpam-radius-auth                 	       0       16        0        0       16
36611 libpam-shield                      	       0        3        1        2        0
36612 libpam-snapper                     	       0        1        1        0        0
36613 libpam-ssh                         	       0       13        2        8        3
36614 libpam-ssh-agent-auth              	       0        3        1        0        2
36615 libpam-systemd                     	       0        2        0        0        2
36616 libpam-tmpdir                      	       0       43        8       35        0
36617 libpam-u2f                         	       0        8        0        2        6
36618 libpam-unix2                       	       0        2        1        1        0
36619 libpam-winbind                     	       0       56       16       28       12
36620 libpam-x2go-dev                    	       0        1        0        1        0
36621 libpam-yubico                      	       0        4        1        3        0
36622 libpam-zfs                         	       0        1        0        1        0
36623 libpam0t64                         	       0       15        5       10        0
36624 libpandoc-elements-perl            	       0        3        0        3        0
36625 libpandoc-wrapper-perl             	       0        3        0        3        0
36626 libpanel-1-1                       	       0        2        0        0        2
36627 libpanel-applet-4-0                	       0        5        0        0        5
36628 libpanel-applet0                   	       0        6        0        0        6
36629 libpanel-applet2-0                 	       0        1        0        0        1
36630 libpanel-applet3                   	       0        2        0        0        2
36631 libpanel-common                    	       0        2        0        0        2
36632 libpanel-dev                       	       0        1        0        1        0
36633 libpanel-doc                       	       0        1        0        0        1
36634 libpango-perl                      	       0      286        0        0      286
36635 libpango1-ruby                     	       0        1        0        0        1
36636 libpango1-ruby1.8                  	       0        2        0        1        1
36637 libpango1.0-0                      	       0      207        0        0      207
36638 libpango1.0-doc                    	       0       75        0        0       75
36639 libpango3.0-cil                    	       0       60        0        0       60
36640 libpangomm-1.4-1                   	       0       10        0        1        9
36641 libpangomm-1.4-dev                 	       0       50        1       49        0
36642 libpangomm-2.48-1                  	       0       11        0        0       11
36643 libpangomm-2.48-1t64               	       0      159        1        7      151
36644 libpangomm-2.48-dev                	       0        8        0        8        0
36645 libpangox-1.0-0                    	       0      283        0        3      280
36646 libpangox-1.0-dev                  	       0        4        0        4        0
36647 libpano13-3                        	       0       51        0        0       51
36648 libpano13-3t64                     	       0        2        0        0        2
36649 libpantomime1.3                    	       0        2        0        2        0
36650 libpaperclips-java                 	       0        4        0        0        4
36651 libpapi5                           	       0        1        0        0        1
36652 libpapi6.0                         	       0        1        0        0        1
36653 libpappl-dev                       	       0        1        0        1        0
36654 libpappl1                          	       0        2        0        0        2
36655 libpappl1t64                       	       0        1        0        0        1
36656 libpaps0                           	       0        9        0        0        9
36657 libpaq-dev                         	       0        1        0        1        0
36658 libpaq0                            	       0        3        0        0        3
36659 libpar-dist-perl                   	       0        7        0        7        0
36660 libpar-packer-perl                 	       0        2        0        2        0
36661 libpar-perl                        	       0        2        0        2        0
36662 libparagui1.0c2a                   	       0        1        0        0        1
36663 libparams-classify-perl            	       0     1263        2        0     1261
36664 libparams-util-perl                	       0     1195       15       46     1134
36665 libparams-validate-perl            	       0      430        1        5      424
36666 libparanamer-java                  	       0        6        0        0        6
36667 libparanamer-maven-plugin-java     	       0        1        0        0        1
36668 libparboiled-java                  	       0        6        0        0        6
36669 libpari-dev                        	       0       12        1       11        0
36670 libpari-gmp-tls6                   	       0        1        0        0        1
36671 libpari-gmp-tls7                   	       0        1        0        0        1
36672 libpari-gmp-tls8                   	       0       15        0        0       15
36673 libpari-gmp-tls8t64                	       0        1        0        0        1
36674 libpari-gmp-tls9                   	       0        5        0        0        5
36675 libparistraceroute1                	       0        4        0        0        4
36676 libparlatype5                      	       0        2        0        0        2
36677 libparlatype7                      	       0        1        0        0        1
36678 libparmap-ocaml                    	       0       10        0        9        1
36679 libparmetis4.0                     	       0        1        0        0        1
36680 libparpack2                        	       0        4        0        0        4
36681 libparpack2-dev                    	       0        3        0        0        3
36682 libparse-ansicolor-tiny-perl       	       0        1        0        1        0
36683 libparse-binary-perl               	       0        1        0        1        0
36684 libparse-debcontrol-perl           	       0       45        0       45        0
36685 libparse-debian-packages-perl      	       0       13        1       12        0
36686 libparse-dia-sql-perl              	       0        1        0        1        0
36687 libparse-distname-perl             	       0        1        0        1        0
36688 libparse-errorstring-perl-perl     	       0        1        0        1        0
36689 libparse-exuberantctags-perl       	       0        1        0        0        1
36690 libparse-mediawikidump-perl        	       0        1        0        1        0
36691 libparse-method-signatures-perl    	       0        3        0        3        0
36692 libparse-netstat-perl              	       0        1        0        1        0
36693 libparse-pidl-perl                 	       0        1        0        1        0
36694 libparse-pmfile-perl               	       0       41        0       41        0
36695 libparse-syslog-perl               	       0       23        0       23        0
36696 libparse-win32registry-perl        	       0       17        0       17        0
36697 libparser-mgc-perl                 	       0        2        0        2        0
36698 libparted-fs-resize0t64            	       0       92        3        0       89
36699 libparted-i18n                     	       0        9        0        0        9
36700 libparted0-dev                     	       0        1        0        0        1
36701 libparted0-i18n                    	       0        1        0        0        1
36702 libparted0debian1                  	       0       15        0        0       15
36703 libparted2t64                      	       0      237        3        0      234
36704 libparu0                           	       0        1        0        0        1
36705 libparu1                           	       0        2        0        0        2
36706 libpasastro                        	       0        1        0        0        1
36707 libpasswdqc0                       	       0        2        0        0        2
36708 libpasswdqc1                       	       0        6        1        1        4
36709 libpath-class-file-stat-perl       	       0        1        0        1        0
36710 libpath-class-perl                 	       0       45        2       43        0
36711 libpath-utils1                     	       0       14        0        7        7
36712 libpathplan4                       	       0     1737        0        0     1737
36713 libpbbam1.6.0                      	       0        1        0        0        1
36714 libpbbam1.7.0                      	       0        1        0        0        1
36715 libpbbam2.3.0                      	       0        1        0        0        1
36716 libpbcopper1.8.0                   	       0        1        0        0        1
36717 libpbcopper1.9.3                   	       0        1        0        0        1
36718 libpbcopper2.0.0                   	       0        1        0        0        1
36719 libpbcopper2.2.0                   	       0        1        0        0        1
36720 libpbkdf2-tiny-perl                	       0        3        0        3        0
36721 libpbseq                           	       0        1        0        0        1
36722 libpcap-dev                        	       0       73        0        0       73
36723 libpcap0.7                         	       0        2        0        0        2
36724 libpcapnav0                        	       0        1        0        0        1
36725 libpcapnav0-dev                    	       0        1        0        1        0
36726 libpcaudio-dev                     	       0        3        0        3        0
36727 libpcc-dev                         	       0        5        0        0        5
36728 libpcg-cpp-dev                     	       0        1        0        0        1
36729 libpci-dev                         	       0       94        2       92        0
36730 libpcl-apps1.13                    	       0        1        0        0        1
36731 libpcl-common1.13                  	       0        1        0        0        1
36732 libpcl-dev                         	       0        1        0        1        0
36733 libpcl-features1.13                	       0        1        0        0        1
36734 libpcl-filters1.13                 	       0        1        0        0        1
36735 libpcl-io1.13                      	       0        1        0        0        1
36736 libpcl-kdtree1.13                  	       0        1        0        0        1
36737 libpcl-keypoints1.13               	       0        1        0        0        1
36738 libpcl-ml1.13                      	       0        1        0        0        1
36739 libpcl-msgs-dev                    	       0        1        0        1        0
36740 libpcl-octree1.13                  	       0        1        0        0        1
36741 libpcl-outofcore1.13               	       0        1        0        0        1
36742 libpcl-people1.13                  	       0        1        0        0        1
36743 libpcl-recognition1.13             	       0        1        0        0        1
36744 libpcl-registration1.13            	       0        1        0        0        1
36745 libpcl-sample-consensus1.13        	       0        1        0        0        1
36746 libpcl-search1.13                  	       0        1        0        0        1
36747 libpcl-segmentation1.13            	       0        1        0        0        1
36748 libpcl-stereo1.13                  	       0        1        0        0        1
36749 libpcl-surface1.13                 	       0        1        0        0        1
36750 libpcl-tracking1.13                	       0        1        0        0        1
36751 libpcl-visualization1.13           	       0        1        0        0        1
36752 libpcp-archive1                    	       0        5        0        0        5
36753 libpcp-gui2                        	       0        6        0        0        6
36754 libpcp-import1                     	       0        7        0        0        7
36755 libpcp-import1-dev                 	       0        1        0        1        0
36756 libpcp-mmv1                        	       0        6        0        2        4
36757 libpcp-pmda-perl                   	       0        5        0        0        5
36758 libpcp-pmda3                       	       0        7        0        2        5
36759 libpcp-pmda3-dev                   	       0        1        0        1        0
36760 libpcp-trace2                      	       0        6        0        0        6
36761 libpcp-web1                        	       0        6        0        2        4
36762 libpcp3                            	       0        7        0        2        5
36763 libpcp3-dev                        	       0        1        0        1        0
36764 libpcre-ocaml                      	       0        4        0        4        0
36765 libpcre-ocaml-dev                  	       0        3        0        3        0
36766 libpcre16-3                        	       0      438        0        0      438
36767 libpcre2-posix0                    	       0        3        0        0        3
36768 libpcre2-posix2                    	       0      162        0        0      162
36769 libpcre3-dbg                       	       0        1        0        1        0
36770 libpcre32-3                        	       0      381        0        0      381
36771 libpcrecpp0                        	       0       10        0        0       10
36772 libpcrecpp0v5                      	       0      550        4       13      533
36773 libpcsc-perl                       	       0       51        0        0       51
36774 libpcsclite-dev                    	       0       36        1       35        0
36775 libpdal-base12                     	       0       13        0        0       13
36776 libpdal-base13                     	       0        2        0        0        2
36777 libpdal-base7                      	       0        2        0        0        2
36778 libpdal-plugin-draco               	       0        1        0        0        1
36779 libpdal-plugin-e57                 	       0       13        0        0       13
36780 libpdal-plugin-faux                	       0       14        0        0       14
36781 libpdal-plugin-greyhound           	       0        2        0        0        2
36782 libpdal-plugin-hdf                 	       0       12        0        0       12
36783 libpdal-plugin-i3s                 	       0       13        0        0       13
36784 libpdal-plugin-icebridge           	       0       14        0        0       14
36785 libpdal-plugin-pgpointcloud        	       0       14        0        0       14
36786 libpdal-plugin-python              	       0        2        0        0        2
36787 libpdal-plugin-sqlite              	       0        2        0        0        2
36788 libpdal-plugins                    	       0       14        0        0       14
36789 libpdal-util12                     	       0       13        0        0       13
36790 libpdal-util13                     	       0        2        0        0        2
36791 libpdal-util7                      	       0        3        0        0        3
36792 libpdf-api2-perl                   	       0       47        1       46        0
36793 libpdf-api2-simple-perl            	       0        1        0        1        0
36794 libpdf-api2-xs-perl                	       0       33        0        0       33
36795 libpdf-builder-perl                	       0       47        1       46        0
36796 libpdf-create-perl                 	       0        4        0        4        0
36797 libpdf-fdf-simple-perl             	       0        1        0        1        0
36798 libpdf-fromhtml-perl               	       0        3        0        3        0
36799 libpdf-report-perl                 	       0        2        0        2        0
36800 libpdf-reuse-barcode-perl          	       0        3        0        3        0
36801 libpdf-reuse-perl                  	       0        3        0        3        0
36802 libpdf-table-perl                  	       0        3        0        3        0
36803 libpdf-writer-perl                 	       0        3        0        3        0
36804 libpdfbox-graphics2d-java          	       0        2        0        0        2
36805 libpdfbox-java                     	       0      412        0        0      412
36806 libpdfbox-java-doc                 	       0        3        0        0        3
36807 libpdfbox2-java                    	       0        2        0        0        2
36808 libpdfcook-dev                     	       0        1        0        1        0
36809 libpdfcook0                        	       0        1        0        0        1
36810 libpdfrenderer-java                	       0        5        0        0        5
36811 libpdl-fit-perl                    	       0        1        0        0        1
36812 libpdl-graphics-trid-perl          	       0        1        0        0        1
36813 libpdl-gsl-perl                    	       0        1        0        0        1
36814 libpdl-io-gd-perl                  	       0        1        0        0        1
36815 libpdl-io-hdf-perl                 	       0        1        0        0        1
36816 libpdl-transform-proj4-perl        	       0        1        0        0        1
36817 libpe-rules2                       	       0        4        0        0        4
36818 libpe-rules26                      	       0        2        0        2        0
36819 libpe-status10                     	       0        4        0        0        4
36820 libpe-status28                     	       0        2        0        2        0
36821 libpe1t64                          	       0        2        0        0        2
36822 libpeas-1.0-python2loader          	       0       46        0        0       46
36823 libpeas-2-0                        	       0        1        1        0        0
36824 libpeas-2-common                   	       0        2        0        0        2
36825 libpeas-common                     	       0     1100        0        1     1099
36826 libpeas-dev                        	       0       13        1       12        0
36827 libpeas-doc                        	       0        1        0        1        0
36828 libpegdown-java                    	       0        6        0        0        6
36829 libpegex-perl                      	       0       30        0       30        0
36830 libpengine10                       	       0        4        0        0        4
36831 libpentaho-reporting-flow-engine-java	       0      741        0        0      741
36832 libpentaho-reporting-flow-engine-java-doc	       0        6        0        0        6
36833 libpeony-extension1                	       0        1        0        0        1
36834 libpeony3                          	       0        6        0        0        6
36835 libperconaserverclient20           	       0        3        0        0        3
36836 libperconaserverclient20-dev       	       0        2        0        2        0
36837 libperl-destruct-level-perl        	       0        1        0        0        1
36838 libperl-dev                        	       0       64        0        0       64
36839 libperl-minimumversion-perl        	       0        1        0        1        0
36840 libperl-prereqscanner-notquitelite-perl	       0        1        0        1        0
36841 libperl5.20                        	       0       31        0        1       30
36842 libperl5.24                        	       0      179       51      128        0
36843 libperl5.30                        	       0        9        1        8        0
36844 libperl5.34                        	       0       18        1       17        0
36845 libperl5.38                        	       0        7        0        7        0
36846 libperl5.38t64                     	       0      109        6      103        0
36847 libperl6-export-attrs-perl         	       0        1        0        1        0
36848 libperl6-export-perl               	       0        3        0        3        0
36849 libperl6-slurp-perl                	       0        3        0        3        0
36850 libperldoc-search-perl             	       0        3        0        3        0
36851 libperlio-eol-perl                 	       0        1        0        0        1
36852 libperlio-gzip-perl                	       0      699        0        0      699
36853 libperlio-layers-perl              	       0        2        0        0        2
36854 libperlio-utf8-strict-perl         	       0      639        1        1      637
36855 libperlio-via-symlink-perl         	       0        1        0        1        0
36856 libperlx-maybe-perl                	       0        6        1        5        0
36857 libperlx-maybe-xs-perl             	       0        5        0        0        5
36858 libpetal-perl                      	       0        1        1        0        0
36859 libpetal-utils-perl                	       0        1        1        0        0
36860 libpetsc-real3.15                  	       0        1        0        0        1
36861 libpetsc-real3.16                  	       0        1        0        0        1
36862 libpetsc-real3.18                  	       0       54        0        0       54
36863 libpetsc-real3.18-dev              	       0        1        0        0        1
36864 libpetsc-real3.20                  	       0        1        0        0        1
36865 libpetsc3.18-dev-common            	       0        1        0        1        0
36866 libpetsc3.18-dev-examples          	       0        1        0        1        0
36867 libpff1                            	       0       28        0        0       28
36868 libpfm4                            	       0      417        0        0      417
36869 libpfm4-dev                        	       0        1        0        1        0
36870 libpfs-1.2-0                       	       0        1        0        0        1
36871 libpfs-dev                         	       0        1        0        1        0
36872 libpfs2                            	       0        3        0        0        3
36873 libpg-java                         	       0        1        0        0        1
36874 libpgf-dev                         	       0        1        0        1        0
36875 libpgf6                            	       0        5        0        0        5
36876 libpgf7                            	       0        1        0        0        1
36877 libpgm-5.1-0                       	       0       13        0        0       13
36878 libpgm-5.2-0                       	       0      264        0        0      264
36879 libpgm-5.3-0t64                    	       0      229       14       33      182
36880 libpgm-dev                         	       0       44        0       44        0
36881 libpgocaml-ocaml                   	       0        1        0        1        0
36882 libpgocaml-ocaml-dev               	       0        1        0        1        0
36883 libpgp-sign-perl                   	       0        1        0        1        0
36884 libpgplot0                         	       0        6        0        0        6
36885 libpgpool2                         	       0        2        0        0        2
36886 libpgraphutil-smlnj                	       0        1        0        0        1
36887 libpgsbox5                         	       0        1        0        0        1
36888 libpgsbox7                         	       0        5        0        0        5
36889 libpgsbox8                         	       0        2        0        0        2
36890 libpgsql-ruby1.9.1                 	       0        1        0        0        1
36891 libpgtcl                           	       0        7        0        0        7
36892 libpgtcl-dev                       	       0        1        0        1        0
36893 libpgtcl1.5                        	       0        1        0        1        0
36894 libpgtypes3                        	       0        9        0        0        9
36895 libphat-dev                        	       0        1        0        1        0
36896 libphidget22                       	       0        1        0        1        0
36897 libphobos-4.9-dev                  	       0        2        0        0        2
36898 libphobos-dev                      	       0        1        0        0        1
36899 libphobos2-ldc-shared-dev          	       0       13        0        0       13
36900 libphobos2-ldc-shared100           	       0       39        1        3       35
36901 libphobos2-ldc-shared105           	       0        1        0        0        1
36902 libphobos2-ldc-shared106           	       0        1        0        0        1
36903 libphobos2-ldc-shared110           	       0        1        0        0        1
36904 libphobos2-ldc-shared90            	       0        1        0        0        1
36905 libphobos2-ldc-shared94            	       0       16        0        1       15
36906 libphobos2-ldc72                   	       0        1        0        0        1
36907 libphodav-2.0-0                    	       0      155        3        8      144
36908 libphodav-2.0-common               	       0      155        0        0      155
36909 libphodav-2.0-dev                  	       0        1        0        1        0
36910 libphodav-3.0-0                    	       0      320        4        9      307
36911 libphodav-3.0-common               	       0      320        0        0      320
36912 libphodav-3.0-dev                  	       0        1        0        1        0
36913 libphone-utils0                    	       0        1        0        0        1
36914 libphonenumber6                    	       0        3        0        0        3
36915 libphonenumber7                    	       0       39        0        1       38
36916 libphonon-dev                      	       0        1        0        1        0
36917 libphonon-l10n                     	       0       75        7       15       53
36918 libphonon4                         	       0       59        1        0       58
36919 libphonon4qt5-4t64                 	       0       58        1       17       40
36920 libphonon4qt5-dev                  	       0       10        0       10        0
36921 libphonon4qt5experimental-dev      	       0        5        0        4        1
36922 libphonon4qt5experimental4         	       0       43        0        0       43
36923 libphonon4qt5experimental4t64      	       0        5        0        0        5
36924 libphonon4qt6experimental4t64      	       0        3        0        0        3
36925 libphononexperimental4             	       0        6        0        0        6
36926 libphp-adodb                       	       0       10        1        9        0
36927 libphp-embed                       	       0        4        0        0        4
36928 libphp-phpmailer                   	       0       19        2       17        0
36929 libphp-serialization-perl          	       0       10        0       10        0
36930 libphp-simplepie                   	       0        8        0        8        0
36931 libphp-snoopy                      	       0        1        0        1        0
36932 libphp8.2-embed                    	       0        4        0        4        0
36933 libphysfs-dev                      	       0       20        1       19        0
36934 libphysfs1                         	       0      130        0        0      130
36935 libpiano0                          	       0        2        0        0        2
36936 libpicard-java                     	       0        5        0        0        5
36937 libpiccolo-java                    	       0        1        0        0        1
36938 libpicocli-java                    	       0       19        0        0       19
36939 libpicocontainer-java              	       0        4        0        0        4
36940 libpicocv                          	       0        3        0        0        3
36941 libpicoipp                         	       0        4        0        0        4
36942 libpigment0.3-11                   	       0        1        0        1        0
36943 libpigpio-dev                      	       0        1        0        1        0
36944 libpigpio1                         	       0        1        0        0        1
36945 libpigpiod-if-dev                  	       0        2        0        2        0
36946 libpigpiod-if1                     	       0        2        0        0        2
36947 libpigpiod-if2-1                   	       0        2        0        0        2
36948 libpillowfight                     	       0        1        0        0        1
36949 libpillowfight-dev                 	       0        1        0        1        0
36950 libpillowfight0                    	       0        1        0        0        1
36951 libpils2                           	       0        9        0        2        7
36952 libpils2-dev                       	       0        1        0        1        0
36953 libpimcommon-data                  	       0        8        0        0        8
36954 libpimcommon4                      	       0        4        0        1        3
36955 libpinyin-data                     	       0        7        0        1        6
36956 libpinyin13                        	       0        1        0        0        1
36957 libpinyin15                        	       0        6        0        1        5
36958 libpipeline-dev                    	       0        3        1        2        0
36959 libpipeline1                       	       0     4128        2       22     4104
36960 libpipewire-0.2-1                  	       0       45        0        2       43
36961 libpipewire-0.2-dev                	       0        1        0        1        0
36962 libpipewire-0.2-doc                	       0        1        0        0        1
36963 libpipewire-0.3-modules-x11        	       0        5        0        3        2
36964 libpipewire-0.3-modules-xrdp       	       0        9        0        0        9
36965 libpisock9                         	       0       18        0       18        0
36966 libpisync1                         	       0        1        0        0        1
36967 libpithub-perl                     	       0        1        0        1        0
36968 libpixelif-common                  	       0        5        0        0        5
36969 libpixelif-dev                     	       0        1        0        1        0
36970 libpixelmed-imageio-java           	       0        1        0        0        1
36971 libpixelmed-java                   	       0        2        0        0        2
36972 libpixie-java                      	       0      740        0        0      740
36973 libpixman1                         	       0        1        0        0        1
36974 libpj2                             	       0        4        0        0        4
36975 libpjlib-util2                     	       0        4        0        0        4
36976 libpjmedia-audiodev2               	       0        4        0        0        4
36977 libpjmedia-codec2                  	       0        4        0        0        4
36978 libpjmedia-videodev2               	       0        3        0        0        3
36979 libpjmedia2                        	       0        4        0        0        4
36980 libpjnath2                         	       0        4        0        0        4
36981 libpjsip-simple2                   	       0        3        0        0        3
36982 libpjsip-ua2                       	       0        3        0        0        3
36983 libpjsip2                          	       0        3        0        0        3
36984 libpjsua2                          	       0        3        0        0        3
36985 libpjsua2-2v5                      	       0        2        0        0        2
36986 libpkcs11-dnie                     	       0        6        1        4        1
36987 libpkcs11-fnmtdnie                 	       0        4        0        1        3
36988 libpkcs11-helper1-dev              	       0        4        0        4        0
36989 libpkgconf3                        	       0     1033        0        0     1033
36990 libpl1000                          	       0        1        0        1        0
36991 libplacebo-dev                     	       0       27        1       26        0
36992 libplacebo120                      	       0       22        0        0       22
36993 libplacebo157                      	       0        4        0        0        4
36994 libplacebo192                      	       0       11        0        0       11
36995 libplacebo2                        	       0        9        0        0        9
36996 libplacebo264                      	       0       28        0        4       24
36997 libplacebo292                      	       0       13        0        2       11
36998 libplacebo338                      	       0       43        1        3       39
36999 libplacebo349                      	       0      210       12       28      170
37000 libplacebo7                        	       0      111        0        0      111
37001 libplacebo72                       	       0      308        1        4      303
37002 libplack-middleware-debug-perl     	       0        1        0        1        0
37003 libplack-middleware-fixmissingbodyinredirect-perl	       0        6        1        5        0
37004 libplack-middleware-logwarn-perl   	       0        2        1        1        0
37005 libplack-middleware-methodoverride-perl	       0        3        1        2        0
37006 libplack-middleware-removeredundantbody-perl	       0        3        1        2        0
37007 libplack-middleware-reverseproxy-perl	       0        5        2        3        0
37008 libplack-middleware-session-perl   	       0        6        0        6        0
37009 libplack-perl                      	       0       18        2       16        0
37010 libplack-test-externalserver-perl  	       0        3        1        2        0
37011 libplanarity-dev                   	       0        8        0        8        0
37012 libplanarity0                      	       0       11        0        0       11
37013 libplank-common                    	       0       51        2       19       30
37014 libplasma-geolocation-interface4   	       0        6        0        0        6
37015 libplasma-geolocation-interface6   	       0       26        0        0       26
37016 libplasma3                         	       0       44        1        1       42
37017 libplasma5support-data             	       0       26        0        0       26
37018 libplasmaclock4abi4                	       0        6        0        1        5
37019 libplasmagenericshell4             	       0        5        0        1        4
37020 libplayerctl-dev                   	       0        2        0        2        0
37021 libplayerctl2                      	       0       29        1        2       26
37022 libplb-dev                         	       0        1        0        1        0
37023 libplb1                            	       0        1        0        0        1
37024 libplexus-ant-factory-java         	       0       13        0        0       13
37025 libplexus-archiver-java            	       0      373        0        0      373
37026 libplexus-bsh-factory-java         	       0       13        0        0       13
37027 libplexus-build-api-java           	       0       10        0        0       10
37028 libplexus-cipher-java              	       0      466        0        0      466
37029 libplexus-cipher-java-doc          	       0        1        0        0        1
37030 libplexus-classworlds-java         	       0      467        0        0      467
37031 libplexus-classworlds-java-doc     	       0        1        0        0        1
37032 libplexus-classworlds2-java        	       0        5        0        0        5
37033 libplexus-classworlds2-java-doc    	       0        1        0        0        1
37034 libplexus-cli-java                 	       0        6        0        0        6
37035 libplexus-cli-java-doc             	       0        2        0        0        2
37036 libplexus-compiler-java            	       0       25        0        0       25
37037 libplexus-component-annotations-java	       0      466        0        0      466
37038 libplexus-component-metadata-java  	       0        6        0        0        6
37039 libplexus-container-default-java   	       0       71        0        0       71
37040 libplexus-container-default-java-doc	       0        2        0        0        2
37041 libplexus-container-default1.5-java	       0       18        0        0       18
37042 libplexus-containers-java          	       0        2        0        0        2
37043 libplexus-containers-java-doc      	       0        1        0        0        1
37044 libplexus-containers1.5-java       	       0        2        0        0        2
37045 libplexus-digest-java              	       0        3        0        0        3
37046 libplexus-i18n-java                	       0       11        0        0       11
37047 libplexus-interactivity-api-java   	       0       10        0        0       10
37048 libplexus-interactivity-api-java-doc	       0        2        0        0        2
37049 libplexus-interpolation-java       	       0      466        0        0      466
37050 libplexus-interpolation-java-doc   	       0        1        0        0        1
37051 libplexus-io-java                  	       0      373        0        0      373
37052 libplexus-languages-java           	       0       25        0        0       25
37053 libplexus-maven-plugin-java-doc    	       0        1        0        0        1
37054 libplexus-resources-java           	       0        2        0        0        2
37055 libplexus-sec-dispatcher-java      	       0      465        0        0      465
37056 libplexus-sec-dispatcher-java-doc  	       0        1        0        0        1
37057 libplexus-testing-java             	       0        1        0        0        1
37058 libplexus-utils-java               	       0        2        0        0        2
37059 libplexus-utils-java-doc           	       0        2        0        0        2
37060 libplexus-utils2-java              	       0      467        0        0      467
37061 libplexus-utils2-java-doc          	       0        3        0        0        3
37062 libplexus-velocity-java            	       0       11        0        0       11
37063 libplexus-xml-java                 	       0        3        0        0        3
37064 libplfit0                          	       0        1        0        0        1
37065 libplib-dev                        	       0        2        0        2        0
37066 libplib1                           	       0       38        0        0       38
37067 libplib1t64                        	       0        6        0        0        6
37068 libplist++-2.0-4                   	       0        2        0        0        2
37069 libplist++-dev                     	       0        4        0        4        0
37070 libplist++3v5                      	       0        4        0        0        4
37071 libplist-dev                       	       0       28        1       27        0
37072 libplist-doc                       	       0        1        0        0        1
37073 libplist-utils                     	       0        5        0        5        0
37074 libplist1                          	       0        9        0        0        9
37075 libplist2                          	       0       32        0        2       30
37076 libpload-dev                       	       0        1        0        1        0
37077 libpload4                          	       0        1        0        0        1
37078 libploop1                          	       0        1        0        0        1
37079 libplot-dev                        	       0        4        0        4        0
37080 libplot2c2                         	       0      270        0        0      270
37081 libplplot-data                     	       0        9        0        0        9
37082 libplplot-dev                      	       0        3        0        3        0
37083 libplplot-lua                      	       0        4        0        0        4
37084 libplplot-ocaml                    	       0        3        0        3        0
37085 libplplot16                        	       0        1        0        0        1
37086 libplplot17                        	       0        9        0        0        9
37087 libplplotcxx14                     	       0        1        0        0        1
37088 libplplotcxx15                     	       0        6        0        0        6
37089 libplplotfortran0                  	       0        3        0        0        3
37090 libplplotqt2                       	       0        5        0        0        5
37091 libplplotwxwidgets1                	       0        5        0        0        5
37092 libplrpc-perl                      	       0        8        0        8        0
37093 libplucene-perl                    	       0        2        0        2        0
37094 libplumb2                          	       0        9        0        0        9
37095 libplumb2-dev                      	       0        1        0        1        0
37096 libplumbgpl2                       	       0        9        0        0        9
37097 libplumbgpl2-dev                   	       0        1        0        0        1
37098 libpluto-jpl-eph-dev               	       0        2        0        2        0
37099 libpluto-lunar-dev                 	       0        2        0        2        0
37100 libplymouth-dev                    	       0        3        0        3        0
37101 libplymouth4                       	       0        4        0        0        4
37102 libplymouth5                       	       0       46        1        0       45
37103 libpm3                             	       0        1        0        1        0
37104 libpm3-extra                       	       0        1        0        1        0
37105 libpmem-dev                        	       0        4        1        3        0
37106 libpmemblk1                        	       0       58        0        0       58
37107 libpmix-dev                        	       0      109        0        0      109
37108 libpmix2                           	       0      291        0        1      290
37109 libpmix2t64                        	       0       39        0        0       39
37110 libpng                             	       0        1        0        1        0
37111 libpng++-dev                       	       0       16        0        0       16
37112 libpng-sixlegs-java                	       0        2        0        0        2
37113 libpng-sixlegs-java-doc            	       0        1        0        0        1
37114 libpng12-0                         	       0      183        1        4      178
37115 libpng12-dev                       	       0       24        2       22        0
37116 libpng3                            	       0        1        0        0        1
37117 libpnglite-dev                     	       0        6        0        6        0
37118 libpnglite0                        	       0       19        0        0       19
37119 libpocketsphinx-dev                	       0        6        0        6        0
37120 libpocl-dev                        	       0        2        0        2        0
37121 libpocl1                           	       0        1        0        0        1
37122 libpocl1-common                    	       0        1        0        1        0
37123 libpocl2                           	       0       48        0        0       48
37124 libpocl2t64                        	       0        5        0        0        5
37125 libpoco-dev                        	       0        9        0        9        0
37126 libpocoactiverecord100             	       0        1        0        0        1
37127 libpocoactiverecord80              	       0        5        0        0        5
37128 libpococrypto100                   	       0        1        0        0        1
37129 libpococrypto70                    	       0        4        0        0        4
37130 libpococrypto80                    	       0        5        0        0        5
37131 libpocodata100                     	       0        1        0        0        1
37132 libpocodata70                      	       0        3        0        0        3
37133 libpocodata80                      	       0        5        0        0        5
37134 libpocodatamysql100                	       0        1        0        0        1
37135 libpocodatamysql70                 	       0        3        0        0        3
37136 libpocodatamysql80                 	       0        5        0        0        5
37137 libpocodataodbc100                 	       0        1        0        0        1
37138 libpocodataodbc70                  	       0        3        0        0        3
37139 libpocodataodbc80                  	       0        5        0        0        5
37140 libpocodatapostgresql100           	       0        1        0        0        1
37141 libpocodatapostgresql70            	       0        3        0        0        3
37142 libpocodatapostgresql80            	       0        5        0        0        5
37143 libpocodatasqlite100               	       0        1        0        0        1
37144 libpocodatasqlite70                	       0        3        0        0        3
37145 libpocodatasqlite80                	       0        5        0        0        5
37146 libpocoencodings100                	       0        1        0        0        1
37147 libpocoencodings70                 	       0        3        0        0        3
37148 libpocoencodings80                 	       0        5        0        0        5
37149 libpocofoundation100               	       0        5        0        0        5
37150 libpocofoundation70                	       0        8        0        0        8
37151 libpocofoundation80                	       0       12        0        0       12
37152 libpocojson100                     	       0        1        0        0        1
37153 libpocojson70                      	       0        4        0        0        4
37154 libpocojson80                      	       0        6        0        0        6
37155 libpocojwt100                      	       0        1        0        0        1
37156 libpocojwt70                       	       0        3        0        0        3
37157 libpocojwt80                       	       0        5        0        0        5
37158 libpocomongodb100                  	       0        1        0        0        1
37159 libpocomongodb70                   	       0        3        0        0        3
37160 libpocomongodb80                   	       0        5        0        0        5
37161 libpoconet100                      	       0        1        0        0        1
37162 libpoconet70                       	       0        8        0        0        8
37163 libpoconet80                       	       0        9        0        0        9
37164 libpoconetssl100                   	       0        1        0        0        1
37165 libpoconetssl70                    	       0        4        0        0        4
37166 libpoconetssl80                    	       0        5        0        0        5
37167 libpocoprometheus100               	       0        1        0        0        1
37168 libpocoredis100                    	       0        1        0        0        1
37169 libpocoredis70                     	       0        3        0        0        3
37170 libpocoredis80                     	       0        5        0        0        5
37171 libpocoutil100                     	       0        1        0        0        1
37172 libpocoutil70                      	       0        4        0        0        4
37173 libpocoutil80                      	       0        6        0        0        6
37174 libpocoxml100                      	       0        5        0        0        5
37175 libpocoxml70                       	       0        8        0        0        8
37176 libpocoxml80                       	       0       10        0        0       10
37177 libpocozip100                      	       0        5        0        0        5
37178 libpocozip70                       	       0        3        0        0        3
37179 libpocozip80                       	       0        7        0        0        7
37180 libpod-2-docbook-perl              	       0        2        0        2        0
37181 libpod-abstract-perl               	       0        3        0        3        0
37182 libpod-coverage-perl               	       0       16        0       16        0
37183 libpod-coverage-trustpod-perl      	       0        1        0        1        0
37184 libpod-elemental-perl              	       0        1        0        1        0
37185 libpod-elemental-perlmunger-perl   	       0        1        0        1        0
37186 libpod-elemental-transformer-list-perl	       0        1        0        1        0
37187 libpod-eventual-perl               	       0        1        0        1        0
37188 libpod-index-perl                  	       0        1        0        1        0
37189 libpod-markdown-perl               	       0       93        1       92        0
37190 libpod-minimumversion-perl         	       0        1        0        1        0
37191 libpod-pandoc-perl                 	       0        3        0        3        0
37192 libpod-plainer-perl                	       0       14        0       14        0
37193 libpod-pom-perl                    	       0       26        1       25        0
37194 libpod-pom-view-restructured-perl  	       0        1        0        1        0
37195 libpod-projectdocs-perl            	       0        1        0        1        0
37196 libpod-pseudopod-perl              	       0        1        0        1        0
37197 libpod-sax-perl                    	       0        1        0        1        0
37198 libpod-simple-perl                 	       0        3        0        3        0
37199 libpod-simple-wiki-perl            	       0        1        0        1        0
37200 libpod-strip-perl                  	       0        2        0        2        0
37201 libpod-tests-perl                  	       0        2        0        2        0
37202 libpod-thread-perl                 	       0        3        0        3        0
37203 libpod-tree-perl                   	       0        1        0        1        0
37204 libpod-weaver-perl                 	       0        1        0        1        0
37205 libpod-weaver-plugin-ensureuniquesections-perl	       0        1        0        1        0
37206 libpod-weaver-section-contributors-perl	       0        1        0        1        0
37207 libpod-weaver-section-legal-complicated-perl	       0        1        0        1        0
37208 libpod-weaver-section-support-perl 	       0        1        0        1        0
37209 libpod-webserver-perl              	       0        1        0        1        0
37210 libpod-wordlist-hanekomu-perl      	       0        1        0        1        0
37211 libpod-wsdl-perl                   	       0        2        0        2        0
37212 libpod-xhtml-perl                  	       0        1        0        1        0
37213 libpodofo-dev                      	       0        7        1        6        0
37214 libpodofo-utils                    	       0        5        0        5        0
37215 libpodofo0.9.0                     	       0        6        0        0        6
37216 libpodofo0.9.4                     	       0       12        0        0       12
37217 libpodofo0.9.6                     	       0       24        0        0       24
37218 libpodofo0.9.7                     	       0       73        0        0       73
37219 libpodofo0.9.8                     	       0      238        0        0      238
37220 libpodofo0.9.8t64                  	       0       38        0        0       38
37221 libpoe-component-client-http-perl  	       0        1        0        1        0
37222 libpoe-component-client-keepalive-perl	       0        1        0        1        0
37223 libpoe-component-client-ping-perl  	       0        1        0        1        0
37224 libpoe-component-resolver-perl     	       0        1        0        1        0
37225 libpoe-loop-event-perl             	       0        1        0        1        0
37226 libpoet-perl                       	       0        1        0        1        0
37227 libpoke0                           	       0        1        0        0        1
37228 libpoke1                           	       0        2        0        0        2
37229 libpolarssl-dev                    	       0        2        0        2        0
37230 libpolarssl-runtime                	       0        1        0        1        0
37231 libpolarssl0                       	       0        1        0        0        1
37232 libpolarssl7                       	       0        1        0        0        1
37233 libpolkit-agent-1-0-dbgsym         	       0        1        0        1        0
37234 libpolkit-agent-1-dev              	       0        5        0        5        0
37235 libpolkit-backend-1-0              	       0      371        0        0      371
37236 libpolkit-backend-1-0-consolekit   	       0        1        0        0        1
37237 libpolkit-backend-1-0-systemd      	       0        2        0        0        2
37238 libpolkit-backend-consolekit-1-0   	       0      197        1        1      195
37239 libpolkit-backend-consolekit-1-0-dbgsym	       0        1        0        1        0
37240 libpolkit-backend-elogind-1-0      	       0      174        1        2      171
37241 libpolkit-dbus2                    	       0        1        0        0        1
37242 libpolkit-gnome0                   	       0        1        0        0        1
37243 libpolkit-gobject-1-0              	       0     3700        0        1     3699
37244 libpolkit-gobject-1-0-consolekit   	       0        1        0        0        1
37245 libpolkit-gobject-1-0-elogind      	       0        5        0        0        5
37246 libpolkit-gobject-1-0-systemd      	       0        2        0        0        2
37247 libpolkit-gobject-1-dev            	       0       37        0        0       37
37248 libpolkit-gobject-consolekit-1-0-dbgsym	       0        1        0        1        0
37249 libpolkit-gobject-consolekit-1-dev 	       0        2        1        1        0
37250 libpolkit-gobject-elogind-1-dev    	       0       38        1       37        0
37251 libpolkit-grant2                   	       0        1        0        0        1
37252 libpolkit-gtk-mate-1-0             	       0        4        0        0        4
37253 libpolkit-qt-1-1                   	       0       44        0        1       43
37254 libpolkit-qt5-1-dev                	       0        3        0        3        0
37255 libpolkit-qt6-1-dev                	       0        1        0        1        0
37256 libpolkit2                         	       0        1        0        0        1
37257 libpolled-camera-dev               	       0        1        0        1        0
37258 libpolled-camera0d                 	       0        1        0        0        1
37259 libpolly-16-dev                    	       0        1        0        1        0
37260 libpolyclipping-dev                	       0        1        0        0        1
37261 libpolyclipping16                  	       0        1        0        0        1
37262 libpolyclipping22                  	       0       28        0        0       28
37263 libpolyglot-maven-java             	       0       49        0        0       49
37264 libpolyglot-maven-java-doc         	       0        2        0        0        2
37265 libpolylib64-8                     	       0        1        0        0        1
37266 libpolymake-dev-common             	       0        3        0        3        0
37267 libpolyml-dev                      	       0        1        0        0        1
37268 libpolyml9                         	       0        2        0        0        2
37269 libpolyorb4                        	       0        1        0        0        1
37270 libpomp2-dev                       	       0        1        0        1        0
37271 libpoppler-cil                     	       0        1        0        1        0
37272 libpoppler-cpp0                    	       0        3        0        0        3
37273 libpoppler-cpp0t64                 	       0       25        0        0       25
37274 libpoppler-cpp0v5                  	       0     2498        0        0     2498
37275 libpoppler-cpp1                    	       0      134        0        0      134
37276 libpoppler-cpp2                    	       0       44        0        0       44
37277 libpoppler-csp                     	       0        1        0        0        1
37278 libpoppler-csp-qt5                 	       0        1        0        0        1
37279 libpoppler-dev                     	       0       88        0        0       88
37280 libpoppler-glib-doc                	       0        2        0        0        2
37281 libpoppler-glib3                   	       0        1        0        0        1
37282 libpoppler-glib6                   	       0        1        0        0        1
37283 libpoppler-glib8t64                	       0      218        3       18      197
37284 libpoppler-qt4-4                   	       0       27        0        0       27
37285 libpoppler-qt4-dev                 	       0        1        0        1        0
37286 libpoppler-qt5-1                   	       0     1111        8       28     1075
37287 libpoppler-qt5-1t64                	       0       63        0        2       61
37288 libpoppler-qt5-dev                 	       0       11        1       10        0
37289 libpoppler-qt6-3                   	       0        2        0        0        2
37290 libpoppler-qt6-3t64                	       0       49        3        0       46
37291 libpoppler-tqt                     	       0       30        0        1       29
37292 libpoppler0c2                      	       0        2        0        0        2
37293 libpoppler0c2-glib                 	       0        1        0        0        1
37294 libpoppler102                      	       0      589        4       42      543
37295 libpoppler118                      	       0       11        0        0       11
37296 libpoppler123                      	       0       14        0        1       13
37297 libpoppler126t64                   	       0        9        0        1        8
37298 libpoppler13                       	       0        2        0        0        2
37299 libpoppler134                      	       0       33        0        3       30
37300 libpoppler140                      	       0      182        3       11      168
37301 libpoppler145                      	       0       55        3        5       47
37302 libpoppler147                      	       0        4        0        0        4
37303 libpoppler19                       	       0       11        0        0       11
37304 libpoppler3                        	       0        2        0        0        2
37305 libpoppler44                       	       0        5        0        0        5
37306 libpoppler46                       	       0       42        0        0       42
37307 libpoppler5                        	       0        1        0        0        1
37308 libpoppler57                       	       0        1        0        0        1
37309 libpoppler64                       	       0      117        0        0      117
37310 libpoppler68                       	       0        1        0        0        1
37311 libpoppler72                       	       0        1        0        0        1
37312 libpoppler74                       	       0        1        0        0        1
37313 libpoppler82                       	       0      220        0        0      220
37314 libpopplerkit0                     	       0        7        0        7        0
37315 libportal-doc                      	       0        1        0        0        1
37316 libportal-gtk3-1                   	       0      363        1        6      356
37317 libportal-qt5-1                    	       0        3        0        0        3
37318 libportal-qt5-dev                  	       0        1        0        1        0
37319 libportal-tests-qt5                	       0        1        0        1        0
37320 libportaudio-dev                   	       0        3        0        3        0
37321 libportaudio-doc                   	       0        1        0        1        0
37322 libportaudio-ocaml                 	       0        2        0        2        0
37323 libportaudio-ocaml-dev             	       0        1        0        1        0
37324 libportaudio0                      	       0        6        0        0        6
37325 libportaudio2                      	       0     1656        2       11     1643
37326 libportaudiocpp0                   	       0       89        0        1       88
37327 libportlet-api-2.0-spec-java       	       0        1        0        0        1
37328 libportmidi-dev                    	       0       18        1       17        0
37329 libportmidi0                       	       0      742        1        1      740
37330 libportsmf-dev                     	       0        2        0        2        0
37331 libportsmf0                        	       0      482        1        0      481
37332 libportsmf0t64                     	       0       35        0        0       35
37333 libposix-atfork-perl               	       0        3        1        1        1
37334 libposix-strftime-compiler-perl    	       0       18        1       17        0
37335 libposix-strptime-perl             	       0      182        0        0      182
37336 libposixsignalmanager0a            	       0        1        0        0        1
37337 libpostgis-java                    	       0        6        0        0        6
37338 libpostgresql-jdbc-java            	       0       47        0        0       47
37339 libpostproc-ffmpeg53               	       0        5        0        0        5
37340 libpostproc0d                      	       0        1        0        0        1
37341 libpostproc51                      	       0        4        0        1        3
37342 libpostproc52                      	       0       46        0        0       46
37343 libpostproc53                      	       0        8        0        0        8
37344 libpostproc54                      	       0      121        0        0      121
37345 libpostproc55                      	       0      759        9       29      721
37346 libpostproc55-dbgsym               	       0        1        0        1        0
37347 libpostproc57                      	       0       99        3        8       88
37348 libpostproc58                      	       0      217       12       28      177
37349 libpostproc58-dbgsym               	       0        1        0        1        0
37350 libpostscript-file-perl            	       0        2        0        2        0
37351 libpostscript-perl                 	       0        5        0        5        0
37352 libpostscript-simple-perl          	       0        2        0        2        0
37353 libpostscriptbarcode               	       0        1        0        0        1
37354 libpotrace-dev                     	       0        3        1        2        0
37355 libpotrace0                        	       0      912        0        5      907
37356 libpowercap0                       	       0        4        0        0        4
37357 libpowerkit1                       	       0        4        0        2        2
37358 libppd-dev                         	       0        2        1        1        0
37359 libppd0                            	       0        9        0        0        9
37360 libppd0-dbgsym                     	       0        1        0        1        0
37361 libppix-documentname-perl          	       0        1        0        1        0
37362 libppix-editortools-perl           	       0        1        0        1        0
37363 libppix-utils-perl                 	       0        1        0        1        0
37364 libppl-c4                          	       0       18        0        0       18
37365 libppl-dev                         	       0       13        0       13        0
37366 libppl-doc                         	       0        1        0        0        1
37367 libppl12                           	       0        1        0        0        1
37368 libppl13                           	       0        3        0        0        3
37369 libppl14                           	       0       20        0        0       20
37370 libppsdocument-4.0-5               	       0        1        0        0        1
37371 libppsview-4.0-4                   	       0        1        0        0        1
37372 libppx-derivers-ocaml-dev          	       0        6        0        6        0
37373 libppxlib-ocaml-dev                	       0        6        0        6        0
37374 libpq5                             	       0     1814       48      103     1663
37375 libpqmarble2t64                    	       0        1        0        0        1
37376 libpqxx-2.6.9ldbl                  	       0        2        0        0        2
37377 libpqxx-3.0                        	       0        1        0        0        1
37378 libpqxx-6.2                        	       0        2        0        0        2
37379 libpqxx-6.4                        	       0        9        0        0        9
37380 libpqxx-7.10                       	       0        2        0        0        2
37381 libpqxx-dev                        	       0        5        0        5        0
37382 libpqxx-doc                        	       0        1        0        0        1
37383 libprawn-ruby1.9.1                 	       0        1        0        0        1
37384 libpreferencepanes1                	       0        8        0        8        0
37385 libprefork-perl                    	       0        2        0        2        0
37386 libprelude2                        	       0        2        0        2        0
37387 libprelude23                       	       0        1        0        0        1
37388 libprelude28                       	       0        5        0        0        5
37389 libpresage-data                    	       0       27        0        0       27
37390 libpresage1v5                      	       0       27        2        9       16
37391 libpri1.4                          	       0        2        1        1        0
37392 libprimecount7                     	       0        9        0        0        9
37393 libprimesieve11                    	       0       13        0        0       13
37394 libprimesieve12                    	       0        1        0        0        1
37395 libprimus-vk1                      	       0       18        0        0       18
37396 libprinterconf0c2a                 	       0        3        0        0        3
37397 libprintsys                        	       0        7        0        7        0
37398 libprismatic-plumbing-clojure      	       0        1        0        0        1
37399 libprismatic-schema-clojure        	       0        2        0        0        2
37400 libprison0                         	       0        7        0        1        6
37401 libprivileges-drop-perl            	       0        1        0        1        0
37402 libprobe-perl-perl                 	       0        2        0        2        0
37403 libproc-background-perl            	       0        1        0        1        0
37404 libproc-daemon-perl                	       0       18        1       17        0
37405 libproc-fastspawn-perl             	       0        6        0        0        6
37406 libproc-invokeeditor-perl          	       0        1        0        1        0
37407 libproc-pid-file-perl              	       0        4        1        3        0
37408 libproc-processtable-perl          	       0      913        5        7      901
37409 libproc-reliable-perl              	       0        1        0        1        0
37410 libproc-simple-perl                	       0       17        0       17        0
37411 libproc-wait3-perl                 	       0        1        0        0        1
37412 libproc2-dev                       	       0        1        0        1        0
37413 libprocess-cpp-doc                 	       0        1        0        0        1
37414 libprocess-cpp3                    	       0        2        0        0        2
37415 libprocesscore4abi1                	       0        6        0        1        5
37416 libprocesscore7                    	       0       29        0        0       29
37417 libprocessing-core-java            	       0        2        0        0        2
37418 libprocessui4a                     	       0        5        0        1        4
37419 libprocessui7                      	       0       28        0        0       28
37420 libprocessui9                      	       0      520        0        3      517
37421 libprocps-dev                      	       0        2        0        2        0
37422 libprocps0                         	       0       72        0        0       72
37423 libprocps3                         	       0      230        0        0      230
37424 libprocps4                         	       0      397        0        0      397
37425 libprocps5                         	       0        1        0        0        1
37426 libprocps6                         	       0      481        0        0      481
37427 libprocps7                         	       0      533        0        0      533
37428 libprocps8                         	       0     1059        2        9     1048
37429 libprocyon-java                    	       0       23        0        0       23
37430 libprogress-any-output-termprogressbarcolor-perl	       0        1        0        1        0
37431 libprogress-any-perl               	       0        1        0        1        0
37432 libproguard-java                   	       0       31        0        0       31
37433 libproj0                           	       0        6        0        0        6
37434 libproj12                          	       0       17        0        0       17
37435 libproj13                          	       0       62        1        0       61
37436 libproj15                          	       0        1        0        0        1
37437 libproj19                          	       0      139        0        2      137
37438 libproj22                          	       0        4        0        0        4
37439 libproj25                          	       0      566        3        8      555
37440 libprojectbuilder-perl             	       0        1        0        1        0
37441 libprojectm-dev                    	       0        5        0        5        0
37442 libprojectm-qt1v5                  	       0        2        0        0        2
37443 libprojectm2                       	       0        2        0        0        2
37444 libprojectm2v5                     	       0        9        0        0        9
37445 libprojectm3                       	       0       17        1        0       16
37446 libprometheus-cpp-core1.0          	       0        1        0        0        1
37447 libprometheus-cpp-pull1.0          	       0        1        0        0        1
37448 libpromises3                       	       0        8        0        0        8
37449 libproperties-cpp-dev              	       0        2        0        2        0
37450 libproperties-cpp-doc              	       0        1        0        0        1
37451 libproperties-maven-plugin-java    	       0        1        0        0        1
37452 libprotobuf-c-dev                  	       0       28        1       27        0
37453 libprotobuf-java                   	       0       23        0        0       23
37454 libprotobuf-java-format-java       	       0        2        0        0        2
37455 libprotobuf-lite10                 	       0       56        0        0       56
37456 libprotobuf-lite17                 	       0      117        0        0      117
37457 libprotobuf-lite22                 	       0        1        0        0        1
37458 libprotobuf-lite23                 	       0      345        0        1      344
37459 libprotobuf-lite32                 	       0     1097        1        4     1092
37460 libprotobuf-lite32t64              	       0      135        0        3      132
37461 libprotobuf-lite9                  	       0        2        0        0        2
37462 libprotobuf-lite9v5                	       0        1        0        0        1
37463 libprotobuf10                      	       0       50        0        0       50
37464 libprotobuf17                      	       0      103        0        1      102
37465 libprotobuf22                      	       0        2        0        1        1
37466 libprotobuf23                      	       0      362        5       51      306
37467 libprotobuf7                       	       0        4        0        0        4
37468 libprotobuf9                       	       0       12        0        0       12
37469 libprotobuf9v5                     	       0        1        0        0        1
37470 libprotoc-dev                      	       0       12        0       12        0
37471 libprotoc10                        	       0        2        0        0        2
37472 libprotoc17                        	       0        8        0        0        8
37473 libprotoc22                        	       0        1        0        0        1
37474 libprotoc23                        	       0       14        0        0       14
37475 libprotoc32                        	       0      125        0        0      125
37476 libprotoc32t64                     	       0       18        0        0       18
37477 libprotoc9                         	       0        1        0        0        1
37478 libprotocol-websocket-perl         	       0        1        0        1        0
37479 libprotozero-dev                   	       0        2        0        0        2
37480 libproxy-dev                       	       0        9        0        9        0
37481 libproxy0                          	       0       11        0        0       11
37482 libproxy1                          	       0       23        0        0       23
37483 libproxy1-plugin-gsettings         	       0      131        4        6      121
37484 libproxy1-plugin-kconfig           	       0        8        0        1        7
37485 libproxychains3                    	       0       22        1       21        0
37486 libproxychains4                    	       0       10        0        0       10
37487 libps2000                          	       0        5        0        5        0
37488 libps2000a                         	       0        4        0        4        0
37489 libps3000                          	       0        4        0        4        0
37490 libps3000a                         	       0        4        0        4        0
37491 libps4000                          	       0        4        0        4        0
37492 libps4000a                         	       0        4        0        4        0
37493 libps5000                          	       0        4        0        4        0
37494 libps5000a                         	       0        4        0        4        0
37495 libps6000                          	       0        4        0        4        0
37496 libps6000a                         	       0        4        0        4        0
37497 libpseudo                          	       0        4        0        0        4
37498 libpsiconv-dev                     	       0        1        0        1        0
37499 libpsiconv6                        	       0        1        0        0        1
37500 libpskc-dev                        	       0        3        0        3        0
37501 libpskc0t64                        	       0       53        0        4       49
37502 libpsl0                            	       0      208        0        0      208
37503 libpsm2-2                          	       0      325        0        0      325
37504 libpsmt2-frontend-ocaml            	       0        1        0        1        0
37505 libpsospa                          	       0        3        0        3        0
37506 libpspell-dev                      	       0        3        0        3        0
37507 libpst4                            	       0      243        0        3      240
37508 libpst4t64                         	       0       11        0        0       11
37509 libpstat1                          	       0        1        0        1        0
37510 libpstoedit0c2a                    	       0      235        0        0      235
37511 libpstoedit0t64                    	       0       30        0        0       30
37512 libpsurface0t64                    	       0        1        0        0        1
37513 libpsych-java                      	       0        1        0        0        1
37514 libpt-1.10.0                       	       0        1        0        0        1
37515 libpt-plugins-v4l2                 	       0        1        0        1        0
37516 libpt2.10.10                       	       0        2        0        2        0
37517 libpt2.10.11                       	       0        7        0        7        0
37518 libpt2.10.4                        	       0        2        0        2        0
37519 libpt2.10.9                        	       0        1        0        1        0
37520 libpt2.6.7                         	       0        1        0        1        0
37521 libptexenc-dev                     	       0        1        0        1        0
37522 libptexenc1                        	       0      636        0        0      636
37523 libpth-dev                         	       0        4        0        4        0
37524 libpth20                           	       0       99        0        2       97
37525 libpth20t64                        	       0        1        0        0        1
37526 libpthread-stubs0                  	       0       11        0        0       11
37527 libpthread-stubs0-dev              	       0     1095        0        0     1095
37528 libpthreadpool-dev                 	       0        2        0        2        0
37529 libpthreadpool0                    	       0      120        0        0      120
37530 libptl-dev                         	       0        1        0        1        0
37531 libptl2                            	       0        1        0        0        1
37532 libptscotch-5.1                    	       0        1        0        1        0
37533 libptscotch-6.0                    	       0        1        0        0        1
37534 libptscotch-6.1                    	       0        2        0        0        2
37535 libptscotch-7.0                    	       0       57        0        0       57
37536 libptscotch-dev                    	       0        4        0        4        0
37537 libptytty0                         	       0      129        8       28       93
37538 libpugixml-dev                     	       0       22        0        0       22
37539 libpugixml1                        	       0        1        0        0        1
37540 libpugixml1v5                      	       0      614        5       10      599
37541 libpugl-0-0                        	       0        1        0        0        1
37542 libpugl-dev                        	       0        1        0        1        0
37543 libpulse-java                      	       0        4        0        0        4
37544 libpulse-jni                       	       0        4        0        0        4
37545 libpulse-mainloop-glib0-dbgsym     	       0        1        0        1        0
37546 libpulse-ocaml                     	       0        4        0        3        1
37547 libpulse-ocaml-dev                 	       0        2        0        2        0
37548 libpulse0-dbgsym                   	       0        1        0        1        0
37549 libpulsecore5                      	       0        1        0        0        1
37550 libpulsedsp                        	       0     2919        0        0     2919
37551 libpulsedsp-dbgsym                 	       0        1        0        1        0
37552 libpuppetlabs-http-client-clojure  	       0        1        0        0        1
37553 libpuppetlabs-i18n-clojure         	       0        1        0        0        1
37554 libpuppetlabs-ring-middleware-clojure	       0        1        0        0        1
37555 libpurelibc1                       	       0        2        0        0        2
37556 libpurify-dev                      	       0        2        0        2        0
37557 libpurify2.0                       	       0        2        0        0        2
37558 libpurple-telegram-tdlib           	       0        1        0        1        0
37559 libpurple0-dbgsym                  	       0        1        0        1        0
37560 libpurple0t64                      	       0       29        0        3       26
37561 libpuzzle-bin                      	       0        1        0        1        0
37562 libpuzzle-dev                      	       0        1        0        1        0
37563 libpuzzle1                         	       0        1        0        0        1
37564 libpvm3                            	       0        8        0        0        8
37565 libpwiz3                           	       0        1        0        0        1
37566 libpwquality-common                	       0      936        0        0      936
37567 libpwquality-dev                   	       0        2        1        1        0
37568 libpynac-dev                       	       0        1        0        1        0
37569 libpynac18                         	       0        1        0        0        1
37570 libpynac18py3                      	       0        1        0        0        1
37571 libpyside-dev                      	       0        1        0        1        0
37572 libpyside-py3-1.2                  	       0        1        0        0        1
37573 libpyside1.2                       	       0        8        0        0        8
37574 libpyside2-5.11                    	       0        3        0        3        0
37575 libpyside2-dev                     	       0        6        0        6        0
37576 libpystring0                       	       0      214        0        1      213
37577 libpython-all-dbg                  	       0        4        0        0        4
37578 libpython-all-dev                  	       0       54        0        0       54
37579 libpython-dbg                      	       0        4        0        4        0
37580 libpython-dev                      	       0       76        2       74        0
37581 libpython-stdlib                   	       0      501        0        0      501
37582 libpython2-dbg                     	       0        6        0        6        0
37583 libpython2-dev                     	       0       76        1       75        0
37584 libpython2-stdlib                  	       0      569        0        0      569
37585 libpython2.6                       	       0        7        0        7        0
37586 libpython2.7                       	       0      500        2        4      494
37587 libpython2.7-dbg                   	       0        8        0        8        0
37588 libpython2.7-dev                   	       0      132        2      130        0
37589 libpython3-all-dbg                 	       0        8        0        0        8
37590 libpython3-all-dev                 	       0      455        0        0      455
37591 libpython3-dbg                     	       0       16        0       16        0
37592 libpython3-stdlib                  	       0     4110        0        0     4110
37593 libpython3.10                      	       0       27        0        1       26
37594 libpython3.10-dbg                  	       0        1        0        1        0
37595 libpython3.10-dev                  	       0       10        0       10        0
37596 libpython3.10-minimal              	       0       31        3       28        0
37597 libpython3.10-stdlib               	       0       31        3       28        0
37598 libpython3.11-dbg                  	       0        5        0        5        0
37599 libpython3.11t64                   	       0       41        0        3       38
37600 libpython3.11t64-dbg               	       0        1        0        1        0
37601 libpython3.12-dev                  	       0       59        0       59        0
37602 libpython3.12-testsuite            	       0        2        0        2        0
37603 libpython3.12t64                   	       0      182        1       16      165
37604 libpython3.12t64-dbg               	       0        1        0        1        0
37605 libpython3.13-dbg                  	       0        1        0        1        0
37606 libpython3.13-testsuite            	       0        2        0        2        0
37607 libpython3.2                       	       0        3        0        3        0
37608 libpython3.3                       	       0        1        0        0        1
37609 libpython3.3-minimal               	       0        4        0        4        0
37610 libpython3.3-stdlib                	       0        4        0        4        0
37611 libpython3.4                       	       0       20        0        0       20
37612 libpython3.4-dev                   	       0        3        0        3        0
37613 libpython3.5                       	       0      108        0        0      108
37614 libpython3.5-dbg                   	       0        3        0        3        0
37615 libpython3.5-dev                   	       0       20        0       20        0
37616 libpython3.5-minimal               	       0      225       20      205        0
37617 libpython3.5-stdlib                	       0      223       19      204        0
37618 libpython3.6                       	       0        2        0        0        2
37619 libpython3.6-dev                   	       0        1        0        1        0
37620 libpython3.6-minimal               	       0        7        0        7        0
37621 libpython3.6-stdlib                	       0        7        0        7        0
37622 libpython3.7                       	       0      225        0        1      224
37623 libpython3.7-dbg                   	       0        4        0        4        0
37624 libpython3.7-dev                   	       0       40        0       40        0
37625 libpython3.7-testsuite             	       0        1        0        1        0
37626 libpython3.8                       	       0        5        0        0        5
37627 libpython3.8-dev                   	       0        1        0        1        0
37628 libpython3.8-minimal               	       0        8        0        8        0
37629 libpython3.8-stdlib                	       0        7        0        7        0
37630 libpython3.9                       	       0      670       10       38      622
37631 libpython3.9-dbg                   	       0        7        2        5        0
37632 libpython3.9-testsuite             	       0        3        0        3        0
37633 libpythonize0-trinity              	       0        2        0        0        2
37634 libpyzy-1.0-0v5                    	       0        1        0        1        0
37635 libqaccessibilityclient-qt5-dev    	       0        1        0        1        0
37636 libqaccessibilityclient-qt6-dev    	       0        1        0        1        0
37637 libqaccessibilityclient0           	       0        8        0        0        8
37638 libqalculate-data                  	       0      519        0        1      518
37639 libqalculate-dev                   	       0        6        0        6        0
37640 libqalculate-doc                   	       0        2        0        0        2
37641 libqalculate20                     	       0      116        2       11      103
37642 libqalculate20-data                	       0      118        0        0      118
37643 libqalculate22t64                  	       0        2        0        0        2
37644 libqalculate5                      	       0        5        0        1        4
37645 libqalculate5-data                 	       0       18        0        0       18
37646 libqalculate5v5                    	       0       13        0        0       13
37647 libqapt3                           	       0       47        0        0       47
37648 libqb-dev                          	       0       13        0       13        0
37649 libqb0                             	       0       15        0        0       15
37650 libqb100                           	       0       20        2        6       12
37651 libqbscore1.12                     	       0        3        0        0        3
37652 libqbscore1.24                     	       0        2        0        0        2
37653 libqbscore1.7                      	       0        3        0        0        3
37654 libqbscore2.1                      	       0        1        0        0        1
37655 libqbsqtprofilesetup1.12           	       0        3        0        0        3
37656 libqbsqtprofilesetup1.7            	       0        3        0        0        3
37657 libqbsscriptengine1.24             	       0        3        0        0        3
37658 libqca-qt5-2-dev                   	       0        8        0        8        0
37659 libqca2                            	       0       48        1        0       47
37660 libqca2-dev                        	       0        1        0        1        0
37661 libqca2-plugin-ossl                	       0       15        0        0       15
37662 libqca2-plugins                    	       0       41        0        0       41
37663 libqcoro5core0                     	       0        2        0        0        2
37664 libqcoro5dbus0                     	       0        2        0        0        2
37665 libqcoro5network0                  	       0        2        0        0        2
37666 libqcoro5qml0                      	       0        2        0        0        2
37667 libqcoro5quick0                    	       0        1        0        0        1
37668 libqcoro5websockets0               	       0        1        0        0        1
37669 libqcoro6core0t64                  	       0       20        0        0       20
37670 libqcoro6network0t64               	       0        1        0        0        1
37671 libqcoro6qml0t64                   	       0        1        0        0        1
37672 libqcoro6quick0t64                 	       0        1        0        0        1
37673 libqcoro6websockets0t64            	       0        1        0        0        1
37674 libqcow-dev                        	       0        2        0        2        0
37675 libqcow-utils                      	       0       11        0       11        0
37676 libqcow1                           	       0       23        0        0       23
37677 libqcow1t64                        	       0        2        0        0        2
37678 libqcsxcad0                        	       0        6        0        0        6
37679 libqcustomplot-dev                 	       0        3        0        3        0
37680 libqcustomplot-doc                 	       0        1        0        1        0
37681 libqcustomplot1.2                  	       0        2        0        0        2
37682 libqcustomplot1.3                  	       0        4        0        0        4
37683 libqcustomplot2.0                  	       0        4        0        0        4
37684 libqcustomplot2.1                  	       0       27        0        0       27
37685 libqcustomplot2.1-qt6              	       0        3        0        0        3
37686 libqd-dev                          	       0        1        0        1        0
37687 libqd0v5                           	       0        1        0        0        1
37688 libqdbm-dev                        	       0        3        0        3        0
37689 libqdbm14                          	       0      117        2        6      109
37690 libqdbm14t64                       	       0        2        0        0        2
37691 libqdox-java                       	       0      137        0        0      137
37692 libqdox-java-doc                   	       0        6        0        0        6
37693 libqdox2-java                      	       0       28        0        0       28
37694 libqdox2-java-doc                  	       0        1        0        0        1
37695 libqdwizard-java                   	       0        1        0        0        1
37696 libqedje0a                         	       0        1        0        0        1
37697 libqemu-dev                        	       0        1        0        1        0
37698 libqes0                            	       0        1        0        0        1
37699 libqextserialport1                 	       0        4        0        0        4
37700 libqfits-dev                       	       0        2        0        2        0
37701 libqfits0                          	       0        4        0        0        4
37702 libqgis-3d3.10.14                  	       0        9        0        0        9
37703 libqgis-3d3.16.15                  	       0        1        0        0        1
37704 libqgis-3d3.16.16                  	       0        1        0        0        1
37705 libqgis-3d3.22.12                  	       0        1        0        0        1
37706 libqgis-3d3.22.16                  	       0       25        0        1       24
37707 libqgis-3d3.22.2                   	       0        1        0        0        1
37708 libqgis-3d3.22.4                   	       0        1        0        0        1
37709 libqgis-3d3.28.10                  	       0        1        0        0        1
37710 libqgis-3d3.28.14                  	       0        1        0        0        1
37711 libqgis-3d3.32.2                   	       0        1        0        0        1
37712 libqgis-3d3.34.10                  	       0        1        0        0        1
37713 libqgis-3d3.34.12                  	       0        1        0        0        1
37714 libqgis-3d3.34.2                   	       0        2        0        0        2
37715 libqgis-3d3.34.6                   	       0        1        0        0        1
37716 libqgis-3d3.34.7                   	       0        1        0        0        1
37717 libqgis-3d3.34.8                   	       0        1        0        0        1
37718 libqgis-3d3.38.3                   	       0        1        1        0        0
37719 libqgis-analysis2.18.28            	       0        2        0        0        2
37720 libqgis-analysis2.4.0              	       0        1        0        0        1
37721 libqgis-analysis3.10.14            	       0       10        0        0       10
37722 libqgis-analysis3.16.15            	       0        1        0        0        1
37723 libqgis-analysis3.16.16            	       0        1        0        0        1
37724 libqgis-analysis3.22.16            	       0       25        0        1       24
37725 libqgis-analysis3.22.2             	       0        1        0        0        1
37726 libqgis-analysis3.28.10            	       0        1        0        0        1
37727 libqgis-analysis3.28.11            	       0        1        0        0        1
37728 libqgis-analysis3.32.2             	       0        1        0        0        1
37729 libqgis-analysis3.34.10            	       0        1        0        0        1
37730 libqgis-analysis3.34.12            	       0        1        0        0        1
37731 libqgis-analysis3.34.2             	       0        2        0        0        2
37732 libqgis-analysis3.34.6             	       0        1        0        0        1
37733 libqgis-analysis3.34.7             	       0        1        0        0        1
37734 libqgis-analysis3.34.8             	       0        1        0        0        1
37735 libqgis-analysis3.38.3             	       0        1        1        0        0
37736 libqgis-app2.18.28                 	       0        2        0        0        2
37737 libqgis-app3.10.14                 	       0        9        0        0        9
37738 libqgis-app3.22.16                 	       0       24        0        1       23
37739 libqgis-app3.22.2                  	       0        1        0        0        1
37740 libqgis-app3.28.10                 	       0        1        0        0        1
37741 libqgis-app3.32.2                  	       0        1        0        0        1
37742 libqgis-app3.34.10                 	       0        1        0        0        1
37743 libqgis-app3.34.12                 	       0        1        0        0        1
37744 libqgis-app3.34.2                  	       0        2        0        0        2
37745 libqgis-app3.34.6                  	       0        1        0        0        1
37746 libqgis-app3.34.7                  	       0        1        0        0        1
37747 libqgis-app3.34.8                  	       0        1        0        0        1
37748 libqgis-app3.38.3                  	       0        1        1        0        0
37749 libqgis-core2.14.11                	       0        1        0        0        1
37750 libqgis-core2.18.28                	       0        2        0        0        2
37751 libqgis-core2.4.0                  	       0        1        0        0        1
37752 libqgis-core3.10.13                	       0        2        0        0        2
37753 libqgis-core3.10.14                	       0       10        0        1        9
37754 libqgis-core3.16.15                	       0        1        0        0        1
37755 libqgis-core3.16.16                	       0        1        0        0        1
37756 libqgis-core3.22.12                	       0        1        0        0        1
37757 libqgis-core3.22.16                	       0       26        0        1       25
37758 libqgis-core3.22.2                 	       0        1        0        0        1
37759 libqgis-core3.22.4                 	       0        1        0        0        1
37760 libqgis-core3.28.10                	       0        1        0        0        1
37761 libqgis-core3.28.11                	       0        1        0        0        1
37762 libqgis-core3.28.14                	       0        1        0        0        1
37763 libqgis-core3.32.2                 	       0        1        0        0        1
37764 libqgis-core3.34.10                	       0        1        0        0        1
37765 libqgis-core3.34.12                	       0        1        0        0        1
37766 libqgis-core3.34.2                 	       0        2        0        0        2
37767 libqgis-core3.34.6                 	       0        1        0        0        1
37768 libqgis-core3.34.7                 	       0        1        0        0        1
37769 libqgis-core3.34.8                 	       0        1        0        0        1
37770 libqgis-core3.38.3                 	       0        1        1        0        0
37771 libqgis-customwidgets              	       0       44        0        0       44
37772 libqgis-dev                        	       0        1        0        1        0
37773 libqgis-gui2.14.11                 	       0        1        0        0        1
37774 libqgis-gui2.18.28                 	       0        2        0        0        2
37775 libqgis-gui2.4.0                   	       0        1        0        0        1
37776 libqgis-gui3.10.13                 	       0        1        0        0        1
37777 libqgis-gui3.10.14                 	       0        9        0        1        8
37778 libqgis-gui3.16.15                 	       0        1        0        0        1
37779 libqgis-gui3.16.16                 	       0        1        0        0        1
37780 libqgis-gui3.22.12                 	       0        1        0        0        1
37781 libqgis-gui3.22.16                 	       0       26        0        1       25
37782 libqgis-gui3.22.2                  	       0        1        0        0        1
37783 libqgis-gui3.22.4                  	       0        1        0        0        1
37784 libqgis-gui3.28.10                 	       0        1        0        0        1
37785 libqgis-gui3.28.11                 	       0        1        0        0        1
37786 libqgis-gui3.28.14                 	       0        1        0        0        1
37787 libqgis-gui3.32.2                  	       0        1        0        0        1
37788 libqgis-gui3.34.10                 	       0        1        0        0        1
37789 libqgis-gui3.34.12                 	       0        1        0        0        1
37790 libqgis-gui3.34.2                  	       0        2        0        0        2
37791 libqgis-gui3.34.6                  	       0        1        0        0        1
37792 libqgis-gui3.34.7                  	       0        1        0        0        1
37793 libqgis-gui3.34.8                  	       0        1        0        0        1
37794 libqgis-gui3.38.3                  	       0        1        1        0        0
37795 libqgis-native3.10.13              	       0        2        0        0        2
37796 libqgis-native3.10.14              	       0       10        0        1        9
37797 libqgis-native3.10.4               	       0        1        0        0        1
37798 libqgis-native3.16.10              	       0        1        0        0        1
37799 libqgis-native3.16.12              	       0        1        0        0        1
37800 libqgis-native3.16.14              	       0        1        0        0        1
37801 libqgis-native3.16.15              	       0        1        0        0        1
37802 libqgis-native3.16.16              	       0        1        0        0        1
37803 libqgis-native3.22.12              	       0        1        0        0        1
37804 libqgis-native3.22.16              	       0       26        0        1       25
37805 libqgis-native3.22.2               	       0        1        0        0        1
37806 libqgis-native3.22.4               	       0        1        0        0        1
37807 libqgis-native3.28.10              	       0        1        0        0        1
37808 libqgis-native3.28.11              	       0        1        0        0        1
37809 libqgis-native3.28.14              	       0        1        0        0        1
37810 libqgis-native3.32.2               	       0        1        0        0        1
37811 libqgis-native3.34.10              	       0        1        0        0        1
37812 libqgis-native3.34.12              	       0        1        0        0        1
37813 libqgis-native3.34.2               	       0        2        0        0        2
37814 libqgis-native3.34.6               	       0        1        0        0        1
37815 libqgis-native3.34.7               	       0        1        0        0        1
37816 libqgis-native3.34.8               	       0        1        0        0        1
37817 libqgis-native3.38.3               	       0        1        1        0        0
37818 libqgis-native3.4.6                	       0        1        0        0        1
37819 libqgis-networkanalysis2.18.28     	       0        2        0        0        2
37820 libqgis-networkanalysis2.4.0       	       0        1        0        0        1
37821 libqgis-server2.18.28              	       0        2        0        0        2
37822 libqgis-server3.10.14              	       0       10        0        0       10
37823 libqgis-server3.16.15              	       0        1        0        0        1
37824 libqgis-server3.16.16              	       0        1        0        0        1
37825 libqgis-server3.22.12              	       0        1        0        0        1
37826 libqgis-server3.22.16              	       0       25        0        0       25
37827 libqgis-server3.22.2               	       0        1        0        0        1
37828 libqgis-server3.22.4               	       0        1        0        0        1
37829 libqgis-server3.28.10              	       0        1        0        0        1
37830 libqgis-server3.28.14              	       0        1        0        0        1
37831 libqgis-server3.32.2               	       0        1        0        0        1
37832 libqgis-server3.34.10              	       0        1        0        0        1
37833 libqgis-server3.34.12              	       0        1        0        0        1
37834 libqgis-server3.34.2               	       0        2        0        0        2
37835 libqgis-server3.34.6               	       0        1        0        0        1
37836 libqgis-server3.34.7               	       0        1        0        0        1
37837 libqgis-server3.34.8               	       0        1        0        0        1
37838 libqgis-server3.38.3               	       0        1        0        0        1
37839 libqgisgrass2.4.0                  	       0        1        0        0        1
37840 libqgisgrass7-2.18.28              	       0        1        0        0        1
37841 libqgisgrass7-3.10.13              	       0        1        0        0        1
37842 libqgisgrass7-3.10.14              	       0        8        0        0        8
37843 libqgisgrass7-3.16.15              	       0        1        0        0        1
37844 libqgisgrass7-3.16.16              	       0        1        0        0        1
37845 libqgisgrass7-3.22.2               	       0        1        0        0        1
37846 libqgisgrass7-3.22.4               	       0        1        0        0        1
37847 libqgisgrass8-3.22.12              	       0        1        0        0        1
37848 libqgisgrass8-3.22.16              	       0       25        0        1       24
37849 libqgisgrass8-3.28.10              	       0        1        0        0        1
37850 libqgisgrass8-3.28.14              	       0        1        0        0        1
37851 libqgisgrass8-3.34.10              	       0        1        0        0        1
37852 libqgisgrass8-3.34.12              	       0        1        0        0        1
37853 libqgisgrass8-3.34.6               	       0        1        0        0        1
37854 libqgisgrass8-3.34.7               	       0        1        0        0        1
37855 libqgisgrass8-3.34.8               	       0        1        0        0        1
37856 libqgisgrass8-3.38.3               	       0        1        0        0        1
37857 libqgispython2.18.28               	       0        2        0        0        2
37858 libqgispython3.10.14               	       0       10        0        0       10
37859 libqgispython3.16.15               	       0        1        0        0        1
37860 libqgispython3.16.16               	       0        1        0        0        1
37861 libqgispython3.22.12               	       0        1        0        0        1
37862 libqgispython3.22.16               	       0       25        0        1       24
37863 libqgispython3.22.2                	       0        1        0        0        1
37864 libqgispython3.22.4                	       0        1        0        0        1
37865 libqgispython3.28.10               	       0        1        0        0        1
37866 libqgispython3.28.14               	       0        1        0        0        1
37867 libqgispython3.32.2                	       0        1        0        0        1
37868 libqgispython3.34.10               	       0        1        0        0        1
37869 libqgispython3.34.12               	       0        1        0        0        1
37870 libqgispython3.34.2                	       0        2        0        0        2
37871 libqgispython3.34.6                	       0        1        0        0        1
37872 libqgispython3.34.7                	       0        1        0        0        1
37873 libqgispython3.34.8                	       0        1        0        0        1
37874 libqgispython3.38.3                	       0        1        1        0        0
37875 libqglviewer-dev-qt5               	       0        6        0        0        6
37876 libqglviewer-headers               	       0        6        1        5        0
37877 libqglviewer2-qt5                  	       0        9        0        1        8
37878 libqgpgme1                         	       0        4        0        1        3
37879 libqgpgme15                        	       0      388       22       60      306
37880 libqgpgme15t64                     	       0       18        0        3       15
37881 libqgpgme7                         	       0      104        0        9       95
37882 libqgpgmeqt6-15                    	       0       13        4        2        7
37883 libqgsttools-p1                    	       0       23        0        0       23
37884 libqhttpengine-doc                 	       0        1        0        0        1
37885 libqhttpengine0                    	       0        1        0        0        1
37886 libqhull-doc                       	       0        1        0        1        0
37887 libqhull-r7                        	       0        3        0        0        3
37888 libqhull-r8.0                      	       0      756        3        8      745
37889 libqhull5                          	       0        1        0        0        1
37890 libqhull6                          	       0        3        0        0        3
37891 libqhull7                          	       0       73        0        0       73
37892 libqhull7deb1                      	       0        1        0        0        1
37893 libqhull8.0                        	       0      183        0        2      181
37894 libqhullcpp8.0                     	       0       48        0        0       48
37895 libqimageblitz4                    	       0       32        0        1       31
37896 libqjdns-qt5-2                     	       0        1        0        0        1
37897 libqjson-dev                       	       0        1        0        1        0
37898 libqjson0                          	       0       29        0        1       28
37899 libqm-dsp0                         	       0       67        0        0       67
37900 libqm-dsp0t64                      	       0        2        0        0        2
37901 libqmatrixclient0.4                	       0        1        0        0        1
37902 libqmi-glib1                       	       0       25        0        0       25
37903 libqmi-proxy                       	       0     2732        3      121     2608
37904 libqmmp-misc                       	       0        1        0        1        0
37905 libqmmp0                           	       0        1        0        0        1
37906 libqmmpui0                         	       0        1        0        0        1
37907 libqmobipocket1                    	       0       17        0        0       17
37908 libqmobipocket2                    	       0      787        0        0      787
37909 libqmobipocket6-2                  	       0       40        0        0       40
37910 libqoauth1                         	       0        3        0        0        3
37911 libqoauth2                         	       0        2        0        0        2
37912 libqof2                            	       0        1        0        0        1
37913 libqof2-backend-qsf                	       0        1        0        0        1
37914 libqofono-qt5-0                    	       0        1        0        0        1
37915 libqpdf13                          	       0       30        0        0       30
37916 libqpdf17                          	       0       81        0        0       81
37917 libqpdf18                          	       0        1        0        0        1
37918 libqpdf21                          	       0      134        0        0      134
37919 libqpdf26                          	       0        1        0        0        1
37920 libqpdf28                          	       0      456        0        1      455
37921 libqpdf29                          	       0     2089        1        2     2086
37922 libqpdf29t64                       	       0      190        0        0      190
37923 libqpdf3                           	       0        1        0        0        1
37924 libqpid-proton11                   	       0        9        0        0        9
37925 libqpid-proton11-dev               	       0        1        0        1        0
37926 libqpol1                           	       0        5        0        0        5
37927 libqpx-dev                         	       0        2        0        2        0
37928 libqpx0                            	       0       13        0        0       13
37929 libqpx0t64                         	       0        3        0        0        3
37930 libqqc2breezestyle-dev             	       0        1        0        0        1
37931 libqqwing2v5                       	       0      391        0        0      391
37932 libqrcodegen-java                  	       0        4        0        0        4
37933 libqrcodegen1                      	       0       12        0        0       12
37934 libqrcodegencpp-dev                	       0        7        0        0        7
37935 libqrcodegencpp1                   	       0      612       12       43      557
37936 libqrencode-dev                    	       0       28        0       28        0
37937 libqrencode3                       	       0       66        0        1       65
37938 libqrtr1                           	       0        1        0        0        1
37939 libqrupdate-dev                    	       0        3        0        0        3
37940 libqrupdate1                       	       0       88        0        0       88
37941 libqsastime-dev                    	       0        4        0        4        0
37942 libqsastime0                       	       0       10        0        0       10
37943 libqsbr-dev                        	       0        1        0        1        0
37944 libqsbr1                           	       0        1        0        0        1
37945 libqscintilla2-11                  	       0        2        0        0        2
37946 libqscintilla2-12v5                	       0        5        0        0        5
37947 libqscintilla2-designer            	       0        1        0        0        1
37948 libqscintilla2-doc                 	       0        3        0        3        0
37949 libqscintilla2-l10n                	       0        6        0        0        6
37950 libqscintilla2-qt4-13              	       0        4        0        0        4
37951 libqscintilla2-qt4-l10n            	       0        4        0        0        4
37952 libqscintilla2-qt5-13              	       0       22        1        0       21
37953 libqscintilla2-qt5-15              	       0      271        2        6      263
37954 libqscintilla2-qt5-designer        	       0        4        0        0        4
37955 libqscintilla2-qt5-dev             	       0       11        1       10        0
37956 libqscintilla2-qt5-l10n            	       0      286        0        0      286
37957 libqscintilla2-qt6-15              	       0       15        0        0       15
37958 libqscintilla2-qt6-designer        	       0        1        0        0        1
37959 libqscintilla2-qt6-dev             	       0        1        0        1        0
37960 libqscintilla2-qt6-l10n            	       0       15        0        0       15
37961 libqsopt-ex-dev                    	       0        3        0        3        0
37962 libqsopt-ex2                       	       0       12        0        0       12
37963 libqsopt-ex2t64                    	       0        2        0        0        2
37964 libqt-jami                         	       0        5        0        5        0
37965 libqt0-ruby-trinity                	       0        2        0        2        0
37966 libqt3-compat-headers              	       0        3        0        3        0
37967 libqt3-headers                     	       0        5        0        5        0
37968 libqt3-i18n                        	       0        4        0        0        4
37969 libqt3-mt                          	       0        7        0        7        0
37970 libqt3-mt-dev                      	       0        1        0        1        0
37971 libqt3-mt-sqlite                   	       0        2        0        2        0
37972 libqt4-assistant                   	       0        2        0        0        2
37973 libqt4-dbg                         	       0        1        0        1        0
37974 libqt4-dbus                        	       0      118        0        0      118
37975 libqt4-declarative                 	       0       70        1        0       69
37976 libqt4-declarative-folderlistmodel 	       0        1        0        0        1
37977 libqt4-declarative-gestures        	       0        3        0        0        3
37978 libqt4-declarative-particles       	       0        3        0        0        3
37979 libqt4-declarative-shaders         	       0        1        0        0        1
37980 libqt4-designer                    	       0       72        0        0       72
37981 libqt4-dev                         	       0       17        0       17        0
37982 libqt4-dev-bin                     	       0       20        0       20        0
37983 libqt4-help                        	       0       41        0        0       41
37984 libqt4-network                     	       0      109        1        0      108
37985 libqt4-opengl                      	       0       78        1        0       77
37986 libqt4-opengl-dev                  	       0       14        0       14        0
37987 libqt4-qt3support                  	       0       59        0        0       59
37988 libqt4-script                      	       0       74        1        0       73
37989 libqt4-scripttools                 	       0       41        0        0       41
37990 libqt4-sql                         	       0       79        1        0       78
37991 libqt4-sql-mysql                   	       0       72        0        1       71
37992 libqt4-sql-psql                    	       0        1        0        0        1
37993 libqt4-sql-sqlite                  	       0       55        0        0       55
37994 libqt4-svg                         	       0       94        1        0       93
37995 libqt4-test                        	       0       40        0        0       40
37996 libqt4-webkit                      	       0        3        0        0        3
37997 libqt4-xml                         	       0      137        1        0      136
37998 libqt4-xmlpatterns                 	       0       76        1        0       75
37999 libqt4pas5                         	       0        2        0        0        2
38000 libqt5-ukui-style-dev              	       0        3        0        3        0
38001 libqt5-ukui-style1                 	       0        9        0        0        9
38002 libqt53danimation5                 	       0       52        0        0       52
38003 libqt53dcore5                      	       0       58        1        1       56
38004 libqt53dextras5                    	       0       55        1        1       53
38005 libqt53dinput5                     	       0       57        1        1       55
38006 libqt53dlogic5                     	       0       57        1        1       55
38007 libqt53dquick5                     	       0       10        0        0       10
38008 libqt53dquickanimation5            	       0        9        0        0        9
38009 libqt53dquickextras5               	       0       10        0        0       10
38010 libqt53dquickinput5                	       0       10        0        0       10
38011 libqt53dquickrender5               	       0       11        0        0       11
38012 libqt53dquickscene2d5              	       0       47        0        0       47
38013 libqt53drender5                    	       0       58        1        1       56
38014 libqt5bluetooth5                   	       0       16        0        0       16
38015 libqt5bluetooth5-bin               	       0       16        0       16        0
38016 libqt5charts5                      	       0      254        2        2      250
38017 libqt5charts5-dev                  	       0       18        0       18        0
38018 libqt5clucene5                     	       0       32        0        0       32
38019 libqt5concurrent5-dbgsym           	       0        1        0        1        0
38020 libqt5concurrent5t64               	       0      100        4       19       77
38021 libqt5contacts5                    	       0        1        0        0        1
38022 libqt5contacts5a                   	       0        3        0        0        3
38023 libqt5core5a-dbgsym                	       0        1        0        1        0
38024 libqt5core5t64                     	       0      202       14       60      128
38025 libqt5ct-common1.8                 	       0       12        2        7        3
38026 libqt5datavisualization5           	       0       27        0        0       27
38027 libqt5datavisualization5-dev       	       0        7        0        7        0
38028 libqt5dbus5-dbgsym                 	       0        1        0        1        0
38029 libqt5dbus5t64                     	       0      202       14       58      130
38030 libqt5designer5                    	       0     1342        1        6     1335
38031 libqt5designercomponents5          	       0      214        0        1      213
38032 libqt5feedback5                    	       0        7        0        1        6
38033 libqt5gamepad5                     	       0       94        1        2       91
38034 libqt5gamepad5-dev                 	       0       10        1        9        0
38035 libqt5glib-2.0-0                   	       0       27        0        0       27
38036 libqt5gstreamer-1.0-0              	       0       22        0        0       22
38037 libqt5gstreamer-dev                	       0        6        0        6        0
38038 libqt5gstreamerquick-1.0-0         	       0       18        0        0       18
38039 libqt5gstreamerui-1.0-0            	       0        9        0        0        9
38040 libqt5gstreamerutils-1.0-0         	       0        9        0        0        9
38041 libqt5gui5-dbgsym                  	       0        1        0        1        0
38042 libqt5gui5-gles                    	       0        2        0        0        2
38043 libqt5gui5t64                      	       0      199       14       58      127
38044 libqt5help5                        	       0     1438       10       26     1402
38045 libqt5hunspellinputmethod5         	       0       15        0        1       14
38046 libqt5location5                    	       0      166        1        1      164
38047 libqt5location5-plugin-mapboxgl    	       0        9        0        0        9
38048 libqt5location5-plugins            	       0       26        0        0       26
38049 libqt5multimedia5                  	       0     1542       15       51     1476
38050 libqt5multimedia5-plugins          	       0      913        2        5      906
38051 libqt5multimediagsttools5          	       0      909        2        4      903
38052 libqt5multimediaquick-p5           	       0       18        0        0       18
38053 libqt5multimediaquick5             	       0      875        1        2      872
38054 libqt5multimediawidgets5           	       0     1007        3        5      999
38055 libqt5network5-dbgsym              	       0        1        0        1        0
38056 libqt5network5t64                  	       0      201       14       59      128
38057 libqt5networkauth5                 	       0      540        0        0      540
38058 libqt5networkauth5-dev             	       0        6        1        5        0
38059 libqt5nfc5                         	       0       12        0        0       12
38060 libqt5opengl5                      	       0     1247        1       18     1228
38061 libqt5opengl5-dbgsym               	       0        1        0        1        0
38062 libqt5opengl5t64                   	       0      107        0        3      104
38063 libqt5organizer5                   	       0        2        0        0        2
38064 libqt5organizer5a                  	       0        3        0        0        3
38065 libqt5pas-dev                      	       0       20        0        0       20
38066 libqt5pas1                         	       0       61        1        2       58
38067 libqt5pdf5                         	       0       41        2       18       21
38068 libqt5pdfwidgets5                  	       0        7        0        0        7
38069 libqt5positioning5-plugins         	       0       72        0        0       72
38070 libqt5positioningquick5            	       0      200        1        1      198
38071 libqt5printsupport5-dbgsym         	       0        1        0        1        0
38072 libqt5printsupport5t64             	       0      151        8       33      110
38073 libqt5publishsubscribe5            	       0        7        0        0        7
38074 libqt5qevercloud3                  	       0        2        0        0        2
38075 libqt5qevercloud6                  	       0        1        0        0        1
38076 libqt5qml5-dbgsym                  	       0        1        0        1        0
38077 libqt5quentier0                    	       0        1        0        0        1
38078 libqt5quick5-dbgsym                	       0        1        0        1        0
38079 libqt5quick5-gles                  	       0        3        0        0        3
38080 libqt5quickparticles5              	       0      809        1        2      806
38081 libqt5quickshapes5                 	       0      937        1        3      933
38082 libqt5quicktest5                   	       0      142        0        0      142
38083 libqt5qxlsx0t64                    	       0        9        0        0        9
38084 libqt5remoteobjects5               	       0      113        1        1      111
38085 libqt5remoteobjects5-bin           	       0        5        0        5        0
38086 libqt5remoteobjects5-dev           	       0        5        0        5        0
38087 libqt5scintilla2-12v5              	       0        7        0        0        7
38088 libqt5scintilla2-12v5-dbg          	       0        1        0        1        0
38089 libqt5scintilla2-designer          	       0        2        0        0        2
38090 libqt5scintilla2-designer-dbg      	       0        1        0        1        0
38091 libqt5scintilla2-dev               	       0        1        0        1        0
38092 libqt5scintilla2-l10n              	       0        9        0        0        9
38093 libqt5scripttools5                 	       0      168        0        0      168
38094 libqt5scxml5                       	       0        6        0        0        6
38095 libqt5scxml5-bin                   	       0        4        0        4        0
38096 libqt5scxml5-dev                   	       0        4        0        4        0
38097 libqt5scxml5-private-dev           	       0        3        0        3        0
38098 libqt5sensors5-dev                 	       0       10        0       10        0
38099 libqt5serialbus5                   	       0        8        0        0        8
38100 libqt5serialbus5-bin               	       0        2        0        2        0
38101 libqt5serialbus5-dev               	       0        5        0        5        0
38102 libqt5serialbus5-plugins           	       0        5        0        0        5
38103 libqt5serialport5                  	       0      430        1        4      425
38104 libqt5serialport5-dev              	       0       28        0       28        0
38105 libqt5serviceframework5            	       0        6        0        0        6
38106 libqt5sql5-dbgsym                  	       0        1        0        1        0
38107 libqt5sql5-ibase                   	       0        2        0        0        2
38108 libqt5sql5-mysql                   	       0      605       21       71      513
38109 libqt5sql5-odbc                    	       0        5        0        0        5
38110 libqt5sql5-psql                    	       0       30        0        0       30
38111 libqt5sql5-sqlite-dbgsym           	       0        1        0        1        0
38112 libqt5sql5-tds                     	       0        4        0        0        4
38113 libqt5sql5t64                      	       0      148        3       31      114
38114 libqt5systeminfo5                  	       0       10        0        0       10
38115 libqt5test5-dbgsym                 	       0        1        0        1        0
38116 libqt5test5t64                     	       0      133        0        3      130
38117 libqt5texttospeech5-dev            	       0       10        0       10        0
38118 libqt5versit5                      	       0        1        0        0        1
38119 libqt5versit5a                     	       0        2        0        0        2
38120 libqt5versitorganizer5             	       0        1        0        0        1
38121 libqt5versitorganizer5a            	       0        2        0        0        2
38122 libqt5virtualkeyboard5-dev         	       0        5        0        5        0
38123 libqt5waylandclient5-dev           	       0       12        0       12        0
38124 libqt5waylandcompositor5           	       0     2009        7       33     1969
38125 libqt5waylandcompositor5-dev       	       0       12        0       12        0
38126 libqt5webchannel5-dev              	       0       48        1       47        0
38127 libqt5webkit5-dbg                  	       0        1        0        1        0
38128 libqt5webkit5-dev                  	       0       34        0       34        0
38129 libqt5websockets5                  	       0      291       10       36      245
38130 libqt5websockets5-dbgsym           	       0        1        0        1        0
38131 libqt5webview5-dev                 	       0        8        0        8        0
38132 libqt5widgets5-dbgsym              	       0        1        0        1        0
38133 libqt5widgets5t64                  	       0      199       14       58      127
38134 libqt5x11extras5-dev               	       0       65        1       64        0
38135 libqt5xdg-dev                      	       0        9        0        9        0
38136 libqt5xdg2                         	       0        6        0        0        6
38137 libqt5xdgiconloader-dev            	       0        9        0        9        0
38138 libqt5xdgiconloader2               	       0        6        0        0        6
38139 libqt5xml5-dbgsym                  	       0        1        0        1        0
38140 libqt5xml5t64                      	       0      162       10       38      114
38141 libqt5xmlpatterns5                 	       0      519        2        9      508
38142 libqt5xmlpatterns5-dev             	       0       24        0       24        0
38143 libqt63danimation6                 	       0        4        0        0        4
38144 libqt63dcore6                      	       0        5        0        0        5
38145 libqt63dextras6                    	       0        3        0        0        3
38146 libqt63dinput6                     	       0        4        0        0        4
38147 libqt63dlogic6                     	       0        4        0        0        4
38148 libqt63dquick6                     	       0        3        0        0        3
38149 libqt63dquickanimation6            	       0        2        0        0        2
38150 libqt63dquickextras6               	       0        3        0        0        3
38151 libqt63dquickinput6                	       0        2        0        0        2
38152 libqt63dquickrender6               	       0        2        0        0        2
38153 libqt63dquickscene2d6              	       0        4        0        0        4
38154 libqt63dquickscene3d6              	       0        1        0        0        1
38155 libqt63drender6                    	       0        5        0        0        5
38156 libqt6bodymovin6                   	       0       14        0        0       14
38157 libqt6charts6                      	       0       38        0        1       37
38158 libqt6chartsqml6                   	       0        5        0        0        5
38159 libqt6concurrent6                  	       0      144        1        1      142
38160 libqt6concurrent6t64               	       0        2        0        0        2
38161 libqt6core6                        	       0      443        4        9      430
38162 libqt6datavisualization6           	       0        3        0        0        3
38163 libqt6datavisualizationqml6        	       0        2        0        0        2
38164 libqt6dbus6t64                     	       0        8        0        0        8
38165 libqt6designer6                    	       0       86        0        1       85
38166 libqt6designercomponents6          	       0       81        0        1       80
38167 libqt6graphs6                      	       0        1        0        0        1
38168 libqt6graphswidgets6               	       0        1        0        0        1
38169 libqt6grpc6                        	       0        1        0        0        1
38170 libqt6grpcquick6                   	       0        1        0        0        1
38171 libqt6gui6t64                      	       0        8        0        0        8
38172 libqt6help6                        	       0       87        0        1       86
38173 libqt6httpserver6                  	       0        2        0        0        2
38174 libqt6hunspellinputmethod6         	       0        7        0        0        7
38175 libqt6jsonrpc6                     	       0       54        0        0       54
38176 libqt6keychain1                    	       0       32        5        3       24
38177 libqt6labsanimation6               	       0       13        0        0       13
38178 libqt6labsfolderlistmodel6         	       0       15        0        0       15
38179 libqt6labsplatform6                	       0        6        1        0        5
38180 libqt6labsqmlmodels6               	       0       26        0        0       26
38181 libqt6labssettings6                	       0       15        0        0       15
38182 libqt6labssharedimage6             	       0       13        0        0       13
38183 libqt6labswavefrontmesh6           	       0       13        0        0       13
38184 libqt6languageserver6              	       0       54        0        0       54
38185 libqt6location6                    	       0        1        0        0        1
38186 libqt6multimediaquick6             	       0       24        0        0       24
38187 libqt6multimediawidgets6           	       0       53        0        0       53
38188 libqt6network6t64                  	       0        8        0        0        8
38189 libqt6networkauth6                 	       0       19        0        0       19
38190 libqt6nfc6                         	       0        3        0        0        3
38191 libqt6opengl6t64                   	       0        8        0        0        8
38192 libqt6openglwidgets6               	       0      352        3        4      345
38193 libqt6openglwidgets6t64            	       0        3        0        0        3
38194 libqt6pas-dev                      	       0        1        0        0        1
38195 libqt6pas6                         	       0        3        0        0        3
38196 libqt6pas6-dev                     	       0        2        0        0        2
38197 libqt6pdf6                         	       0       18        2        1       15
38198 libqt6pdfquick6                    	       0       10        0        0       10
38199 libqt6pdfwidgets6                  	       0       12        0        0       12
38200 libqt6positioning6-plugins         	       0       34        1        0       33
38201 libqt6positioningquick6            	       0       34        0        0       34
38202 libqt6printsupport6t64             	       0        3        0        0        3
38203 libqt6protobuf6                    	       0        1        0        0        1
38204 libqt6protobufqtcoretypes6         	       0        1        0        0        1
38205 libqt6protobufqtguitypes6          	       0        1        0        0        1
38206 libqt6protobufquick6               	       0        1        0        0        1
38207 libqt6protobufwellknowntypes6      	       0        1        0        0        1
38208 libqt6qmlcompiler6                 	       0       54        0        0       54
38209 libqt6qmlcore6                     	       0       13        0        0       13
38210 libqt6qmllocalstorage6             	       0       14        0        0       14
38211 libqt6qmlnetwork6                  	       0        6        0        0        6
38212 libqt6qmlxmllistmodel6             	       0       15        0        0       15
38213 libqt6quick3d6                     	       0       67        0        0       67
38214 libqt6quick3dassetimport6          	       0       50        0        0       50
38215 libqt6quick3dassetutils6           	       0       50        0        0       50
38216 libqt6quick3deffects6              	       0        2        0        0        2
38217 libqt6quick3dglslparser6           	       0        2        0        0        2
38218 libqt6quick3dhelpers6              	       0        2        0        0        2
38219 libqt6quick3diblbaker6             	       0        2        0        0        2
38220 libqt6quick3dparticleeffects6      	       0        2        0        0        2
38221 libqt6quick3dparticles6            	       0       39        0        0       39
38222 libqt6quick3dphysics6              	       0        3        0        0        3
38223 libqt6quick3dphysicshelpers6       	       0        2        0        0        2
38224 libqt6quick3druntimerender6        	       0       67        0        0       67
38225 libqt6quick3dutils6                	       0       67        0        0       67
38226 libqt6quick3dxr6                   	       0        1        0        0        1
38227 libqt6quickcontrols2impl6          	       0       67        0        0       67
38228 libqt6quickdialogs2-6              	       0       28        0        0       28
38229 libqt6quickdialogs2quickimpl6      	       0       28        0        0       28
38230 libqt6quickdialogs2utils6          	       0       28        0        0       28
38231 libqt6quicklayouts6                	       0       66        0        0       66
38232 libqt6quickparticles6              	       0       13        0        0       13
38233 libqt6quickshapes6                 	       0      110        2        5      103
38234 libqt6quicktest6                   	       0       59        0        0       59
38235 libqt6quicktimeline6               	       0       42        0        0       42
38236 libqt6quickvectorimage6            	       0        1        0        0        1
38237 libqt6quickvectorimagegenerator6   	       0        1        0        0        1
38238 libqt6remoteobjects6               	       0        6        0        0        6
38239 libqt6remoteobjectsqml6            	       0        2        0        0        2
38240 libqt6scxml6                       	       0        3        0        0        3
38241 libqt6scxmlqml6                    	       0        2        0        0        2
38242 libqt6sensorsquick6                	       0        2        0        0        2
38243 libqt6serialbus6                   	       0        3        0        0        3
38244 libqt6serialport6                  	       0       86        0        0       86
38245 libqt6spatialaudio6                	       0       50        0        0       50
38246 libqt6sql6-ibase                   	       0        4        0        0        4
38247 libqt6sql6-mysql                   	       0       14        1        0       13
38248 libqt6sql6-odbc                    	       0        2        0        0        2
38249 libqt6sql6-psql                    	       0        3        0        0        3
38250 libqt6sql6t64                      	       0        2        0        0        2
38251 libqt6statemachine6                	       0       28        0        0       28
38252 libqt6statemachineqml6             	       0       11        0        0       11
38253 libqt6svgwidgets6                  	       0      259        1        3      255
38254 libqt6test6                        	       0      317        0        1      316
38255 libqt6test6t64                     	       0        1        0        0        1
38256 libqt6uitools6                     	       0       91        0        0       91
38257 libqt6virtualkeyboard6             	       0       40        2        1       37
38258 libqt6waylandcompositor6           	       0      288        0        0      288
38259 libqt6waylandcompositoriviapplication6	       0        1        0        0        1
38260 libqt6waylandcompositorpresentationtime6	       0        1        0        0        1
38261 libqt6waylandcompositorwlshell6    	       0        1        0        0        1
38262 libqt6waylandcompositorxdgshell6   	       0        1        0        0        1
38263 libqt6waylandeglclienthwintegration6	       0      154        0        0      154
38264 libqt6waylandeglcompositorhwintegration6	       0      154        0        0      154
38265 libqt6webchannel6                  	       0      243        7        5      231
38266 libqt6webchannelquick6             	       0       58        0        0       58
38267 libqt6webengine6-data              	       0      244        4        3      237
38268 libqt6webenginecore6               	       0      242        7        5      230
38269 libqt6webenginequick6              	       0      230        0        0      230
38270 libqt6webenginequickdelegatesqml6  	       0        9        0        0        9
38271 libqt6webenginewidgets6            	       0      238        7        5      226
38272 libqt6websockets6                  	       0       21        1        1       19
38273 libqt6webview6                     	       0       24        0        0       24
38274 libqt6webviewquick6                	       0        3        0        0        3
38275 libqt6widgets6t64                  	       0        8        0        0        8
38276 libqt6wlshellintegration6          	       0      287        0        0      287
38277 libqt6xdg4                         	       0       12        0        4        8
38278 libqt6xdgiconloader4               	       0       12        0        4        8
38279 libqt6xml6t64                      	       0        1        0        0        1
38280 libqtassistantclient4              	       0       32        0        0       32
38281 libqtav-dev                        	       0        1        0        1        0
38282 libqtav-private-dev                	       0        1        0        1        0
38283 libqtav1                           	       0       16        0        0       16
38284 libqtavwidgets1                    	       0       16        0        0       16
38285 libqtcore4                         	       0      139        1        0      138
38286 libqtcore4-perl                    	       0        4        0        0        4
38287 libqtcurve-utils2                  	       0       78        0        8       70
38288 libqtdbus4                         	       0      133        1        0      132
38289 libqtdbustest1                     	       0        1        0        0        1
38290 libqtermwidget5-1-dev              	       0        2        0        2        0
38291 libqtermwidget6-2                  	       0       10        1        3        6
38292 libqtexengine1                     	       0        1        0        0        1
38293 libqtglib-2.0-0                    	       0        2        0        1        1
38294 libqtgstreamer-0.10-0              	       0        2        0        0        2
38295 libqtgstreamerutils-0.10-0         	       0        2        0        0        2
38296 libqtgui4                          	       0      136        1        0      135
38297 libqtgui4-perl                     	       0        4        1        3        0
38298 libqthreads-12                     	       0        1        0        0        1
38299 libqtilitools-dev                  	       0        1        0        1        0
38300 libqtkeychain0                     	       0        1        0        0        1
38301 libqtlocation1                     	       0        4        0        0        4
38302 libqtmirserver1                    	       0        1        0        0        1
38303 libqtmirserver2                    	       0        1        0        0        1
38304 libqtpropertybrowser4              	       0        1        0        0        1
38305 libqtruby4shared2                  	       0        6        0        0        6
38306 libqtscript4-core                  	       0        5        0        0        5
38307 libqtscript4-gui                   	       0        5        0        0        5
38308 libqtscript4-network               	       0        5        0        0        5
38309 libqtscript4-opengl                	       0        1        0        0        1
38310 libqtscript4-phonon                	       0        1        0        0        1
38311 libqtscript4-qtbindings            	       0        1        0        0        1
38312 libqtscript4-sql                   	       0        5        0        0        5
38313 libqtscript4-svg                   	       0        1        0        0        1
38314 libqtscript4-uitools               	       0        5        0        0        5
38315 libqtscript4-webkit                	       0        1        0        0        1
38316 libqtscript4-xml                   	       0        5        0        0        5
38317 libqtscript4-xmlpatterns           	       0        1        0        0        1
38318 libqtsolutions-soap-2.7-1          	       0        1        0        0        1
38319 libqtspell-qt5-1                   	       0       18        0        0       18
38320 libqtspell-qt5-data                	       0       18        0        0       18
38321 libqtspell-qt6-1                   	       0        1        0        0        1
38322 libqtspell-qt6-data                	       0        1        0        0        1
38323 libqtspell-qt6-dev                 	       0        1        0        0        1
38324 libqtspell-qt6-html                	       0        1        0        0        1
38325 libqtwebkit-dev                    	       0        8        0        8        0
38326 libqtwebkit-qmlwebkitplugin        	       0        1        0        0        1
38327 libqtwebkit4                       	       0       61        1        0       60
38328 libquadmath0-dbgsym                	       0        1        0        1        0
38329 libquadmath0-i386-cross            	       0       13        0        0       13
38330 libquadmath0-ppc64el-cross         	       0        1        0        0        1
38331 libquadmath0-x32-cross             	       0        6        0        0        6
38332 libquadrule-dev                    	       0        1        0        1        0
38333 libquadrule1                       	       0        1        0        0        1
38334 libquantlib0v5                     	       0        1        0        1        0
38335 libquartz2-java                    	       0        1        0        0        1
38336 libquazip-dev                      	       0        1        0        0        1
38337 libquazip-doc                      	       0        2        0        0        2
38338 libquazip-headers                  	       0        1        0        1        0
38339 libquazip-qt5-1                    	       0        1        0        0        1
38340 libquazip-tulip-4.8-1              	       0        1        0        0        1
38341 libquazip1                         	       0        5        0        0        5
38342 libquazip1-qt5-1                   	       0        6        1        1        4
38343 libquazip1-qt5-1t64                	       0        7        1        0        6
38344 libquazip1-qt6-1                   	       0        2        0        0        2
38345 libquazip1-qt6-1t64                	       0        5        0        0        5
38346 libquazip1-qt6-doc                 	       0        1        0        0        1
38347 libquazip5-1                       	       0      219        1        1      217
38348 libquazip5-1t64                    	       0       22        0        0       22
38349 libquazip5-dev                     	       0       13        0       12        1
38350 libquazip5-headers                 	       0        2        0        2        0
38351 libquickfix-doc                    	       0        1        0        1        0
38352 libquicktime-dev                   	       0        3        0        3        0
38353 libquicktime1                      	       0        1        0        1        0
38354 libquicktime2                      	       0      180        0        0      180
38355 libquicktimehv-1.6.0-1             	       0        1        0        0        1
38356 libquorum-dev                      	       0        2        0        2        0
38357 libquorum5                         	       0        8        0        2        6
38358 libquota-perl                      	       0        2        0        2        0
38359 libquotient-dev                    	       0        2        0        2        0
38360 libquotient0.6                     	       0       19        0        0       19
38361 libquotientqt6-0.8                 	       0        2        0        0        2
38362 libqupzilla1                       	       0        7        0        0        7
38363 libquvi-0.9-0.9.3                  	       0      186        0        0      186
38364 libquvi-0.9-0.9.4                  	       0       13        0        0       13
38365 libquvi-0.9-dev                    	       0        1        0        1        0
38366 libquvi-0.9-doc                    	       0        1        0        0        1
38367 libquvi-dev                        	       0        1        0        1        0
38368 libquvi-scripts                    	       0       27        1       26        0
38369 libquvi0                           	       0        1        0        0        1
38370 libquvi7                           	       0       26        0        0       26
38371 libqwt-headers                     	       0        5        0        0        5
38372 libqwt-qt5-6                       	       0      136        1        2      133
38373 libqwt-qt5-dev                     	       0       17        0       17        0
38374 libqwt5-qt4                        	       0        5        0        0        5
38375 libqwt5-qt4-dev                    	       0        1        0        1        0
38376 libqwt6                            	       0        3        0        0        3
38377 libqwt6abi1                        	       0        7        0        0        7
38378 libqwtplot3d-qt5-0                 	       0        2        0        0        2
38379 libqwtplot3d-qt5-dev               	       0        1        0        1        0
38380 libqxmpp3                          	       0        3        0        0        3
38381 libqxt-core0                       	       0        4        0        0        4
38382 libqxt-gui0                        	       0        4        0        0        4
38383 libqzion0a                         	       0        1        0        0        1
38384 libr-dev                           	       0        2        0        2        0
38385 libr0                              	       0       20        0        4       16
38386 librabbitmq-dev                    	       0        8        0        8        0
38387 librabbitmq1                       	       0        1        0        0        1
38388 librack-ruby1.9.1                  	       0        1        0        0        1
38389 libradare2-1.1                     	       0        3        0        0        3
38390 libradare2-5.0.0                   	       0        1        0        0        1
38391 libradare2-5.0.0t64                	       0        6        0        0        6
38392 libradare2-common                  	       0        9        0        0        9
38393 libradare2-dev                     	       0        9        1        8        0
38394 libradcli-dev                      	       0       14        0       14        0
38395 libradcli4                         	       0       59        4        6       49
38396 libradiusclient-ng2                	       0        2        0        0        2
38397 librados-dev                       	       0        6        1        5        0
38398 librados2                          	       0      749        2        2      745
38399 libradosstriper1                   	       0        7        0        0        7
38400 libraft2                           	       0       10        2        6        2
38401 libramaze-ruby1.9.1                	       0        1        0        0        1
38402 librandom-numbers-dev              	       0        1        0        1        0
38403 librandom-numbers0d                	       0        1        0        0        1
38404 librandombytes1                    	       0        1        0        0        1
38405 librandomx-dev                     	       0        1        0        1        0
38406 librandomx0                        	       0       15        0        2       13
38407 librange-v3-dev                    	       0        3        0        3        0
38408 librange-v3-doc                    	       0        3        0        0        3
38409 libranlip-dev                      	       0        1        0        1        0
38410 libranlip1c2                       	       0        1        0        1        0
38411 libraptor1                         	       0        6        0        0        6
38412 libraptor2-doc                     	       0        2        0        0        2
38413 libraqm-dev                        	       0       11        0       11        0
38414 libraqm0                           	       0     1414        6       18     1390
38415 librarian-puppet                   	       0        1        0        1        0
38416 librarian0                         	       0       99        0        0       99
38417 libraspberrypi-bin                 	       0        1        0        1        0
38418 libraspberrypi0                    	       0        2        0        2        0
38419 libraspell-ruby1.9.1               	       0        3        0        0        3
38420 librasqal0                         	       0        1        0        0        1
38421 librasqal2                         	       0        2        0        0        2
38422 librasqal3-dev                     	       0        4        1        3        0
38423 librasqal3-doc                     	       0        1        0        0        1
38424 librasqal3t64                      	       0      189        3        3      183
38425 librasterlite2-1                   	       0        8        0        0        8
38426 librasterlite2-dev                 	       0        1        0        1        0
38427 libratbag-tools                    	       0        2        0        0        2
38428 libratpoints-2.1.3                 	       0       10        0        0       10
38429 libratpoints-dev                   	       0        9        0        9        0
38430 libraven0                          	       0        7        1        0        6
38431 libraw-doc                         	       0        2        0        0        2
38432 libraw10                           	       0       13        0        0       13
38433 libraw1394-8                       	       0        3        0        0        3
38434 libraw1394-doc                     	       0        5        0        0        5
38435 libraw1394-tools                   	       0       56        1       55        0
38436 libraw15                           	       0       85        0        0       85
38437 libraw19                           	       0      174        0        1      173
38438 libraw23                           	       0       18        0        5       13
38439 libraw5                            	       0        5        0        0        5
38440 libraynes-fs-clojure               	       0        1        0        0        1
38441 librazik-apt                       	       0        1        0        0        1
38442 librazik-banquesdeson-all          	       0        1        0        0        1
38443 librazik-gig-all                   	       0        1        0        0        1
38444 librazik-keyring                   	       0        1        0        0        1
38445 librazik-sf2-all                   	       0        1        0        0        1
38446 librazik-sf3-all                   	       0        1        0        0        1
38447 librazik-sfz-all                   	       0        1        0        0        1
38448 librb-inotify-ruby1.9.1            	       0        1        0        0        1
38449 librbac-client-clojure             	       0        1        0        0        1
38450 librbd-dev                         	       0        4        1        3        0
38451 librbd1                            	       0      553        2       12      539
38452 librbio2                           	       0       30        0        0       30
38453 librbio4                           	       0        4        0        0        4
38454 librbl1                            	       0       40       11       21        8
38455 librcc-dev                         	       0        2        0        2        0
38456 librccui0                          	       0        2        0        0        2
38457 librcd-dev                         	       0        2        0        2        0
38458 librcd0                            	       0      242        0        0      242
38459 librcpputils-dev                   	       0        1        0        0        1
38460 librcpputils1d                     	       0        2        0        0        2
38461 librcutils-dev                     	       0        1        0        1        0
38462 librcutils1d                       	       0        2        0        0        2
38463 librda-bin                         	       0        1        0        1        0
38464 librda-common                      	       0      509        0        0      509
38465 librda-dev                         	       0        1        0        1        0
38466 librdf-icalendar-perl              	       0        1        0        1        0
38467 librdf-ldf-perl                    	       0        1        0        1        0
38468 librdf-ns-curated-perl             	       0        2        0        2        0
38469 librdf-ns-perl                     	       0        4        0        4        0
38470 librdf-perl                        	       0        3        0        3        0
38471 librdf-prefixes-perl               	       0        2        0        2        0
38472 librdf-query-client-perl           	       0        2        0        2        0
38473 librdf-query-perl                  	       0        2        0        2        0
38474 librdf-rdfa-generator-perl         	       0        1        0        1        0
38475 librdf-rdfa-parser-perl            	       0        3        0        3        0
38476 librdf-storage-mysql               	       0        1        0        0        1
38477 librdf-storage-postgresql          	       0        1        0        0        1
38478 librdf-storage-sqlite              	       0        1        0        0        1
38479 librdf-storage-virtuoso            	       0        1        0        0        1
38480 librdf-trin3-perl                  	       0        2        0        2        0
38481 librdf-trine-node-literal-xml-perl 	       0        3        0        3        0
38482 librdf-trine-perl                  	       0        4        0        4        0
38483 librdf-trinex-functions-perl       	       0        4        0        4        0
38484 librdf-vcard-perl                  	       0        1        0        1        0
38485 librdf0-dev                        	       0        4        1        3        0
38486 librdf0t64                         	       0      190        3        3      184
38487 librdfa-java                       	       0        2        0        0        2
38488 librdkafka++1                      	       0        2        0        0        2
38489 librdkafka-dev                     	       0        2        0        2        0
38490 librdkafka1                        	       0       45        0        1       44
38491 librdmacm-dev                      	       0        6        1        5        0
38492 librdmacm1t64                      	       0       81        2        1       78
38493 librdp-taxonomy-tree-java          	       0        1        0        0        1
38494 libre                              	       0        1        0        0        1
38495 libre-dbgsym                       	       0        1        0        1        0
38496 libre-dev                          	       0        2        0        2        0
38497 libre-engine-re2-perl              	       0      183        0        0      183
38498 libre-ocaml-dev                    	       0        1        0        1        0
38499 libre0                             	       0       16        0        0       16
38500 libre2-1                           	       0        1        0        0        1
38501 libre2-10                          	       0       20        0        5       15
38502 libre2-11                          	       0      135        1        2      132
38503 libre2-2                           	       0        1        0        0        1
38504 libre2-3                           	       0       27        0        0       27
38505 libre2-5                           	       0       93        1        0       92
38506 libre2-8                           	       0        2        0        0        2
38507 libre2-dev                         	       0       14        0       14        0
38508 libreact-ocaml                     	       0        4        0        3        1
38509 libreact-ocaml-dev                 	       0        3        0        3        0
38510 libreactive-streams-java           	       0        3        0        0        3
38511 libreactivedata-ocaml              	       0        1        0        1        0
38512 libreactivedata-ocaml-dev          	       0        1        0        1        0
38513 libreadline-java                   	       0        8        0        8        0
38514 libreadline-java-doc               	       0        1        0        0        1
38515 libreadline4                       	       0        3        0        0        3
38516 libreadline5                       	       0      287        0        0      287
38517 libreadline6                       	       0      302        0        0      302
38518 libreadline6-dev                   	       0        6        0        6        0
38519 libreadline7                       	       0      799        0        2      797
38520 libreadline8-dbgsym                	       0        1        0        1        0
38521 libreadonly-xs-perl                	       0        1        0        0        1
38522 libreadosm-dev                     	       0        1        0        1        0
38523 libreadosm1                        	       0        9        0        0        9
38524 libreadstat1                       	       0       17        0        0       17
38525 libreadstat1t64                    	       0        1        0        0        1
38526 librec-dev                         	       0        1        0        1        0
38527 librec1                            	       0       14        0        0       14
38528 librecad                           	       0      105        4      101        0
38529 librecad-data                      	       0      107        1        1      105
38530 librecast-dev                      	       0        2        1        1        0
38531 librecast1                         	       0       13        0        0       13
38532 librecode-dev                      	       0        6        0        6        0
38533 librecode0                         	       0     1293        0        0     1293
38534 librecode3                         	       0       74        0        0       74
38535 librecoll                          	       0        2        0        0        2
38536 librecoll39                        	       0        5        0        0        5
38537 libredis-fast-perl                 	       0        1        0        0        1
38538 libref-array1                      	       0       13        0        7        6
38539 libref-util-xs-perl                	       0      704        4        5      695
38540 libreflectasm-java                 	       0       49        0        0       49
38541 libreflectasm-java-doc             	       0       35        0        0       35
38542 libreflections-java                	       0        4        0        0        4
38543 libregexp-common-email-address-perl	       0        7        1        6        0
38544 libregexp-common-net-cidr-perl     	       0        2        0        2        0
38545 libregexp-common-time-perl         	       0        1        0        1        0
38546 libregexp-debugger-perl            	       0        1        0        1        0
38547 libregexp-java                     	       0       69        0        0       69
38548 libregexp-java-doc                 	       0        1        0        0        1
38549 libregexp-optimizer-perl           	       0        1        0        1        0
38550 libregexp-pattern-defhash-perl     	       0        1        0        1        0
38551 libregexp-reggrp-perl              	       0        2        0        2        0
38552 libregexp-trie-perl                	       0        1        0        1        0
38553 libregf1                           	       0       14        0        0       14
38554 libregfi-dev                       	       0        1        0        1        0
38555 libregfi1                          	       0       27        0        0       27
38556 libregfi1t64                       	       0        1        0        0        1
38557 libregina3                         	       0        7        0        0        7
38558 libregina3-dev                     	       0        1        0        1        0
38559 libreiserfscore0                   	       0        3        0        0        3
38560 libreiserfscore0t64                	       0       56        0        0       56
38561 librelaxng-datatype-java           	       0      426        0        0      426
38562 librelaxngcc-java                  	       0        2        0        0        2
38563 librelp0                           	       0       13        2        2        9
38564 librem-dev                         	       0        1        0        1        0
38565 librem0                            	       0       15        0        0       15
38566 librenderdoc                       	       0        5        0        0        5
38567 librenderdoc-dev                   	       0        1        0        1        0
38568 libreoffice                        	       0      596        0        0      596
38569 libreoffice-avmedia-backend-vlc    	       0        2        0        2        0
38570 libreoffice-base-nogui             	       0        1        0        1        0
38571 libreoffice-calc-nogui             	       0        3        0        3        0
38572 libreoffice-canzeley-client        	       0        1        0        1        0
38573 libreoffice-core-nogui             	       0       12        0       12        0
38574 libreoffice-dev                    	       0        3        1        2        0
38575 libreoffice-dmaths                 	       0       10        1        9        0
38576 libreoffice-emailmerge             	       0        6        0        1        5
38577 libreoffice-filter-binfilter       	       0        1        0        1        0
38578 libreoffice-filter-mobiledev       	       0        1        0        1        0
38579 libreoffice-grammalecte            	       0        3        1        2        0
38580 libreoffice-gtk4                   	       0       10        0       10        0
38581 libreoffice-help-ca                	       0        6        0        0        6
38582 libreoffice-help-cs                	       0       27        0        0       27
38583 libreoffice-help-da                	       0        4        0        0        4
38584 libreoffice-help-de                	       0      261        0        0      261
38585 libreoffice-help-dz                	       0        2        0        0        2
38586 libreoffice-help-el                	       0       19        0        0       19
38587 libreoffice-help-en-gb             	       0      190        0        0      190
38588 libreoffice-help-en-us             	       0     2278        0        0     2278
38589 libreoffice-help-es                	       0      186        0        0      186
38590 libreoffice-help-et                	       0        4        0        0        4
38591 libreoffice-help-eu                	       0        3        0        0        3
38592 libreoffice-help-fi                	       0        7        0        0        7
38593 libreoffice-help-fr                	       0       84        0        0       84
38594 libreoffice-help-gl                	       0        2        0        0        2
38595 libreoffice-help-hi                	       0        2        0        0        2
38596 libreoffice-help-hu                	       0       15        0        0       15
38597 libreoffice-help-id                	       0        1        0        0        1
38598 libreoffice-help-it                	       0       73        0        0       73
38599 libreoffice-help-ja                	       0       31        0        0       31
38600 libreoffice-help-km                	       0        2        0        0        2
38601 libreoffice-help-ko                	       0        7        0        0        7
38602 libreoffice-help-nl                	       0       15        0        0       15
38603 libreoffice-help-om                	       0        1        0        0        1
38604 libreoffice-help-pl                	       0       42        0        0       42
38605 libreoffice-help-pt                	       0        2        0        0        2
38606 libreoffice-help-pt-br             	       0        2        0        0        2
38607 libreoffice-help-ru                	       0      113        0        0      113
38608 libreoffice-help-sk                	       0        4        0        0        4
38609 libreoffice-help-sl                	       0        2        0        0        2
38610 libreoffice-help-sv                	       0       11        0        0       11
38611 libreoffice-help-tr                	       0        1        0        0        1
38612 libreoffice-help-vi                	       0        1        0        0        1
38613 libreoffice-help-zh-cn             	       0        5        0        0        5
38614 libreoffice-help-zh-tw             	       0        3        0        0        3
38615 libreoffice-impress-templates-all  	       0        1        0        1        0
38616 libreoffice-kde                    	       0        9        1        8        0
38617 libreoffice-kde5                   	       0       17        0       12        5
38618 libreoffice-l10n-af                	       0        1        0        1        0
38619 libreoffice-l10n-am                	       0        1        0        1        0
38620 libreoffice-l10n-ar                	       0        2        0        2        0
38621 libreoffice-l10n-as                	       0        1        0        1        0
38622 libreoffice-l10n-ast               	       0        2        0        2        0
38623 libreoffice-l10n-be                	       0        4        0        4        0
38624 libreoffice-l10n-bg                	       0        3        0        3        0
38625 libreoffice-l10n-bn                	       0        2        0        2        0
38626 libreoffice-l10n-br                	       0        1        0        1        0
38627 libreoffice-l10n-bs                	       0        2        0        2        0
38628 libreoffice-l10n-ca                	       0        8        0        8        0
38629 libreoffice-l10n-cy                	       0        2        0        2        0
38630 libreoffice-l10n-dz                	       0        3        0        3        0
38631 libreoffice-l10n-en-za             	       0       17        0       17        0
38632 libreoffice-l10n-eo                	       0        7        1        6        0
38633 libreoffice-l10n-et                	       0        5        0        5        0
38634 libreoffice-l10n-eu                	       0        4        0        4        0
38635 libreoffice-l10n-fa                	       0        2        0        2        0
38636 libreoffice-l10n-ga                	       0        2        0        2        0
38637 libreoffice-l10n-gd                	       0        1        0        1        0
38638 libreoffice-l10n-gl                	       0        3        0        3        0
38639 libreoffice-l10n-gu                	       0        2        0        2        0
38640 libreoffice-l10n-gug               	       0        1        0        1        0
38641 libreoffice-l10n-he                	       0        4        0        4        0
38642 libreoffice-l10n-hi                	       0        3        0        3        0
38643 libreoffice-l10n-hr                	       0        3        0        3        0
38644 libreoffice-l10n-hu                	       0       16        1       15        0
38645 libreoffice-l10n-id                	       0        3        0        3        0
38646 libreoffice-l10n-is                	       0        2        0        2        0
38647 libreoffice-l10n-ka                	       0        2        0        2        0
38648 libreoffice-l10n-kk                	       0        1        0        1        0
38649 libreoffice-l10n-km                	       0        3        0        3        0
38650 libreoffice-l10n-kmr               	       0        1        0        1        0
38651 libreoffice-l10n-kn                	       0        1        0        1        0
38652 libreoffice-l10n-ko                	       0        8        0        8        0
38653 libreoffice-l10n-lt                	       0        2        0        2        0
38654 libreoffice-l10n-lv                	       0        2        0        2        0
38655 libreoffice-l10n-mk                	       0        2        0        2        0
38656 libreoffice-l10n-ml                	       0        2        0        2        0
38657 libreoffice-l10n-mn                	       0        1        0        1        0
38658 libreoffice-l10n-mr                	       0        2        0        2        0
38659 libreoffice-l10n-ne                	       0        2        0        2        0
38660 libreoffice-l10n-nr                	       0        1        0        1        0
38661 libreoffice-l10n-nso               	       0        1        0        1        0
38662 libreoffice-l10n-oc                	       0        1        0        1        0
38663 libreoffice-l10n-om                	       0        2        0        2        0
38664 libreoffice-l10n-or                	       0        1        0        1        0
38665 libreoffice-l10n-pa-in             	       0        2        0        2        0
38666 libreoffice-l10n-pt                	       0        4        0        4        0
38667 libreoffice-l10n-ro                	       0        6        0        6        0
38668 libreoffice-l10n-rw                	       0        1        0        1        0
38669 libreoffice-l10n-si                	       0        2        0        2        0
38670 libreoffice-l10n-sk                	       0        9        0        9        0
38671 libreoffice-l10n-sl                	       0        3        0        3        0
38672 libreoffice-l10n-sr                	       0        2        0        2        0
38673 libreoffice-l10n-ss                	       0        1        0        1        0
38674 libreoffice-l10n-st                	       0        1        0        1        0
38675 libreoffice-l10n-sv                	       0       15        0       15        0
38676 libreoffice-l10n-szl               	       0        1        0        1        0
38677 libreoffice-l10n-ta                	       0        2        0        2        0
38678 libreoffice-l10n-te                	       0        2        0        2        0
38679 libreoffice-l10n-tg                	       0        1        0        1        0
38680 libreoffice-l10n-th                	       0        2        0        2        0
38681 libreoffice-l10n-tn                	       0        1        0        1        0
38682 libreoffice-l10n-ts                	       0        1        0        1        0
38683 libreoffice-l10n-ug                	       0        2        0        2        0
38684 libreoffice-l10n-uk                	       0       13        0       13        0
38685 libreoffice-l10n-uz                	       0        1        0        1        0
38686 libreoffice-l10n-ve                	       0        1        0        1        0
38687 libreoffice-l10n-vi                	       0        3        0        3        0
38688 libreoffice-l10n-xh                	       0        2        0        2        0
38689 libreoffice-l10n-zh-tw             	       0        4        0        4        0
38690 libreoffice-l10n-zu                	       0        1        0        1        0
38691 libreoffice-lightproof-hu          	       0        4        0        4        0
38692 libreoffice-lightproof-pt-br       	       0        3        0        3        0
38693 libreoffice-lightproof-ru-ru       	       0       16        0       16        0
38694 libreoffice-mysql-connector        	       0        3        0        0        3
38695 libreoffice-numbertext             	       0       10        1        9        0
38696 libreoffice-officebean             	       0        1        0        1        0
38697 libreoffice-ogltrans               	       0       49        0       44        5
38698 libreoffice-parlatype              	       0        1        0        1        0
38699 libreoffice-pdfimport              	       0       51        1       45        5
38700 libreoffice-style-crystal          	       0        1        0        0        1
38701 libreoffice-style-hicontrast       	       0        2        0        1        1
38702 libreoffice-style-oxygen           	       0        4        1        2        1
38703 libreoffice-subsequentcheckbase    	       0        1        0        1        0
38704 libreoffice-systray                	       0        3        0        3        0
38705 libreoffice-tango-iconset          	       0        1        0        1        0
38706 libreoffice-writer-nogui           	       0        3        0        3        0
38707 libreoffice-writer2xhtml           	       0       12        1       11        0
38708 libreoffice24.2                    	       0        3        0        0        3
38709 libreoffice24.2-base               	       0        3        0        0        3
38710 libreoffice24.2-calc               	       0        3        0        0        3
38711 libreoffice24.2-de                 	       0        1        0        0        1
38712 libreoffice24.2-debian-menus       	       0        5        0        5        0
38713 libreoffice24.2-dict-de            	       0        1        0        0        1
38714 libreoffice24.2-dict-en            	       0        3        0        0        3
38715 libreoffice24.2-dict-es            	       0        3        0        0        3
38716 libreoffice24.2-dict-fr            	       0        3        0        0        3
38717 libreoffice24.2-draw               	       0        3        0        0        3
38718 libreoffice24.2-en-gb              	       0        1        0        0        1
38719 libreoffice24.2-en-us              	       0        3        0        0        3
38720 libreoffice24.2-fr                 	       0        1        0        0        1
38721 libreoffice24.2-impress            	       0        3        0        0        3
38722 libreoffice24.2-math               	       0        3        0        0        3
38723 libreoffice24.2-ure                	       0        5        0        0        5
38724 libreoffice24.2-writer             	       0        3        0        0        3
38725 libreoffice24.8                    	       0       11        0        2        9
38726 libreoffice24.8-base               	       0       10        0        0       10
38727 libreoffice24.8-calc               	       0       11        0        0       11
38728 libreoffice24.8-de                 	       0        2        0        0        2
38729 libreoffice24.8-debian-menus       	       0       11        1       10        0
38730 libreoffice24.8-dict-de            	       0        2        0        0        2
38731 libreoffice24.8-dict-el            	       0        1        0        0        1
38732 libreoffice24.8-dict-en            	       0       11        0        0       11
38733 libreoffice24.8-dict-es            	       0       10        0        0       10
38734 libreoffice24.8-dict-fr            	       0       10        0        0       10
38735 libreoffice24.8-draw               	       0       10        0        0       10
38736 libreoffice24.8-el                 	       0        1        0        0        1
38737 libreoffice24.8-en-gb              	       0        3        0        0        3
38738 libreoffice24.8-en-us              	       0       11        0        0       11
38739 libreoffice24.8-fr                 	       0        2        0        0        2
38740 libreoffice24.8-impress            	       0       10        0        0       10
38741 libreoffice24.8-math               	       0       10        0        0       10
38742 libreoffice24.8-ure                	       0       11        0        2        9
38743 libreoffice24.8-writer             	       0       11        0        0       11
38744 libreoffice25.2                    	       0        2        0        0        2
38745 libreoffice25.2-base               	       0        2        0        0        2
38746 libreoffice25.2-calc               	       0        2        0        0        2
38747 libreoffice25.2-dict-en            	       0        2        0        0        2
38748 libreoffice25.2-dict-es            	       0        2        0        0        2
38749 libreoffice25.2-dict-fr            	       0        2        0        0        2
38750 libreoffice25.2-draw               	       0        2        0        0        2
38751 libreoffice25.2-en-us              	       0        2        0        0        2
38752 libreoffice25.2-impress            	       0        2        0        0        2
38753 libreoffice25.2-math               	       0        2        0        0        2
38754 libreoffice25.2-ure                	       0        2        0        0        2
38755 libreoffice25.2-writer             	       0        2        0        0        2
38756 libreoffice4.3                     	       0        1        0        0        1
38757 libreoffice4.3-base                	       0        1        0        0        1
38758 libreoffice4.3-calc                	       0        1        0        0        1
38759 libreoffice4.3-debian-menus        	       0        1        1        0        0
38760 libreoffice4.3-dict-en             	       0        1        0        0        1
38761 libreoffice4.3-dict-es             	       0        1        0        0        1
38762 libreoffice4.3-dict-fr             	       0        1        0        0        1
38763 libreoffice4.3-draw                	       0        1        0        0        1
38764 libreoffice4.3-en-us               	       0        1        0        0        1
38765 libreoffice4.3-impress             	       0        1        0        0        1
38766 libreoffice4.3-math                	       0        1        0        0        1
38767 libreoffice4.3-ure                 	       0        1        0        0        1
38768 libreoffice4.3-writer              	       0        1        0        0        1
38769 libreoffice5.0                     	       0        1        0        0        1
38770 libreoffice5.0-base                	       0        1        0        0        1
38771 libreoffice5.0-calc                	       0        1        0        0        1
38772 libreoffice5.0-debian-menus        	       0        1        1        0        0
38773 libreoffice5.0-dict-en             	       0        1        0        0        1
38774 libreoffice5.0-dict-es             	       0        1        0        0        1
38775 libreoffice5.0-dict-fr             	       0        1        0        0        1
38776 libreoffice5.0-draw                	       0        1        0        0        1
38777 libreoffice5.0-en-us               	       0        1        0        0        1
38778 libreoffice5.0-impress             	       0        1        0        0        1
38779 libreoffice5.0-math                	       0        1        0        0        1
38780 libreoffice5.0-ure                 	       0        1        0        0        1
38781 libreoffice5.0-writer              	       0        1        0        0        1
38782 libreoffice5.1                     	       0        1        0        0        1
38783 libreoffice5.1-base                	       0        1        0        0        1
38784 libreoffice5.1-calc                	       0        1        0        0        1
38785 libreoffice5.1-debian-menus        	       0        2        1        1        0
38786 libreoffice5.1-dict-en             	       0        1        0        0        1
38787 libreoffice5.1-dict-es             	       0        1        0        0        1
38788 libreoffice5.1-dict-fr             	       0        1        0        0        1
38789 libreoffice5.1-draw                	       0        1        0        0        1
38790 libreoffice5.1-en-us               	       0        1        0        0        1
38791 libreoffice5.1-impress             	       0        1        0        0        1
38792 libreoffice5.1-math                	       0        1        0        0        1
38793 libreoffice5.1-ure                 	       0        1        0        0        1
38794 libreoffice5.1-writer              	       0        1        0        0        1
38795 libreoffice5.2                     	       0        1        0        0        1
38796 libreoffice5.2-base                	       0        1        0        0        1
38797 libreoffice5.2-calc                	       0        1        0        0        1
38798 libreoffice5.2-debian-menus        	       0        1        1        0        0
38799 libreoffice5.2-dict-en             	       0        1        0        0        1
38800 libreoffice5.2-dict-es             	       0        1        0        0        1
38801 libreoffice5.2-dict-fr             	       0        1        0        0        1
38802 libreoffice5.2-draw                	       0        1        0        0        1
38803 libreoffice5.2-en-us               	       0        1        0        0        1
38804 libreoffice5.2-impress             	       0        1        0        0        1
38805 libreoffice5.2-math                	       0        1        0        0        1
38806 libreoffice5.2-ure                 	       0        1        0        0        1
38807 libreoffice5.2-writer              	       0        1        0        0        1
38808 libreoffice5.4-debian-menus        	       0        1        0        1        0
38809 libreoffice6.0                     	       0        2        0        0        2
38810 libreoffice6.0-base                	       0        2        0        0        2
38811 libreoffice6.0-calc                	       0        2        0        0        2
38812 libreoffice6.0-debian-menus        	       0        3        1        2        0
38813 libreoffice6.0-dict-en             	       0        2        0        0        2
38814 libreoffice6.0-dict-es             	       0        2        0        0        2
38815 libreoffice6.0-dict-fr             	       0        2        0        0        2
38816 libreoffice6.0-draw                	       0        2        0        0        2
38817 libreoffice6.0-en-us               	       0        2        0        0        2
38818 libreoffice6.0-impress             	       0        2        0        0        2
38819 libreoffice6.0-math                	       0        2        0        0        2
38820 libreoffice6.0-ure                 	       0        3        0        0        3
38821 libreoffice6.0-writer              	       0        2        0        0        2
38822 libreoffice6.1-debian-menus        	       0        1        0        1        0
38823 libreoffice6.1-ure                 	       0        1        0        0        1
38824 libreoffice6.2                     	       0        2        0        0        2
38825 libreoffice6.2-base                	       0        2        0        0        2
38826 libreoffice6.2-calc                	       0        2        0        0        2
38827 libreoffice6.2-debian-menus        	       0        2        1        1        0
38828 libreoffice6.2-dict-en             	       0        2        0        0        2
38829 libreoffice6.2-dict-es             	       0        2        0        0        2
38830 libreoffice6.2-dict-fr             	       0        2        0        0        2
38831 libreoffice6.2-draw                	       0        2        0        0        2
38832 libreoffice6.2-en-us               	       0        2        0        0        2
38833 libreoffice6.2-impress             	       0        2        0        0        2
38834 libreoffice6.2-math                	       0        2        0        0        2
38835 libreoffice6.2-ure                 	       0        2        0        0        2
38836 libreoffice6.2-writer              	       0        2        0        0        2
38837 libreoffice6.3                     	       0        3        0        0        3
38838 libreoffice6.3-base                	       0        3        0        0        3
38839 libreoffice6.3-calc                	       0        3        0        0        3
38840 libreoffice6.3-debian-menus        	       0        3        1        2        0
38841 libreoffice6.3-dict-en             	       0        3        0        0        3
38842 libreoffice6.3-dict-es             	       0        2        0        0        2
38843 libreoffice6.3-dict-fr             	       0        2        0        0        2
38844 libreoffice6.3-draw                	       0        3        0        0        3
38845 libreoffice6.3-en-us               	       0        3        0        0        3
38846 libreoffice6.3-impress             	       0        3        0        0        3
38847 libreoffice6.3-math                	       0        3        0        0        3
38848 libreoffice6.3-ure                 	       0        3        0        0        3
38849 libreoffice6.3-writer              	       0        3        0        0        3
38850 libreoffice6.4                     	       0        4        0        0        4
38851 libreoffice6.4-base                	       0        4        0        0        4
38852 libreoffice6.4-calc                	       0        4        0        0        4
38853 libreoffice6.4-debian-menus        	       0        5        0        5        0
38854 libreoffice6.4-dict-en             	       0        4        0        0        4
38855 libreoffice6.4-dict-es             	       0        4        0        0        4
38856 libreoffice6.4-dict-fr             	       0        4        0        0        4
38857 libreoffice6.4-dict-ru             	       0        1        0        0        1
38858 libreoffice6.4-draw                	       0        4        0        0        4
38859 libreoffice6.4-en-us               	       0        4        0        0        4
38860 libreoffice6.4-fr                  	       0        1        0        0        1
38861 libreoffice6.4-impress             	       0        4        0        0        4
38862 libreoffice6.4-math                	       0        4        0        0        4
38863 libreoffice6.4-ru                  	       0        1        0        0        1
38864 libreoffice6.4-ure                 	       0        4        0        0        4
38865 libreoffice6.4-writer              	       0        4        0        0        4
38866 libreoffice7.1                     	       0        2        0        0        2
38867 libreoffice7.1-base                	       0        2        0        0        2
38868 libreoffice7.1-calc                	       0        2        0        0        2
38869 libreoffice7.1-debian-menus        	       0        2        0        2        0
38870 libreoffice7.1-dict-en             	       0        2        0        0        2
38871 libreoffice7.1-dict-es             	       0        2        0        0        2
38872 libreoffice7.1-dict-fr             	       0        2        0        0        2
38873 libreoffice7.1-draw                	       0        2        0        0        2
38874 libreoffice7.1-en-us               	       0        2        0        0        2
38875 libreoffice7.1-impress             	       0        2        0        0        2
38876 libreoffice7.1-math                	       0        2        0        0        2
38877 libreoffice7.1-ure                 	       0        2        0        0        2
38878 libreoffice7.1-writer              	       0        2        0        0        2
38879 libreoffice7.2-debian-menus        	       0        1        0        1        0
38880 libreoffice7.2-ure                 	       0        1        0        0        1
38881 libreoffice7.3                     	       0        1        0        0        1
38882 libreoffice7.3-base                	       0        1        0        0        1
38883 libreoffice7.3-calc                	       0        1        0        0        1
38884 libreoffice7.3-debian-menus        	       0        1        0        1        0
38885 libreoffice7.3-dict-en             	       0        1        0        0        1
38886 libreoffice7.3-dict-es             	       0        1        0        0        1
38887 libreoffice7.3-dict-fr             	       0        1        0        0        1
38888 libreoffice7.3-dict-ru             	       0        1        0        0        1
38889 libreoffice7.3-draw                	       0        1        0        0        1
38890 libreoffice7.3-en-us               	       0        1        0        0        1
38891 libreoffice7.3-impress             	       0        1        0        0        1
38892 libreoffice7.3-math                	       0        1        0        0        1
38893 libreoffice7.3-ru                  	       0        1        0        0        1
38894 libreoffice7.3-ure                 	       0        1        0        0        1
38895 libreoffice7.3-writer              	       0        1        0        0        1
38896 libreoffice7.4                     	       0       11        0        0       11
38897 libreoffice7.4-base                	       0        1        0        0        1
38898 libreoffice7.4-calc                	       0       11        0        0       11
38899 libreoffice7.4-debian-menus        	       0       11        0       11        0
38900 libreoffice7.4-dict-en             	       0        1        0        0        1
38901 libreoffice7.4-dict-fr             	       0        1        0        0        1
38902 libreoffice7.4-draw                	       0       11        0        0       11
38903 libreoffice7.4-en-us               	       0        1        0        0        1
38904 libreoffice7.4-impress             	       0       11        0        0       11
38905 libreoffice7.4-it                  	       0       10        0        0       10
38906 libreoffice7.4-math                	       0        1        0        0        1
38907 libreoffice7.4-ure                 	       0       12        0        0       12
38908 libreoffice7.4-writer              	       0       11        0        0       11
38909 libreoffice7.5                     	       0        4        0        0        4
38910 libreoffice7.5-base                	       0        4        0        0        4
38911 libreoffice7.5-calc                	       0        4        0        0        4
38912 libreoffice7.5-debian-menus        	       0        5        1        4        0
38913 libreoffice7.5-dict-en             	       0        4        0        0        4
38914 libreoffice7.5-dict-es             	       0        4        0        0        4
38915 libreoffice7.5-dict-fr             	       0        4        0        0        4
38916 libreoffice7.5-dict-nl             	       0        1        0        0        1
38917 libreoffice7.5-draw                	       0        4        0        0        4
38918 libreoffice7.5-en-us               	       0        4        0        0        4
38919 libreoffice7.5-impress             	       0        4        0        0        4
38920 libreoffice7.5-math                	       0        4        0        0        4
38921 libreoffice7.5-nl                  	       0        1        0        0        1
38922 libreoffice7.5-ure                 	       0        6        0        0        6
38923 libreoffice7.5-writer              	       0        4        0        0        4
38924 libreoffice7.6                     	       0        3        0        0        3
38925 libreoffice7.6-base                	       0        3        0        0        3
38926 libreoffice7.6-calc                	       0        3        0        0        3
38927 libreoffice7.6-debian-menus        	       0        4        0        4        0
38928 libreoffice7.6-dict-en             	       0        3        0        0        3
38929 libreoffice7.6-dict-es             	       0        3        0        0        3
38930 libreoffice7.6-dict-fr             	       0        3        0        0        3
38931 libreoffice7.6-draw                	       0        3        0        0        3
38932 libreoffice7.6-en-us               	       0        3        0        0        3
38933 libreoffice7.6-impress             	       0        3        0        0        3
38934 libreoffice7.6-math                	       0        3        0        0        3
38935 libreoffice7.6-ure                 	       0        5        0        0        5
38936 libreoffice7.6-writer              	       0        3        0        0        3
38937 libreofficebox-keyring             	       0        1        0        0        1
38938 libreofficekit-dev                 	       0        1        0        1        0
38939 librep-dev                         	       0        3        0        3        0
38940 librep16                           	       0       19        0        3       16
38941 librep9                            	       0        4        1        0        3
38942 librepfunc1                        	       0        1        0        0        1
38943 libreplaygain-dev                  	       0        2        0        2        0
38944 libreplaygain1                     	       0       35        0        0       35
38945 librepo0                           	       0        3        0        0        3
38946 librepository-java                 	       0      742        0        0      742
38947 librepository-java-doc             	       0        6        0        0        6
38948 libresample1                       	       0       25        4        7       14
38949 libresample1-dev                   	       0       12        0       12        0
38950 libresid-builder-dev               	       0       12        1       11        0
38951 libresid-builder0c2a               	       0     2194        8       20     2166
38952 libresolv-wrapper                  	       0        5        0        0        5
38953 libresource-retriever-dev          	       0        1        0        1        0
38954 libresource-retriever0d            	       0        1        0        0        1
38955 libressl                           	       0        1        0        1        0
38956 librest-application-perl           	       0        1        0        1        0
38957 librest-dev                        	       0        2        0        2        0
38958 librest-doc                        	       0        1        0        0        1
38959 librest-extras-0.7-0               	       0        7        0        0        7
38960 librest-extras-1.0-0               	       0        1        0        0        1
38961 librest-extras-dev                 	       0        1        0        1        0
38962 librestbed0                        	       0        4        0        0        4
38963 librestinio-dev                    	       0        1        0        0        1
38964 libresult-ocaml                    	       0        1        0        1        0
38965 libreswan                          	       0        1        0        1        0
38966 libretro-beetle-pce-fast           	       0        4        0        0        4
38967 libretro-beetle-psx                	       0        5        0        0        5
38968 libretro-beetle-vb                 	       0        4        0        0        4
38969 libretro-beetle-wswan              	       0        4        0        0        4
38970 libretro-bsnes-mercury-accuracy    	       0        8        0        0        8
38971 libretro-bsnes-mercury-balanced    	       0        5        0        0        5
38972 libretro-bsnes-mercury-performance 	       0        6        0        0        6
38973 libretro-core-info                 	       0       26        0        0       26
38974 libretro-desmume                   	       0        4        0        0        4
38975 libretro-gambatte                  	       0        4        0        0        4
38976 libretro-genesisplusgx             	       0        1        0        0        1
38977 libretro-gtk-1-0                   	       0        4        0        0        4
38978 libretro-gtk-1-dev                 	       0        3        0        3        0
38979 libretro-mgba                      	       0        5        0        0        5
38980 libretro-mupen64plus               	       0        1        0        0        1
38981 libretro-nestopia                  	       0        6        0        0        6
38982 libretro-snes9x                    	       0        7        0        0        7
38983 libreturn-multilevel-perl          	       0        1        0        1        0
38984 libreturn-type-perl                	       0        1        0        1        0
38985 libreturn-value-perl               	       0        1        0        1        0
38986 librevenge-dev                     	       0        7        1        6        0
38987 librevm0                           	       0        1        0        0        1
38988 librexml-ruby                      	       0        1        0        0        1
38989 librg-exception-perl               	       0        1        0        1        0
38990 librg-reprof-bundle-perl           	       0        1        0        1        0
38991 librg-utils-perl                   	       0        1        0        1        0
38992 librgw2                            	       0        5        0        0        5
38993 librgxg0                           	       0        1        0        0        1
38994 librhash-dev                       	       0       14        0       14        0
38995 librhash0                          	       0      634        0        1      633
38996 librhash0-dbgsym                   	       0        1        0        1        0
38997 librhash1                          	       0       51        0        0       51
38998 librhino-java                      	       0      273        0        0      273
38999 librhino-java-doc                  	       0        4        0        0        4
39000 librhonabwy0.9                     	       0        1        0        0        1
39001 librhonabwy1.1                     	       0        1        0        0        1
39002 librhvoice-audio2                  	       0        3        0        0        3
39003 librhvoice-core7                   	       0        3        0        0        3
39004 librhythmbox-core10                	       0      411        1        3      407
39005 librhythmbox-core8                 	       0        4        0        0        4
39006 librhythmbox-core9                 	       0        1        0        0        1
39007 libriemann-client-dev              	       0        2        0        2        0
39008 libriemann-client0                 	       0       42        0        0       42
39009 librime-bin                        	       0        6        0        6        0
39010 librime-data                       	       0        6        0        0        6
39011 librime-data-array30               	       0        1        0        0        1
39012 librime-data-bopomofo              	       0        3        0        0        3
39013 librime-data-cangjie5              	       0        2        0        0        2
39014 librime-data-combo-pinyin          	       0        1        0        0        1
39015 librime-data-double-pinyin         	       0        1        0        0        1
39016 librime-data-emoji                 	       0        1        0        0        1
39017 librime-data-ipa-xsampa            	       0        1        0        0        1
39018 librime-data-ipa-yunlong           	       0        1        0        0        1
39019 librime-data-jyutping              	       0        1        0        0        1
39020 librime-data-luna-pinyin           	       0        2        0        0        2
39021 librime-data-pinyin-simp           	       0        1        0        0        1
39022 librime-data-quick5                	       0        1        0        0        1
39023 librime-data-sampheng              	       0        1        0        0        1
39024 librime-data-scj6                  	       0        1        0        0        1
39025 librime-data-soutzoe               	       0        1        0        0        1
39026 librime-data-stenotype             	       0        1        0        0        1
39027 librime-data-stroke                	       0        2        0        0        2
39028 librime-data-terra-pinyin          	       0        2        0        0        2
39029 librime-data-wubi                  	       0        1        0        0        1
39030 librime-data-wugniu                	       0        1        0        0        1
39031 librime-data-zyenpheng             	       0        1        0        0        1
39032 librime-plugin-charcode            	       0        3        0        1        2
39033 librime-plugin-lua                 	       0        3        0        1        2
39034 librime-plugin-octagram            	       0        3        0        1        2
39035 librime1                           	       0        6        0        2        4
39036 libring-anti-forgery-clojure       	       0        1        0        0        1
39037 libring-codec-clojure              	       0        2        0        0        2
39038 libring-core-clojure               	       0        2        0        0        2
39039 libring-defaults-clojure           	       0        1        0        0        1
39040 libring-headers-clojure            	       0        1        0        0        1
39041 libring-json-clojure               	       0        1        0        0        1
39042 libring-mock-clojure               	       0        1        0        0        1
39043 libring-servlet-clojure            	       0        1        0        0        1
39044 libring-ssl-clojure                	       0        1        0        0        1
39045 librist-dev                        	       0        9        0        9        0
39046 librlog1c2a                        	       0        1        0        0        1
39047 librlog5                           	       0        2        0        0        2
39048 librlog5v5                         	       0        7        0        0        7
39049 librlottie0-1                      	       0      145        7       36      102
39050 librnd3                            	       0       10        0        0       10
39051 librnd3-cloud                      	       0        9        0        9        0
39052 librnd3-hid-gtk2-gdk               	       0        9        0        9        0
39053 librnd3-hid-gtk2-gl                	       0        9        0        9        0
39054 librnd3-lib-gl                     	       0        9        0        9        0
39055 librnd3-lib-gtk                    	       0        9        0        9        0
39056 librnd3-lib-gui                    	       0        9        0        9        0
39057 librnd3-pixmap                     	       0        9        0        9        0
39058 librnd4-cloud                      	       0        3        0        3        0
39059 librnd4-dev                        	       0        1        0        1        0
39060 librnd4-hid-gtk4-gl                	       0        3        0        3        0
39061 librnd4-lib-gl                     	       0        3        0        3        0
39062 librnd4-lib-gui                    	       0        3        0        3        0
39063 librnd4-pixmap                     	       0        3        0        3        0
39064 librnd4t64                         	       0        3        0        0        3
39065 librngom-java                      	       0      338        0        0      338
39066 librnnoise-dev                     	       0        1        0        1        0
39067 librnnoise0                        	       0        1        0        0        1
39068 librnp0                            	       0      115        5       16       94
39069 libroar-compat-tools               	       0        1        0        1        0
39070 libroar-compat1                    	       0        1        0        1        0
39071 libroar-compat2                    	       0        5        0        0        5
39072 libroar-dev                        	       0        1        0        1        0
39073 libroar-plugins-universal          	       0        1        0        0        1
39074 libroar1                           	       0        1        0        0        1
39075 libroar2                           	       0       11        0        0       11
39076 libroaring-dev                     	       0        1        0        1        0
39077 libroaring0                        	       0        1        0        0        1
39078 libroc0.3                          	       0       14        0        0       14
39079 libroc0.4                          	       0      103        0        0      103
39080 librocksdb7.8                      	       0        1        0        0        1
39081 librocm-smi-dev                    	       0        3        0        3        0
39082 librocm-smi64-1                    	       0       12        0        0       12
39083 librocrand1                        	       0        1        0        0        1
39084 librocsparse0                      	       0        1        0        0        1
39085 libroken18-heimdal                 	       0       47        0        0       47
39086 libroken19-heimdal                 	       0       46        4        6       36
39087 libroken19t64-heimdal              	       0        2        0        0        2
39088 librole-basic-perl                 	       0        1        0        1        0
39089 librole-commons-perl               	       0        3        0        3        0
39090 librole-eventemitter-perl          	       0        1        0        1        0
39091 librole-hasmessage-perl            	       0        1        0        1        0
39092 librole-identifiable-perl          	       0        1        0        1        0
39093 libroman-perl                      	       0       17        0       17        0
39094 librome-java                       	       0        1        0        0        1
39095 libros-rosgraph-msgs-dev           	       0        3        0        3        0
39096 librosbag-dev                      	       0        1        0        1        0
39097 librosbag-storage-dev              	       0        1        0        1        0
39098 librosbag-storage4d                	       0        1        0        0        1
39099 librosbag4d                        	       0        1        0        0        1
39100 librosconsole-bridge-dev           	       0        1        0        1        0
39101 librosconsole-bridge0d             	       0        1        0        0        1
39102 librosconsole-dev                  	       0        3        0        3        0
39103 librosconsole3d                    	       0        4        0        0        4
39104 libroscpp-core-dev                 	       0        3        0        3        0
39105 libroscpp-dev                      	       0        3        0        3        0
39106 libroscpp-msg-dev                  	       0        3        0        3        0
39107 libroscpp-serialization0d          	       0        4        0        0        4
39108 libroscpp3d                        	       0        2        0        0        2
39109 libroscpp4d                        	       0        3        0        0        3
39110 librose-datetime-perl              	       0        1        0        1        0
39111 librose-object-perl                	       0        2        0        2        0
39112 librose-uri-perl                   	       0        2        0        2        0
39113 libroslib-dev                      	       0        1        0        1        0
39114 libroslib0d                        	       0        2        0        0        2
39115 libroslz4-1d                       	       0        1        0        0        1
39116 libroslz4-dev                      	       0        1        0        1        0
39117 librospack-dev                     	       0        1        0        1        0
39118 librospack0d                       	       0        4        0        0        4
39119 librostest-dev                     	       0        1        0        1        0
39120 librostime1d                       	       0        4        0        0        4
39121 librouter-simple-perl              	       0        4        0        4        0
39122 libroutermanager0                  	       0        2        0        0        2
39123 libroutino-slim0                   	       0       22        0        0       22
39124 libroutino0                        	       0       22        0        0       22
39125 librpc-xml-perl                    	       0       10        0       10        0
39126 librpcsecgss-dev                   	       0        1        0        1        0
39127 librpcsecgss3                      	       0       28        0        0       28
39128 librplay3                          	       0       75        3        7       65
39129 librplay3-dev                      	       0        1        0        1        0
39130 librpm10                           	       0       42        0        0       42
39131 librpm3                            	       0       33        0        0       33
39132 librpm8                            	       0       24        0        0       24
39133 librpm9                            	       0      275        0        0      275
39134 librpm9t64                         	       0        1        0        0        1
39135 librpmbuild10                      	       0       21        0        0       21
39136 librpmbuild3                       	       0       26        0        0       26
39137 librpmbuild8                       	       0       19        0        0       19
39138 librpmbuild9                       	       0      186        0        0      186
39139 librpmbuild9t64                    	       0        1        0        0        1
39140 librpmio10                         	       0       42        0        0       42
39141 librpmio3                          	       0       33        0        0       33
39142 librpmio8                          	       0       24        0        0       24
39143 librpmio9                          	       0      277        0        0      277
39144 librpmio9t64                       	       0        1        0        0        1
39145 librpmsign1                        	       0        5        0        0        5
39146 librpmsign10                       	       0       34        0        0       34
39147 librpmsign3                        	       0       15        0        0       15
39148 librpmsign8                        	       0       19        0        0       19
39149 librpmsign9                        	       0      186        0        0      186
39150 librpmsign9t64                     	       0        1        0        0        1
39151 librqrcode-ruby1.9                 	       0        1        0        0        1
39152 librrd-dev                         	       0        5        0        5        0
39153 librrd-ruby1.9.1                   	       0        2        0        0        2
39154 librrd2                            	       0        1        0        0        1
39155 librrd4                            	       0       15        0        1       14
39156 librrd8                            	       0      135       11       25       99
39157 librrd8t64                         	       0        8        0        1        7
39158 librrdp-perl                       	       0        1        0        1        0
39159 librrds-perl                       	       0       78        4       13       61
39160 librrdtool-oo-perl                 	       0        1        0        1        0
39161 librresult-ocaml                   	       0        1        0        1        0
39162 librresult-ocaml-dev               	       0        1        0        1        0
39163 librsb-dev                         	       0        2        0        2        0
39164 librsb0                            	       0        2        0        0        2
39165 librsb0t64                         	       0        1        0        0        1
39166 librsl-dev                         	       0        1        0        1        0
39167 librsl1                            	       0        3        0        0        3
39168 librspec-ruby1.9.1                 	       0        1        0        0        1
39169 librsplib3                         	       0        2        0        0        2
39170 librss1-trinity                    	       0       25        0        0       25
39171 librsskit-dev                      	       0        1        0        1        0
39172 librsskit0d                        	       0        3        0        3        0
39173 librsvg2-doc                       	       0        3        0        0        3
39174 librsvg2-tests                     	       0        1        0        0        1
39175 librsync-dev                       	       0        5        0        5        0
39176 librsync1                          	       0       33        0        0       33
39177 librsync2                          	       0      356        1        9      346
39178 librsync2t64                       	       0       30        0        0       30
39179 librsyntaxtextarea-java            	       0       90        0        0       90
39180 librt-client-rest-perl             	       0        1        0        1        0
39181 librtas2                           	       0        3        0        0        3
39182 librtasevent2                      	       0        3        0        0        3
39183 librtaudio-dev                     	       0       13        0       13        0
39184 librtaudio5                        	       0        1        0        0        1
39185 librtaudio5a                       	       0       10        0        0       10
39186 librtaudio6                        	       0      281        0        5      276
39187 librtaudio7                        	       0       36        0        1       35
39188 librte-acl18.11                    	       0        5        0        0        5
39189 librte-acl2                        	       0        1        0        0        1
39190 librte-acl23                       	       0        2        0        0        2
39191 librte-baseband-acc23              	       0        2        0        0        2
39192 librte-baseband-fpga-5gnr-fec23    	       0        2        0        0        2
39193 librte-baseband-fpga-lte-fec23     	       0        2        0        0        2
39194 librte-baseband-la12xx23           	       0        2        0        0        2
39195 librte-baseband-null23             	       0        2        0        0        2
39196 librte-baseband-turbo-sw23         	       0        2        0        0        2
39197 librte-bbdev18.11                  	       0        5        0        0        5
39198 librte-bbdev23                     	       0        2        0        0        2
39199 librte-bitratestats18.11           	       0        5        0        0        5
39200 librte-bitratestats23              	       0        2        0        0        2
39201 librte-bpf18.11                    	       0        5        0        0        5
39202 librte-bpf23                       	       0        2        0        0        2
39203 librte-bus-auxiliary23             	       0        2        0        0        2
39204 librte-bus-dpaa18.11               	       0        1        0        0        1
39205 librte-bus-dpaa23                  	       0        2        0        0        2
39206 librte-bus-fslmc18.11              	       0        1        0        0        1
39207 librte-bus-fslmc23                 	       0        2        0        0        2
39208 librte-bus-ifpga18.11              	       0        1        0        0        1
39209 librte-bus-ifpga23                 	       0        2        0        0        2
39210 librte-bus-pci18.11                	       0        1        0        0        1
39211 librte-bus-pci23                   	       0        2        0        0        2
39212 librte-bus-vdev18.11               	       0        1        0        0        1
39213 librte-bus-vdev23                  	       0        2        0        0        2
39214 librte-bus-vmbus18.11              	       0        1        0        0        1
39215 librte-bus-vmbus23                 	       0        2        0        0        2
39216 librte-cfgfile18.11                	       0        5        0        0        5
39217 librte-cfgfile2                    	       0        1        0        0        1
39218 librte-cfgfile23                   	       0        2        0        0        2
39219 librte-cmdline18.11                	       0        5        0        0        5
39220 librte-cmdline2                    	       0        1        0        0        1
39221 librte-cmdline23                   	       0        2        0        0        2
39222 librte-common-cnxk23               	       0        2        0        0        2
39223 librte-common-cpt18.11             	       0        1        0        0        1
39224 librte-common-cpt23                	       0        2        0        0        2
39225 librte-common-dpaax18.11           	       0        1        0        0        1
39226 librte-common-dpaax23              	       0        2        0        0        2
39227 librte-common-iavf23               	       0        2        0        0        2
39228 librte-common-idpf23               	       0        2        0        0        2
39229 librte-common-mlx5-23              	       0        2        0        0        2
39230 librte-common-octeontx18.11        	       0        1        0        0        1
39231 librte-common-octeontx23           	       0        2        0        0        2
39232 librte-common-qat23                	       0        2        0        0        2
39233 librte-common-sfc-efx23            	       0        2        0        0        2
39234 librte-compress-isal23             	       0        2        0        0        2
39235 librte-compress-mlx5-23            	       0        2        0        0        2
39236 librte-compress-octeontx23         	       0        2        0        0        2
39237 librte-compress-zlib23             	       0        2        0        0        2
39238 librte-compressdev18.11            	       0        5        0        0        5
39239 librte-compressdev23               	       0        2        0        0        2
39240 librte-crypto-bcmfs23              	       0        2        0        0        2
39241 librte-crypto-caam-jr23            	       0        2        0        0        2
39242 librte-crypto-ccp23                	       0        2        0        0        2
39243 librte-crypto-cnxk23               	       0        2        0        0        2
39244 librte-crypto-dpaa-sec23           	       0        2        0        0        2
39245 librte-crypto-dpaa2-sec23          	       0        2        0        0        2
39246 librte-crypto-ipsec-mb23           	       0        2        0        0        2
39247 librte-crypto-mlx5-23              	       0        2        0        0        2
39248 librte-crypto-nitrox23             	       0        2        0        0        2
39249 librte-crypto-null23               	       0        2        0        0        2
39250 librte-crypto-octeontx23           	       0        2        0        0        2
39251 librte-crypto-openssl23            	       0        2        0        0        2
39252 librte-crypto-scheduler23          	       0        2        0        0        2
39253 librte-crypto-virtio23             	       0        2        0        0        2
39254 librte-cryptodev18.11              	       0        5        0        0        5
39255 librte-cryptodev2                  	       0        1        0        0        1
39256 librte-cryptodev20.0               	       0        1        0        0        1
39257 librte-cryptodev23                 	       0        2        0        0        2
39258 librte-distributor1                	       0        1        0        0        1
39259 librte-distributor18.11            	       0        5        0        0        5
39260 librte-distributor23               	       0        2        0        0        2
39261 librte-dma-cnxk23                  	       0        2        0        0        2
39262 librte-dma-dpaa2-23                	       0        2        0        0        2
39263 librte-dma-dpaa23                  	       0        2        0        0        2
39264 librte-dma-hisilicon23             	       0        2        0        0        2
39265 librte-dma-idxd23                  	       0        2        0        0        2
39266 librte-dma-ioat23                  	       0        2        0        0        2
39267 librte-dma-skeleton23              	       0        2        0        0        2
39268 librte-dmadev23                    	       0        2        0        0        2
39269 librte-eal18.11                    	       0        5        0        0        5
39270 librte-eal20.0                     	       0        1        0        0        1
39271 librte-eal21                       	       0        2        0        0        2
39272 librte-eal23                       	       0        7        0        0        7
39273 librte-eal3                        	       0        1        0        0        1
39274 librte-efd18.11                    	       0        5        0        0        5
39275 librte-efd23                       	       0        2        0        0        2
39276 librte-ethdev18.11                 	       0        5        0        0        5
39277 librte-ethdev21                    	       0        2        0        0        2
39278 librte-ethdev23                    	       0        7        0        0        7
39279 librte-ethdev5                     	       0        1        0        0        1
39280 librte-event-cnxk23                	       0        2        0        0        2
39281 librte-event-dlb2-23               	       0        2        0        0        2
39282 librte-event-dpaa2-23              	       0        2        0        0        2
39283 librte-event-dpaa23                	       0        2        0        0        2
39284 librte-event-dsw23                 	       0        2        0        0        2
39285 librte-event-octeontx23            	       0        2        0        0        2
39286 librte-event-opdl23                	       0        2        0        0        2
39287 librte-event-skeleton23            	       0        2        0        0        2
39288 librte-event-sw23                  	       0        2        0        0        2
39289 librte-eventdev18.11               	       0        5        0        0        5
39290 librte-eventdev23                  	       0        2        0        0        2
39291 librte-fib23                       	       0        2        0        0        2
39292 librte-flow-classify18.11          	       0        5        0        0        5
39293 librte-gpudev23                    	       0        2        0        0        2
39294 librte-graph23                     	       0        2        0        0        2
39295 librte-gro18.11                    	       0        5        0        0        5
39296 librte-gro23                       	       0        2        0        0        2
39297 librte-gso18.11                    	       0        5        0        0        5
39298 librte-gso23                       	       0        2        0        0        2
39299 librte-hash18.11                   	       0        5        0        0        5
39300 librte-hash2                       	       0        1        0        0        1
39301 librte-hash20.0                    	       0        1        0        0        1
39302 librte-hash23                      	       0        2        0        0        2
39303 librte-ip-frag1                    	       0        1        0        0        1
39304 librte-ip-frag18.11                	       0        5        0        0        5
39305 librte-ip-frag23                   	       0        2        0        0        2
39306 librte-ipsec0.200                  	       0        1        0        0        1
39307 librte-ipsec23                     	       0        2        0        0        2
39308 librte-jobstats1                   	       0        1        0        0        1
39309 librte-jobstats18.11               	       0        5        0        0        5
39310 librte-jobstats23                  	       0        2        0        0        2
39311 librte-kni18.11                    	       0        5        0        0        5
39312 librte-kni2                        	       0        1        0        0        1
39313 librte-kvargs1                     	       0        1        0        0        1
39314 librte-kvargs18.11                 	       0        5        0        0        5
39315 librte-kvargs20.0                  	       0        1        0        0        1
39316 librte-kvargs21                    	       0        2        0        0        2
39317 librte-kvargs23                    	       0        7        0        0        7
39318 librte-latencystats18.11           	       0        5        0        0        5
39319 librte-latencystats23              	       0        2        0        0        2
39320 librte-lpm18.11                    	       0        5        0        0        5
39321 librte-lpm2                        	       0        1        0        0        1
39322 librte-lpm23                       	       0        2        0        0        2
39323 librte-mbuf18.11                   	       0        5        0        0        5
39324 librte-mbuf2                       	       0        1        0        0        1
39325 librte-mbuf20.0                    	       0        1        0        0        1
39326 librte-mbuf21                      	       0        2        0        0        2
39327 librte-mbuf23                      	       0        7        0        0        7
39328 librte-member18.11                 	       0        5        0        0        5
39329 librte-member23                    	       0        2        0        0        2
39330 librte-mempool-bucket18.11         	       0        1        0        0        1
39331 librte-mempool-bucket23            	       0        2        0        0        2
39332 librte-mempool-cnxk23              	       0        2        0        0        2
39333 librte-mempool-dpaa18.11           	       0        1        0        0        1
39334 librte-mempool-dpaa2-18.11         	       0        1        0        0        1
39335 librte-mempool-dpaa2-23            	       0        2        0        0        2
39336 librte-mempool-dpaa23              	       0        2        0        0        2
39337 librte-mempool-octeontx18.11       	       0        1        0        0        1
39338 librte-mempool-octeontx23          	       0        2        0        0        2
39339 librte-mempool-ring18.11           	       0        1        0        0        1
39340 librte-mempool-ring23              	       0        2        0        0        2
39341 librte-mempool-stack18.11          	       0        1        0        0        1
39342 librte-mempool-stack23             	       0        2        0        0        2
39343 librte-mempool18.11                	       0        5        0        0        5
39344 librte-mempool2                    	       0        1        0        0        1
39345 librte-mempool20.0                 	       0        1        0        0        1
39346 librte-mempool21                   	       0        2        0        0        2
39347 librte-mempool23                   	       0        7        0        0        7
39348 librte-meta-all                    	       0        2        0        0        2
39349 librte-meta-allpmds                	       0        2        0        0        2
39350 librte-meta-baseband               	       0        2        0        0        2
39351 librte-meta-bus                    	       0        2        0        0        2
39352 librte-meta-common                 	       0        2        0        0        2
39353 librte-meta-compress               	       0        2        0        0        2
39354 librte-meta-crypto                 	       0        2        0        0        2
39355 librte-meta-dma                    	       0        2        0        0        2
39356 librte-meta-event                  	       0        2        0        0        2
39357 librte-meta-mempool                	       0        2        0        0        2
39358 librte-meta-net                    	       0        2        0        0        2
39359 librte-meta-raw                    	       0        2        0        0        2
39360 librte-meter1                      	       0        1        0        0        1
39361 librte-meter18.11                  	       0        5        0        0        5
39362 librte-meter21                     	       0        2        0        0        2
39363 librte-meter23                     	       0        7        0        0        7
39364 librte-metrics18.11                	       0        5        0        0        5
39365 librte-metrics23                   	       0        2        0        0        2
39366 librte-net-af-packet23             	       0        2        0        0        2
39367 librte-net-af-xdp23                	       0        2        0        0        2
39368 librte-net-ark23                   	       0        2        0        0        2
39369 librte-net-atlantic23              	       0        2        0        0        2
39370 librte-net-avp23                   	       0        2        0        0        2
39371 librte-net-axgbe23                 	       0        2        0        0        2
39372 librte-net-bnx2x23                 	       0        2        0        0        2
39373 librte-net-bnxt23                  	       0        2        0        0        2
39374 librte-net-bond23                  	       0        2        0        0        2
39375 librte-net-cnxk23                  	       0        2        0        0        2
39376 librte-net-cxgbe23                 	       0        2        0        0        2
39377 librte-net-dpaa2-23                	       0        2        0        0        2
39378 librte-net-dpaa23                  	       0        2        0        0        2
39379 librte-net-e1000-23                	       0        2        0        0        2
39380 librte-net-ena23                   	       0        2        0        0        2
39381 librte-net-enetc23                 	       0        2        0        0        2
39382 librte-net-enetfec23               	       0        2        0        0        2
39383 librte-net-enic23                  	       0        2        0        0        2
39384 librte-net-failsafe23              	       0        2        0        0        2
39385 librte-net-fm10k23                 	       0        2        0        0        2
39386 librte-net-gve23                   	       0        2        0        0        2
39387 librte-net-hinic23                 	       0        2        0        0        2
39388 librte-net-hns3-23                 	       0        2        0        0        2
39389 librte-net-i40e23                  	       0        2        0        0        2
39390 librte-net-iavf23                  	       0        2        0        0        2
39391 librte-net-ice23                   	       0        2        0        0        2
39392 librte-net-idpf23                  	       0        2        0        0        2
39393 librte-net-igc23                   	       0        2        0        0        2
39394 librte-net-ionic23                 	       0        2        0        0        2
39395 librte-net-ipn3ke23                	       0        2        0        0        2
39396 librte-net-ixgbe23                 	       0        2        0        0        2
39397 librte-net-liquidio23              	       0        2        0        0        2
39398 librte-net-memif23                 	       0        2        0        0        2
39399 librte-net-mlx4-23                 	       0        2        0        0        2
39400 librte-net-mlx5-23                 	       0        2        0        0        2
39401 librte-net-netvsc23                	       0        2        0        0        2
39402 librte-net-nfp23                   	       0        2        0        0        2
39403 librte-net-ngbe23                  	       0        2        0        0        2
39404 librte-net-null23                  	       0        2        0        0        2
39405 librte-net-octeon-ep23             	       0        2        0        0        2
39406 librte-net-octeontx23              	       0        2        0        0        2
39407 librte-net-pcap23                  	       0        2        0        0        2
39408 librte-net-pfe23                   	       0        2        0        0        2
39409 librte-net-qede23                  	       0        2        0        0        2
39410 librte-net-ring23                  	       0        2        0        0        2
39411 librte-net-sfc23                   	       0        2        0        0        2
39412 librte-net-softnic23               	       0        2        0        0        2
39413 librte-net-tap23                   	       0        2        0        0        2
39414 librte-net-thunderx23              	       0        2        0        0        2
39415 librte-net-txgbe23                 	       0        2        0        0        2
39416 librte-net-vdev-netvsc23           	       0        2        0        0        2
39417 librte-net-vhost23                 	       0        2        0        0        2
39418 librte-net-virtio23                	       0        2        0        0        2
39419 librte-net-vmxnet3-23              	       0        2        0        0        2
39420 librte-net1                        	       0        1        0        0        1
39421 librte-net18.11                    	       0        5        0        0        5
39422 librte-net20.0                     	       0        1        0        0        1
39423 librte-net21                       	       0        2        0        0        2
39424 librte-net23                       	       0        7        0        0        7
39425 librte-node23                      	       0        2        0        0        2
39426 librte-pcapng23                    	       0        3        0        0        3
39427 librte-pci18.11                    	       0        5        0        0        5
39428 librte-pci23                       	       0        2        0        0        2
39429 librte-pdump1                      	       0        1        0        0        1
39430 librte-pdump18.11                  	       0        5        0        0        5
39431 librte-pdump23                     	       0        2        0        0        2
39432 librte-pipeline18.11               	       0        5        0        0        5
39433 librte-pipeline23                  	       0        2        0        0        2
39434 librte-pipeline3                   	       0        1        0        0        1
39435 librte-pmd-aesni-gcm18.11          	       0        1        0        0        1
39436 librte-pmd-aesni-mb18.11           	       0        1        0        0        1
39437 librte-pmd-af-packet1              	       0        1        0        0        1
39438 librte-pmd-af-packet18.11          	       0        1        0        0        1
39439 librte-pmd-ark18.11                	       0        1        0        0        1
39440 librte-pmd-atlantic18.11           	       0        1        0        0        1
39441 librte-pmd-avf18.11                	       0        1        0        0        1
39442 librte-pmd-avp18.11                	       0        1        0        0        1
39443 librte-pmd-axgbe18.11              	       0        1        0        0        1
39444 librte-pmd-bbdev-null18.11         	       0        1        0        0        1
39445 librte-pmd-bnx2x18.11              	       0        1        0        0        1
39446 librte-pmd-bnxt1                   	       0        1        0        0        1
39447 librte-pmd-bnxt18.11               	       0        1        0        0        1
39448 librte-pmd-bond1                   	       0        1        0        0        1
39449 librte-pmd-bond18.11               	       0        1        0        0        1
39450 librte-pmd-caam-jr18.11            	       0        1        0        0        1
39451 librte-pmd-ccp18.11                	       0        1        0        0        1
39452 librte-pmd-crypto-scheduler18.11   	       0        1        0        0        1
39453 librte-pmd-cxgbe1                  	       0        1        0        0        1
39454 librte-pmd-cxgbe18.11              	       0        1        0        0        1
39455 librte-pmd-dpaa-event18.11         	       0        1        0        0        1
39456 librte-pmd-dpaa-sec18.11           	       0        1        0        0        1
39457 librte-pmd-dpaa18.11               	       0        1        0        0        1
39458 librte-pmd-dpaa2-18.11             	       0        1        0        0        1
39459 librte-pmd-dpaa2-cmdif18.11        	       0        1        0        0        1
39460 librte-pmd-dpaa2-event18.11        	       0        1        0        0        1
39461 librte-pmd-dpaa2-qdma18.11         	       0        1        0        0        1
39462 librte-pmd-dpaa2-sec18.11          	       0        1        0        0        1
39463 librte-pmd-dsw-event18.11          	       0        1        0        0        1
39464 librte-pmd-e1000-1                 	       0        1        0        0        1
39465 librte-pmd-e1000-18.11             	       0        1        0        0        1
39466 librte-pmd-ena1                    	       0        1        0        0        1
39467 librte-pmd-ena18.11                	       0        1        0        0        1
39468 librte-pmd-enetc18.11              	       0        1        0        0        1
39469 librte-pmd-enic1                   	       0        1        0        0        1
39470 librte-pmd-enic18.11               	       0        1        0        0        1
39471 librte-pmd-failsafe18.11           	       0        1        0        0        1
39472 librte-pmd-fm10k1                  	       0        1        0        0        1
39473 librte-pmd-fm10k18.11              	       0        1        0        0        1
39474 librte-pmd-i40e1                   	       0        1        0        0        1
39475 librte-pmd-i40e18.11               	       0        1        0        0        1
39476 librte-pmd-ifc18.11                	       0        1        0        0        1
39477 librte-pmd-ifpga-rawdev18.11       	       0        1        0        0        1
39478 librte-pmd-ixgbe1                  	       0        1        0        0        1
39479 librte-pmd-ixgbe18.11              	       0        1        0        0        1
39480 librte-pmd-kni18.11                	       0        1        0        0        1
39481 librte-pmd-liquidio18.11           	       0        1        0        0        1
39482 librte-pmd-mlx4-18.11              	       0        1        0        0        1
39483 librte-pmd-mlx5-18.11              	       0        1        0        0        1
39484 librte-pmd-netvsc18.11             	       0        1        0        0        1
39485 librte-pmd-nfp18.11                	       0        1        0        0        1
39486 librte-pmd-null-crypto1            	       0        1        0        0        1
39487 librte-pmd-null-crypto18.11        	       0        1        0        0        1
39488 librte-pmd-null1                   	       0        1        0        0        1
39489 librte-pmd-null18.11               	       0        1        0        0        1
39490 librte-pmd-octeontx-compress18.11  	       0        1        0        0        1
39491 librte-pmd-octeontx-crypto18.11    	       0        1        0        0        1
39492 librte-pmd-octeontx-event18.11     	       0        1        0        0        1
39493 librte-pmd-octeontx18.11           	       0        1        0        0        1
39494 librte-pmd-opdl-event18.11         	       0        1        0        0        1
39495 librte-pmd-openssl18.11            	       0        1        0        0        1
39496 librte-pmd-pcap1                   	       0        1        0        0        1
39497 librte-pmd-pcap18.11               	       0        1        0        0        1
39498 librte-pmd-qat18.11                	       0        1        0        0        1
39499 librte-pmd-qede1                   	       0        1        0        0        1
39500 librte-pmd-qede18.11               	       0        1        0        0        1
39501 librte-pmd-ring18.11               	       0        1        0        0        1
39502 librte-pmd-ring2                   	       0        1        0        0        1
39503 librte-pmd-sfc18.11                	       0        1        0        0        1
39504 librte-pmd-skeleton-event18.11     	       0        1        0        0        1
39505 librte-pmd-skeleton-rawdev18.11    	       0        1        0        0        1
39506 librte-pmd-softnic18.11            	       0        1        0        0        1
39507 librte-pmd-sw-event18.11           	       0        1        0        0        1
39508 librte-pmd-tap18.11                	       0        1        0        0        1
39509 librte-pmd-thunderx18.11           	       0        1        0        0        1
39510 librte-pmd-vdev-netvsc18.11        	       0        1        0        0        1
39511 librte-pmd-vhost1                  	       0        1        0        0        1
39512 librte-pmd-vhost18.11              	       0        1        0        0        1
39513 librte-pmd-virtio-crypto18.11      	       0        1        0        0        1
39514 librte-pmd-virtio1                 	       0        1        0        0        1
39515 librte-pmd-virtio18.11             	       0        1        0        0        1
39516 librte-pmd-vmxnet3-18.11           	       0        1        0        0        1
39517 librte-pmd-vmxnet3-uio1            	       0        1        0        0        1
39518 librte-pmd-xenvirt1                	       0        1        0        0        1
39519 librte-pmd-zlib18.11               	       0        1        0        0        1
39520 librte-port18.11                   	       0        5        0        0        5
39521 librte-port23                      	       0        2        0        0        2
39522 librte-port3                       	       0        1        0        0        1
39523 librte-power1                      	       0        1        0        0        1
39524 librte-power18.11                  	       0        5        0        0        5
39525 librte-power23                     	       0        2        0        0        2
39526 librte-raw-cnxk-bphy23             	       0        2        0        0        2
39527 librte-raw-cnxk-gpio23             	       0        2        0        0        2
39528 librte-raw-dpaa2-cmdif23           	       0        2        0        0        2
39529 librte-raw-ifpga23                 	       0        2        0        0        2
39530 librte-raw-ntb23                   	       0        2        0        0        2
39531 librte-raw-skeleton23              	       0        2        0        0        2
39532 librte-rawdev18.11                 	       0        5        0        0        5
39533 librte-rawdev23                    	       0        2        0        0        2
39534 librte-rcu23                       	       0        2        0        0        2
39535 librte-regex-cn9k23                	       0        2        0        0        2
39536 librte-regex-mlx5-23               	       0        2        0        0        2
39537 librte-regexdev23                  	       0        2        0        0        2
39538 librte-reorder1                    	       0        1        0        0        1
39539 librte-reorder18.11                	       0        5        0        0        5
39540 librte-reorder23                   	       0        2        0        0        2
39541 librte-rib23                       	       0        2        0        0        2
39542 librte-ring1                       	       0        1        0        0        1
39543 librte-ring18.11                   	       0        5        0        0        5
39544 librte-ring20.0                    	       0        1        0        0        1
39545 librte-ring21                      	       0        2        0        0        2
39546 librte-ring23                      	       0        7        0        0        7
39547 librte-sched1                      	       0        1        0        0        1
39548 librte-sched18.11                  	       0        5        0        0        5
39549 librte-sched23                     	       0        2        0        0        2
39550 librte-security18.11               	       0        5        0        0        5
39551 librte-security20.0                	       0        1        0        0        1
39552 librte-security23                  	       0        2        0        0        2
39553 librte-stack23                     	       0        2        0        0        2
39554 librte-table18.11                  	       0        5        0        0        5
39555 librte-table2                      	       0        1        0        0        1
39556 librte-table23                     	       0        2        0        0        2
39557 librte-telemetry18.11              	       0        5        0        0        5
39558 librte-telemetry21                 	       0        2        0        0        2
39559 librte-telemetry23                 	       0        7        0        0        7
39560 librte-timer1                      	       0        1        0        0        1
39561 librte-timer18.11                  	       0        5        0        0        5
39562 librte-timer23                     	       0        2        0        0        2
39563 librte-vdpa-ifc23                  	       0        2        0        0        2
39564 librte-vdpa-mlx5-23                	       0        2        0        0        2
39565 librte-vdpa-sfc23                  	       0        2        0        0        2
39566 librte-vhost18.11                  	       0        5        0        0        5
39567 librte-vhost23                     	       0        2        0        0        2
39568 librte-vhost3                      	       0        1        0        0        1
39569 librtengine3                       	       0        1        0        0        1
39570 librtklib1                         	       0        3        0        0        3
39571 librtlsdr-dev                      	       0       18        0       18        0
39572 librtmidi-dev                      	       0        8        0        8        0
39573 librtmidi2                         	       0        2        0        0        2
39574 librtmidi3                         	       0        3        0        0        3
39575 librtmidi4                         	       0       10        0        0       10
39576 librtmidi5                         	       0       20        0        0       20
39577 librtmidi6                         	       0       82        0        2       80
39578 librtmidi7                         	       0       10        0        0       10
39579 librtmp0                           	       0       31        0        0       31
39580 librtpkcs11ecp                     	       0        2        0        0        2
39581 librttopo1                         	       0      628        3       10      615
39582 librttr-core0.9.6                  	       0       29        0        1       28
39583 librubberband-dev                  	       0       36        0       36        0
39584 librubberband3                     	       0       12        0        1       11
39585 libruby                            	       0      755        0        0      755
39586 libruby1.6                         	       0        1        0        1        0
39587 libruby1.8                         	       0       17        2       15        0
39588 libruby1.9.1                       	       0       16        2       14        0
39589 libruby1.9.1-dbg                   	       0        2        0        2        0
39590 libruby2.0                         	       0        5        0        5        0
39591 libruby2.1                         	       0       73        3       70        0
39592 libruby2.2                         	       0        2        0        2        0
39593 libruby2.3                         	       0       71        7       64        0
39594 libruby3.0                         	       0       22        0       22        0
39595 libruby3.1t64                      	       0       83       11       72        0
39596 librududu0                         	       0        1        0        0        1
39597 librulexdb-dev                     	       0        1        0        1        0
39598 librulexdb0                        	       0        1        0        0        1
39599 libruli4                           	       0        1        0        0        1
39600 librun-parts-perl                  	       0        2        0        2        0
39601 librust-ab-glyph-dev               	       0        1        0        0        1
39602 librust-ab-glyph-rasterizer+libm-dev	       0        1        0        0        1
39603 librust-ab-glyph-rasterizer-dev    	       0        1        0        0        1
39604 librust-addr2line+cpp-demangle-dev 	       0        8        0        0        8
39605 librust-addr2line+default-dev      	       0        8        0        0        8
39606 librust-addr2line+object-dev       	       0        8        0        0        8
39607 librust-addr2line+rustc-demangle-dev	       0        8        0        0        8
39608 librust-addr2line+std-dev          	       0        8        0        0        8
39609 librust-addr2line+std-object-dev   	       0        8        0        0        8
39610 librust-addr2line-dev              	       0        9        0        1        8
39611 librust-adler-dev                  	       0       10        0        0       10
39612 librust-ahash-0.7-dev              	       0        1        0        0        1
39613 librust-ahash-dev                  	       0       10        0        0       10
39614 librust-aho-corasick-dev           	       0       11        0        0       11
39615 librust-allocator-api2-dev         	       0        2        0        0        2
39616 librust-anes-dev                   	       0        1        0        0        1
39617 librust-annotate-snippets-dev      	       0        1        0        0        1
39618 librust-anstream-dev               	       0        2        0        0        2
39619 librust-anstyle-dev                	       0        2        0        0        2
39620 librust-anstyle-parse-dev          	       0        2        0        0        2
39621 librust-anstyle-query-dev          	       0        2        0        0        2
39622 librust-anyhow-dev                 	       0        4        0        0        4
39623 librust-approx-dev                 	       0        1        0        0        1
39624 librust-arbitrary-dev              	       0       12        0        0       12
39625 librust-arc-swap-dev               	       0        1        0        0        1
39626 librust-arrayvec-dev               	       0        2        0        0        2
39627 librust-async-attributes-dev       	       0        1        0        0        1
39628 librust-async-channel-dev          	       0        1        0        0        1
39629 librust-async-executor-dev         	       0        1        0        0        1
39630 librust-async-fs-dev               	       0        1        0        0        1
39631 librust-async-global-executor-dev  	       0        1        0        0        1
39632 librust-async-io-dev               	       0        1        0        0        1
39633 librust-async-lock-dev             	       0        1        0        0        1
39634 librust-async-net-dev              	       0        1        0        0        1
39635 librust-async-process-dev          	       0        1        0        0        1
39636 librust-async-signal-dev           	       0        1        0        0        1
39637 librust-async-std-dev              	       0        1        0        0        1
39638 librust-async-task-dev             	       0        1        0        0        1
39639 librust-atk-dev                    	       0        1        0        0        1
39640 librust-atk-sys-dev                	       0        2        0        2        0
39641 librust-atomic-dev                 	       0        1        0        0        1
39642 librust-atomic-waker-dev           	       0        1        0        0        1
39643 librust-atty-dev                   	       0        9        0        0        9
39644 librust-autocfg-dev                	       0       11        0        0       11
39645 librust-automod-dev                	       0        1        0        0        1
39646 librust-backtrace-dev              	       0        9        0        0        9
39647 librust-bindgen+clap-dev           	       0        4        0        0        4
39648 librust-bindgen+default-dev        	       0        4        0        0        4
39649 librust-bindgen+env-logger-dev     	       0        4        0        0        4
39650 librust-bindgen+log-dev            	       0        4        0        0        4
39651 librust-bindgen+logging-dev        	       0        4        0        0        4
39652 librust-bindgen+runtime-dev        	       0        4        0        0        4
39653 librust-bindgen+which-dev          	       0        4        0        0        4
39654 librust-bindgen-dev                	       0        4        0        0        4
39655 librust-bit-field-dev              	       0        1        0        0        1
39656 librust-bit-set-dev                	       0        1        0        0        1
39657 librust-bit-vec-dev                	       0        1        0        0        1
39658 librust-bitflags-1-dev             	       0        1        0        0        1
39659 librust-bitflags-dev               	       0       13        0        0       13
39660 librust-bitvec-dev                 	       0        1        0        0        1
39661 librust-blobby-dev                 	       0        1        0        0        1
39662 librust-block-buffer-dev           	       0        1        0        0        1
39663 librust-blocking-dev               	       0        1        0        0        1
39664 librust-bstr-dev                   	       0        1        0        0        1
39665 librust-bumpalo-dev                	       0       10        0        0       10
39666 librust-bytecheck-derive-dev       	       0        1        0        0        1
39667 librust-bytecheck-dev              	       0        1        0        0        1
39668 librust-bytemuck-derive-dev        	       0        1        0        0        1
39669 librust-bytemuck-dev               	       0        1        0        0        1
39670 librust-byteorder-dev              	       0        3        0        0        3
39671 librust-bytes-dev                  	       0        6        0        0        6
39672 librust-bytesize-dev               	       0        1        0        0        1
39673 librust-cairo-rs+use-glib-dev      	       0        2        0        0        2
39674 librust-cairo-rs-dev               	       0        4        0        0        4
39675 librust-cairo-sys-rs+use-glib-dev  	       0        2        0        0        2
39676 librust-cairo-sys-rs-dev           	       0        5        0        0        5
39677 librust-capnp-dev                  	       0        1        0        0        1
39678 librust-cast-dev                   	       0        1        0        0        1
39679 librust-cc-dev                     	       0       11        0       10        1
39680 librust-cexpr-dev                  	       0        5        0        5        0
39681 librust-cfg-aliases-dev            	       0        1        0        0        1
39682 librust-cfg-expr-dev               	       0        5        0        0        5
39683 librust-cfg-if-0.1-dev             	       0        1        0        0        1
39684 librust-cfg-if-dev                 	       0       12        0        0       12
39685 librust-chrono-dev                 	       0        1        0        0        1
39686 librust-ciborium-dev               	       0        1        0        0        1
39687 librust-ciborium-io-dev            	       0        1        0        0        1
39688 librust-ciborium-ll-dev            	       0        1        0        0        1
39689 librust-clang-sys+libloading-dev   	       0        4        0        0        4
39690 librust-clang-sys-dev              	       0        4        0        4        0
39691 librust-clap-3-dev                 	       0        4        0        4        0
39692 librust-clap-builder-dev           	       0        1        0        0        1
39693 librust-clap-derive-3-dev          	       0        4        0        0        4
39694 librust-clap-derive-dev            	       0        1        0        0        1
39695 librust-clap-dev                   	       0        1        0        1        0
39696 librust-clap-lex-dev               	       0        5        0        0        5
39697 librust-cmake-dev                  	       0        2        0        0        2
39698 librust-color-quant-dev            	       0        1        0        0        1
39699 librust-colorchoice-dev            	       0        2        0        0        2
39700 librust-compiler-builtins+core-dev 	       0        2        0        0        2
39701 librust-compiler-builtins+rustc-dep-of-std-dev	       0        2        0        0        2
39702 librust-compiler-builtins-dev      	       0       13        0        0       13
39703 librust-concurrent-queue-dev       	       0        1        0        0        1
39704 librust-const-cstr-dev             	       0        2        0        0        2
39705 librust-const-oid-dev              	       0        1        0        0        1
39706 librust-const-random-dev           	       0       11        0        0       11
39707 librust-const-random-macro-dev     	       0       11        0        0       11
39708 librust-convert-case-dev           	       0        1        0        0        1
39709 librust-core-maths-dev             	       0        1        0        0        1
39710 librust-cpp-demangle-dev           	       0        9        0        0        9
39711 librust-cpufeatures-dev            	       0        1        0        0        1
39712 librust-crc32fast-dev              	       0       10        0        0       10
39713 librust-criterion-dev              	       0        1        0        0        1
39714 librust-critical-section-dev       	       0        2        0        0        2
39715 librust-crossbeam-channel-dev      	       0        9        0        0        9
39716 librust-crossbeam-deque-dev        	       0       11        0        0       11
39717 librust-crossbeam-epoch+std-dev    	       0       11        0        0       11
39718 librust-crossbeam-epoch-dev        	       0       11        0        0       11
39719 librust-crossbeam-utils-dev        	       0       11        0        0       11
39720 librust-crunchy-dev                	       0       11        0        0       11
39721 librust-crypto-common-dev          	       0        1        0        0        1
39722 librust-cssparser-dev              	       0        1        0        0        1
39723 librust-cssparser-macros-dev       	       0        1        0        0        1
39724 librust-cstr-dev                   	       0        1        0        0        1
39725 librust-csv-core-dev               	       0        1        0        0        1
39726 librust-csv-dev                    	       0        1        0        0        1
39727 librust-ctor-dev                   	       0        9        0        0        9
39728 librust-curl-sys+openssl-sys-dev   	       0        1        0        0        1
39729 librust-curl-sys-dev               	       0        1        0        0        1
39730 librust-data-url-dev               	       0        1        0        0        1
39731 librust-defmt-dev                  	       0        1        0        0        1
39732 librust-defmt-macros-dev           	       0        1        0        0        1
39733 librust-defmt-parser-dev           	       0        1        0        0        1
39734 librust-derive-arbitrary-dev       	       0       12        0        0       12
39735 librust-derive-more-0.99-dev       	       0        1        0        0        1
39736 librust-diff-dev                   	       0        1        0        0        1
39737 librust-digest-dev                 	       0        1        0        0        1
39738 librust-dirs-dev                   	       0        1        0        0        1
39739 librust-dirs-next-dev              	       0        1        0        0        1
39740 librust-dirs-sys-dev               	       0        1        0        0        1
39741 librust-dirs-sys-next-dev          	       0        1        0        0        1
39742 librust-dlib-dev                   	       0        3        0        0        3
39743 librust-dlv-list-dev               	       0        1        0        0        1
39744 librust-downcast-rs-dev            	       0        1        0        0        1
39745 librust-doxygen-rs-dev             	       0        1        0        0        1
39746 librust-dtoa-dev                   	       0        1        0        0        1
39747 librust-dtoa-short-dev             	       0        1        0        0        1
39748 librust-dunce-dev                  	       0        1        0        0        1
39749 librust-either-dev                 	       0       12        0        0       12
39750 librust-encoding-dev               	       0        1        0        0        1
39751 librust-encoding-index-japanese-dev	       0        1        0        0        1
39752 librust-encoding-index-korean-dev  	       0        1        0        0        1
39753 librust-encoding-index-simpchinese-dev	       0        1        0        0        1
39754 librust-encoding-index-singlebyte-dev	       0        1        0        0        1
39755 librust-encoding-index-tests-dev   	       0        1        0        0        1
39756 librust-encoding-index-tradchinese-dev	       0        1        0        0        1
39757 librust-encoding-rs-dev            	       0        2        0        0        2
39758 librust-env-filter-dev             	       0        2        0        0        2
39759 librust-env-logger+atty-dev        	       0        9        0        0        9
39760 librust-env-logger+default-dev     	       0        9        0        0        9
39761 librust-env-logger+humantime-dev   	       0        9        0        0        9
39762 librust-env-logger+regex-dev       	       0        9        0        0        9
39763 librust-env-logger+termcolor-dev   	       0        9        0        0        9
39764 librust-env-logger-dev             	       0       11        0        0       11
39765 librust-equivalent-dev             	       0        2        0        0        2
39766 librust-erased-serde-dev           	       0       11        0        0       11
39767 librust-errno-dev                  	       0        5        0        0        5
39768 librust-event-listener-dev         	       0        1        0        0        1
39769 librust-event-listener-strategy-dev	       0        1        0        0        1
39770 librust-exr-dev                    	       0        1        0        0        1
39771 librust-fallible-iterator-dev      	       0        9        0        0        9
39772 librust-fastrand-dev               	       0        1        0        0        1
39773 librust-field-offset-dev           	       0        1        0        0        1
39774 librust-fixedbitset-dev            	       0        5        0        0        5
39775 librust-flate2+miniz-oxide-dev     	       0        8        0        0        8
39776 librust-flate2-dev                 	       0       10        0        0       10
39777 librust-float-cmp-dev              	       0        1        0        0        1
39778 librust-float-ord-dev              	       0        2        0        0        2
39779 librust-flume-dev                  	       0        1        0        0        1
39780 librust-fnv-dev                    	       0        1        0        0        1
39781 librust-font-kit-dev               	       0        2        0        0        2
39782 librust-foreign-types-0.3-dev      	       0        1        0        0        1
39783 librust-foreign-types-shared-0.1-dev	       0        1        0        0        1
39784 librust-form-urlencoded-dev        	       0        1        0        0        1
39785 librust-fragile-dev                	       0        1        0        0        1
39786 librust-freetype-dev               	       0        3        0        3        0
39787 librust-freetype-rs-dev            	       0        2        0        0        2
39788 librust-freetype-sys-dev           	       0        3        0        0        3
39789 librust-funty-dev                  	       0        1        0        0        1
39790 librust-futf-dev                   	       0        1        0        0        1
39791 librust-futures-channel-dev        	       0        4        0        0        4
39792 librust-futures-core-dev           	       0        4        0        0        4
39793 librust-futures-dev                	       0        1        0        0        1
39794 librust-futures-executor-dev       	       0        4        0        0        4
39795 librust-futures-io-dev             	       0        4        0        0        4
39796 librust-futures-lite-dev           	       0        1        0        0        1
39797 librust-futures-macro-dev          	       0        4        0        0        4
39798 librust-futures-sink-dev           	       0        4        0        0        4
39799 librust-futures-task-dev           	       0        4        0        0        4
39800 librust-futures-util-dev           	       0        4        0        0        4
39801 librust-fxhash-dev                 	       0        1        0        0        1
39802 librust-gdk-pixbuf-dev             	       0        4        0        0        4
39803 librust-gdk-pixbuf-sys-dev         	       0        5        0        5        0
39804 librust-gdk-sys-dev                	       0        2        0        2        0
39805 librust-gdk4-dev                   	       0        1        0        0        1
39806 librust-gdk4-sys-dev               	       0        1        0        1        0
39807 librust-generic-array-dev          	       0        1        0        0        1
39808 librust-getrandom-dev              	       0       11        0        0       11
39809 librust-gif-dev                    	       0        1        0        0        1
39810 librust-gimli-dev                  	       0        9        0        0        9
39811 librust-gio-dev                    	       0        5        0        0        5
39812 librust-glib-dev                   	       0        6        0        0        6
39813 librust-glib-macros-dev            	       0        4        0        0        4
39814 librust-glob-dev                   	       0        6        0        0        6
39815 librust-graphene-rs-dev            	       0        1        0        0        1
39816 librust-graphene-sys-dev           	       0        1        0        1        0
39817 librust-gsk4-dev                   	       0        1        0        0        1
39818 librust-gsk4-sys-dev               	       0        1        0        1        0
39819 librust-gtk-sys-dev                	       0        1        0        1        0
39820 librust-gtk4-dev                   	       0        1        0        0        1
39821 librust-gtk4-macros-dev            	       0        1        0        0        1
39822 librust-gtk4-sys-dev               	       0        1        0        1        0
39823 librust-half-dev                   	       0        1        0        0        1
39824 librust-hashbrown-dev              	       0       11        0        0       11
39825 librust-heck-dev                   	       0        8        0        0        8
39826 librust-hex-dev                    	       0        1        0        0        1
39827 librust-home-dev                   	       0        1        0        0        1
39828 librust-humantime-dev              	       0       11        0        0       11
39829 librust-iana-time-zone-dev         	       0        1        0        0        1
39830 librust-idna-dev                   	       0        1        0        0        1
39831 librust-image-dev                  	       0        1        0        0        1
39832 librust-image-webp-dev             	       0        1        0        0        1
39833 librust-indexmap-dev               	       0       11        0        0       11
39834 librust-io-lifetimes-dev           	       0        4        0        0        4
39835 librust-is-terminal-dev            	       0        1        0        0        1
39836 librust-itertools-dev              	       0        3        0        0        3
39837 librust-itoa-dev                   	       0        6        0        0        6
39838 librust-jobserver-dev              	       0        1        0        0        1
39839 librust-jpeg-decoder-dev           	       0        1        0        0        1
39840 librust-js-sys-dev                 	       0        1        0        0        1
39841 librust-kstring-dev                	       0        1        0        0        1
39842 librust-kv-log-macro-dev           	       0        1        0        0        1
39843 librust-language-tags-dev          	       0        1        0        0        1
39844 librust-lazy-static-dev            	       0       13        0        0       13
39845 librust-lazycell-dev               	       0        5        0        0        5
39846 librust-lebe-dev                   	       0        1        0        0        1
39847 librust-libc-dev                   	       0       17        0        0       17
39848 librust-libdbus-sys-dev            	       0        1        0        0        1
39849 librust-libloading-dev             	       0        7        0        0        7
39850 librust-libm-dev                   	       0        1        0        0        1
39851 librust-librsvg-dev                	       0        1        0        0        1
39852 librust-libsqlite3-sys-dev         	       0        1        0        1        0
39853 librust-libudev-sys-dev            	       0        1        0        0        1
39854 librust-libz-sys-dev               	       0        2        0        0        2
39855 librust-linked-hash-map-dev        	       0        4        0        0        4
39856 librust-linux-raw-sys+compiler-builtins-dev	       0        4        0        0        4
39857 librust-linux-raw-sys+core-dev     	       0        4        0        0        4
39858 librust-linux-raw-sys+default-dev  	       0        4        0        0        4
39859 librust-linux-raw-sys+rustc-dep-of-std-dev	       0        4        0        0        4
39860 librust-linux-raw-sys-dev          	       0        5        0        0        5
39861 librust-lmdb-dev                   	       0        1        0        0        1
39862 librust-lmdb-sys-dev               	       0        1        0        0        1
39863 librust-locale-config-dev          	       0        1        0        0        1
39864 librust-lock-api-dev               	       0       11        0        0       11
39865 librust-log-dev                    	       0       11        0        0       11
39866 librust-mac-dev                    	       0        1        0        0        1
39867 librust-markup5ever-dev            	       0        1        0        0        1
39868 librust-matches-dev                	       0        1        0        0        1
39869 librust-matrixmultiply-dev         	       0        1        0        0        1
39870 librust-md-5-dev                   	       0        1        0        0        1
39871 librust-md5-asm-dev                	       0        1        0        0        1
39872 librust-memchr-dev                 	       0       11        0        0       11
39873 librust-memmap2-dev                	       0        1        0        0        1
39874 librust-memoffset-dev              	       0       11        0        0       11
39875 librust-minimal-lexical-dev        	       0        5        0        0        5
39876 librust-miniz-oxide-dev            	       0       10        0        0       10
39877 librust-mint-dev                   	       0        1        0        0        1
39878 librust-mio-dev                    	       0        6        0        0        6
39879 librust-munge-dev                  	       0        1        0        0        1
39880 librust-munge-macro-dev            	       0        1        0        0        1
39881 librust-nalgebra-dev               	       0        1        0        0        1
39882 librust-nalgebra-macros-dev        	       0        1        0        0        1
39883 librust-nanorand-dev               	       0        1        0        0        1
39884 librust-new-debug-unreachable-dev  	       0        1        0        0        1
39885 librust-nix-dev                    	       0        2        0        0        2
39886 librust-no-panic-dev               	       0        2        0        0        2
39887 librust-nom+std-dev                	       0        5        0        0        5
39888 librust-nom-dev                    	       0        5        0        0        5
39889 librust-num-bigint-dev             	       0        1        0        0        1
39890 librust-num-complex-dev            	       0        1        0        0        1
39891 librust-num-cpus-dev               	       0       10        0        0       10
39892 librust-num-integer-dev            	       0        1        0        0        1
39893 librust-num-rational-dev           	       0        1        0        0        1
39894 librust-num-traits-dev             	       0        1        0        0        1
39895 librust-object-dev                 	       0        9        0        0        9
39896 librust-once-cell-dev              	       0       12        0        0       12
39897 librust-oorandom-dev               	       0        1        0        0        1
39898 librust-openssl-dev                	       0        1        0        0        1
39899 librust-openssl-macros-dev         	       0        1        0        0        1
39900 librust-openssl-sys-dev            	       0        3        0        0        3
39901 librust-option-ext-dev             	       0        1        0        0        1
39902 librust-ordered-multimap-dev       	       0        1        0        0        1
39903 librust-os-pipe-dev                	       0        4        0        4        0
39904 librust-os-str-bytes-dev           	       0        4        0        0        4
39905 librust-osmesa-sys-dev             	       0        1        0        0        1
39906 librust-owned-ttf-parser-dev       	       0        1        0        0        1
39907 librust-owning-ref-dev             	       0       11        0        0       11
39908 librust-pango-dev                  	       0        5        0        0        5
39909 librust-pangocairo-dev             	       0        3        0        0        3
39910 librust-pangocairo-sys-dev         	       0        3        0        0        3
39911 librust-parking-dev                	       0        1        0        0        1
39912 librust-parking-lot-core+deadlock-detection-dev	       0        5        0        0        5
39913 librust-parking-lot-core+petgraph-dev	       0        5        0        0        5
39914 librust-parking-lot-core+thread-id-dev	       0        5        0        0        5
39915 librust-parking-lot-core-dev       	       0       12        0        0       12
39916 librust-parking-lot-dev            	       0        6        0        0        6
39917 librust-paste-dev                  	       0        1        0        0        1
39918 librust-pathfinder-geometry-dev    	       0        2        0        0        2
39919 librust-pathfinder-simd-dev        	       0        2        0        0        2
39920 librust-peeking-take-while-dev     	       0        5        0        0        5
39921 librust-percent-encoding-dev       	       0        1        0        0        1
39922 librust-pest-derive-dev            	       0        1        0        0        1
39923 librust-pest-dev                   	       0        1        0        0        1
39924 librust-pest-generator-dev         	       0        1        0        0        1
39925 librust-pest-meta-dev              	       0        1        0        0        1
39926 librust-petgraph-dev               	       0        5        0        0        5
39927 librust-phf+phf-macros-dev         	       0        1        0        0        1
39928 librust-phf+std-dev                	       0        1        0        0        1
39929 librust-phf-codegen-dev            	       0        1        0        0        1
39930 librust-phf-dev                    	       0        1        0        0        1
39931 librust-phf-generator-dev          	       0        1        0        1        0
39932 librust-phf-macros-dev             	       0        1        0        0        1
39933 librust-phf-shared-dev             	       0        1        0        0        1
39934 librust-pin-project-lite-dev       	       0        9        0        0        9
39935 librust-pin-utils-dev              	       0        5        0        0        5
39936 librust-pkg-config-dev             	       0       16        0        0       16
39937 librust-plotters-backend-dev       	       0        1        0        0        1
39938 librust-plotters-bitmap-dev        	       0        1        0        0        1
39939 librust-plotters-dev               	       0        1        0        0        1
39940 librust-plotters-svg-dev           	       0        1        0        0        1
39941 librust-png-dev                    	       0        1        0        0        1
39942 librust-podio-dev                  	       0        1        0        0        1
39943 librust-polling-dev                	       0        1        0        0        1
39944 librust-portable-atomic-dev        	       0        2        0        0        2
39945 librust-ppv-lite86-dev             	       0       10        0        0       10
39946 librust-precomputed-hash-dev       	       0        1        0        0        1
39947 librust-prettyplease-dev           	       0        1        0        0        1
39948 librust-print-bytes-dev            	       0        4        0        0        4
39949 librust-proc-macro-crate-dev       	       0        4        0        0        4
39950 librust-proc-macro-error-attr-dev  	       0        7        0        0        7
39951 librust-proc-macro-error-attr2-dev 	       0        1        0        0        1
39952 librust-proc-macro-error-dev       	       0        7        0        0        7
39953 librust-proc-macro-error2-dev      	       0        1        0        0        1
39954 librust-proc-macro-hack-dev        	       0        9        0        0        9
39955 librust-proc-macro2-dev            	       0       12        0        0       12
39956 librust-proptest-dev               	       0        1        0        0        1
39957 librust-ptr-meta-derive-dev        	       0        1        0        0        1
39958 librust-ptr-meta-dev               	       0        1        0        0        1
39959 librust-pure-rust-locales-dev      	       0        1        0        0        1
39960 librust-python3-dll-a-dev          	       0        1        0        0        1
39961 librust-qoi-dev                    	       0        1        0        0        1
39962 librust-quick-error-dev            	       0        1        0        0        1
39963 librust-quick-xml-dev              	       0        1        0        0        1
39964 librust-quickcheck+default-dev     	       0        9        0        0        9
39965 librust-quickcheck+regex-dev       	       0        9        0        0        9
39966 librust-quickcheck+use-logging-dev 	       0        9        0        0        9
39967 librust-quickcheck-dev             	       0       10        0        0       10
39968 librust-quote+proc-macro-dev       	       0       10        0        0       10
39969 librust-quote-dev                  	       0       12        0        0       12
39970 librust-radium-dev                 	       0        1        0        0        1
39971 librust-rancor-dev                 	       0        1        0        0        1
39972 librust-rand-chacha-dev            	       0       10        0        0       10
39973 librust-rand-core+getrandom-dev    	       0       10        0        0       10
39974 librust-rand-core+serde-dev        	       0       10        0        0       10
39975 librust-rand-core+std-dev          	       0       10        0        0       10
39976 librust-rand-core-dev              	       0       10        0        0       10
39977 librust-rand-dev                   	       0       10        0        0       10
39978 librust-rand-distr-dev             	       0        1        0        0        1
39979 librust-rand-xorshift-dev          	       0        1        0        0        1
39980 librust-rawpointer-dev             	       0        1        0        0        1
39981 librust-rayon-core-dev             	       0       11        0        0       11
39982 librust-rayon-dev                  	       0       11        0        0       11
39983 librust-rctree-dev                 	       0        1        0        0        1
39984 librust-regex+aho-corasick-dev     	       0        9        0        0        9
39985 librust-regex+default-dev          	       0        9        0        0        9
39986 librust-regex+memchr-dev           	       0        9        0        0        9
39987 librust-regex+perf-dev             	       0        9        0        0        9
39988 librust-regex+perf-literal-dev     	       0        9        0        0        9
39989 librust-regex+unicode-age-dev      	       0        9        0        0        9
39990 librust-regex+unicode-bool-dev     	       0        9        0        0        9
39991 librust-regex+unicode-case-dev     	       0        9        0        0        9
39992 librust-regex+unicode-dev          	       0        9        0        0        9
39993 librust-regex+unicode-gencat-dev   	       0        9        0        0        9
39994 librust-regex+unicode-perl-dev     	       0        9        0        0        9
39995 librust-regex+unicode-script-dev   	       0        9        0        0        9
39996 librust-regex+unicode-segment-dev  	       0        9        0        0        9
39997 librust-regex-automata-dev         	       0        2        0        0        2
39998 librust-regex-cursor-dev           	       0        1        0        0        1
39999 librust-regex-dev                  	       0       11        0        0       11
40000 librust-regex-lite-dev             	       0        1        0        0        1
40001 librust-regex-syntax+unicode-dev   	       0        9        0        0        9
40002 librust-regex-syntax-dev           	       0       11        0        0       11
40003 librust-regex-test-dev             	       0        1        0        0        1
40004 librust-rend-dev                   	       0        1        0        0        1
40005 librust-rgb-dev                    	       0        1        0        0        1
40006 librust-rkyv-derive-dev            	       0        1        0        0        1
40007 librust-rkyv-dev                   	       0        1        0        0        1
40008 librust-ropey-dev                  	       0        1        0        0        1
40009 librust-rust-ini-dev               	       0        1        0        0        1
40010 librust-rustc-demangle-dev         	       0        9        0        0        9
40011 librust-rustc-hash-dev             	       0        5        0        0        5
40012 librust-rustc-std-workspace-core-dev	       0       13        0        0       13
40013 librust-rustc-version-dev          	       0        3        0        0        3
40014 librust-rustix-dev                 	       0        5        0        0        5
40015 librust-rusty-fork+wait-timeout-dev	       0        1        0        0        1
40016 librust-rusty-fork-dev             	       0        1        0        0        1
40017 librust-ruzstd-dev                 	       0        1        0        1        0
40018 librust-ryu-dev                    	       0        2        0        0        2
40019 librust-safe-arch-dev              	       0        1        0        0        1
40020 librust-same-file-dev              	       0        2        0        0        2
40021 librust-scoped-tls-dev             	       0        1        0        0        1
40022 librust-scopeguard-dev             	       0       11        0        0       11
40023 librust-seahash-dev                	       0        1        0        0        1
40024 librust-selectors-dev              	       0        1        0        0        1
40025 librust-semver-0.9-dev             	       0        1        0        0        1
40026 librust-semver-dev                 	       0        3        0        0        3
40027 librust-semver-parser-0.7-dev      	       0        1        0        0        1
40028 librust-serde+serde-derive-dev     	       0        9        0        0        9
40029 librust-serde-derive-dev           	       0       11        0        0       11
40030 librust-serde-dev                  	       0       12        0        0       12
40031 librust-serde-fmt-dev              	       0       11        0        0       11
40032 librust-serde-json-dev             	       0        2        0        0        2
40033 librust-serde-spanned-dev          	       0        1        0        0        1
40034 librust-serde-test-dev             	       0        2        0        0        2
40035 librust-servo-arc-dev              	       0        1        0        0        1
40036 librust-sha1-asm-dev               	       0        1        0        0        1
40037 librust-sha1-dev                   	       0        1        0        0        1
40038 librust-sha2-asm-dev               	       0        1        0        0        1
40039 librust-sha2-dev                   	       0        1        0        0        1
40040 librust-shared-library-dev         	       0        1        0        0        1
40041 librust-shlex-dev                  	       0        5        0        0        5
40042 librust-signal-hook-registry-dev   	       0        6        0        0        6
40043 librust-simba-dev                  	       0        1        0        0        1
40044 librust-simd-adler32-dev           	       0        1        0        0        1
40045 librust-simdutf8-dev               	       0        1        0        0        1
40046 librust-siphasher-dev              	       0        1        0        0        1
40047 librust-slab-dev                   	       0        4        0        0        4
40048 librust-slog-dev                   	       0        1        0        0        1
40049 librust-smallvec-dev               	       0       12        0        0       12
40050 librust-smawk-dev                  	       0        4        0        0        4
40051 librust-smol-dev                   	       0        1        0        0        1
40052 librust-smol-str-dev               	       0        1        0        0        1
40053 librust-socket2-dev                	       0        6        0        0        6
40054 librust-spin-dev                   	       0       11        0        0       11
40055 librust-stable-deref-trait-dev     	       0       11        0        0       11
40056 librust-static-assertions-dev      	       0        2        0        0        2
40057 librust-str-indices-dev            	       0        1        0        0        1
40058 librust-string-cache-codegen-dev   	       0        1        0        0        1
40059 librust-string-cache-dev           	       0        1        0        0        1
40060 librust-strsim-dev                 	       0        5        0        0        5
40061 librust-subtle-dev                 	       0        1        0        0        1
40062 librust-sval+serde1-lib-dev        	       0        9        0        0        9
40063 librust-sval-buffer-dev            	       0        2        0        0        2
40064 librust-sval-derive-dev            	       0        2        0        0        2
40065 librust-sval-dev                   	       0       11        0        0       11
40066 librust-sval-dynamic-dev           	       0        2        0        0        2
40067 librust-sval-fmt-dev               	       0        2        0        0        2
40068 librust-sval-ref-dev               	       0        2        0        0        2
40069 librust-sval-serde-dev             	       0        2        0        0        2
40070 librust-syn-1-dev                  	       0        1        0        0        1
40071 librust-syn-dev                    	       0       12        0        0       12
40072 librust-syn-mid-dev                	       0        7        0        0        7
40073 librust-system-deps-dev            	       0        5        0        5        0
40074 librust-tap-dev                    	       0        1        0        0        1
40075 librust-target-lexicon-dev         	       0        5        0        0        5
40076 librust-tempfile-dev               	       0        1        0        0        1
40077 librust-tendril-dev                	       0        1        0        0        1
40078 librust-termcolor-dev              	       0       10        0        0       10
40079 librust-terminal-size-dev          	       0        5        0        0        5
40080 librust-textwrap-dev               	       0        4        0        0        4
40081 librust-thin-vec-dev               	       0        1        0        0        1
40082 librust-thiserror-1-dev            	       0        1        0        0        1
40083 librust-thiserror-dev              	       0        4        0        0        4
40084 librust-thiserror-impl-1-dev       	       0        1        0        0        1
40085 librust-thiserror-impl-dev         	       0        4        0        0        4
40086 librust-thread-id-dev              	       0        5        0        0        5
40087 librust-tiff-dev                   	       0        1        0        0        1
40088 librust-tiny-keccak-dev            	       0       11        0        0       11
40089 librust-tinytemplate-dev           	       0        1        0        0        1
40090 librust-tinyvec+tinyvec-macros-dev 	       0        1        0        0        1
40091 librust-tinyvec-dev                	       0        1        0        0        1
40092 librust-tinyvec-macros-dev         	       0        1        0        0        1
40093 librust-tokio-dev                  	       0        6        0        0        6
40094 librust-tokio-macros-dev           	       0        6        0        0        6
40095 librust-toml-datetime-dev          	       0        1        0        0        1
40096 librust-toml-dev                   	       0        5        0        0        5
40097 librust-toml-edit-dev              	       0        1        0        0        1
40098 librust-tracing-attributes-dev     	       0        6        0        0        6
40099 librust-tracing-core-dev           	       0        6        0        0        6
40100 librust-tracing-dev                	       0        6        0        0        6
40101 librust-traitobject-dev            	       0        1        0        0        1
40102 librust-trim-in-place-dev          	       0        1        0        0        1
40103 librust-triomphe-dev               	       0        1        0        0        1
40104 librust-ttf-parser-dev             	       0        1        0        0        1
40105 librust-twox-hash-dev              	       0        1        0        0        1
40106 librust-typed-arena-dev            	       0        1        0        0        1
40107 librust-typemap-dev                	       0        1        0        0        1
40108 librust-typenum-dev                	       0        1        0        0        1
40109 librust-ucd-trie-dev               	       0        1        0        0        1
40110 librust-unarray-dev                	       0        1        0        0        1
40111 librust-unicase-dev                	       0        5        0        0        5
40112 librust-unicode-bidi-dev           	       0        1        0        0        1
40113 librust-unicode-ident-dev          	       0       12        0        0       12
40114 librust-unicode-linebreak-dev      	       0        4        0        0        4
40115 librust-unicode-normalization-dev  	       0        1        0        0        1
40116 librust-unicode-segmentation-dev   	       0        8        0        0        8
40117 librust-unicode-width-0.1-dev      	       0        1        0        0        1
40118 librust-unicode-width-dev          	       0        5        0        0        5
40119 librust-uniquote-dev               	       0        4        0        0        4
40120 librust-unsafe-any-dev             	       0        1        0        0        1
40121 librust-unsize-dev                 	       0        1        0        0        1
40122 librust-url-dev                    	       0        1        0        0        1
40123 librust-utf-8-dev                  	       0        1        0        0        1
40124 librust-utf8parse-dev              	       0        2        0        0        2
40125 librust-uuid-dev                   	       0        1        0        0        1
40126 librust-valuable-derive-dev        	       0        6        0        0        6
40127 librust-valuable-dev               	       0        6        0        0        6
40128 librust-value-bag-dev              	       0       11        0        0       11
40129 librust-value-bag-serde1-dev       	       0        2        0        0        2
40130 librust-value-bag-sval2-dev        	       0        2        0        0        2
40131 librust-vcpkg-dev                  	       0        3        0        0        3
40132 librust-version-check-dev          	       0       11        0        0       11
40133 librust-version-compare-dev        	       0        5        0        0        5
40134 librust-wait-timeout-dev           	       0        1        0        1        0
40135 librust-walkdir-dev                	       0        2        0        0        2
40136 librust-wasm-bindgen-backend-dev   	       0        1        0        0        1
40137 librust-wasm-bindgen-dev           	       0        1        0        0        1
40138 librust-wasm-bindgen-macro-dev     	       0        1        0        0        1
40139 librust-wasm-bindgen-macro-support-dev	       0        1        0        0        1
40140 librust-wasm-bindgen-shared-dev    	       0        1        0        0        1
40141 librust-wayland-client+scoped-tls-dev	       0        1        0        0        1
40142 librust-wayland-client+use-system-lib-dev	       0        1        0        0        1
40143 librust-wayland-client-dev         	       0        1        0        0        1
40144 librust-wayland-commons-dev        	       0        1        0        0        1
40145 librust-wayland-egl-dev            	       0        1        0        0        1
40146 librust-wayland-scanner-dev        	       0        1        0        0        1
40147 librust-wayland-sys-dev            	       0        1        0        0        1
40148 librust-weezl-dev                  	       0        1        0        1        0
40149 librust-which-dev                  	       0        5        0        0        5
40150 librust-wide-dev                   	       0        1        0        0        1
40151 librust-winapi-dev                 	       0       13        0        0       13
40152 librust-winapi-i686-pc-windows-gnu-dev	       0       13        0        0       13
40153 librust-winapi-util-dev            	       0       10        0        0       10
40154 librust-winapi-x86-64-pc-windows-gnu-dev	       0       13        0        0       13
40155 librust-winnow-dev                 	       0        1        0        0        1
40156 librust-wyz-dev                    	       0        1        0        0        1
40157 librust-x11-dev                    	       0        4        0        0        4
40158 librust-xcb+xfixes-dev             	       0        1        0        0        1
40159 librust-xcb-dev                    	       0        1        0        0        1
40160 librust-xdg-dev                    	       0        1        0        0        1
40161 librust-xdg-home-dev               	       0        1        0        0        1
40162 librust-xml-rs-dev                 	       0        2        0        0        2
40163 librust-xml5ever-dev               	       0        1        0        0        1
40164 librust-xmlparser-dev              	       0        1        0        0        1
40165 librust-xmltree+indexmap-dev       	       0        1        0        0        1
40166 librust-xmltree-dev                	       0        1        0        0        1
40167 librust-xmlwriter-dev              	       0        1        0        0        1
40168 librust-xor-name-dev               	       0        1        0        0        1
40169 librust-xshell-macros-dev          	       0        1        0        0        1
40170 librust-xterm-query-dev            	       0        1        0        0        1
40171 librust-yaml-dev                   	       0        1        0        0        1
40172 librust-yaml-rust-dev              	       0        4        0        0        4
40173 librust-yansi-dev                  	       0        1        0        0        1
40174 librust-yansi-term-dev             	       0        1        0        0        1
40175 librust-yeslogic-fontconfig-sys-dev	       0        2        0        0        2
40176 librust-zerocopy-derive-dev        	       0        2        0        0        2
40177 librust-zerocopy-dev               	       0        2        0        0        2
40178 librust-zeroize-derive-dev         	       0        2        0        0        2
40179 librust-zeroize-dev                	       0        2        0        0        2
40180 librust-zstd-dev                   	       0        1        0        0        1
40181 librust-zstd-safe-dev              	       0        1        0        0        1
40182 librust-zstd-sys-dev               	       0        1        0        1        0
40183 librust-zune-core-dev              	       0        1        0        0        1
40184 librust-zune-inflate-dev           	       0        1        0        0        1
40185 librust-zune-jpeg-dev              	       0        1        0        0        1
40186 librviz5d                          	       0        1        0        0        1
40187 librviz6d                          	       0        1        0        0        1
40188 librviz7d                          	       0        1        0        0        1
40189 librw-dev                          	       0        9        0        9        0
40190 librw0                             	       0       10        0        0       10
40191 librxp0                            	       0        1        0        0        1
40192 librygel-core-1.0-0                	       0        1        0        0        1
40193 librygel-core-2.0-1                	       0        1        0        0        1
40194 librygel-core-2.4-2                	       0        4        0        0        4
40195 librygel-core-2.6-2                	       0      105        0        0      105
40196 librygel-core-2.8-0                	       0      328        1        2      325
40197 librygel-db-2.6-2                  	       0      105        0        0      105
40198 librygel-db-2.8-0                  	       0      328        1        2      325
40199 librygel-renderer-1.0-0            	       0        1        0        0        1
40200 librygel-renderer-2.4-2            	       0        3        0        0        3
40201 librygel-renderer-2.6-2            	       0       98        0        0       98
40202 librygel-renderer-2.8-0            	       0      328        1        2      325
40203 librygel-renderer-gst-2.4-2        	       0        2        0        0        2
40204 librygel-renderer-gst-2.6-2        	       0       18        0        0       18
40205 librygel-renderer-gst-2.8-0        	       0      119        1        2      116
40206 librygel-ruih-2.0-1                	       0        2        0        0        2
40207 librygel-ruih-2.8-0                	       0        2        0        0        2
40208 librygel-server-1.0-0              	       0        1        0        0        1
40209 librygel-server-2.4-2              	       0        3        0        0        3
40210 librygel-server-2.6-2              	       0       98        0        0       98
40211 librygel-server-2.8-0              	       0      328        1        2      325
40212 libryzom-clientsheets0             	       0        1        0        0        1
40213 libryzom-gameshare0                	       0        1        0        0        1
40214 libs3-4                            	       0        1        0        0        1
40215 libs3d2                            	       0        4        0        0        4
40216 libs3dw2                           	       0        3        0        0        3
40217 libs6-2.10                         	       0        3        0        0        3
40218 libs6-2.11                         	       0        7        0        0        7
40219 libs6-2.13                         	       0        1        0        0        1
40220 libsaaj-java                       	       0        4        0        0        4
40221 libsaaj-ri-java                    	       0        3        0        0        3
40222 libsaamf3                          	       0        2        0        0        2
40223 libsac-java                        	       0      746        0        0      746
40224 libsac-java-gcj                    	       0       50        0       50        0
40225 libsackpt3                         	       0        2        0        0        2
40226 libsaclm3                          	       0        2        0        0        2
40227 libsaevt3                          	       0        2        0        0        2
40228 libsafe-iop-dev                    	       0        1        0        1        0
40229 libsafe-iop0                       	       0        1        0        0        1
40230 libsafe-isa-perl                   	       0       10        1        9        0
40231 libsaga                            	       0        1        0        0        1
40232 libsaga-api-2.3.1                  	       0        1        0        0        1
40233 libsaga-api8                       	       0        7        0        0        7
40234 libsaga-dev                        	       0        1        0        1        0
40235 libsaga-gdi-2.3.1                  	       0        1        0        0        1
40236 libsaga-gdi8                       	       0        7        0        0        7
40237 libsage-dev                        	       0        1        0        1        0
40238 libsage2                           	       0        2        0        0        2
40239 libsail-common0t64                 	       0        2        0        0        2
40240 libsalck3                          	       0        2        0        0        2
40241 libsam-dev                         	       0        2        0        2        0
40242 libsam-java                        	       0        1        0        0        1
40243 libsam4                            	       0        2        0        0        2
40244 libsambox-java                     	       0       63        0        0       63
40245 libsaml-doc                        	       0        1        0        0        1
40246 libsaml12                          	       0        1        0        0        1
40247 libsampleicc-dev                   	       0        1        0        1        0
40248 libsampleicc2                      	       0        1        0        0        1
40249 libsamsg4                          	       0        2        0        0        2
40250 libsane-common                     	       0     3017        1        1     3015
40251 libsane-dev                        	       0       24        0       24        0
40252 libsane-dsseries                   	       0        1        0        0        1
40253 libsane-extras                     	       0      132        0        0      132
40254 libsane-extras-common              	       0      136        0        0      136
40255 libsane-extras-dev                 	       0        5        0        0        5
40256 libsane-perl                       	       0        2        0        0        2
40257 libsanlock-client1                 	       0       29        0        1       28
40258 libsapdbc-java                     	       0        1        0        0        1
40259 libsasl2-module-xoauth2            	       0        1        1        0        0
40260 libsasl2-modules-gssapi-heimdal    	       0        3        1        1        1
40261 libsasl2-modules-ldap              	       0        4        0        1        3
40262 libsasl2-modules-otp               	       0        2        0        0        2
40263 libsasl2-modules-sql               	       0        4        1        0        3
40264 libsass-dev                        	       0       18        1       17        0
40265 libsass0                           	       0        1        0        0        1
40266 libsass1                           	       0      134        1        2      131
40267 libsatmr3                          	       0        2        0        0        2
40268 libsavitar0                        	       0       21        0        0       21
40269 libsavitar5t64                     	       0        2        0        0        2
40270 libsaxon-java-doc                  	       0        2        0        0        2
40271 libsaxonb-java                     	       0        2        0        2        0
40272 libsaxonhe-java                    	       0      376        0        0      376
40273 libsbc-dev                         	       0       13        1       12        0
40274 libsbjson-dev                      	       0        1        0        1        0
40275 libsbjson2.3                       	       0        1        0        0        1
40276 libsbml5                           	       0        1        0        0        1
40277 libsbml5-dev                       	       0        1        0        1        0
40278 libsbsms-dev                       	       0        2        0        2        0
40279 libsbsms10                         	       0      414        1        0      413
40280 libsbt-launcher-interface-java     	       0        1        0        0        1
40281 libsbt-serialization-java          	       0        1        0        0        1
40282 libsbuf6                           	       0        3        0        0        3
40283 libsc-data                         	       0        3        0        0        3
40284 libsc7v5                           	       0        3        0        0        3
40285 libscala-pickling-java             	       0        1        0        0        1
40286 libscalapack-mpi-dev               	       0        4        0        0        4
40287 libscalapack-mpich2.2              	       0        1        0        0        1
40288 libscalapack-openmpi-dev           	       0        5        0        5        0
40289 libscalapack-openmpi1              	       0        1        0        0        1
40290 libscalapack-openmpi2.1            	       0        3        0        0        3
40291 libscalapack-openmpi2.2            	       0       57        0        0       57
40292 libscalar-does-perl                	       0        4        0        4        0
40293 libscalar-list-utils-perl          	       0      141       12       24      105
40294 libscalar-readonly-perl            	       0        1        0        0        1
40295 libscalar-string-perl              	       0        3        0        0        3
40296 libscalar-util-numeric-perl        	       0        1        0        0        1
40297 libscamperfile0                    	       0        2        0        0        2
40298 libscamperfile0t64                 	       0        1        0        0        1
40299 libscca-utils                      	       0        1        0        1        0
40300 libscca1                           	       0       15        0        0       15
40301 libschedule-at-perl                	       0        2        0        2        0
40302 libschroedinger-1.0-0              	       0       96        0        2       94
40303 libschroedinger-dev                	       0        6        0        6        0
40304 libschroedinger-doc                	       0        1        0        0        1
40305 libscim-dev                        	       0        1        0        1        0
40306 libscim8c2a                        	       0        1        0        0        1
40307 libscim8v5                         	       0      600        0        4      596
40308 libsciplot1                        	       0        1        0        0        1
40309 libscitokens-dev                   	       0        1        1        0        0
40310 libscitokens0                      	       0        2        0        1        1
40311 libscope-guard-perl                	       0       63        2       61        0
40312 libscope-upper-perl                	       0        6        0        0        6
40313 libscotch-5.1                      	       0        1        0        1        0
40314 libscotch-6.0                      	       0        2        0        0        2
40315 libscotch-6.1                      	       0        4        0        0        4
40316 libscotch-7.0                      	       0       59        0        0       59
40317 libscotch-dev                      	       0        5        0        5        0
40318 libscotchmetis-dev                 	       0        1        0        1        0
40319 libscotchparmetis-dev              	       0        1        0        1        0
40320 libscrollkeeper0                   	       0        1        0        0        1
40321 libscrypt-dev                      	       0        4        0        4        0
40322 libscrypt0                         	       0        4        0        0        4
40323 libscscp1                          	       0        1        0        0        1
40324 libscscp1-dev                      	       0        1        0        1        0
40325 libscsynth1                        	       0        9        0        0        9
40326 libsctp-dev                        	       0       60        1       59        0
40327 libsctp1                           	       0      359        9       35      315
40328 libscythestat-dev                  	       0        1        0        1        0
40329 libsdbus-c++0                      	       0       10        0        0       10
40330 libsdbus-c++1                      	       0        3        0        0        3
40331 libsdbus-c++2                      	       0        2        0        0        2
40332 libsdl-console                     	       0        9        0        0        9
40333 libsdl-console-dev                 	       0        6        0        6        0
40334 libsdl-gfx1.2-4                    	       0        3        0        0        3
40335 libsdl-gfx1.2-5                    	       0      130        0        0      130
40336 libsdl-gfx1.2-dev                  	       0       15        0       15        0
40337 libsdl-gfx1.2-doc                  	       0        5        0        0        5
40338 libsdl-gst                         	       0        2        0        2        0
40339 libsdl-image-gst                   	       0        2        0        0        2
40340 libsdl-image1.2                    	       0     1624        2        5     1617
40341 libsdl-image1.2-dev                	       0       49        2       47        0
40342 libsdl-kitchensink-dev             	       0        2        0        2        0
40343 libsdl-kitchensink1                	       0        5        0        0        5
40344 libsdl-mixer-gst                   	       0        2        0        0        2
40345 libsdl-mixer1.2                    	       0      403        2        1      400
40346 libsdl-net1.2-dev                  	       0       13        1       12        0
40347 libsdl-ocaml                       	       0        3        0        3        0
40348 libsdl-ocaml-dev                   	       0        3        0        3        0
40349 libsdl-pango-dev                   	       0        7        0        7        0
40350 libsdl-pango1                      	       0      133        0        0      133
40351 libsdl-perl                        	       0       91        0        0       91
40352 libsdl-sge                         	       0       16        0        0       16
40353 libsdl-sge-dev                     	       0        4        0        4        0
40354 libsdl-sound-gst                   	       0        1        0        0        1
40355 libsdl-sound1.2-dev                	       0        9        1        8        0
40356 libsdl-stretch-0-3                 	       0        1        0        0        1
40357 libsdl-stretch-dev                 	       0        1        0        1        0
40358 libsdl-ttf-gst                     	       0        1        0        0        1
40359 libsdl-ttf2.0-0                    	       0      395        2        1      392
40360 libsdl-ttf2.0-dev                  	       0       25        0       25        0
40361 libsdl1.2-compat                   	       0        7        0        0        7
40362 libsdl1.2-compat-dbgsym            	       0        1        0        1        0
40363 libsdl1.2-compat-dev               	       0        2        1        1        0
40364 libsdl1.2-compat-shim              	       0        4        0        0        4
40365 libsdl1.2-compat-tests             	       0        1        0        0        1
40366 libsdl2-2.0-0-dbgsym               	       0        1        0        1        0
40367 libsdl2-build-deps-depends         	       0        1        0        0        1
40368 libsdl2-dev-dbgsym                 	       0        1        0        1        0
40369 libsdl2-doc                        	       0       16        0        0       16
40370 libsdl2-gfx-1.0-0                  	       0       88        0        0       88
40371 libsdl2-gfx-dev                    	       0       28        0       28        0
40372 libsdl2-gfx-doc                    	       0       12        0        0       12
40373 libsdl2-image-2.0-0                	       0      479        1        4      474
40374 libsdl2-image-2.0-0-dbgsym         	       0        1        0        1        0
40375 libsdl2-image-dev                  	       0       70        1       69        0
40376 libsdl2-image-tests                	       0        4        0        0        4
40377 libsdl2-mixer-2.0-0                	       0      452        2        4      446
40378 libsdl2-mixer-2.0-0-dbgsym         	       0        1        0        1        0
40379 libsdl2-mixer-dev                  	       0       55        2       53        0
40380 libsdl2-net-2.0-0                  	       0      151        0        3      148
40381 libsdl2-net-dev                    	       0       29        1       28        0
40382 libsdl2-pango4                     	       0        1        0        0        1
40383 libsdl2-tests                      	       0        5        0        0        5
40384 libsdl2-ttf-2.0-0                  	       0      426        0        3      423
40385 libsdl3-0                          	       0        1        0        0        1
40386 libsdl3-image0                     	       0        1        0        0        1
40387 libsdl3-ttf0                       	       0        1        0        0        1
40388 libsdo-api-java                    	       0        3        0        0        3
40389 libsdp0                            	       0        2        0        0        2
40390 libsdsl-dev                        	       0        1        0        0        1
40391 libsdsl3                           	       0        2        0        0        2
40392 libseafile0                        	       0        4        0        0        4
40393 libseafile0t64                     	       0        1        0        0        1
40394 libsearch-elasticsearch-perl       	       0        2        0        2        0
40395 libsearch-gin-perl                 	       0        1        0        1        0
40396 libsearch-queryparser-perl         	       0        1        0        1        0
40397 libsearpc-dev                      	       0        1        0        1        0
40398 libsearpc1                         	       0        6        0        0        6
40399 libsearpc1t64                      	       0        2        0        0        2
40400 libseat-dev                        	       0        4        0        4        0
40401 libseccomp-dev                     	       0       22        1       21        0
40402 libsecondstring-java               	       0        2        0        0        2
40403 libsecp256k1-0                     	       0       22        0        3       19
40404 libsecp256k1-1                     	       0       27        0        0       27
40405 libsecp256k1-2                     	       0        2        0        0        2
40406 libsecp256k1-dev                   	       0        6        0        6        0
40407 libsecret-1-dev                    	       0       42        1       41        0
40408 libsecret-tools                    	       0       75        3       72        0
40409 libsedlex-ocaml                    	       0        1        0        1        0
40410 libsedlex-ocaml-dev                	       0        1        0        1        0
40411 libseed-gtk3-0                     	       0        2        0        2        0
40412 libsejda-commons-java              	       0       52        0        0       52
40413 libsejda-eventstudio-java          	       0       62        0        0       62
40414 libsejda-injector-java             	       0       62        0        0       62
40415 libsejda-io-java                   	       0       64        0        0       64
40416 libsejda-java                      	       0       64        0        0       64
40417 libselenium-remote-driver-perl     	       0        1        0        1        0
40418 libsemanage-common                 	       0     4164        0        0     4164
40419 libsemanage-dev                    	       0        1        1        0        0
40420 libsemanage1                       	       0     1146        0        0     1146
40421 libsemanage1-dev                   	       0        1        1        0        0
40422 libsemanage2                       	       0     3043        2        5     3036
40423 libsemver-clojure                  	       0        1        0        0        1
40424 libsemver-java                     	       0       84        0        0       84
40425 libsendlater4                      	       0        4        0        1        3
40426 libsensor-msgs-dev                 	       0        1        0        1        0
40427 libsensors-applet-plugin0          	       0       26        0        0       26
40428 libsensors-config                  	       0     3741        0        0     3741
40429 libsensors-dev                     	       0       41        1       40        0
40430 libsensors3                        	       0        4        0        0        4
40431 libsensors4                        	       0      153        0        1      152
40432 libsensors4-dev                    	       0       25        0       16        9
40433 libsentencepiece0                  	       0        1        0        0        1
40434 libsepol2                          	       0     3047        2        5     3040
40435 libsequel-ruby1.9.1                	       0        1        0        0        1
40436 libsequence-library-java           	       0       12        0        0       12
40437 libserd-dev                        	       0       22        0       22        0
40438 libsereal-decoder-perl             	       0      659        1        1      657
40439 libsereal-encoder-perl             	       0      659        1        1      657
40440 libserf-0-0                        	       0        6        0        0        6
40441 libserf-1-1                        	       0      500        5        9      486
40442 libserf-dev                        	       0        1        0        1        0
40443 libserf1                           	       0        1        0        0        1
40444 libserializer-java                 	       0      741        0        0      741
40445 libserializer-java-openoffice.org  	       0        1        0        0        1
40446 libserialport-dev                  	       0        5        0        5        0
40447 libserialport0                     	       0      109        1        1      107
40448 libserver-starter-perl             	       0        7        0        7        0
40449 libservice-wrapper-java            	       0        8        0        0        8
40450 libservlet-api-java                	       0      812        0        0      812
40451 libservlet-api-java-doc            	       0        7        0        0        7
40452 libservlet2.3-java                 	       0        2        0        0        2
40453 libservlet2.4-java                 	       0        1        0        0        1
40454 libservlet2.5-java                 	       0       29        0        0       29
40455 libservlet3.0-java                 	       0       10        0        0       10
40456 libservlet3.1-java                 	       0      813        0        0      813
40457 libsession-token-perl              	       0        1        0        0        1
40458 libset-infinite-perl               	       0       10        0       10        0
40459 libset-object-perl                 	       0       23        0        0       23
40460 libset-scalar-perl                 	       0       76        2       74        0
40461 libsetools-tcl                     	       0        2        0        0        2
40462 libsexp-processor-ruby1.9.1        	       0        1        0        0        1
40463 libsexp1                           	       0       45        2        4       39
40464 libsexp2                           	       0       13        1        1       11
40465 libsexplib0-ocaml                  	       0        7        0        6        1
40466 libsexplib0-ocaml-dev              	       0        6        0        6        0
40467 libsexpp0                          	       0       85        5       16       64
40468 libsexy2                           	       0        4        0        0        4
40469 libsfark-dev                       	       0        1        0        1        0
40470 libsfark0                          	       0       10        0        0       10
40471 libsfcgal-dev                      	       0        3        0        3        0
40472 libsfcgal1                         	       0       28        1        0       27
40473 libsfcgal2                         	       0        2        0        0        2
40474 libsfcutil0                        	       0        1        0        0        1
40475 libsfdo0                           	       0        1        0        0        1
40476 libsfml-audio2                     	       0        1        0        0        1
40477 libsfml-audio2.4                   	       0        2        0        0        2
40478 libsfml-audio2.5                   	       0       63        0        1       62
40479 libsfml-audio2.6                   	       0        9        0        0        9
40480 libsfml-dev                        	       0       24        0        0       24
40481 libsfml-doc                        	       0        2        0        2        0
40482 libsfml-graphics2                  	       0        1        0        0        1
40483 libsfml-graphics2.4                	       0        2        0        0        2
40484 libsfml-graphics2.5                	       0       66        0        2       64
40485 libsfml-graphics2.6                	       0        9        0        0        9
40486 libsfml-network2                   	       0        1        0        0        1
40487 libsfml-network2.4                 	       0        1        0        0        1
40488 libsfml-network2.5                 	       0       37        0        0       37
40489 libsfml-network2.6                 	       0        5        0        0        5
40490 libsfml-system2                    	       0        1        0        0        1
40491 libsfml-system2.4                  	       0        2        0        0        2
40492 libsfml-system2.5                  	       0       78        0        2       76
40493 libsfml-system2.6                  	       0       10        0        0       10
40494 libsfml-window2                    	       0        1        0        0        1
40495 libsfml-window2.4                  	       0        2        0        0        2
40496 libsfml-window2.5                  	       0       66        0        2       64
40497 libsfml-window2.6                  	       0        9        0        0        9
40498 libsframe1                         	       0      244        0        1      243
40499 libsgml-parser-opensp-perl         	       0        2        0        0        2
40500 libsgutils1-0                      	       0        1        0        0        1
40501 libsgutils2-1.46-2                 	       0      479        4        4      471
40502 libsgutils2-1.48                   	       0       21        0        0       21
40503 libsgutils2-2                      	       0      206        0        0      206
40504 libsgutils2-dev                    	       0        2        0        2        0
40505 libshaderc-dev                     	       0        5        0        5        0
40506 libshaderc1                        	       0       42        0        1       41
40507 libshairplay-dev                   	       0        2        0        2        0
40508 libshairplay0                      	       0       91        2       10       79
40509 libshairport-dev                   	       0        2        0        2        0
40510 libshairport1                      	       0        1        0        0        1
40511 libshairport2                      	       0        4        0        0        4
40512 libshape-msgs-dev                  	       0        1        0        1        0
40513 libshark-dev                       	       0        1        0        1        0
40514 libshark0                          	       0        1        0        0        1
40515 libsharp0                          	       0        6        0        0        6
40516 libshell-posix-select-perl         	       0        3        0        3        0
40517 libshell-utils-clojure             	       0        1        0        0        1
40518 libshhmsg1                         	       0        4        0        0        4
40519 libshhopt1                         	       0        4        0        0        4
40520 libshiboken-dev                    	       0        1        0        1        0
40521 libshiboken-py3-1.2v5              	       0        2        0        0        2
40522 libshiboken1.2v5                   	       0       10        0        0       10
40523 libshiboken2-5.11                  	       0       10        0        0       10
40524 libshiboken2-dev                   	       0        5        0        5        0
40525 libshine-dev                       	       0       19        1       18        0
40526 libshine-ocaml                     	       0        1        0        1        0
40527 libshine-ocaml-dev                 	       0        1        0        1        0
40528 libshishi-dev                      	       0        3        0        3        0
40529 libshishi0                         	       0        4        0        0        4
40530 libshogun-dev                      	       0        1        0        1        0
40531 libshogun16                        	       0        1        0        0        1
40532 libshout-dev                       	       0       17        2       15        0
40533 libshout-idjc-dev                  	       0        2        0        2        0
40534 libshout-idjc3                     	       0        8        0        0        8
40535 libshout-tools                     	       0        2        0        2        0
40536 libshout3                          	       0     3209       13       23     3173
40537 libshout3-dev                      	       0       17        0        2       15
40538 libshp-dev                         	       0       11        0       11        0
40539 libshp1                            	       0        2        0        0        2
40540 libshp2                            	       0      183        0        0      183
40541 libshp4                            	       0       27        0        0       27
40542 libshumate-1.0-1                   	       0      108        0        0      108
40543 libshumate-common                  	       0      108        0        0      108
40544 libshumate-dev                     	       0        1        0        1        0
40545 libsidplay1                        	       0       13        0        0       13
40546 libsidplay1-dev                    	       0        1        0        1        0
40547 libsidplay1v5                      	       0     2859        0        0     2859
40548 libsidplay2                        	       0     2194        8       20     2166
40549 libsidplay2-dev                    	       0       12        1       11        0
40550 libsidplayfp                       	       0        1        0        0        1
40551 libsidplayfp-dev                   	       0        2        0        2        0
40552 libsidplayfp-doc                   	       0        1        0        0        1
40553 libsidplayfp4                      	       0       31        0        0       31
40554 libsidplayfp5                      	       0       97        2        3       92
40555 libsidplayfp6                      	       0      491       11       15      465
40556 libsidutils-dev                    	       0        3        0        3        0
40557 libsidutils0                       	       0     1036        3        1     1032
40558 libsieve2-1                        	       0        1        0        0        1
40559 libsigc++-1.2-5c2                  	       0        1        0        0        1
40560 libsigc++-2.0-0c2a                 	       0       59        0        1       58
40561 libsigc++-2.0-doc                  	       0        5        0        0        5
40562 libsigc++-3.0-0                    	       0      304        9       40      255
40563 libsigc++-3.0-dev                  	       0       11        0       11        0
40564 libsigc++0c2                       	       0        1        0        0        1
40565 libsight                           	       0        1        0        1        0
40566 libsignal-protocol-c-dev           	       0        5        0        5        0
40567 libsignal-protocol-c2              	       0        4        0        0        4
40568 libsignal-protocol-c2.3.2          	       0       56        3        7       46
40569 libsignatures-java                 	       0        2        0        0        2
40570 libsignon-extension1               	       0       29        0        0       29
40571 libsignon-glib-dev                 	       0        2        0        2        0
40572 libsignon-glib1                    	       0        2        0        0        2
40573 libsignon-glib2                    	       0       12        0        6        6
40574 libsignon-plugins-common1          	       0      769        0        0      769
40575 libsignon-plugins-doc              	       0        1        0        0        1
40576 libsignon-qt6-1                    	       0       39        2        2       35
40577 libsignon-qt6-dev                  	       0        1        0        1        0
40578 libsignon-qt6-doc                  	       0        1        0        0        1
40579 libsigrok4t64                      	       0        3        0        3        0
40580 libsigrokcxx4                      	       0       21        0        0       21
40581 libsigrokcxx4t64                   	       0        3        0        0        3
40582 libsigrokdecode4                   	       0       24        0        0       24
40583 libsigscan1                        	       0       14        0        0       14
40584 libsigsegv-dev                     	       0        2        0        2        0
40585 libsigsegv0                        	       0        4        0        0        4
40586 libsigsegv2                        	       0     1642        1        5     1636
40587 libsilc-1.1-2                      	       0        2        0        0        2
40588 libsilc-1.1-2-dbg                  	       0        1        0        1        0
40589 libsilc-dev                        	       0        1        0        1        0
40590 libsilcclient-1.1-3                	       0        2        0        0        2
40591 libsilcclient-1.1-3-dbg            	       0        1        0        1        0
40592 libsilk1                           	       0        1        0        0        1
40593 libsilly                           	       0        4        0        0        4
40594 libsilly-dev                       	       0        2        0        2        0
40595 libsilo-bin                        	       0        1        0        1        0
40596 libsilo-dev                        	       0        2        0        2        0
40597 libsiloh5-0                        	       0        2        0        0        2
40598 libsiloh5-0t64                     	       0        1        0        0        1
40599 libsimage-dev                      	       0        2        0        2        0
40600 libsimage20                        	       0        2        0        0        2
40601 libsimavr-dev                      	       0        3        0        3        0
40602 libsimavr-examples                 	       0        2        0        0        2
40603 libsimavr2                         	       0        9        0        0        9
40604 libsimavrparts1                    	       0        4        0        0        4
40605 libsimbody-dev                     	       0        1        0        1        0
40606 libsimbody3.7                      	       0        1        0        0        1
40607 libsimde-dev                       	       0       10        1        9        0
40608 libsimdjson14                      	       0        3        0        0        3
40609 libsimdjson19                      	       0        1        0        0        1
40610 libsimgearcore3.0.0                	       0        2        0        0        2
40611 libsimple-http-java                	       0       49        0        0       49
40612 libsimple-validation-java          	       0        4        0        0        4
40613 libsimpleini1t64                   	       0        4        0        0        4
40614 libsinatra-ruby1.9.1               	       0        1        0        0        1
40615 libsingular4-dev                   	       0        9        0        9        0
40616 libsingular4-dev-common            	       0        9        0        9        0
40617 libsingular4m1                     	       0        1        0        0        1
40618 libsingular4m2n1                   	       0        1        0        0        1
40619 libsingular4m3n0                   	       0       12        0        0       12
40620 libsingular4m4n1                   	       0        2        0        0        2
40621 libsis-base-java                   	       0        3        0        0        3
40622 libsis-base-jni                    	       0        3        0        3        0
40623 libsis-jhdf5-java                  	       0        3        0        0        3
40624 libsis-jhdf5-jni                   	       0        3        0        3        0
40625 libsiscone-dev                     	       0        1        0        1        0
40626 libsiscone-spherical-dev           	       0        1        0        1        0
40627 libsiscone-spherical0v5            	       0        1        0        0        1
40628 libsiscone0v5                      	       0        1        0        0        1
40629 libsisimai-perl                    	       0        1        0        1        0
40630 libsisu-guice-java                 	       0      133        0        0      133
40631 libsisu-inject-java                	       0      465        0        0      465
40632 libsisu-ioc-java                   	       0      132        0        0      132
40633 libsisu-maven-plugin-java          	       0        1        0        0        1
40634 libsisu-plexus-java                	       0      465        0        0      465
40635 libsitesummary-perl                	       0        6        1        5        0
40636 libsixel-dev                       	       0       16        0       16        0
40637 libsixel-examples                  	       0        1        0        0        1
40638 libsixel1                          	       0     1000        9       26      965
40639 libskarnet2.10                     	       0        4        0        0        4
40640 libskarnet2.12                     	       0        1        0        0        1
40641 libskarnet2.13                     	       0        7        0        3        4
40642 libskarnet2.14t64                  	       0        2        0        0        2
40643 libskarnet2.7                      	       0        2        0        0        2
40644 libskinlf-java                     	       0       16        0        0       16
40645 libskk-common                      	       0        2        0        0        2
40646 libskk0                            	       0        2        0        0        2
40647 libskstream-0.3-4                  	       0        1        0        0        1
40648 libskstream-0.3-7                  	       0        1        0        0        1
40649 libsl0-heimdal                     	       0       21        0        0       21
40650 libsl0t64-heimdal                  	       0        1        0        0        1
40651 libslang1                          	       0        1        0        0        1
40652 libslang2-modules                  	       0       40        0        0       40
40653 libslang2-pic                      	       0        2        0        1        1
40654 libsleef-dev                       	       0        1        0        1        0
40655 libsleef3                          	       0       14        0        0       14
40656 libslepc-real3.18                  	       0        2        0        0        2
40657 libslepc-real3.18-dev              	       0        1        0        0        1
40658 libslf4j-java                      	       0      592        0        0      592
40659 libslicot-dev                      	       0        1        0        0        1
40660 libslicot0                         	       0        8        0        0        8
40661 libslingshot-clojure               	       0        1        0        0        1
40662 libsliplu1                         	       0       29        0        0       29
40663 libslirp-dev                       	       0        8        1        7        0
40664 libslopy7.6                        	       0       30        0        0       30
40665 libslp-dev                         	       0        3        0        3        0
40666 libslurm-dev                       	       0        1        0        1        0
40667 libslurm36                         	       0        3        0        0        3
40668 libslurm38                         	       0       11        0        0       11
40669 libslurm39                         	       0        1        0        0        1
40670 libslv2-9                          	       0       21        0        0       21
40671 libslvs1                           	       0        2        0        0        2
40672 libslvs1-dev                       	       0        1        0        1        0
40673 libsm-doc                          	       0        7        0        0        7
40674 libsmallfry-dev                    	       0        1        0        1        0
40675 libsmallfry0                       	       0        1        0        0        1
40676 libsmartcols1                      	       0     4164        0        2     4162
40677 libsmartcols1-dbgsym               	       0        1        0        1        0
40678 libsmbclient0                      	       0      222        7       13      202
40679 libsmbios-bin                      	       0        2        0        2        0
40680 libsmbios-doc                      	       0        5        0        0        5
40681 libsmbios2                         	       0        3        0        0        3
40682 libsmc-dev                         	       0        1        0        1        0
40683 libsmdev1                          	       0       14        0        0       14
40684 libsmf-dev                         	       0        1        0        1        0
40685 libsmf0                            	       0       44        0        0       44
40686 libsmf0t64                         	       0        2        0        0        2
40687 libsmi2-common                     	       0        1        0        0        1
40688 libsmi2-dev                        	       0        2        0        2        0
40689 libsmi2ldbl                        	       0      345        1        0      344
40690 libsmi2t64                         	       0       25        0        0       25
40691 libsmithwaterman0                  	       0        1        0        0        1
40692 libsml1                            	       0        1        0        0        1
40693 libsmlnj-smlnj                     	       0        2        0        0        2
40694 libsmltk0                          	       0        2        0        0        2
40695 libsmltk0t64                       	       0        1        0        0        1
40696 libsmokebase3                      	       0        3        0        0        3
40697 libsmokebase3v5                    	       0        8        0        0        8
40698 libsmokekdecore4-3                 	       0        3        0        0        3
40699 libsmokekdeui4-3                   	       0        3        0        0        3
40700 libsmokekfile3                     	       0        3        0        0        3
40701 libsmokekhtml3                     	       0        3        0        0        3
40702 libsmokekio3                       	       0        3        0        0        3
40703 libsmokeknewstuff2-3               	       0        3        0        0        3
40704 libsmokeknewstuff3-3               	       0        3        0        0        3
40705 libsmokekparts3                    	       0        3        0        0        3
40706 libsmokektexteditor3               	       0        3        0        0        3
40707 libsmokekutils3                    	       0        3        0        0        3
40708 libsmokeokular3                    	       0        1        0        0        1
40709 libsmokephonon3                    	       0        2        0        0        2
40710 libsmokeplasma3                    	       0        3        0        0        3
40711 libsmokeqtcore4-3                  	       0        8        0        0        8
40712 libsmokeqtdbus4-3                  	       0        6        0        0        6
40713 libsmokeqtgui4-3                   	       0        8        0        0        8
40714 libsmokeqtnetwork4-3               	       0        8        0        0        8
40715 libsmokeqtopengl4-3                	       0        6        0        0        6
40716 libsmokeqtscript4-3                	       0        3        0        0        3
40717 libsmokeqtsql4-3                   	       0        6        0        0        6
40718 libsmokeqtsvg4-3                   	       0        6        0        0        6
40719 libsmokeqttest4-3                  	       0        3        0        0        3
40720 libsmokeqtuitools4-3               	       0        3        0        0        3
40721 libsmokeqtwebkit4-3                	       0        3        0        0        3
40722 libsmokeqtxml4-3                   	       0        6        0        0        6
40723 libsmokesolid3                     	       0        3        0        0        3
40724 libsmoketqt1-trinity               	       0        3        0        0        3
40725 libsmpeg-dev                       	       0        6        0        6        0
40726 libsmpeg0                          	       0       52        0        0       52
40727 libsmpeg0t64                       	       0        4        0        0        4
40728 libsmraw1                          	       0       14        0        0       14
40729 libsms-send-perl                   	       0        2        0        2        0
40730 libsnacc-dev                       	       0        3        0        3        0
40731 libsnacc0c2                        	       0        4        0        0        4
40732 libsnack-alsa                      	       0        8        0        8        0
40733 libsnack-oss                       	       0       16        0       16        0
40734 libsnack2                          	       0        1        1        0        0
40735 libsnapd-glib-dev                  	       0        3        0        3        0
40736 libsnapd-glib1                     	       0        5        0        0        5
40737 libsnapd-qt-2-1                    	       0        9        1        0        8
40738 libsnapd-qt-dev                    	       0        3        0        3        0
40739 libsnapd-qt1                       	       0        2        0        0        2
40740 libsnapper5                        	       0        1        0        0        1
40741 libsnapper6                        	       0       13        1        0       12
40742 libsnapper7t64                     	       0        4        0        0        4
40743 libsnappy-dev                      	       0       24        0       24        0
40744 libsnappy-java                     	       0      379        0        0      379
40745 libsnappy-jni                      	       0      381        0        0      381
40746 libsnappy1                         	       0        3        0        0        3
40747 libsndifsdl2-dev                   	       0        1        0        1        0
40748 libsndio6.0                        	       0        1        0        0        1
40749 libsndio6.1                        	       0      298        0        0      298
40750 libsndobj-dev                      	       0        1        0        1        0
40751 libsndobj2v5                       	       0        4        0        0        4
40752 libsnl0                            	       0        5        0        0        5
40753 libsnl0t64                         	       0        1        0        0        1
40754 libsnmp-base                       	       0     3187        0        0     3187
40755 libsnmp-dev                        	       0       39        1       38        0
40756 libsnmp-info-perl                  	       0        1        0        1        0
40757 libsnmp-mib-compiler-perl          	       0        2        0        2        0
40758 libsnmp-perl                       	       0       35        1        1       33
40759 libsnmp-session-perl               	       0       27        2       25        0
40760 libsnmp30                          	       0      302        1        3      298
40761 libsnmp35                          	       0        1        0        0        1
40762 libsnmp40                          	       0     2721       36      100     2585
40763 libsnmp40t64                       	       0      215        6       14      195
40764 libsnmp9                           	       0        1        0        0        1
40765 libsnmpkit2c2a                     	       0        3        0        0        3
40766 libsnowball-norwegian-perl         	       0        5        1        4        0
40767 libsnowball-swedish-perl           	       0        5        1        4        0
40768 libsnp-sites1                      	       0        1        0        0        1
40769 libsoap-wsdl-perl                  	       0       11        0       11        0
40770 libsoapysdr-dev                    	       0        4        0        4        0
40771 libsoapysdr0.6                     	       0        1        0        0        1
40772 libsoapysdr0.7                     	       0        6        0        0        6
40773 libsoapysdr0.8                     	       0       50        1        3       46
40774 libsoci-core4.0                    	       0       30        1        2       27
40775 libsoci-sqlite3-4.0                	       0       30        1        2       27
40776 libsocialweb-client2               	       0        9        0        0        9
40777 libsocialweb-common                	       0        9        0        0        9
40778 libsocialweb-service               	       0        6        0        6        0
40779 libsocialweb0                      	       0        7        0        0        7
40780 libsocket++-dev                    	       0        1        0        1        0
40781 libsocket++1                       	       0      479        0        0      479
40782 libsocket-perl                     	       0       55        2        7       46
40783 libsocket-wrapper                  	       0        1        0        1        0
40784 libsocket6-perl                    	       0     1659       51      116     1492
40785 libsocketcan2                      	       0        6        0        0        6
40786 libsocks4                          	       0        3        0        3        0
40787 libsodium13                        	       0       12        0        0       12
40788 libsodium18                        	       0      104        0        0      104
40789 libsofa1                           	       0        1        0        0        1
40790 libsofia-sip-ua-glib3              	       0       11        0        1       10
40791 libsofia-sip-ua-glib3t64           	       0        1        1        0        0
40792 libsofia-sip-ua0                   	       0       14        0        2       12
40793 libsofia-sip-ua0t64                	       0        1        1        0        0
40794 libsofthsm2                        	       0        6        0        6        0
40795 libsofthsm2-dev                    	       0        1        0        1        0
40796 libsoftware-licensemoreutils-perl  	       0       21        0       21        0
40797 libsoil-dev                        	       0        4        0        4        0
40798 libsoil1                           	       0       12        0        0       12
40799 libsolid4                          	       0       45        1        1       43
40800 libsolv-tools                      	       0        4        0        4        0
40801 libsolv1                           	       0        6        0        0        6
40802 libsolvext1                        	       0        6        0        0        6
40803 libsombok3                         	       0      543        0        2      541
40804 libsonic-dev                       	       0        1        0        1        0
40805 libsonivox3                        	       0       27        0        1       26
40806 libsoprano-dev                     	       0        1        0        1        0
40807 libsoprano4                        	       0       25        0        1       24
40808 libsopt-dev                        	       0        2        0        2        0
40809 libsopt3.0                         	       0        3        0        0        3
40810 libsoqt4-20                        	       0        6        0        0        6
40811 libsoqt520                         	       0      103        0        0      103
40812 libsoqt520t64                      	       0       15        0        0       15
40813 libsord-dev                        	       0       22        0       22        0
40814 libsort-key-perl                   	       0      170        0        0      170
40815 libsort-maker-perl                 	       0        1        0        1        0
40816 libsoundio-dev                     	       0        3        0        3        0
40817 libsoundio1                        	       0        9        0        0        9
40818 libsoundio2                        	       0       46        0        0       46
40819 libsoundtouch-dev                  	       0       13        0       13        0
40820 libsoundtouch0                     	       0       25        0        0       25
40821 libsoundtouch1                     	       0     3019        5        8     3006
40822 libsoundtouch1c2                   	       0        1        0        0        1
40823 libsoup-3.0-dev                    	       0       26        0       26        0
40824 libsoup-3.0-doc                    	       0        2        0        0        2
40825 libsoup-3.0-tests                  	       0        1        0        0        1
40826 libsoup-gnome-2.4-1                	       0       25        0        0       25
40827 libsoup-gnome2.4-dev               	       0        3        0        3        0
40828 libsoup2.4-common                  	       0     2334        0        0     2334
40829 libsoup2.4-doc                     	       0        4        0        0        4
40830 libsource-highlight-common         	       0      595        0        0      595
40831 libsource-highlight-dev            	       0        2        1        1        0
40832 libsource-highlight3               	       0        1        0        0        1
40833 libsource-highlight4               	       0        1        0        0        1
40834 libsource-highlight4t64            	       0       64        0        0       64
40835 libsource-highlight4v5             	       0      530        1        2      527
40836 libsox-dev                         	       0        6        0        6        0
40837 libsox-fmt-all                     	       0       65        0        0       65
40838 libsox-fmt-alsa                    	       0     1355        0        3     1352
40839 libsox-fmt-ao                      	       0       73        0        2       71
40840 libsox-fmt-base                    	       0     1359        0        3     1356
40841 libsox-fmt-mp3                     	       0      107        0        2      105
40842 libsox-fmt-opus                    	       0        8        0        0        8
40843 libsox-fmt-oss                     	       0       72        0        2       70
40844 libsox-fmt-pulse                   	       0       76        0        2       74
40845 libsox1b                           	       0        2        0        0        2
40846 libsox2                            	       0       73        0        0       73
40847 libsox3                            	       0     1312        0        5     1307
40848 libsoxr-dev                        	       0       26        1       25        0
40849 libsoxr-lsr0                       	       0       26        0        0       26
40850 libsp1c2                           	       0       15        0        0       15
40851 libspa-0.2-jack                    	       0       17        0        0       17
40852 libspa-0.2-libcamera               	       0       12        3        6        3
40853 libspa-bluetooth                   	       0        3        0        0        3
40854 libspa-ffmpeg                      	       0        5        0        0        5
40855 libspa-lib-0.1-dev                 	       0        1        0        1        0
40856 libspandsp-dev                     	       0       15        1       14        0
40857 libspandsp-doc                     	       0        1        0        0        1
40858 libspandsp2                        	       0     2861        7       13     2841
40859 libspandsp2t64                     	       0      202        1        1      200
40860 libsparsehash-dev                  	       0        2        0        2        0
40861 libsparskit-dev                    	       0        1        0        1        0
40862 libsparskit2.0                     	       0        1        0        0        1
40863 libspatialaudio-dev                	       0        5        1        4        0
40864 libspatialaudio0                   	       0     1416        0        3     1413
40865 libspatialaudio0t64                	       0      129        0        0      129
40866 libspatialindex-c4v5               	       0        1        0        0        1
40867 libspatialindex-c5                 	       0        1        0        0        1
40868 libspatialindex-c6                 	       0       33        0        0       33
40869 libspatialindex-c7                 	       0        4        0        0        4
40870 libspatialindex-c8                 	       0        4        0        0        4
40871 libspatialindex3                   	       0        1        0        0        1
40872 libspatialindex4v5                 	       0        4        0        0        4
40873 libspatialindex5                   	       0        6        0        0        6
40874 libspatialindex6                   	       0      108        2        6      100
40875 libspatialindex7                   	       0        8        0        0        8
40876 libspatialindex8                   	       0        5        0        0        5
40877 libspatialite5                     	       0        1        0        0        1
40878 libspatialite7                     	       0      605        3        9      593
40879 libspatialite8                     	       0        8        0        1        7
40880 libspatialite8t64                  	       0       61        0        0       61
40881 libspctag1                         	       0        1        0        0        1
40882 libspdlog1                         	       0       20        0        4       16
40883 libspdlog1.12                      	       0       46        1        6       39
40884 libspdlog1.15                      	       0       22        1        1       20
40885 libspdylay-dev                     	       0        1        0        1        0
40886 libspdylay7                        	       0        1        0        0        1
40887 libspec-alpha-clojure              	       0       18        0        0       18
40888 libspecio-library-path-tiny-perl   	       0        1        0        1        0
40889 libspectre-dev                     	       0       26        0       26        0
40890 libspectre1                        	       0     3236        1        5     3230
40891 libspectrum8                       	       0       15        0        0       15
40892 libspeechd-dev                     	       0       14        1       13        0
40893 libspeechd-module0                 	       0        5        0        0        5
40894 libspeex-dev                       	       0       73        2       71        0
40895 libspeex-ocaml                     	       0        1        0        0        1
40896 libspeexdsp-dev                    	       0       56        1       55        0
40897 libspelling-1-2                    	       0        4        0        0        4
40898 libspelling-common                 	       0        4        0        0        4
40899 libspex2                           	       0        1        0        0        1
40900 libspex3                           	       0        3        0        0        3
40901 libspf2-2                          	       0       23        6        8        9
40902 libspf2-2t64                       	       0        5        1        2        2
40903 libspf2-dev                        	       0        3        1        2        0
40904 libsphere-dev                      	       0        3        0        3        0
40905 libsphere0d                        	       0        3        0        0        3
40906 libsphinx-dev                      	       0        1        0        1        0
40907 libsphinx-search-perl              	       0        1        0        1        0
40908 libsphinx0                         	       0        1        0        0        1
40909 libsphinx2g0                       	       0        1        0        0        1
40910 libsphinxbase-dev                  	       0        6        0        6        0
40911 libsphinxbase-doc                  	       0        1        0        0        1
40912 libsphinxbase3t64                  	       0      210       13       28      169
40913 libspice-client-glib-2.0-8         	       0      461        7       17      437
40914 libspice-client-glib-2.0-dev       	       0        6        0        6        0
40915 libspice-client-gtk-2.0-4          	       0        1        0        0        1
40916 libspice-client-gtk-3.0-4          	       0       12        0        0       12
40917 libspice-client-gtk-3.0-5          	       0      451        7       17      427
40918 libspice-client-gtk-3.0-dev        	       0        2        0        2        0
40919 libspice-protocol-dev              	       0       15        1       14        0
40920 libspice-server-dev                	       0        9        1        8        0
40921 libspiffy-perl                     	       0       46        0       46        0
40922 libspin-java                       	       0       25        0        0       25
40923 libspiro-dev                       	       0        3        1        2        0
40924 libspiro0                          	       0       17        0        0       17
40925 libspiro1                          	       0      113        0        0      113
40926 libspiro1-dbgsym                   	       0        1        0        1        0
40927 libspirv-cross-c-shared-dev        	       0       15        0       15        0
40928 libspirv-cross-c-shared0           	       0       15        0        0       15
40929 libsplashy1                        	       0        2        0        0        2
40930 libspnav-dev                       	       0       13        1       12        0
40931 libspnav0                          	       0      330        1        5      324
40932 libspng-doc                        	       0        4        0        0        4
40933 libspng0                           	       0        6        1        0        5
40934 libspoa1.1.3                       	       0        1        0        0        1
40935 libspoa7.0.0                       	       0        1        0        0        1
40936 libspock-java                      	       0        1        0        0        1
40937 libspooles-dev                     	       0        1        0        1        0
40938 libspooles2.2                      	       0       99        0        0       99
40939 libspooles2.2t64                   	       0        4        0        0        4
40940 libspotify12                       	       0        1        0        0        1
40941 libspqr1.3.1                       	       0        1        0        0        1
40942 libspqr2                           	       0       82        0        0       82
40943 libspqr3                           	       0        1        0        0        1
40944 libspqr4                           	       0        9        0        0        9
40945 libspread-sheet-widget             	       0        9        0        0        9
40946 libspread-sheet-widget0            	       0        1        0        0        1
40947 libspreadsheet-parsexlsx-perl      	       0        2        1        1        0
40948 libspreadsheet-read-perl           	       0        2        1        1        0
40949 libspreadsheet-readsxc-perl        	       0        2        1        1        0
40950 libspreadsheet-ruby1.9.1           	       0        1        0        0        1
40951 libspreadsheet-wright-perl         	       0        1        0        1        0
40952 libspring-aop-java                 	       0       12        0        0       12
40953 libspring-beans-java               	       0       17        0        0       17
40954 libspring-context-java             	       0       12        0        0       12
40955 libspring-core-java                	       0       18        0        0       18
40956 libspring-expression-java          	       0       12        0        0       12
40957 libspring-instrument-java          	       0       10        0        0       10
40958 libspring-jdbc-java                	       0        6        0        0        6
40959 libspring-oxm-java                 	       0        2        0        0        2
40960 libspring-transaction-java         	       0        6        0        0        6
40961 libspring-web-java                 	       0        2        0        0        2
40962 libsprng2                          	       0        3        0        0        3
40963 libsql-abstract-classic-perl       	       0        4        0        4        0
40964 libsql-abstract-limit-perl         	       0       23        0       23        0
40965 libsql-abstract-perl               	       0       86        2       84        0
40966 libsql-abstract-pg-perl            	       0        2        0        2        0
40967 libsql-splitstatement-perl         	       0        1        0        1        0
40968 libsql-statement-perl              	       0       14        0       14        0
40969 libsql-tokenizer-perl              	       0        1        0        1        0
40970 libsql-translator-perl             	       0        7        1        6        0
40971 libsqlcipher-dev                   	       0        5        0        5        0
40972 libsqlcipher0                      	       0       60        0        4       56
40973 libsqlcipher1                      	       0       12        0        0       12
40974 libsqlite-tcl                      	       0        2        1        1        0
40975 libsqlite0                         	       0       56        0        0       56
40976 libsqlite0-dev                     	       0        9        0        9        0
40977 libsqlite3-mod-impexp              	       0        2        0        0        2
40978 libsqlite3-mod-spatialite          	       0       48        0        0       48
40979 libsqlite3-ruby1.9.1               	       0        1        0        0        1
40980 libsqliteodbc                      	       0        9        0        0        9
40981 libsqljet-java                     	       0        7        0        0        7
40982 libsquashfs-dev                    	       0        2        0        2        0
40983 libsquashfs1                       	       0       27        0        0       27
40984 libsquashfuse-dev                  	       0        2        0        2        0
40985 libsquashfuse0                     	       0      666        1        0      665
40986 libsquid-dev                       	       0        1        0        1        0
40987 libsquid1                          	       0        1        0        0        1
40988 libsquirrel3-0                     	       0        2        0        0        2
40989 libsquish-dev                      	       0        4        1        3        0
40990 libsquish0                         	       0      124        0        0      124
40991 libsquizz                          	       0        1        0        0        1
40992 libsratom-dev                      	       0       22        0       22        0
40993 libsrecord0                        	       0       12        0        0       12
40994 libsrecord0t64                     	       0        3        0        0        3
40995 libsrt-gnutls-dev                  	       0        7        0        7        0
40996 libsrt-openssl-dev                 	       0        9        0        9        0
40997 libsrt1                            	       0        1        0        0        1
40998 libsrt1-gnutls                     	       0        1        0        0        1
40999 libsrtp0                           	       0       74        0        1       73
41000 libsrtp0-dev                       	       0       10        0       10        0
41001 libsrtp2-1                         	       0     2974       14       53     2907
41002 libsrtp2-dev                       	       0       17        1       16        0
41003 libsru-perl                        	       0        2        0        2        0
41004 libss2                             	       0     4133        0        0     4133
41005 libss2t64                          	       0       23        0        0       23
41006 libss7-2.0                         	       0        2        1        1        0
41007 libssh-dev                         	       0       34        2       32        0
41008 libssh-doc                         	       0        2        0        2        0
41009 libssh-gcrypt-dev                  	       0        8        0        8        0
41010 libssh2-php                        	       0        1        0        0        1
41011 libssl-doc                         	       0      182        0        9      173
41012 libssl-utils-clojure               	       0        1        0        0        1
41013 libssl0.9.7                        	       0        1        0        1        0
41014 libssl0.9.8                        	       0       34        0       34        0
41015 libssl1.0-dev                      	       0        2        0        2        0
41016 libssl1.0.0                        	       0      331        6       17      308
41017 libssl1.0.0-dbg                    	       0        1        0        1        0
41018 libssl1.0.2                        	       0      547        1        7      539
41019 libssl1.1-dbgsym                   	       0        1        0        1        0
41020 libssm-dev                         	       0        1        0        1        0
41021 libssm2                            	       0        1        0        0        1
41022 libsss-nss-idmap0                  	       0       27        0        0       27
41023 libsss-sudo                        	       0       21        0        2       19
41024 libsstp-api-0                      	       0       21        0        0       21
41025 libssw-java                        	       0        1        0        1        0
41026 libssw0                            	       0        1        0        0        1
41027 libstaden-read14                   	       0        1        0        0        1
41028 libstarlink-ast-dev                	       0        2        0        2        0
41029 libstarlink-ast-err0               	       0        1        0        0        1
41030 libstarlink-ast-err9               	       0       13        0        0       13
41031 libstarlink-ast-grf3d9             	       0        2        0        0        2
41032 libstarlink-ast0                   	       0        1        0        0        1
41033 libstarlink-ast9                   	       0       13        0        0       13
41034 libstarlink-pal-dev                	       0        2        0        2        0
41035 libstarlink-pal-doc                	       0        2        0        0        2
41036 libstarlink-pal0                   	       0       14        0        0       14
41037 libstaroffice-doc                  	       0        1        0        0        1
41038 libstaroffice-tools                	       0        1        0        1        0
41039 libstarpu-1.2-0                    	       0        1        0        0        1
41040 libstat-lsmode-perl                	       0        1        0        1        0
41041 libstatgrab10t64                   	       0       13        0        2       11
41042 libstatgrab9                       	       0        2        0        0        2
41043 libstatistics-basic-perl           	       0        3        0        3        0
41044 libstatistics-descriptive-perl     	       0        9        0        9        0
41045 libstax-ex-java                    	       0      336        0        0      336
41046 libstax-java                       	       0        8        0        0        8
41047 libstax-java-doc                   	       0        1        0        0        1
41048 libstax2-api-java                  	       0       21        0        0       21
41049 libstb-build-deps                  	       0        1        0        0        1
41050 libstb0                            	       0      149        0        0      149
41051 libstb0-dbgsym                     	       0        1        0        1        0
41052 libstb0t64                         	       0       15        0        0       15
41053 libstd-msgs-dev                    	       0        3        0        3        0
41054 libstd-rust-1.34                   	       0        1        0        0        1
41055 libstd-rust-1.41                   	       0        4        0        0        4
41056 libstd-rust-1.48                   	       0       12        0        0       12
41057 libstd-rust-1.60                   	       0        1        0        0        1
41058 libstd-rust-1.63                   	       0       68        0        0       68
41059 libstd-rust-1.66                   	       0        1        0        0        1
41060 libstd-rust-1.70                   	       0        5        0        0        5
41061 libstd-rust-1.79                   	       0        3        0        0        3
41062 libstd-rust-1.82                   	       0        3        0        0        3
41063 libstd-rust-1.83                   	       0        4        0        0        4
41064 libstd-rust-1.84                   	       0        3        0        0        3
41065 libstd-rust-1.85                   	       0        2        0        0        2
41066 libstd-rust-dev                    	       0      101        0        0      101
41067 libstd-rust-dev-windows            	       0        1        0        0        1
41068 libstd-rust-mozilla-1.63           	       0        2        0        0        2
41069 libstd-rust-mozilla-dev            	       0        2        0        0        2
41070 libstd-rust-web-1.78               	       0        1        0        0        1
41071 libstd-rust-web-dev                	       0        1        0        0        1
41072 libstd-srvs-dev                    	       0        1        0        1        0
41073 libstdc++-10-dev-arm64-cross       	       0        6        0        6        0
41074 libstdc++-10-dev-armel-cross       	       0        1        0        1        0
41075 libstdc++-10-dev-armhf-cross       	       0        1        0        1        0
41076 libstdc++-10-dev-i386-cross        	       0        2        0        2        0
41077 libstdc++-10-dev-ppc64-cross       	       0        1        0        1        0
41078 libstdc++-10-dev-riscv64-cross     	       0        1        0        1        0
41079 libstdc++-10-doc                   	       0        7        0        0        7
41080 libstdc++-11-dev                   	       0       48        0       48        0
41081 libstdc++-11-dev-armel-cross       	       0        1        0        1        0
41082 libstdc++-11-dev-armhf-cross       	       0        1        0        1        0
41083 libstdc++-12-dev-arm64-cross       	       0        8        0        8        0
41084 libstdc++-12-dev-armel-cross       	       0        2        0        2        0
41085 libstdc++-12-dev-armhf-cross       	       0        5        0        5        0
41086 libstdc++-12-dev-mips-cross        	       0        2        0        2        0
41087 libstdc++-12-dev-mipsel-cross      	       0        2        0        2        0
41088 libstdc++-12-dev-riscv64-cross     	       0        1        0        1        0
41089 libstdc++-12-dev-x32-cross         	       0        1        0        1        0
41090 libstdc++-12-doc                   	       0        5        0        0        5
41091 libstdc++-13-dev                   	       0       91        1       90        0
41092 libstdc++-13-dev-arm64-cross       	       0        2        0        2        0
41093 libstdc++-13-dev-armhf-cross       	       0        1        0        1        0
41094 libstdc++-13-doc                   	       0        1        0        0        1
41095 libstdc++-14-dev-arm64-cross       	       0        3        0        3        0
41096 libstdc++-14-dev-armhf-cross       	       0        3        0        3        0
41097 libstdc++-14-doc                   	       0        2        0        0        2
41098 libstdc++-15-dev                   	       0        1        0        1        0
41099 libstdc++-4.8-dev                  	       0        6        0        6        0
41100 libstdc++-4.9-dev                  	       0       55        2       52        1
41101 libstdc++-5-dev                    	       0        2        0        2        0
41102 libstdc++-6-dev                    	       0      136        3      133        0
41103 libstdc++-6-doc                    	       0        2        0        0        2
41104 libstdc++-7-dev                    	       0        6        0        5        1
41105 libstdc++-8-dev                    	       0      125        4      121        0
41106 libstdc++-8-dev-arm64-cross        	       0        2        0        2        0
41107 libstdc++-8-dev-armel-cross        	       0        3        0        3        0
41108 libstdc++-8-dev-armhf-cross        	       0        2        0        2        0
41109 libstdc++-8-dev-i386-cross         	       0        2        0        2        0
41110 libstdc++-8-dev-mips-cross         	       0        1        0        1        0
41111 libstdc++-8-dev-mips64el-cross     	       0        1        0        1        0
41112 libstdc++-8-dev-mipsel-cross       	       0        1        0        1        0
41113 libstdc++-9-dev                    	       0       12        1       11        0
41114 libstdc++-9-doc                    	       0        1        0        0        1
41115 libstdc++-arm-none-eabi-dev        	       0       34        0       34        0
41116 libstdc++-arm-none-eabi-newlib     	       0       48        0       14       34
41117 libstdc++-arm-none-eabi-picolibc   	       0        1        0        0        1
41118 libstdc++2.10                      	       0        1        0        0        1
41119 libstdc++5                         	       0       56        0        0       56
41120 libstdc++5-3.3-dev                 	       0        1        0        1        0
41121 libstdc++6-10-dbg                  	       0        1        0        0        1
41122 libstdc++6-4.4-dev                 	       0        6        0        6        0
41123 libstdc++6-4.6-dev                 	       0        1        0        1        0
41124 libstdc++6-4.7-dev                 	       0        4        0        4        0
41125 libstdc++6-6-dbg                   	       0        1        0        1        0
41126 libstdc++6-arm64-cross             	       0       38        0        0       38
41127 libstdc++6-armel-cross             	       0       23        0        0       23
41128 libstdc++6-armhf-cross             	       0       30        0        0       30
41129 libstdc++6-i386-cross              	       0       14        0        0       14
41130 libstdc++6-mips-cross              	       0        3        0        0        3
41131 libstdc++6-mips64el-cross          	       0        1        0        0        1
41132 libstdc++6-mipsel-cross            	       0        3        0        0        3
41133 libstdc++6-powerpc-cross           	       0        5        0        0        5
41134 libstdc++6-ppc64-cross             	       0        6        0        0        6
41135 libstdc++6-ppc64el-cross           	       0        1        0        0        1
41136 libstdc++6-riscv64-cross           	       0        4        0        0        4
41137 libstdc++6-s390x-cross             	       0        4        0        0        4
41138 libstdc++6-sparc64-cross           	       0        3        0        0        3
41139 libstdc++6-x32-cross               	       0        6        0        0        6
41140 libstdcompat-ocaml                 	       0       10        0        9        1
41141 libstderesi0                       	       0        1        0        0        1
41142 libstdlib-ocaml                    	       0       13        0        1       12
41143 libstellarsolver2                  	       0       19        0        0       19
41144 libstemmer-dev                     	       0        3        0        3        0
41145 libstereo-msgs-dev                 	       0        1        0        1        0
41146 libstfl-dev                        	       0        2        0        2        0
41147 libstfl-ruby                       	       0        4        0        0        4
41148 libstfl-ruby1.9.1                  	       0        3        0        0        3
41149 libstfl0                           	       0       47        1        3       43
41150 libstilview-dev                    	       0        1        0        1        0
41151 libstilview0                       	       0        1        0        0        1
41152 libstk-4.5.0                       	       0        6        0        0        6
41153 libstk-4.6.1                       	       0       15        0        0       15
41154 libstk-4.6.2                       	       0       61        0        0       61
41155 libstk-5.0.0                       	       0        7        0        0        7
41156 libstk-dev                         	       0        2        0        2        0
41157 libstk0-dev                        	       0        1        0        0        1
41158 libstk0c2a                         	       0        1        0        0        1
41159 libstlink-dev                      	       0        1        0        1        0
41160 libstlink1                         	       0       15        0        0       15
41161 libstlport4.6c2                    	       0        1        0        0        1
41162 libstlport4.6ldbl                  	       0        1        0        0        1
41163 libstoken-dev                      	       0        5        0        5        0
41164 libstoken1t64                      	       0       55        0        4       51
41165 libstomp-ruby1.9.1                 	       0        1        0        0        1
41166 libstonith1                        	       0        9        0        0        9
41167 libstonith1-dev                    	       0        1        0        1        0
41168 libstonithd2                       	       0        4        0        0        4
41169 libstonithd26                      	       0        2        0        2        0
41170 libstorj0                          	       0        1        0        0        1
41171 libstorj0t64                       	       0        1        0        0        1
41172 libstorm9                          	       0        2        0        0        2
41173 libstream-buffered-perl            	       0       18        1       17        0
41174 libstreamanalyzer0                 	       0        4        1        3        0
41175 libstreamanalyzer0v5               	       0        1        0        1        0
41176 libstreambuffer-java               	       0      336        0        0      336
41177 libstreams0                        	       0       15        0        1       14
41178 libstreams0v5                      	       0        2        0        0        2
41179 libstring-approx-perl              	       0        5        1        0        4
41180 libstring-camelcase-perl           	       0        4        1        3        0
41181 libstring-crc-cksum-perl           	       0        1        0        1        0
41182 libstring-crc32-perl               	       0      280        1        3      276
41183 libstring-elide-parts-perl         	       0        1        0        1        0
41184 libstring-errf-perl                	       0        1        0        1        0
41185 libstring-expand-perl              	       0        2        0        2        0
41186 libstring-flogger-perl             	       0        1        0        1        0
41187 libstring-format-perl              	       0       29        0       29        0
41188 libstring-formatter-perl           	       0        7        0        7        0
41189 libstring-print-perl               	       0        1        0        1        0
41190 libstring-random-perl              	       0        4        1        3        0
41191 libstring-rewriteprefix-perl       	       0       32        2       30        0
41192 libstring-similarity-perl          	       0        8        0        0        8
41193 libstring-template-maven-plugin-java	       0        1        0        0        1
41194 libstring-toidentifier-en-perl     	       0        4        1        3        0
41195 libstring-tokenizer-perl           	       0        3        0        3        0
41196 libstring-trim-more-perl           	       0        1        0        1        0
41197 libstring-truncate-perl            	       0        1        0        1        0
41198 libstringtemplate-java             	       0       64        0        0       64
41199 libstringtemplate4-java            	       0       49        0        0       49
41200 libstroke0                         	       0       87        3       23       61
41201 libstroke0-dev                     	       0        2        0        2        0
41202 libstrophe-dev                     	       0        4        0        4        0
41203 libstrophe0                        	       0       19        1        1       17
41204 libstruct-diff-perl                	       0        1        0        1        0
41205 libstutter-pcre                    	       0        1        0        1        0
41206 libstutter0                        	       0        1        0        1        0
41207 libstxxl-dev                       	       0        1        0        1        0
41208 libstxxl1v5                        	       0        1        0        0        1
41209 libstyx2                           	       0        1        0        0        1
41210 libsub-delete-perl                 	       0        1        0        1        0
41211 libsub-exporter-formethods-perl    	       0       15        1       14        0
41212 libsub-exporter-globexporter-perl  	       0        1        0        1        0
41213 libsub-handlesvia-perl             	       0       17        1       16        0
41214 libsub-identify-perl               	       0     1135        4        6     1125
41215 libsub-infix-perl                  	       0       32        1       31        0
41216 libsub-info-perl                   	       0        2        0        2        0
41217 libsub-name-perl                   	       0     1545        2        1     1542
41218 libsub-prototype-perl              	       0        2        0        0        2
41219 libsub-uplevel-perl                	       0       50        3       47        0
41220 libsubid-dev                       	       0        1        0        1        0
41221 libsubid4                          	       0      124        2        5      117
41222 libsubid5                          	       0       18        0        0       18
41223 libsubtitleeditor0                 	       0       24        0        0       24
41224 libsubtitles-perl                  	       0        4        0        4        0
41225 libsubunit-dev                     	       0       32        1       31        0
41226 libsubunit-perl                    	       0        5        0        5        0
41227 libsubunit0                        	       0       34        0        0       34
41228 libsugarext-data                   	       0      292        0        0      292
41229 libsugarext0                       	       0      299        0        0      299
41230 libsuil-0-0                        	       0      535        1        0      534
41231 libsuil-dev                        	       0        8        0        8        0
41232 libsuitesparse-3.1.0               	       0        2        0        0        2
41233 libsuitesparse-mongoose3           	       0        3        0        0        3
41234 libsuitesparseconfig4              	       0       78        0        0       78
41235 libsuitesparseconfig4.4.6          	       0        1        0        0        1
41236 libsuitesparseconfig5              	       0     2736        0       14     2722
41237 libsuitesparseconfig7              	       0      213        0        2      211
41238 libsuma1                           	       0        1        0        0        1
41239 libsundials-core7                  	       0        1        0        0        1
41240 libsundials-ida4                   	       0       19        0        0       19
41241 libsundials-ida6                   	       0       53        0        0       53
41242 libsundials-ida7                   	       0        1        0        0        1
41243 libsundials-nvecparallel-petsc4    	       0        1        0        0        1
41244 libsundials-nvecparallel-petsc6    	       0       54        0        0       54
41245 libsundials-nvecserial4            	       0       19        0        0       19
41246 libsundials-sunlinsol2             	       0       19        0        0       19
41247 libsundials-sunlinsol3             	       0       54        0        0       54
41248 libsundials-sunmatrix2             	       0       19        0        0       19
41249 libsundials-sunmatrix4             	       0       54        0        0       54
41250 libsundials-sunmatrix5             	       0        1        0        0        1
41251 libsunflow-java                    	       0       36        0        0       36
41252 libsunpinyin3v5                    	       0        5        0        2        3
41253 libsuper-csv-java                  	       0        4        0        0        4
41254 libsuper-perl                      	       0        6        0        6        0
41255 libsuperlu-dist-dev                	       0        1        0        1        0
41256 libsuperlu-dist6                   	       0        2        0        0        2
41257 libsuperlu-dist7                   	       0        3        0        0        3
41258 libsuperlu-dist8                   	       0       56        0        0       56
41259 libsuperlu-doc                     	       0        6        0        0        6
41260 libsuperlu3                        	       0        1        0        0        1
41261 libsuperlu4                        	       0        1        0        0        1
41262 libsuperlu5                        	       0      627        3        9      615
41263 libsuperlu6                        	       0       41        0        1       40
41264 libsuperlu7                        	       0        3        0        0        3
41265 libsurefire-java                   	       0        5        0        0        5
41266 libsv1                             	       0        1        0        0        1
41267 libsvg-graph-perl                  	       0        4        0        4        0
41268 libsvg-graph-ruby1.9.1             	       0        2        0        0        2
41269 libsvga1                           	       0       18        0        0       18
41270 libsvga1-dev                       	       0        3        0        3        0
41271 libsvgpp-dev                       	       0        1        0        0        1
41272 libsvgpp-doc                       	       0        1        0        0        1
41273 libsvgsalamander-java              	       0       29        0        0       29
41274 libsvm-dev                         	       0        6        0        6        0
41275 libsvm-tools                       	       0       32        1       31        0
41276 libsvm3                            	       0       33        0        0       33
41277 libsvmloc-dev                      	       0        1        0        0        1
41278 libsvmloc0                         	       0        1        0        0        1
41279 libsvn-class-perl                  	       0        1        0        1        0
41280 libsvn-dev                         	       0        4        0        4        0
41281 libsvn-java                        	       0        9        0        0        9
41282 libsvn-perl                        	       0      115        0        0      115
41283 libsvn1                            	       0      498        6       13      479
41284 libsvnclientadapter-java           	       0        3        0        0        3
41285 libsvncpp3                         	       0       12        0        0       12
41286 libsvnkit-java                     	       0        7        0        0        7
41287 libsvnqt4-trinity                  	       0        1        0        0        1
41288 libsvtav1-0                        	       0        5        1        1        3
41289 libsvtav1-dev                      	       0        6        0        6        0
41290 libsvtav1dec-dev                   	       0        2        0        0        2
41291 libsvtav1dec0                      	       0        5        0        0        5
41292 libsvtav1enc-dev                   	       0       13        0        8        5
41293 libsvtav1enc0                      	       0        7        0        1        6
41294 libsvtav1enc1d1                    	       0       49        4       13       32
41295 libsvtav1enc3                      	       0        2        1        0        1
41296 libsvthevcenc-dev                  	       0        1        0        1        0
41297 libsvthevcenc1                     	       0       44        0        0       44
41298 libswagger-core-java               	       0        1        0        0        1
41299 libswagger2-perl                   	       0        1        0        1        0
41300 libswami1                          	       0        7        0        0        7
41301 libswamigui1                       	       0        7        0        0        7
41302 libswe-dev                         	       0        1        0        1        0
41303 libswe0                            	       0        1        0        0        1
41304 libswe2.0                          	       0        1        0        0        1
41305 libsweble-common-java              	       0        2        0        0        2
41306 libsweble-wikitext-java            	       0        2        0        0        2
41307 libswfdec0.3                       	       0        1        0        0        1
41308 libswfdec0.3-dev                   	       0        1        0        1        0
41309 libswing-layout-java               	       0       46        0        0       46
41310 libswing-layout-java-doc           	       0        7        0        0        7
41311 libswingx-java                     	       0       49        0        0       49
41312 libswingx-java-doc                 	       0        1        0        0        1
41313 libswingx1-java                    	       0        2        0        0        2
41314 libswish-api-common-perl           	       0        4        0        4        0
41315 libswiss-perl                      	       0        1        0        1        0
41316 libsword-1.8.1                     	       0        1        0        0        1
41317 libsword-common                    	       0       30        0        0       30
41318 libsword-utils                     	       0        2        0        2        0
41319 libsword1.9.0                      	       0       25        0        0       25
41320 libsword1.9.0t64                   	       0        2        0        0        2
41321 libsword11                         	       0        1        0        0        1
41322 libsword11v5                       	       0        1        0        0        1
41323 libswresample-ffmpeg1              	       0        4        0        0        4
41324 libswresample0                     	       0        8        0        0        8
41325 libswresample1                     	       0       12        0        0       12
41326 libswresample2                     	       0      348        0        2      346
41327 libswresample3-dbgsym              	       0        1        0        1        0
41328 libswresample5-dbgsym              	       0        1        0        1        0
41329 libswscale-ffmpeg3                 	       0        5        0        0        5
41330 libswscale0                        	       0        7        0        3        4
41331 libswscale2                        	       0       24        0        0       24
41332 libswscale3                        	       0       46        0        1       45
41333 libswscale4                        	       0      144        0        0      144
41334 libswscale5                        	       0      775       13       46      716
41335 libswscale5-dbgsym                 	       0        1        0        1        0
41336 libswscale7                        	       0      109        3       14       92
41337 libswscale8                        	       0      218       14       30      174
41338 libswscale8-dbgsym                 	       0        1        0        1        0
41339 libswt-cairo-gtk-3-jni             	       0        4        0        4        0
41340 libswt-glx-gtk-3-jni               	       0        3        0        3        0
41341 libswt-gnome-gtk-3-jni             	       0        4        0        4        0
41342 libswt-gtk-3-java                  	       0        6        0        6        0
41343 libswt-gtk-3-java-gcj              	       0        1        0        1        0
41344 libswt-gtk-3-jni                   	       0        7        0        7        0
41345 libswt-gtk2-4-jni                  	       0        1        0        1        0
41346 libswt-webkit-gtk-3-jni            	       0        3        0        3        0
41347 libswtcalendar-java                	       0        4        0        0        4
41348 libsx0                             	       0        2        0        0        2
41349 libsybdb5                          	       0       62        5        8       49
41350 libsylfilter0                      	       0       40        0        0       40
41351 libsylph1                          	       0       40        0        0       40
41352 libsylph1t64                       	       0        2        0        0        2
41353 libsymbol-global-name-perl         	       0        1        0        1        0
41354 libsymmetrica-dev                  	       0        9        0        9        0
41355 libsymmetrica2                     	       0       10        0        0       10
41356 libsymmetrica2-dev                 	       0        9        0        0        9
41357 libsymspg1                         	       0       46        0        0       46
41358 libsymspg2                         	       0        8        0        0        8
41359 libsyn123-0                        	       0      212        0        0      212
41360 libsyn123-0t64                     	       0       30        0        0       30
41361 libsynce0                          	       0        2        0        0        2
41362 libsyncevo-dbus0                   	       0        1        0        0        1
41363 libsyncevolution0                  	       0        1        0        0        1
41364 libsynctex-dev                     	       0        1        0        1        0
41365 libsynctex1                        	       0       36        0        0       36
41366 libsyndication4                    	       0        9        0        0        9
41367 libsynfig-dev                      	       0        1        0        1        0
41368 libsynfig0a                        	       0       10        0        0       10
41369 libsyntax-highlight-engine-kate-perl	       0        2        0        2        0
41370 libsyntax-highlight-perl-perl      	       0        1        0        1        0
41371 libsyntax-keyword-junction-perl    	       0        3        0        3        0
41372 libsyntax-keyword-try-perl         	       0      518        0        0      518
41373 libsyntax-perl                     	       0        2        0        2        0
41374 libsynthesis0t64                   	       0        1        0        0        1
41375 libsynthesis0v5                    	       0        2        0        0        2
41376 libsys-cpu-perl                    	       0       85        2        1       82
41377 libsys-cpuaffinity-perl            	       0      199        0        0      199
41378 libsys-cpuload-perl                	       0        1        0        0        1
41379 libsys-filesystem-perl             	       0        1        0        1        0
41380 libsys-meminfo-perl                	       0       54        3        2       49
41381 libsys-mmap-perl                   	       0       11        3        2        6
41382 libsys-sigaction-perl              	       0        7        2        5        0
41383 libsys-statistics-linux-perl       	       0        1        0        1        0
41384 libsys-syscall-perl                	       0        9        3        6        0
41385 libsys-virt-perl                   	       0      100        0        0      100
41386 libsysadm-install-perl             	       0        4        0        4        0
41387 libsysfs-dev                       	       0        4        0        4        0
41388 libsysfs2                          	       0      151        9       17      125
41389 libsysprof-4                       	       0       27        0        0       27
41390 libsysprof-4-dev                   	       0       21        0       21        0
41391 libsysprof-6-6                     	       0        1        0        0        1
41392 libsysprof-6-modules               	       0        1        0        0        1
41393 libsysprof-ui-4                    	       0        1        0        0        1
41394 libsysprof-ui-5                    	       0       26        0        0       26
41395 libsysstat-qt5-0                   	       0      257        1        9      247
41396 libsysstat-qt6-1                   	       0        5        0        1        4
41397 libsysstat-qt6-1-dev               	       0        1        0        1        0
41398 libsystem-command-perl             	       0        5        0        5        0
41399 libsystemback                      	       0        4        0        4        0
41400 libsystemback-dbg                  	       0        1        0        1        0
41401 libsystemc                         	       0       11        0        0       11
41402 libsystemc-dev                     	       0       11        0       11        0
41403 libsystemc-doc                     	       0        1        0        1        0
41404 libsystemd-daemon0                 	       0        5        0        0        5
41405 libsystemd-dev                     	       0        6        0        6        0
41406 libsystemd-id128-0                 	       0        4        0        0        4
41407 libsystemd-journal0                	       0        4        0        0        4
41408 libsystemd-login0                  	       0       18        0        0       18
41409 libsystemd-shared                  	       0       15        0        1       14
41410 libsystemu-ruby1.9.1               	       0        1        0        0        1
41411 libsz2                             	       0      805        4       11      790
41412 libt1-5                            	       0       13        0        0       13
41413 libt1-dev                          	       0        1        0        1        0
41414 libt1-doc                          	       0        2        0        0        2
41415 libt3config-dev                    	       0        1        0        1        0
41416 libt3config0                       	       0        6        0        0        6
41417 libt3highlight-dev                 	       0        1        0        1        0
41418 libt3highlight2                    	       0        6        0        0        6
41419 libt3key1                          	       0        4        0        0        4
41420 libt3widget2                       	       0        3        0        0        3
41421 libt3widget2t64                    	       0        1        0        0        1
41422 libt3window0                       	       0        4        0        0        4
41423 libt4k-common0                     	       0       28        0        0       28
41424 libt4k-common0t64                  	       0        7        0        0        7
41425 libtabixpp0                        	       0        1        0        0        1
41426 libtablelayout-java                	       0       15        0        0       15
41427 libtachyon-dev-common              	       0        1        0        1        0
41428 libtachyon-mt-0                    	       0       11        0        0       11
41429 libtachyon-openmpi-0               	       0        1        0        0        1
41430 libtachyon-openmpi-0-dev           	       0        1        0        0        1
41431 libtag-c-dev                       	       0        4        0        4        0
41432 libtag-dev                         	       0        4        0        4        0
41433 libtag-doc                         	       0        1        0        0        1
41434 libtag-extras-dev                  	       0        5        0        5        0
41435 libtag-extras1                     	       0       22        0        0       22
41436 libtag1-dev                        	       0       43        1       39        3
41437 libtag1-vanilla                    	       0       19        0        1       18
41438 libtag1c2a                         	       0       19        0        0       19
41439 libtag1v5                          	       0     3333        0        0     3333
41440 libtagc0-dev                       	       0        4        0        4        0
41441 libtaglib-cil-dev                  	       0        3        0        0        3
41442 libtaglib-ocaml                    	       0        3        0        2        1
41443 libtaglib-ocaml-dev                	       0        3        0        3        0
41444 libtaglib2.0-cil                   	       0        1        0        0        1
41445 libtaglib2.1-cil                   	       0       12        0        0       12
41446 libtaglibs-standard-impl-java      	       0       16        0        0       16
41447 libtaglibs-standard-jstlel-java    	       0        8        0        0        8
41448 libtaglibs-standard-spec-java      	       0       16        0        0       16
41449 libtagsoup-java                    	       0      100        0        0      100
41450 libtagsoup-java-doc                	       0        1        0        0        1
41451 libtaint-runtime-perl              	       0        1        0        0        1
41452 libtaint-util-perl                 	       0        4        0        0        4
41453 libtalloc1                         	       0        1        0        0        1
41454 libtamuanova-0.2                   	       0        3        0        0        3
41455 libtango9                          	       0        2        0        0        2
41456 libtaoframework-openal-cil-dev     	       0        1        0        1        0
41457 libtaoframework-openal1.1-cil      	       0        2        0        2        0
41458 libtaoframework-opengl3.0-cil      	       0        4        0        4        0
41459 libtaoframework-sdl-cil-dev        	       0        1        0        1        0
41460 libtaoframework-sdl1.2-cil         	       0        5        0        5        0
41461 libtap-formatter-junit-perl        	       0        2        0        2        0
41462 libtap-harness-archive-perl        	       0        1        0        1        0
41463 libtap-parser-sourcehandler-pgtap-perl	       0        1        0        1        0
41464 libtar                             	       0        1        0        0        1
41465 libtar-dev                         	       0        5        0        5        0
41466 libtar0                            	       0       25        0        0       25
41467 libtar0t64                         	       0        2        0        0        2
41468 libtas                             	       0        1        0        1        0
41469 libtaskmanager4abi4                	       0        6        0        1        5
41470 libtasn1-3                         	       0       81        0        0       81
41471 libtasn1-3-bin                     	       0        7        0        0        7
41472 libtasn1-3-dev                     	       0        3        0        1        2
41473 libtasn1-bin                       	       0       10        0       10        0
41474 libtasn1-doc                       	       0      201        0        0      201
41475 libtatsu0                          	       0        7        0        0        7
41476 libtbb12                           	       0      533        3       14      516
41477 libtbb2                            	       0      226        0        1      225
41478 libtbbbind-2-5                     	       0      534        0        0      534
41479 libtbbmalloc2                      	       0      533        2        8      523
41480 libtcc-dev                         	       0        4        0        4        0
41481 libtcd-dev                         	       0        1        0        1        0
41482 libtcd0                            	       0        2        0        0        2
41483 libtcl-perl                        	       0        1        0        0        1
41484 libtcl8.4                          	       0        3        0        0        3
41485 libtcl8.5                          	       0       52        0        2       50
41486 libtcl8.6                          	       0     1670       22       72     1576
41487 libtcl9.0                          	       0        3        0        0        3
41488 libtclap-dev                       	       0        2        0        2        0
41489 libtclcl1                          	       0        4        0        0        4
41490 libtclcl1-dev                      	       0        2        0        2        0
41491 libtcltk-ruby1.9.1                 	       0        2        0        2        0
41492 libtcmalloc-minimal4               	       0       63        1        3       59
41493 libtcmalloc-minimal4t64            	       0        7        0        0        7
41494 libtcnative-1                      	       0       27        4       11       12
41495 libtcod-dev                        	       0        2        0        2        0
41496 libtcod0                           	       0        1        0        0        1
41497 libtcod1                           	       0        1        0        0        1
41498 libtcod1t64                        	       0        1        0        0        1
41499 libtcpcrypt-dev                    	       0        1        0        1        0
41500 libtcpcrypt0                       	       0        1        0        0        1
41501 libtcplay                          	       0        1        0        0        1
41502 libtcplay-dev                      	       0        1        0        1        0
41503 libtdebluez-trinity                	       0        2        0        0        2
41504 libtdeedu3-trinity                 	       0       23        0        0       23
41505 libtdegames1-trinity               	       0       24        0        0       24
41506 libtdeldap-trinity                 	       0        2        0        0        2
41507 libtdeobex-trinity                 	       0        2        0        0        2
41508 libteam-utils                      	       0        9        0        9        0
41509 libteam5                           	       0        9        0        0        9
41510 libteckit-dev                      	       0        1        1        0        0
41511 libteckit0                         	       0      613        0        0      613
41512 libtecla1                          	       0       42        0        0       42
41513 libtecla1t64                       	       0        9        0        0        9
41514 libteem-dev                        	       0        1        0        1        0
41515 libteem2                           	       0        5        0        0        5
41516 libtelepathy-farstream3            	       0       13        0        0       13
41517 libtelepathy-glib-dev              	       0        2        0        2        0
41518 libtelepathy-glib-doc              	       0        1        0        1        0
41519 libtelepathy-glib0                 	       0      382        3       17      362
41520 libtelepathy-glib0t64              	       0       13        1        0       12
41521 libtelepathy-logger-qt4-1          	       0        1        0        1        0
41522 libtelepathy-logger-qt5            	       0       11        0        0       11
41523 libtelepathy-logger2               	       0        5        0        0        5
41524 libtelepathy-logger3               	       0       41        2        3       36
41525 libtelepathy-qt4-2                 	       0        2        0        1        1
41526 libtelepathy-qt5-0                 	       0       13        0        6        7
41527 libtelepathy-qt5-farstream0        	       0        6        0        0        6
41528 libtelnet-dev                      	       0        3        0        3        0
41529 libtelnet2                         	       0        6        0        0        6
41530 libtemplate-autofilter-perl        	       0        1        0        1        0
41531 libtemplate-glib-1.0-0             	       0        3        0        0        3
41532 libtemplate-glib-common            	       0        3        0        0        3
41533 libtemplate-multilingual-perl      	       0        1        0        1        0
41534 libtemplate-perl-doc               	       0        2        0        0        2
41535 libtemplate-plugin-datetime-format-perl	       0        1        0        1        0
41536 libtemplate-plugin-dbi-perl        	       0        2        0        2        0
41537 libtemplate-plugin-gettext-perl    	       0        3        0        3        0
41538 libtemplate-plugin-htmltotext-perl 	       0        2        0        2        0
41539 libtemplate-plugin-json-escape-perl	       0        2        0        2        0
41540 libtemplate-plugin-posix-perl      	       0        1        0        1        0
41541 libtemplate-plugin-stash-perl      	       0        2        0        2        0
41542 libtemplate-timer-perl             	       0        1        0        1        0
41543 libtemplate-tiny-perl              	       0        6        0        6        0
41544 libtemplateparser4                 	       0        4        0        1        3
41545 libtemplates-parser11.10.1         	       0        1        0        0        1
41546 libtemplates-parser14-dev          	       0        1        0        1        0
41547 libtemplates-parser18              	       0        1        0        0        1
41548 libtemplates-parser20              	       0        1        0        0        1
41549 libtensorpipe0                     	       0       13        0        0       13
41550 libtepl-4-0                        	       0        1        0        0        1
41551 libtepl-5-0                        	       0       77        0        3       74
41552 libtepl-6-1                        	       0        3        0        0        3
41553 libtepl-6-2                        	       0      267        1        5      261
41554 libtepl-6-4                        	       0       28        0        0       28
41555 libtepl-common                     	       0      299        1        1      297
41556 libtercpp0v5                       	       0        1        0        0        1
41557 libterm-clui-perl                  	       0        1        0        1        0
41558 libterm-encoding-perl              	       0        1        0        1        0
41559 libterm-extendedcolor-perl         	       0        1        0        1        0
41560 libterm-filter-perl                	       0        1        0        1        0
41561 libterm-progressbar-perl           	       0       26        2       24        0
41562 libterm-progressbar-quiet-perl     	       0        1        0        1        0
41563 libterm-progressbar-simple-perl    	       0        1        0        1        0
41564 libterm-prompt-perl                	       0        1        0        1        0
41565 libterm-readkey-perl               	       0     1319        5        8     1306
41566 libterm-readline-perl-perl         	       0       18        0       18        0
41567 libterm-readline-ttytter-perl      	       0        1        0        1        0
41568 libterm-readpassword-perl          	       0        7        0        7        0
41569 libterm-shell-perl                 	       0        4        0        4        0
41570 libterm-shellui-perl               	       0       10        0       10        0
41571 libterm-size-any-perl              	       0        5        1        4        0
41572 libterm-size-perl                  	       0       30        0        1       29
41573 libterm-size-perl-perl             	       0        5        1        4        0
41574 libterm-slang-perl                 	       0        1        0        0        1
41575 libterm-spinner-color-perl         	       0        1        0        1        0
41576 libterm-table-perl                 	       0       11        0       11        0
41577 libterm-termkey-perl               	       0        4        0        0        4
41578 libtermbox-dev                     	       0        2        0        2        0
41579 libtermbox1                        	       0        2        0        0        2
41580 libtermkey-dev                     	       0        3        0        3        0
41581 libtermkey1                        	       0      146        3        5      138
41582 libtermpaint0a                     	       0        1        0        0        1
41583 libterralib-dev                    	       0        2        0        2        0
41584 libterralib3                       	       0        5        0        0        5
41585 libtess2-tulip-5.4                 	       0        2        0        0        2
41586 libtesseract-data                  	       0       21        0        0       21
41587 libtesseract-dev                   	       0       24        0       24        0
41588 libtesseract3                      	       0       22        0        0       22
41589 libtesseract4                      	       0      112        0        5      107
41590 libtesseract5                      	       0      398        2        5      391
41591 libtest-abortable-perl             	       0        1        0        1        0
41592 libtest-api-perl                   	       0        1        0        1        0
41593 libtest-assertions-perl            	       0        3        0        3        0
41594 libtest-async-http-perl            	       0        1        0        1        0
41595 libtest-autoloader-perl            	       0        1        0        1        0
41596 libtest-base-perl                  	       0        2        0        2        0
41597 libtest-bdd-cucumber-perl          	       0        1        0        1        0
41598 libtest-bits-perl                  	       0        1        0        1        0
41599 libtest-block-perl                 	       0        2        0        2        0
41600 libtest-carp-perl                  	       0        1        0        1        0
41601 libtest-check-clojure              	       0        1        0        0        1
41602 libtest-checkdeps-perl             	       0        1        0        1        0
41603 libtest-checkmanifest-perl         	       0        1        0        1        0
41604 libtest-chuck-clojure              	       0        1        0        0        1
41605 libtest-class-most-perl            	       0        2        0        2        0
41606 libtest-class-perl                 	       0        2        0        2        0
41607 libtest-classapi-perl              	       0        2        0        2        0
41608 libtest-cleannamespaces-perl       	       0        1        0        1        0
41609 libtest-cmd-perl                   	       0        1        0        1        0
41610 libtest-command-perl               	       0        2        0        2        0
41611 libtest-command-simple-perl        	       0        1        0        1        0
41612 libtest-compile-perl               	       0        1        0        1        0
41613 libtest-consistentversion-perl     	       0        1        0        1        0
41614 libtest-cpan-meta-json-perl        	       0        1        0        1        0
41615 libtest-cpan-meta-perl             	       0        2        0        2        0
41616 libtest-cpan-meta-yaml-perl        	       0        2        0        2        0
41617 libtest-cukes-perl                 	       0        1        0        1        0
41618 libtest-data-perl                  	       0        1        0        1        0
41619 libtest-database-perl              	       0        1        0        1        0
41620 libtest-databaserow-perl           	       0        1        0        1        0
41621 libtest-dbic-expectedqueries-perl  	       0        1        0        1        0
41622 libtest-dbix-class-perl            	       0        2        0        2        0
41623 libtest-debian-perl                	       0        1        0        1        0
41624 libtest-deep-fuzzy-perl            	       0        2        0        2        0
41625 libtest-deep-json-perl             	       0        1        0        1        0
41626 libtest-deep-perl                  	       0       82        1       81        0
41627 libtest-deep-type-perl             	       0        1        0        1        0
41628 libtest-deep-unorderedpairs-perl   	       0        1        0        1        0
41629 libtest-dependencies-perl          	       0        1        0        1        0
41630 libtest-diaginc-perl               	       0        1        0        1        0
41631 libtest-differences-perl           	       0        8        0        8        0
41632 libtest-dir-perl                   	       0        1        0        1        0
41633 libtest-distmanifest-perl          	       0        1        0        1        0
41634 libtest-distribution-perl          	       0        1        0        1        0
41635 libtest-effects-perl               	       0        1        0        1        0
41636 libtest-email-perl                 	       0        1        0        1        0
41637 libtest-eol-perl                   	       0        1        0        1        0
41638 libtest-exception-lessclever-perl  	       0        1        0        1        0
41639 libtest-exception-perl             	       0       37        2       35        0
41640 libtest-exit-perl                  	       0        1        0        1        0
41641 libtest-expander-perl              	       0        1        0        1        0
41642 libtest-expect-perl                	       0        1        0        1        0
41643 libtest-expectandcheck-perl        	       0        1        0        1        0
41644 libtest-exports-perl               	       0        1        0        1        0
41645 libtest-failwarnings-perl          	       0        1        0        1        0
41646 libtest-fake-httpd-perl            	       0        1        0        1        0
41647 libtest-file-contents-perl         	       0        1        0        1        0
41648 libtest-file-perl                  	       0        2        0        2        0
41649 libtest-file-sharedir-perl         	       0       55        1       54        0
41650 libtest-filename-perl              	       0        2        0        2        0
41651 libtest-files-perl                 	       0        2        0        2        0
41652 libtest-fitesque-perl              	       0        1        0        1        0
41653 libtest-fitesque-rdf-perl          	       0        1        0        1        0
41654 libtest-fork-perl                  	       0        1        0        1        0
41655 libtest-future-io-impl-perl        	       0        1        0        1        0
41656 libtest-generative-clojure         	       0        1        0        0        1
41657 libtest-harness-perl               	       0        2        0        2        0
41658 libtest-hasversion-perl            	       0        1        0        1        0
41659 libtest-hexdifferences-perl        	       0        1        0        1        0
41660 libtest-hexstring-perl             	       0        1        0        1        0
41661 libtest-html-content-perl          	       0        1        0        1        0
41662 libtest-html-w3c-perl              	       0        1        0        1        0
41663 libtest-http-localserver-perl      	       0        1        0        1        0
41664 libtest-http-server-simple-perl    	       0        1        0        1        0
41665 libtest-http-server-simple-stashwarnings-perl	       0        1        0        1        0
41666 libtest-identity-perl              	       0        1        0        1        0
41667 libtest-if-perl                    	       0        1        0        1        0
41668 libtest-image-gd-perl              	       0        1        0        1        0
41669 libtest-indistdir-perl             	       0        1        0        1        0
41670 libtest-inline-perl                	       0        2        0        2        0
41671 libtest-inter-perl                 	       0        1        0        1        0
41672 libtest-is-perl                    	       0        1        0        1        0
41673 libtest-json-perl                  	       0        1        0        1        0
41674 libtest-json-schema-acceptance-perl	       0        1        0        1        0
41675 libtest-kwalitee-perl              	       0        1        0        1        0
41676 libtest-leaktrace-perl             	       0        3        0        0        3
41677 libtest-lectrotest-perl            	       0        1        0        1        0
41678 libtest-lib-perl                   	       0        1        0        1        0
41679 libtest-log-dispatch-perl          	       0        2        0        2        0
41680 libtest-log-log4perl-perl          	       0        1        0        1        0
41681 libtest-log4perl-perl              	       0        1        0        1        0
41682 libtest-longstring-perl            	       0        6        0        6        0
41683 libtest-lwp-useragent-perl         	       0        1        0        1        0
41684 libtest-manifest-perl              	       0        1        0        1        0
41685 libtest-memory-cycle-perl          	       0        2        0        2        0
41686 libtest-memorygrowth-perl          	       0        1        0        1        0
41687 libtest-metrics-any-perl           	       0       31        0       31        0
41688 libtest-minimumversion-perl        	       0        1        0        1        0
41689 libtest-mock-cmd-perl              	       0        1        0        1        0
41690 libtest-mock-guard-perl            	       0        2        0        2        0
41691 libtest-mock-lwp-perl              	       0        1        0        1        0
41692 libtest-mock-redis-perl            	       0        1        0        1        0
41693 libtest-mock-time-perl             	       0        1        0        1        0
41694 libtest-mockdatetime-perl          	       0        1        0        1        0
41695 libtest-mockdbi-perl               	       0        1        0        1        0
41696 libtest-mockfile-perl              	       0        1        0        1        0
41697 libtest-mockmodule-perl            	       0        4        0        4        0
41698 libtest-mockobject-perl            	       0       14        1       13        0
41699 libtest-mockrandom-perl            	       0        1        0        1        0
41700 libtest-mocktime-datecalc-perl     	       0        2        0        2        0
41701 libtest-mocktime-hires-perl        	       0        1        0        1        0
41702 libtest-mocktime-perl              	       0        3        0        3        0
41703 libtest-modern-perl                	       0        1        0        1        0
41704 libtest-module-used-perl           	       0        1        0        1        0
41705 libtest-mojibake-perl              	       0        1        0        1        0
41706 libtest-moose-more-perl            	       0        1        0        1        0
41707 libtest-more-utf8-perl             	       0        2        0        2        0
41708 libtest-most-perl                  	       0        7        0        7        0
41709 libtest-name-fromline-perl         	       0        1        0        1        0
41710 libtest-needs-perl                 	       0        3        0        3        0
41711 libtest-needsdisplay-perl          	       0        1        0        1        0
41712 libtest-net-ldap-perl              	       0        1        0        1        0
41713 libtest-nicedump-perl              	       0        1        0        1        0
41714 libtest-nobreakpoints-perl         	       0        1        0        1        0
41715 libtest-notabs-perl                	       0        1        0        1        0
41716 libtest-nowarnings-perl            	       0       10        0       10        0
41717 libtest-number-delta-perl          	       0        2        0        2        0
41718 libtest-object-perl                	       0        1        0        1        0
41719 libtest-perl-critic-perl           	       0        2        0        2        0
41720 libtest-perl-critic-progressive-perl	       0        1        0        1        0
41721 libtest-pod-content-perl           	       0        2        0        2        0
41722 libtest-pod-coverage-perl          	       0        4        0        4        0
41723 libtest-pod-no404s-perl            	       0        2        0        2        0
41724 libtest-pod-perl                   	       0       28        0       28        0
41725 libtest-poe-client-tcp-perl        	       0        1        0        1        0
41726 libtest-poe-server-tcp-perl        	       0        1        0        1        0
41727 libtest-portability-files-perl     	       0        1        0        1        0
41728 libtest-postgresql-perl            	       0        1        0        1        0
41729 libtest-prereq-perl                	       0        1        0        1        0
41730 libtest-randomresult-perl          	       0        1        0        1        0
41731 libtest-rdf-doap-version-perl      	       0        1        0        1        0
41732 libtest-rdf-perl                   	       0        1        0        1        0
41733 libtest-redisserver-perl           	       0        1        0        1        0
41734 libtest-regexp-pattern-perl        	       0        1        0        1        0
41735 libtest-regexp-perl                	       0        1        0        1        0
41736 libtest-regression-perl            	       0        1        0        1        0
41737 libtest-reporter-perl              	       0        1        0        1        0
41738 libtest-requires-git-perl          	       0        1        0        1        0
41739 libtest-requires-perl              	       0       12        0       12        0
41740 libtest-requiresinternet-perl      	       0        6        0        6        0
41741 libtest-roo-perl                   	       0        1        0        1        0
41742 libtest-routine-perl               	       0        1        0        1        0
41743 libtest-script-perl                	       0        1        0        1        0
41744 libtest-script-run-perl            	       0        1        0        1        0
41745 libtest-sharedfork-perl            	       0       18        1       17        0
41746 libtest-sharedobject-perl          	       0        1        0        1        0
41747 libtest-signature-perl             	       0        1        0        1        0
41748 libtest-simple-perl                	       0        5        0        5        0
41749 libtest-skip-unlessexistsexecutable-perl	       0        1        0        1        0
41750 libtest-snapshot-perl              	       0        1        0        1        0
41751 libtest-spec-perl                  	       0        1        0        1        0
41752 libtest-spelling-perl              	       0        2        0        2        0
41753 libtest-strict-perl                	       0        3        0        3        0
41754 libtest-subcalls-perl              	       0        1        0        1        0
41755 libtest-synopsis-expectation-perl  	       0        1        0        1        0
41756 libtest-synopsis-perl              	       0        2        0        2        0
41757 libtest-sys-info-perl              	       0        1        0        1        0
41758 libtest-tabledriven-perl           	       0        1        0        1        0
41759 libtest-tabs-perl                  	       0        1        0        1        0
41760 libtest-taint-perl                 	       0        4        0        0        4
41761 libtest-tcp-perl                   	       0       18        1       17        0
41762 libtest-tempdir-perl               	       0        1        0        1        0
41763 libtest-tempdir-tiny-perl          	       0        1        0        1        0
41764 libtest-time-perl                  	       0        1        0        1        0
41765 libtest-timer-perl                 	       0        1        0        1        0
41766 libtest-trap-perl                  	       0        1        0        1        0
41767 libtest-unit-perl                  	       0        1        0        1        0
41768 libtest-unixsock-perl              	       0        1        0        1        0
41769 libtest-use-ok-perl                	       0        1        0        1        0
41770 libtest-useallmodules-perl         	       0        1        0        1        0
41771 libtest-utf8-perl                  	       0        2        0        2        0
41772 libtest-valgrind-perl              	       0        1        0        1        0
41773 libtest-version-perl               	       0        1        0        1        0
41774 libtest-warn-perl                  	       0       20        0       20        0
41775 libtest-warnings-perl              	       0        3        0        3        0
41776 libtest-weaken-perl                	       0        1        0        1        0
41777 libtest-without-module-perl        	       0        1        0        1        0
41778 libtest-www-declare-perl           	       0        1        0        1        0
41779 libtest-www-mechanize-catalyst-perl	       0        2        0        2        0
41780 libtest-www-mechanize-cgiapp-perl  	       0        1        0        1        0
41781 libtest-www-mechanize-mojo-perl    	       0        1        0        1        0
41782 libtest-www-mechanize-perl         	       0        5        0        5        0
41783 libtest-www-mechanize-psgi-perl    	       0        1        0        1        0
41784 libtest-www-selenium-perl          	       0        1        0        1        0
41785 libtest-xml-perl                   	       0        1        0        1        0
41786 libtest-xml-simple-perl            	       0        1        0        1        0
41787 libtest-xpath-perl                 	       0        1        0        1        0
41788 libtest-yaml-meta-perl             	       0        1        0        1        0
41789 libtest-yaml-perl                  	       0        2        0        2        0
41790 libtest-yaml-valid-perl            	       0        4        0        4        0
41791 libtest2-harness-perl              	       0        1        0        1        0
41792 libtest2-plugin-ioevents-perl      	       0        1        0        1        0
41793 libtest2-plugin-memusage-perl      	       0        1        0        1        0
41794 libtest2-plugin-nowarnings-perl    	       0        1        0        1        0
41795 libtest2-plugin-uuid-perl          	       0        1        0        1        0
41796 libtest2-suite-perl                	       0       12        0       12        0
41797 libtest2-tools-command-perl        	       0        1        0        1        0
41798 libtest2-tools-explain-perl        	       0        1        0        1        0
41799 libtestng7-java                    	       0        1        0        0        1
41800 libtestu01-0                       	       0        1        0        0        1
41801 libtet1.5                          	       0        4        0        0        4
41802 libtexlua-dev                      	       0        3        0        3        0
41803 libtexlua52                        	       0       56        0        0       56
41804 libtexlua53                        	       0      141        0        0      141
41805 libtexlua53-5                      	       0      493        0        1      492
41806 libtexluajit-dev                   	       0        2        0        2        0
41807 libtexluajit2                      	       0      555        0        0      555
41808 libtext-aligner-perl               	       0       62        0       62        0
41809 libtext-ansi-util-perl             	       0        1        0        1        0
41810 libtext-aspell-perl                	       0        2        0        0        2
41811 libtext-autoformat-perl            	       0       33        1       32        0
41812 libtext-balanced-perl              	       0        6        0        6        0
41813 libtext-bibtex-perl                	       0      117        0        0      117
41814 libtext-bidi-perl                  	       0        7        0        0        7
41815 libtext-charwidth-perl             	       0     4130        5       12     4113
41816 libtext-context-eitherside-perl    	       0        1        0        1        0
41817 libtext-context-perl               	       0        1        0        1        0
41818 libtext-csv-encoded-perl           	       0        2        0        2        0
41819 libtext-csv-unicode-perl           	       0        1        0        1        0
41820 libtext-csv-xs-perl                	       0      305        1        2      302
41821 libtext-diff-perl                  	       0       35        0       35        0
41822 libtext-engine-0.1-0               	       0        5        0        0        5
41823 libtext-english-perl               	       0       20        2       18        0
41824 libtext-findindent-perl            	       0        1        0        1        0
41825 libtext-flow-perl                  	       0        1        0        1        0
41826 libtext-format-perl                	       0       22        0       22        0
41827 libtext-german-perl                	       0        5        1        4        0
41828 libtext-hogan-perl                 	       0       13        0       13        0
41829 libtext-hogan-perl-build-deps      	       0        1        0        0        1
41830 libtext-hunspell-perl              	       0        2        0        0        2
41831 libtext-iconv-perl                 	       0     4143        5       12     4126
41832 libtext-kakasi-perl                	       0        1        0        0        1
41833 libtext-levenshtein-damerau-perl   	       0       23        0       23        0
41834 libtext-levenshtein-perl           	       0       81        1       80        0
41835 libtext-levenshteinxs-perl         	       0      620        0        0      620
41836 libtext-lorem-perl                 	       0        3        0        3        0
41837 libtext-markdown-discount-perl     	       0      622        0        0      622
41838 libtext-markdown-perl              	       0       38        0       38        0
41839 libtext-microtemplate-perl         	       0        6        0        6        0
41840 libtext-multimarkdown-perl         	       0        2        0        2        0
41841 libtext-password-pronounceable-perl	       0        1        0        1        0
41842 libtext-patch-perl                 	       0        1        0        1        0
41843 libtext-pdf-perl                   	       0        3        0        3        0
41844 libtext-qrcode-perl                	       0        1        0        0        1
41845 libtext-quoted-perl                	       0        1        0        1        0
41846 libtext-recordparser-perl          	       0        6        1        5        0
41847 libtext-reform-perl                	       0       33        1       32        0
41848 libtext-simpletable-perl           	       0        5        1        4        0
41849 libtext-soundex-perl               	       0      105        0        0      105
41850 libtext-table-perl                 	       0       62        0       62        0
41851 libtext-tabulardisplay-perl        	       0        7        1        6        0
41852 libtext-textile-perl               	       0        2        0        2        0
41853 libtext-trim-perl                  	       0       37        0       37        0
41854 libtext-trim-perl-build-deps       	       0        1        0        0        1
41855 libtext-unaccent-perl              	       0       25        0        0       25
41856 libtext-vcard-perl                 	       0        2        0        2        0
41857 libtext-vfile-asdata-perl          	       0        9        1        8        0
41858 libtext-wikiformat-perl            	       0        1        0        1        0
41859 libtext-wrapper-perl               	       0       10        0       10        0
41860 libtextcat-data                    	       0        1        0        0        1
41861 libtextcat-data-utf8               	       0        3        0        0        3
41862 libtextcat0                        	       0        1        0        0        1
41863 libtexttools-doc                   	       0        1        0        0        1
41864 libtexttools11                     	       0        1        0        0        1
41865 libtexttools11-dev                 	       0        1        0        0        1
41866 libtextwrap1                       	       0       11        0        0       11
41867 libtf-conversions-dev              	       0        1        0        1        0
41868 libtf-conversions0d                	       0        1        0        0        1
41869 libtf-dev                          	       0        1        0        1        0
41870 libtf1d                            	       0        2        0        0        2
41871 libtf2-2d                          	       0        2        0        0        2
41872 libtf2-bullet-dev                  	       0        1        0        1        0
41873 libtf2-dev                         	       0        2        0        2        0
41874 libtf2-eigen-dev                   	       0        1        0        1        0
41875 libtf2-geometry-msgs-dev           	       0        2        0        2        0
41876 libtf2-kdl-dev                     	       0        1        0        1        0
41877 libtf2-msgs-dev                    	       0        2        0        2        0
41878 libtf2-ros-dev                     	       0        2        0        2        0
41879 libtf2-ros1d                       	       0        2        0        0        2
41880 libtf2-sensor-msgs-dev             	       0        1        0        1        0
41881 libtfm1                            	       0       74        3       17       54
41882 libtgl-0.0.0.20160623-0            	       0        7        0        0        7
41883 libtgl-0.0.0.20160623-0t64         	       0        1        0        0        1
41884 libtgowt-dev                       	       0        2        0        2        0
41885 libtgvoip-dev                      	       0        2        0        2        0
41886 libtgvoip1.0                       	       0        1        0        0        1
41887 libthai-data                       	       0     3948        0        0     3948
41888 libthai-doc                        	       0        1        0        0        1
41889 libtheora-bin                      	       0       15        0       15        0
41890 libtheora-dev                      	       0       77        2       75        0
41891 libtheora-doc                      	       0        3        0        2        1
41892 libtheora-ocaml                    	       0        1        0        1        0
41893 libtheora-ocaml-dev                	       0        1        0        1        0
41894 libtheschwartz-perl                	       0        2        0        2        0
41895 libthmap-dev                       	       0        1        0        1        0
41896 libthmap1                          	       0        1        0        0        1
41897 libthread-pool-dev                 	       0        1        0        0        1
41898 libthreadar-dev                    	       0        1        0        1        0
41899 libthreadar1000                    	       0        7        0        0        7
41900 libthreadweaver4                   	       0       45        1        1       43
41901 libthreeten-extra-java             	       0        2        0        0        2
41902 libthrift-0.13.0                   	       0       12        0        0       12
41903 libthrift-0.17.0                   	       0       33        0        2       31
41904 libthrift-0.19.0t64                	       0        7        0        0        7
41905 libthrift-dev                      	       0       40        0       40        0
41906 libthrift-java                     	       0        2        0        0        2
41907 libthrowable-perl                  	       0       79        3       76        0
41908 libthumbnailator-java              	       0       39        0        0       39
41909 libthunar-vfs-1-common             	       0        3        0        0        3
41910 libthunarx-2-0                     	       0       41        0        0       41
41911 libthunarx-3-0-dbgsym              	       0        1        0        1        0
41912 libthunarx-3-dev                   	       0        3        1        2        0
41913 libticables2-6                     	       0        1        0        1        0
41914 libticables2-7                     	       0        4        0        4        0
41915 libticables2-8                     	       0       20        3       17        0
41916 libticalcs2-12                     	       0        4        0        0        4
41917 libticalcs2-13                     	       0       20        0        0       20
41918 libticcutils5                      	       0        1        0        0        1
41919 libticcutils8                      	       0        5        0        0        5
41920 libticonv8                         	       0        5        0        0        5
41921 libticonv9                         	       0       20        0        0       20
41922 libtidy-0.99-0                     	       0       33        0        1       32
41923 libtidy-dev                        	       0        9        0        9        0
41924 libtidy5                           	       0       68        1        0       67
41925 libtidy58                          	       0       24        1        0       23
41926 libtidy5deb1                       	       0     1124        5       11     1108
41927 libtie-array-sorted-perl           	       0        2        0        2        0
41928 libtie-cache-perl                  	       0        2        1        1        0
41929 libtie-handle-offset-perl          	       0        4        0        4        0
41930 libtie-toobject-perl               	       0        7        1        6        0
41931 libtiff-doc                        	       0        4        0        0        4
41932 libtiff4                           	       0       33        0        0       33
41933 libtiff4-dev                       	       0        2        0        2        0
41934 libtiff5-dev                       	       0       94        0       27       67
41935 libtiffxx0c2                       	       0        5        0        0        5
41936 libtiffxx5                         	       0      127        0        0      127
41937 libtiffxx6                         	       0      488        0        0      488
41938 libtifiles2-10                     	       0        5        0        0        5
41939 libtifiles2-11                     	       0       20        0        0       20
41940 libtigris-clojure                  	       0        1        0        0        1
41941 libtiled1                          	       0        7        0        0        7
41942 libtimbl4                          	       0        4        0        0        4
41943 libtimblserver4                    	       0        2        0        0        2
41944 libtime-duration-parse-perl        	       0       13        1       12        0
41945 libtime-fake-perl                  	       0        2        0        2        0
41946 libtime-format-perl                	       0        1        0        1        0
41947 libtime-modules-perl               	       0        4        0        0        4
41948 libtime-moment-perl                	       0      618        0        0      618
41949 libtime-period-perl                	       0       17        3       14        0
41950 libtime-piece-mysql-perl           	       0       18        1       17        0
41951 libtimezonemap-data                	       0      266        0        0      266
41952 libtimezonemap1                    	       0      266        0        0      266
41953 libtimingframework-java            	       0        2        0        0        2
41954 libtinfo-dev                       	       0      316        0       44      272
41955 libtinfo5                          	       0      938        3       11      924
41956 libtingea0                         	       0        2        0        0        2
41957 libtins4.0                         	       0        3        0        0        3
41958 libtins4.5                         	       0        1        0        0        1
41959 libtinyexr1d                       	       0        1        0        0        1
41960 libtinyframe-dev                   	       0        1        0        1        0
41961 libtinyframe0t64                   	       0        1        0        0        1
41962 libtinygltf1d                      	       0        1        0        0        1
41963 libtinygltf5d                      	       0        1        0        0        1
41964 libtinysparql-3.0-0                	       0        5        0        0        5
41965 libtinyxml-dev                     	       0       24        1       23        0
41966 libtinyxml-doc                     	       0        1        0        0        1
41967 libtinyxml2-10                     	       0       49        1        5       43
41968 libtinyxml2-2                      	       0        5        0        0        5
41969 libtinyxml2-4                      	       0       12        0        0       12
41970 libtinyxml2-6a                     	       0       18        0        0       18
41971 libtinyxml2-8                      	       0       64        0        6       58
41972 libtinyxml2-9                      	       0      188        2        8      178
41973 libtinyxml2-dev                    	       0       12        0       12        0
41974 libtinyxml2.6.2                    	       0        8        0        0        8
41975 libtinyxml2.6.2v5                  	       0      358        4       21      333
41976 libtinyxml2.6.2v5-dbg              	       0        1        0        1        0
41977 libtirpc-common                    	       0     3778        0        0     3778
41978 libtirpc1                          	       0      108        0        0      108
41979 libtitanium-json-ld-java           	       0        2        0        0        2
41980 libtk-codetext-perl                	       0        1        0        1        0
41981 libtk-dirselect-perl               	       0       19        0       19        0
41982 libtk-doubleclick-perl             	       0       19        0       19        0
41983 libtk-filedialog-perl              	       0        1        0        1        0
41984 libtk-fontdialog-perl              	       0       19        0       19        0
41985 libtk-gbarr-perl                   	       0        1        0        1        0
41986 libtk-histentry-perl               	       0       19        0       19        0
41987 libtk-img                          	       0       75        0        0       75
41988 libtk-img-dev                      	       0        3        0        3        0
41989 libtk-img-doc                      	       0        6        0        0        6
41990 libtk-objeditor-perl               	       0        1        0        1        0
41991 libtk-objscanner-perl              	       0        1        0        1        0
41992 libtk-pod-perl                     	       0       20        0       20        0
41993 libtk-splashscreen-perl            	       0        1        0        1        0
41994 libtk-tablematrix-perl             	       0        6        0        0        6
41995 libtk8.4                           	       0        1        0        0        1
41996 libtk8.5                           	       0       31        0        1       30
41997 libtk8.6                           	       0     1512       15       38     1459
41998 libtk9.0                           	       0        3        0        0        3
41999 libtkrzw1                          	       0        1        0        0        1
42000 libtlog0                           	       0        1        0        0        1
42001 libtls-dev                         	       0        4        0        4        0
42002 libtls26                           	       0        7        0        0        7
42003 libtls28t64                        	       0        2        0        0        2
42004 libtlsh0                           	       0        3        0        0        3
42005 libtmglib-dev                      	       0        4        0        0        4
42006 libtmglib3                         	       0       15        0        0       15
42007 libtmglib64-3                      	       0        1        0        0        1
42008 libtmglib64-dev                    	       0        1        0        0        1
42009 libtnt-dev                         	       0        1        0        1        0
42010 libtntdb-dev                       	       0        1        0        1        0
42011 libtntdb4                          	       0        1        0        1        0
42012 libtntnet-dev                      	       0        3        0        3        0
42013 libtntnet10                        	       0        1        0        0        1
42014 libtntnet12                        	       0        1        0        0        1
42015 libtntnet12v5                      	       0        3        0        0        3
42016 libtogl-dev                        	       0        3        0        3        0
42017 libtogl1                           	       0        2        0        0        2
42018 libtogl2                           	       0       11        1       10        0
42019 libtokyocabinet-dev                	       0        9        0        9        0
42020 libtokyocabinet8                   	       0       15        0        0       15
42021 libtokyocabinet9                   	       0     1501        7       14     1480
42022 libtokyocabinet9t64                	       0      112        2        2      108
42023 libtokyotyrant-dev                 	       0        2        0        2        0
42024 libtokyotyrant3                    	       0        7        0        1        6
42025 libtolua++5.1-dev                  	       0        1        0        1        0
42026 libtolua-dev                       	       0        1        0        1        0
42027 libtomcat10-embed-java             	       0        1        0        0        1
42028 libtomcat10-java                   	       0       13        0        0       13
42029 libtomcat7-java                    	       0        3        0        0        3
42030 libtomcat8-java                    	       0        9        0        0        9
42031 libtomcat9-embed-java              	       0        2        0        0        2
42032 libtomcat9-java                    	       0       41        0        0       41
42033 libtomcrypt-dev                    	       0        6        0        6        0
42034 libtomcrypt0                       	       0       29        0        0       29
42035 libtomlplusplus-dev                	       0        1        0        1        0
42036 libtomlplusplus3t64                	       0        6        1        0        5
42037 libtommath-doc                     	       0        3        0        0        3
42038 libtommath0                        	       0       13        0        0       13
42039 libtomoyotools3                    	       0       29        0        2       27
42040 libtonezone2.0                     	       0        2        1        1        0
42041 libtool-build-deps                 	       0        1        0        0        1
42042 libtool-doc                        	       0       23        0        0       23
42043 libtools-analyzer-clojure          	       0        1        0        0        1
42044 libtools-analyzer-jvm-clojure      	       0        1        0        0        1
42045 libtools-cli-clojure               	       0        1        0        0        1
42046 libtools-logging-clojure           	       0        1        0        0        1
42047 libtools-macro-clojure             	       0        1        0        0        1
42048 libtools-namespace-clojure         	       0        1        0        0        1
42049 libtools-nrepl-clojure             	       0        1        0        0        1
42050 libtools-reader-clojure            	       0        2        0        0        2
42051 libtoon-dev                        	       0        1        0        1        0
42052 libtoontag-dev                     	       0        1        0        1        0
42053 libtoontag0t64                     	       0        1        0        0        1
42054 libtopcom0                         	       0       10        0        0       10
42055 libtopcom0t64                      	       0        2        0        0        2
42056 libtopic-tools-dev                 	       0        1        0        1        0
42057 libtopic-tools2d                   	       0        1        0        0        1
42058 libtopmenu-client-gtk2-0           	       0        3        0        0        3
42059 libtopmenu-client-gtk3-0           	       0        3        0        0        3
42060 libtopmenu-server-gtk2-0           	       0        3        0        0        3
42061 libtopmenu-server-gtk3-0           	       0        3        0        0        3
42062 libtorch-dev                       	       0       12        0       12        0
42063 libtorch-luat                      	       0        1        0        0        1
42064 libtorch-test                      	       0       10        0       10        0
42065 libtorch-th                        	       0        1        0        0        1
42066 libtorch-thnn                      	       0        1        0        0        1
42067 libtorch-thnn-dev                  	       0        1        0        1        0
42068 libtorch1.13                       	       0       10        0        0       10
42069 libtorch1.7                        	       0        3        0        0        3
42070 libtorque2                         	       0        4        0        0        4
42071 libtorrent-build-deps              	       0        1        0        0        1
42072 libtorrent-dev                     	       0        4        0        4        0
42073 libtorrent-rasterbar-build-deps    	       0        1        0        0        1
42074 libtorrent-rasterbar-dbg           	       0        1        0        1        0
42075 libtorrent-rasterbar-dev           	       0        4        0        4        0
42076 libtorrent-rasterbar-doc           	       0        1        0        0        1
42077 libtorrent-rasterbar10             	       0       58        1        2       55
42078 libtorrent-rasterbar2.0            	       0      303       14       20      269
42079 libtorrent-rasterbar6              	       0        1        0        0        1
42080 libtorrent-rasterbar7              	       0        8        0        0        8
42081 libtorrent-rasterbar9              	       0       28        0        0       28
42082 libtorrent14                       	       0        1        0        0        1
42083 libtorrent19                       	       0        4        0        0        4
42084 libtorrent20                       	       0        8        0        0        8
42085 libtorrent21                       	       0       74        0        4       70
42086 libtorrent21-dbgsym                	       0        1        0        1        0
42087 libtorrent21t64                    	       0       13        0        0       13
42088 libtotem-pg-dev                    	       0        1        0        1        0
42089 libtotem-pg5                       	       0        6        0        0        6
42090 libtotem-plparser-common           	       0      736        0        0      736
42091 libtotem-plparser17                	       0        1        0        1        0
42092 libtotem-plparser18                	       0      735        1       12      722
42093 libtotem0                          	       0      399        0        5      394
42094 libtoxcore-dev                     	       0        1        0        1        0
42095 libtoxcore2                        	       0       26        0        4       22
42096 libtpl-dev                         	       0        1        0        1        0
42097 libtpl0                            	       0        1        0        0        1
42098 libtpm-unseal1                     	       0        8        0        0        8
42099 libtpm-unseal1t64                  	       0        1        0        0        1
42100 libtpm2-pkcs11-1                   	       0        1        0        0        1
42101 libtpm2-pkcs11-tools               	       0        2        1        1        0
42102 libtpms0                           	       0      300        5        1      294
42103 libtqca                            	       0       25        0        0       25
42104 libtqsllib1                        	       0        1        0        0        1
42105 libtqt-perl                        	       0        1        0        1        0
42106 libtqt3-apps-dev                   	       0        3        0        0        3
42107 libtqt3-compat-headers             	       0        2        0        2        0
42108 libtqt3-headers                    	       0        3        0        3        0
42109 libtqt3-i18n                       	       0        2        0        0        2
42110 libtqt3-mt-data                    	       0       34        0        0       34
42111 libtqt3-mt-dev                     	       0        3        0        3        0
42112 libtqt3-mt-mysql                   	       0        1        0        0        1
42113 libtqt3-mt-odbc                    	       0        1        0        0        1
42114 libtqt3-mt-psql                    	       0        1        0        0        1
42115 libtqtinterface-dbg                	       0        2        0        2        0
42116 libtqtinterface-dev                	       0        3        0        3        0
42117 libtqtinterface-doc                	       0        1        0        0        1
42118 libtrace-tools                     	       0        1        0        1        0
42119 libtrace3                          	       0        1        0        0        1
42120 libtracecmd1                       	       0        3        0        0        3
42121 libtraceevent-dev                  	       0       12        0       12        0
42122 libtraceevent-doc                  	       0        1        0        0        1
42123 libtraceevent1                     	       0       37        0        1       36
42124 libtraceevent1-plugin              	       0        5        0        0        5
42125 libtracefs-dev                     	       0       12        0       12        0
42126 libtracefs1                        	       0       18        0        0       18
42127 libtracker-client-0.8-0            	       0        3        0        3        0
42128 libtracker-control-1.0-0           	       0        9        0        0        9
42129 libtracker-control-1.0-dev         	       0        1        0        1        0
42130 libtracker-control-2.0-0           	       0       60        0        0       60
42131 libtracker-control-doc             	       0        1        0        0        1
42132 libtracker-doc                     	       0        1        0        0        1
42133 libtracker-extract-0.14-0          	       0        4        0        0        4
42134 libtracker-miner-0.14-0            	       0        5        0        0        5
42135 libtracker-miner-0.14-dev          	       0        1        0        1        0
42136 libtracker-miner-1.0-0             	       0        8        0        0        8
42137 libtracker-miner-1.0-dev           	       0        3        0        3        0
42138 libtracker-miner-2.0-0             	       0       57        4       26       27
42139 libtracker-miner-doc               	       0        1        0        0        1
42140 libtracker-sparql-0.12-0           	       0        1        0        1        0
42141 libtracker-sparql-0.14-0           	       0        9        0        9        0
42142 libtracker-sparql-0.14-dev         	       0        1        0        1        0
42143 libtracker-sparql-1.0-0            	       0       27        0        0       27
42144 libtracker-sparql-1.0-dev          	       0        3        0        3        0
42145 libtracker-sparql-2.0-0            	       0      143        4       30      109
42146 libtracker-sparql-3.0-dev          	       0        2        0        2        0
42147 libtracker-sparql-doc              	       0        1        0        0        1
42148 libtrackerclient0                  	       0        1        0        0        1
42149 libtrajectory-msgs-dev             	       0        1        0        1        0
42150 libtrampoline1                     	       0        2        0        0        2
42151 libtrang-java                      	       0        4        0        0        4
42152 libtranscript1                     	       0        4        0        0        4
42153 libtransitioner2                   	       0        4        0        0        4
42154 libtrapperkeeper-authorization-clojure	       0        1        0        0        1
42155 libtrapperkeeper-clojure           	       0        1        0        0        1
42156 libtrapperkeeper-comidi-metrics-clojure	       0        1        0        0        1
42157 libtrapperkeeper-filesystem-watcher-clojure	       0        1        0        0        1
42158 libtrapperkeeper-metrics-clojure   	       0        1        0        0        1
42159 libtrapperkeeper-scheduler-clojure 	       0        1        0        0        1
42160 libtrapperkeeper-status-clojure    	       0        1        0        0        1
42161 libtrapperkeeper-webserver-jetty9-clojure	       0        1        0        0        1
42162 libtravel-routing-de-hafas-perl    	       0        1        0        1        0
42163 libtravel-routing-de-vrr-perl      	       0        1        0        1        0
42164 libtravel-status-de-deutschebahn-perl	       0        1        0        1        0
42165 libtravel-status-de-iris-perl      	       0        1        0        1        0
42166 libtre-dev                         	       0        1        0        1        0
42167 libtre5                            	       0      173        1        1      171
42168 libtree                            	       0        8        1        7        0
42169 libtree-dagnode-perl               	       0        4        0        4        0
42170 libtree-r-perl                     	       0        1        0        1        0
42171 libtree-simple-perl                	       0        5        1        4        0
42172 libtree-simple-visitorfactory-perl 	       0        3        1        2        0
42173 libtree-sitter0                    	       0      177       10       12      155
42174 libtree-xpathengine-perl           	       0        1        0        1        0
42175 libtreelayout-java                 	       0       12        0        0       12
42176 libtrident-java                    	       0        4        0        0        4
42177 libtrilead-putty-extension-java    	       0        1        0        0        1
42178 libtrilead-ssh2-java               	       0        7        0        0        7
42179 libtrilinos-amesos-13.2            	       0       57        0        0       57
42180 libtrilinos-aztecoo-13.2           	       0       57        0        0       57
42181 libtrilinos-aztecoo-dev            	       0        2        0        2        0
42182 libtrilinos-epetra-13.2            	       0       57        0        0       57
42183 libtrilinos-epetraext-13.2         	       0       57        0        0       57
42184 libtrilinos-galeri-13.2            	       0       57        0        0       57
42185 libtrilinos-ifpack-13.2            	       0       57        0        0       57
42186 libtrilinos-kokkos-13.2            	       0       58        0        0       58
42187 libtrilinos-kokkos-dev             	       0        1        0        1        0
42188 libtrilinos-ml-13.2                	       0       57        0        0       57
42189 libtrilinos-ml-dev                 	       0        2        0        2        0
42190 libtrilinos-teuchos-13.2           	       0       57        0        0       57
42191 libtrilinos-trilinosss-13.2        	       0       58        0        0       58
42192 libtrilinos-trilinosss-dev         	       0        2        0        2        0
42193 libtrilinos-triutils-13.2          	       0       57        0        0       57
42194 libtrilinos-zoltan-13.2            	       0       57        0        0       57
42195 libtrilinos-zoltan-dev             	       0        2        0        2        0
42196 libtrio-dev                        	       0        1        0        1        0
42197 libtrio2                           	       0       37        0        0       37
42198 libtritonus-java                   	       0        2        0        0        2
42199 libtritonus-jni                    	       0        2        0        2        0
42200 libtrompeloeil-cpp-dev             	       0        1        0        0        1
42201 libtrove-intellij-java             	       0        3        0        0        3
42202 libtry-tiny-byclass-perl           	       0        2        0        2        0
42203 libtry-tiny-smartcatch-perl        	       0        1        1        0        0
42204 libtrycatch-perl                   	       0        1        0        0        1
42205 libts-0.0-0                        	       0       17        0        0       17
42206 libts-bin                          	       0        1        0        1        0
42207 libts-dev                          	       0        3        0        3        0
42208 libts0                             	       0      443        0        0      443
42209 libts0t64                          	       0      154        0        0      154
42210 libtsan0                           	       0     1233        0        0     1233
42211 libtsan0-arm64-cross               	       0       15        0        0       15
42212 libtsan0-ppc64-cross               	       0        2        0        0        2
42213 libtsan2                           	       0     1872        0        0     1872
42214 libtsan2-arm64-cross               	       0       25        0        0       25
42215 libtsan2-ppc64-cross               	       0        4        0        0        4
42216 libtsan2-ppc64el-cross             	       0        1        0        0        1
42217 libtsan2-riscv64-cross             	       0        2        0        0        2
42218 libtse3-0.3.1t64                   	       0        1        0        0        1
42219 libtse3-dev                        	       0        1        0        1        0
42220 libtsk-dev                         	       0        2        0        2        0
42221 libtsk13                           	       0       21        0        0       21
42222 libtsk19                           	       0      141        0        0      141
42223 libtsk19t64                        	       0       13        0        0       13
42224 libtsk3-3                          	       0        1        0        0        1
42225 libtsm4                            	       0        2        0        1        1
42226 libtss0                            	       0        3        0        0        3
42227 libtss2-dev                        	       0        1        0        1        0
42228 libtss2-esys0                      	       0       20        0        0       20
42229 libtss2-fapi1                      	       0        7        1        6        0
42230 libtss2-fapi1t64                   	       0        2        0        2        0
42231 libtss2-mu-4.0.1-0                 	       0        1        0        0        1
42232 libtss2-policy0t64                 	       0        1        0        0        1
42233 libtss2-rc0                        	       0      302        0        1      301
42234 libtss2-rc0t64                     	       0       19        0        1       18
42235 libtss2-tcti-cmd0                  	       0      981        0        0      981
42236 libtss2-tcti-cmd0t64               	       0      114        0        0      114
42237 libtss2-tcti-device0               	       0      981        0        2      979
42238 libtss2-tcti-device0t64            	       0      114        0        2      112
42239 libtss2-tcti-libtpms0              	       0        6        0        0        6
42240 libtss2-tcti-libtpms0t64           	       0       69        0        0       69
42241 libtss2-tcti-mssim0                	       0      981        0        0      981
42242 libtss2-tcti-mssim0t64             	       0      114        0        0      114
42243 libtss2-tcti-pcap0                 	       0        1        0        0        1
42244 libtss2-tcti-spi-helper0           	       0        6        0        0        6
42245 libtss2-tcti-spi-helper0t64        	       0       69        0        0       69
42246 libtss2-tcti-swtpm0                	       0      981        0        0      981
42247 libtss2-tcti-swtpm0t64             	       0      114        0        0      114
42248 libtss2-tctildr0t64                	       0       68        0        5       63
42249 libtss2-udev                       	       0       29        1       28        0
42250 libttf2                            	       0        2        0        0        2
42251 libttfautohint1                    	       0        4        0        0        4
42252 libttfautohint1t64                 	       0        2        0        0        2
42253 libttspico-data                    	       0        9        0        0        9
42254 libttspico-utils                   	       0        9        1        8        0
42255 libttspico0                        	       0        8        0        0        8
42256 libttspico0t64                     	       0        1        0        0        1
42257 libtty1                            	       0        5        0        0        5
42258 libtuiwidgets0a                    	       0        1        0        0        1
42259 libtulip-core-5.4                  	       0        2        0        0        2
42260 libtulip-dev                       	       0        1        0        1        0
42261 libtulip-gui-5.4                   	       0        2        0        0        2
42262 libtulip-ogdf-5.4                  	       0        2        0        0        2
42263 libtulip-ogl-5.4                   	       0        2        0        0        2
42264 libtulip-python-5.4                	       0        2        0        0        2
42265 libtumbler-1-0t64                  	       0      113        1       11      101
42266 libturbojpeg0                      	       0     2672        3       16     2653
42267 libturbojpeg1                      	       0       21        0        0       21
42268 libturbojpeg1-dev                  	       0        1        0        1        0
42269 libtvcontrol0                      	       0        3        0        3        0
42270 libtwatch-perl                     	       0        3        0        3        0
42271 libtwelvemonkeys-java              	       0       64        0        0       64
42272 libtwitter-ruby1.9.1               	       0        1        0        0        1
42273 libtwolame-dev                     	       0       32        1       31        0
42274 libtxc-dxtn-s2tc                   	       0       99        0        0       99
42275 libtxc-dxtn-s2tc-bin               	       0        1        0        1        0
42276 libtxc-dxtn-s2tc-dev               	       0        1        0        1        0
42277 libtxc-dxtn-s2tc0                  	       0       68        0        0       68
42278 libtxc-dxtn0                       	       0        1        0        0        1
42279 libtxw2-java                       	       0      333        0        0      333
42280 libtype-tiny-xs-perl               	       0      358        0        1      357
42281 libtypes-path-tiny-perl            	       0        9        1        8        0
42282 libtypes-uri-perl                  	       0        3        0        3        0
42283 libtypes-uuid-perl                 	       0        3        0        3        0
42284 libtypesafe-config-clojure         	       0        1        0        0        1
42285 libtypesafe-config-java            	       0        2        0        0        2
42286 libtyxml-ocaml                     	       0        1        0        1        0
42287 libtyxml-ocaml-dev                 	       0        1        0        1        0
42288 libtzinfo-ruby1.9.1                	       0        1        0        0        1
42289 libu2f-host-dev                    	       0        1        0        1        0
42290 libu2f-host-doc                    	       0        1        0        0        1
42291 libu2f-host0                       	       0       11        0        0       11
42292 libu2f-server0                     	       0        5        0        0        5
42293 libubertooth-dev                   	       0        1        0        1        0
42294 libubertooth1                      	       0        6        0        0        6
42295 libubi-dev                         	       0        1        0        1        0
42296 libubootenv0.1                     	       0       52        0        0       52
42297 libubox1                           	       0        1        0        1        0
42298 libubsan0                          	       0      428        0        0      428
42299 libubsan0-arm64-cross              	       0        2        0        0        2
42300 libubsan0-armhf-cross              	       0        1        0        0        1
42301 libubsan0-dbg                      	       0        3        0        3        0
42302 libubsan1                          	       0     2493        0        0     2493
42303 libubsan1-arm64-cross              	       0       37        0        0       37
42304 libubsan1-armel-cross              	       0       23        0        0       23
42305 libubsan1-armhf-cross              	       0       29        0        0       29
42306 libubsan1-i386-cross               	       0       13        0        0       13
42307 libubsan1-powerpc-cross            	       0        5        0        0        5
42308 libubsan1-ppc64-cross              	       0        6        0        0        6
42309 libubsan1-ppc64el-cross            	       0        1        0        0        1
42310 libubsan1-riscv64-cross            	       0        2        0        0        2
42311 libubsan1-s390x-cross              	       0        4        0        0        4
42312 libubsan1-sparc64-cross            	       0        3        0        0        3
42313 libubsan1-x32-cross                	       0        6        0        0        6
42314 libubus1                           	       0        1        0        1        0
42315 libuchardet-dev                    	       0       27        2       25        0
42316 libuchardet0                       	       0     3907       13       35     3859
42317 libucimf0                          	       0        1        0        0        1
42318 libucl-dev                         	       0        3        0        3        0
42319 libucl1                            	       0       16        0        0       16
42320 libucommon-dev                     	       0        5        0        5        0
42321 libucommon6                        	       0        1        0        0        1
42322 libucommon7v5                      	       0        1        0        0        1
42323 libucommon8                        	       0       19        1        0       18
42324 libucommon8t64                     	       0        2        1        0        1
42325 libuconv-ruby1.9.1                 	       0        2        0        0        2
42326 libucto3                           	       0        1        0        0        1
42327 libucto5                           	       0        4        0        0        4
42328 libucx-dev                         	       0       12        1       11        0
42329 libucx0                            	       0      319        0        0      319
42330 libucx0-dbgsym                     	       0        1        0        1        0
42331 libudev-compat-dev                 	       0        1        0        1        0
42332 libudev-compat-helpers             	       0        1        0        1        0
42333 libudev-dev                        	       0      389        1        3      385
42334 libudev0                           	       0       98        0        0       98
42335 libudev1-compat                    	       0        1        0        1        0
42336 libudf0                            	       0       36        0        0       36
42337 libudf0t64                         	       0        3        0        0        3
42338 libudfread-dev                     	       0       38        1       37        0
42339 libudis86-0                        	       0        4        0        0        4
42340 libudisks2-0-dbgsym                	       0        1        0        1        0
42341 libudisks2-dev                     	       0        3        1        2        0
42342 libudisks2-qt5-0                   	       0        7        0        0        7
42343 libudns0                           	       0       23        0        2       21
42344 libudns0t64                        	       0        3        0        0        3
42345 libudp-tcl                         	       0        1        1        0        0
42346 libudunits2-0                      	       0       54        0        0       54
42347 libudunits2-data                   	       0       54        0        0       54
42348 libuecc0                           	       0        4        0        0        4
42349 libuemf0                           	       0        2        0        0        2
42350 libuev-dev                         	       0        1        0        1        0
42351 libuev2                            	       0        1        0        0        1
42352 libuev3                            	       0        2        0        0        2
42353 libufo-data                        	       0        1        0        0        1
42354 libufo1                            	       0        1        0        0        1
42355 libuhd-dev                         	       0        1        0        1        0
42356 libuhd003                          	       0        1        0        0        1
42357 libuhd3.13.1                       	       0        2        0        0        2
42358 libuhd3.15.0                       	       0        5        0        0        5
42359 libuhd4.3.0                        	       0       37        0        2       35
42360 libuhd4.6.0t64                     	       0        1        0        0        1
42361 libuhd4.7.0                        	       0       10        0        1        9
42362 libui-dialog-perl                  	       0        2        0        2        0
42363 libui-utilcpp-dev                  	       0        1        0        1        0
42364 libui-utilcpp9v5                   	       0        2        0        2        0
42365 libui0                             	       0        1        0        0        1
42366 libuid-wrapper                     	       0        2        0        0        2
42367 libuil4                            	       0       37        0        0       37
42368 libuim-data                        	       0       37        0        0       37
42369 libuim-dev                         	       0        1        0        1        0
42370 libukui-common0                    	       0        3        0        0        3
42371 libukui-gsettings0                 	       0        2        0        0        2
42372 libukui-log4qt1                    	       0       11        0        0       11
42373 libukui-menu2                      	       0        1        0        0        1
42374 libukui-panel-applet-4-1           	       0        1        0        0        1
42375 libukui-print0                     	       0        2        0        0        2
42376 libukui-screensaverclient-dev      	       0        1        0        1        0
42377 libukui-screensaverclient0         	       0        1        0        0        1
42378 libukui-touchpadclient-dev         	       0        1        0        1        0
42379 libukui-touchpadclient0            	       0        1        0        0        1
42380 libukui-usersetting-dev            	       0        1        0        1        0
42381 libukui-usersetting0               	       0        1        0        0        1
42382 libukwm-1-0                        	       0        5        0        0        5
42383 libulfius2.7                       	       0        5        0        0        5
42384 libulfius2.7t64                    	       0        1        0        0        1
42385 libumfpack5                        	       0     2590        0       14     2576
42386 libumfpack5.4.0                    	       0        7        0        0        7
42387 libumfpack5.6.2                    	       0       25        0        0       25
42388 libumfpack6                        	       0      131        0        2      129
42389 libumlib0                          	       0        5        0        0        5
42390 libumockdev0                       	       0        9        1        3        5
42391 libunac1                           	       0       41        0        0       41
42392 libunarr-dev                       	       0        2        0        2        0
42393 libunarr1                          	       0       10        1        0        9
42394 libunbescape-java-doc              	       0        1        0        0        1
42395 libunbound-dev                     	       0        9        0        9        0
42396 libunbound2                        	       0       31        0        0       31
42397 libunbound8-dbgsym                 	       0        1        0        1        0
42398 libungif-bin                       	       0        1        0        0        1
42399 libungif4-dev                      	       0        2        0        0        2
42400 libungif4g                         	       0        3        0        0        3
42401 libunibilium-dev                   	       0        1        0        1        0
42402 libunibilium4                      	       0      147        3        5      139
42403 libunibreak-dev                    	       0       12        0       12        0
42404 libunibreak-doc                    	       0        1        0        0        1
42405 libunibreak1                       	       0       94        0        0       94
42406 libunibreak5                       	       0       56        3        6       47
42407 libunibreak6                       	       0      237       13       34      190
42408 libunicap2                         	       0        7        0        1        6
42409 libunicap2-dev                     	       0        1        0        1        0
42410 libunicode-collate-perl            	       0       14        0        0       14
42411 libunicode-escape-perl             	       0        1        0        1        0
42412 libunicode-linebreak-perl          	       0      543        0        2      541
42413 libunicode-map-perl                	       0      371        0        0      371
42414 libunicode-maputf8-perl            	       0       11        2        9        0
42415 libunicode-string-perl             	       0      117        0        0      117
42416 libunicode-stringprep-perl         	       0        5        0        5        0
42417 libunicode-utf8-perl               	       0      784        0        0      784
42418 libuniconf4.6                      	       0       27        0        0       27
42419 libuniconf4.6t64                   	       0        1        0        0        1
42420 libunicorn2t64                     	       0        1        0        0        1
42421 libuninameslist-dev                	       0        1        0        1        0
42422 libuninameslist0                   	       0        4        0        0        4
42423 libuninameslist1                   	       0       36        0        0       36
42424 libuninum5                         	       0        8        0        0        8
42425 libunique-1.0-0                    	       0      146        1        5      140
42426 libunique-3.0-0                    	       0       31        0        0       31
42427 libunique-dev                      	       0        3        0        3        0
42428 libunirest-java-java               	       0       24        0        0       24
42429 libunistring-dev                   	       0       27        2       25        0
42430 libunistring0                      	       0      507        0        0      507
42431 libunittest++2                     	       0       17        0        0       17
42432 libunity-java                      	       0        1        0        0        1
42433 libunity-protocol-private0         	       0      441        5       15      421
42434 libunity-scopes-json-def-desktop   	       0      442        0        0      442
42435 libunivalue-dev                    	       0        2        0        2        0
42436 libunivalue0                       	       0        5        0        1        4
42437 libuniversal-can-perl              	       0       14        1       13        0
42438 libuniversal-isa-perl              	       0       14        1       13        0
42439 libuniversal-moniker-perl          	       0       30        1       29        0
42440 libunivocity-parsers-java          	       0        7        0        0        7
42441 libunix-fcntllock-perl             	       0        1        0        0        1
42442 libunix-mad-syslog-perl            	       0        1        0        0        1
42443 libunix-syslog-perl                	       0       36        5       14       17
42444 libunixsocket-java                 	       0        4        0        4        0
42445 libunoil-java                      	       0      154        0      154        0
42446 libunrar-headers                   	       0        1        0        0        1
42447 libunrar5                          	       0       11        0        0       11
42448 libunrar5t64                       	       0        1        0        0        1
42449 libunsafe-fences-java              	       0        2        0        0        2
42450 libunsafe-mock-java                	       0        3        0        0        3
42451 libunshield-dev                    	       0        4        1        3        0
42452 libunshield0                       	       0       42        0        0       42
42453 libunwind-13                       	       0        2        0        2        0
42454 libunwind-14                       	       0       25        0       25        0
42455 libunwind-14-dev                   	       0        5        0        5        0
42456 libunwind-16-dev                   	       0        3        0        3        0
42457 libunwind-16t64                    	       0       18        0       18        0
42458 libunwind-19                       	       0       24        1       23        0
42459 libunwind-19-dev                   	       0        3        1        2        0
42460 libunwind7                         	       0        2        0        0        2
42461 libunwind7-dev                     	       0        1        1        0        0
42462 libupb-dev                         	       0        1        0        1        0
42463 libupb0                            	       0        1        0        0        1
42464 libupnp-dev                        	       0       21        1       19        1
42465 libupnp-doc                        	       0        2        0        0        2
42466 libupnp10                          	       0        1        0        0        1
42467 libupnp13                          	       0     1460       14       35     1411
42468 libupnp17                          	       0       52        0        2       50
42469 libupnp17t64                       	       0      141        3       11      127
42470 libupnp3                           	       0        1        0        0        1
42471 libupnp6                           	       0      100        0        3       97
42472 libupnp6-dev                       	       0        3        0        3        0
42473 libupnp6-doc                       	       0        1        0        0        1
42474 libupnpp16                         	       0        1        0        0        1
42475 libupnpp4                          	       0        1        0        0        1
42476 libupnpp6                          	       0        1        0        0        1
42477 libupower-glib-dev                 	       0       13        2       11        0
42478 libupower-glib1                    	       0      301       28      112      161
42479 libups-nut-perl                    	       0        1        0        1        0
42480 libupsclient-dev                   	       0        1        0        1        0
42481 libupsclient1                      	       0        3        0        0        3
42482 libupsclient4                      	       0       32        1        4       27
42483 libupsclient6                      	       0       48        6       16       26
42484 libupsclient6t64                   	       0        4        0        1        3
42485 libupse2                           	       0        2        0        0        2
42486 libuptimed0                        	       0        7        0        0        7
42487 liburcu-dev                        	       0        6        1        5        0
42488 liburcu2                           	       0        2        0        0        2
42489 liburcu4                           	       0        6        0        0        6
42490 liburcu6                           	       0       18        0        0       18
42491 liburcu8                           	       0      293        3        5      285
42492 liburcu8t64                        	       0      250        3        9      238
42493 liburdf-dev                        	       0        1        0        1        0
42494 liburdf-parser-plugin-dev          	       0        1        0        1        0
42495 liburdf1d                          	       0        1        0        0        1
42496 liburdfdom-dev                     	       0        1        0        1        0
42497 liburdfdom-headers-dev             	       0        1        0        1        0
42498 liburdfdom-model-state3.0          	       0        1        0        0        1
42499 liburdfdom-model3.0                	       0        1        0        0        1
42500 liburdfdom-sensor3.0               	       0        1        0        0        1
42501 liburdfdom-tools                   	       0        1        0        1        0
42502 liburdfdom-world3.0                	       0        1        0        0        1
42503 liburfkill-glib-dev                	       0        1        0        1        0
42504 liburfkill-glib0                   	       0        4        0        0        4
42505 liburfkill-glib0-dbg               	       0        1        0        1        0
42506 liburi-cpan-perl                   	       0        1        0        1        0
42507 liburi-db-perl                     	       0        2        0        2        0
42508 liburi-encode-perl                 	       0       47        2       45        0
42509 liburi-escape-xs-perl              	       0       84        0        0       84
42510 liburi-fetch-perl                  	       0       13        0       13        0
42511 liburi-find-delimited-perl         	       0        1        0        1        0
42512 liburi-find-perl                   	       0        4        0        4        0
42513 liburi-find-simple-perl            	       0        1        0        1        0
42514 liburi-fromhash-perl               	       0        3        0        3        0
42515 liburi-namespacemap-perl           	       0        2        0        2        0
42516 liburi-nested-perl                 	       0        2        0        2        0
42517 liburi-normalize-perl              	       0        1        0        1        0
42518 liburi-query-perl                  	       0        1        0        1        0
42519 liburi-template-perl               	       0       13        0       13        0
42520 liburi-ws-perl                     	       0        1        0        1        0
42521 liburing-dev                       	       0        7        1        6        0
42522 liburiparser1                      	       0      772       12       22      738
42523 liburl-encode-perl                 	       0        3        0        3        0
42524 liburl-encode-xs-perl              	       0        4        0        0        4
42525 liburweb-cgi0                      	       0        1        0        0        1
42526 liburweb-fastcgi0                  	       0        1        0        0        1
42527 liburweb-http0                     	       0        1        0        0        1
42528 liburweb-static0                   	       0        1        0        0        1
42529 liburweb0                          	       0        1        0        0        1
42530 libusageenvironment1               	       0       26        0        1       25
42531 libusageenvironment2               	       0        1        0        0        1
42532 libusageenvironment3               	       0      236        0        1      235
42533 libusb-0.1-4                       	       0     1572       22       61     1489
42534 libusb-1.0-doc                     	       0      195        0        0      195
42535 libusb-java                        	       0        3        0        0        3
42536 libusb-java-doc                    	       0        3        0        0        3
42537 libusb-java-lib                    	       0        3        0        0        3
42538 libusb-libusb-perl                 	       0        1        0        0        1
42539 libusb-ocaml                       	       0        1        0        1        0
42540 libusb-ocaml-dev                   	       0        1        0        1        0
42541 libusb3380-0                       	       0       31        0        0       31
42542 libusb3380-0t64                    	       0        5        0        0        5
42543 libusb3380-dev                     	       0        1        0        1        0
42544 libusbauth-configparser-dev        	       0        1        0        1        0
42545 libusbauth-configparser1           	       0        1        0        0        1
42546 libusbdrdaq                        	       0        1        0        1        0
42547 libusbguard-dev                    	       0        1        0        0        1
42548 libusbguard1                       	       0        6        1        3        2
42549 libusbgx-dev                       	       0        1        0        1        0
42550 libusbgx-doc                       	       0        1        0        0        1
42551 libusbgx2                          	       0        2        0        0        2
42552 libusbmuxd-dev                     	       0       20        1       19        0
42553 libusbmuxd-tools                   	       0       27        0       27        0
42554 libusbmuxd-tools-dbgsym            	       0        1        0        1        0
42555 libusbmuxd1                        	       0        8        0        0        8
42556 libusbmuxd2                        	       0       31        0        2       29
42557 libusbmuxd4                        	       0      248        1        1      246
42558 libusbmuxd6-dbgsym                 	       0        1        0        1        0
42559 libusbprog0v5                      	       0        3        0        0        3
42560 libusbredirhost-dev                	       0        3        0        3        0
42561 libusbredirhost1                   	       0      433        6       17      410
42562 libusbredirhost1t64                	       0       32        1        0       31
42563 libusbredirparser-dev              	       0        7        1        6        0
42564 libusbredirparser0                 	       0        4        0        0        4
42565 libusbredirparser1t64              	       0       55        1        1       53
42566 libuser                            	       0        7        0        7        0
42567 libuser-perl                       	       0        1        0        1        0
42568 libuser1                           	       0      183        0        0      183
42569 libusplash0                        	       0        1        0        0        1
42570 libusrsctp1                        	       0        1        0        0        1
42571 libusrsctp2                        	       0        5        0        2        3
42572 libustr-1.0-1                      	       0      759        0        0      759
42573 libustr-doc                        	       0        1        0        0        1
42574 libutf8-all-perl                   	       0        4        1        3        0
42575 libutf8-locale0                    	       0        2        0        0        2
42576 libutf8.h-dev                      	       0        1        0        1        0
42577 libutf8proc-dev                    	       0        3        0        3        0
42578 libutf8proc3                       	       0       68        3        6       59
42579 libutfcpp-dev                      	       0       18        0       18        0
42580 libutfcpp-doc                      	       0        1        0        0        1
42581 libutvideo15                       	       0       12        0        0       12
42582 libutvideo15.0.2                   	       0        1        0        0        1
42583 libuu-dev                          	       0        2        0        2        0
42584 libuu0                             	       0     1027        0        0     1027
42585 libuuid-perl                       	       0      251        0        1      250
42586 libuuid-tiny-perl                  	       0       18        1       17        0
42587 libuuid-urandom-perl               	       0        5        0        5        0
42588 libuuid1-dbgsym                    	       0        2        0        2        0
42589 libuuidtools-ruby1.9.1             	       0        1        0        0        1
42590 libuutf-ocaml                      	       0        1        0        1        0
42591 libuutf-ocaml-dev                  	       0        1        0        1        0
42592 libuutf-ocaml-doc                  	       0        1        0        0        1
42593 libuutil1                          	       0        1        0        0        1
42594 libuutil1linux                     	       0        4        0        0        4
42595 libuutil3                          	       0        1        0        1        0
42596 libuv0.10                          	       0        1        0        0        1
42597 libuv0.10-dev                      	       0        1        0        1        0
42598 libuv1t64                          	       0      265        4       14      247
42599 libuvc-dev                         	       0        1        0        1        0
42600 libuvc-doc                         	       0        1        0        0        1
42601 libuvc0                            	       0       45        0        0       45
42602 libuwac0-0                         	       0        6        0        0        6
42603 libuwac0-0t64                      	       0        4        0        0        4
42604 libuwac0-dev                       	       0        1        0        1        0
42605 libv2m0                            	       0        1        0        0        1
42606 libv4l-0t64                        	       0      222        2        4      216
42607 libv4l-dev                         	       0       50        1       49        0
42608 libv4l2rds0                        	       0      125        0        0      125
42609 libv4l2rds0t64                     	       0       17        0        0       17
42610 libv4lconvert0t64                  	       0      222        2        4      216
42611 libv8-2.2.24                       	       0        1        0        0        1
42612 libv8-3.14.5                       	       0        5        0        0        5
42613 libva-amdgpu-dev                   	       0        1        0        1        0
42614 libva-amdgpu-drm2                  	       0        3        1        0        2
42615 libva-amdgpu-glx2                  	       0        3        0        0        3
42616 libva-amdgpu-wayland2              	       0        2        0        0        2
42617 libva-amdgpu-x11-2                 	       0        3        1        0        2
42618 libva-dev                          	       0       59        1       58        0
42619 libva-drm1                         	       0      358        0        1      357
42620 libva-egl1                         	       0        5        0        0        5
42621 libva-glx1                         	       0       23        0        0       23
42622 libva-glx2                         	       0      378        1        3      374
42623 libva-intel-vaapi-driver           	       0        1        0        0        1
42624 libva-tpi1                         	       0        3        0        0        3
42625 libva-wayland1                     	       0       71        0        0       71
42626 libva-wayland2                     	       0     1953       18       59     1876
42627 libva-x11-1                        	       0      365        0        1      364
42628 libva-x11-2-dbgsym                 	       0        1        0        1        0
42629 libva1                             	       0      385        0        2      383
42630 libva2-amdgpu                      	       0        3        1        0        2
42631 libval14                           	       0        3        0        0        3
42632 libvala-0.34-0                     	       0        3        0        0        3
42633 libvala-0.42-0                     	       0        3        0        0        3
42634 libvala-0.42-dev                   	       0        1        0        1        0
42635 libvala-0.46-0                     	       0        1        0        0        1
42636 libvala-0.46-dev                   	       0        1        0        1        0
42637 libvala-0.48-0                     	       0       12        0        0       12
42638 libvala-0.48-dev                   	       0        3        0        3        0
42639 libvala-0.56-0                     	       0       29        0        0       29
42640 libvala-dev                        	       0        1        0        1        0
42641 libvala0                           	       0        1        0        0        1
42642 libvalacodegen-0.48-0              	       0        9        0        0        9
42643 libvalacodegen-0.56-0              	       0       28        0        0       28
42644 libvaladoc-0.56-0                  	       0        1        0        0        1
42645 libvaladoc-0.56-data               	       0        1        0        0        1
42646 libvalapanel0                      	       0        4        0        0        4
42647 libvalidatable-ruby1.9.1           	       0        1        0        0        1
42648 libvalidate-yubikey-perl           	       0        1        0        1        0
42649 libvamp-hostsdk3                   	       0        3        0        0        3
42650 libvamp-hostsdk3t64                	       0       32        0        0       32
42651 libvamp-hostsdk3v5                 	       0      497        2        0      495
42652 libvamp-sdk2t64                    	       0        2        0        0        2
42653 libvamp-sdk2v5                     	       0       80        0        0       80
42654 libvamsas-client-java              	       0        2        0        0        2
42655 libvarconf-1.0-6                   	       0        1        0        0        1
42656 libvarconf-1.0-8                   	       0        1        0        0        1
42657 libvariable-magic-perl             	       0     1134        4        6     1124
42658 libvarnishapi-dev                  	       0        1        0        1        0
42659 libvarnishapi1                     	       0        6        0        0        6
42660 libvarnishapi2                     	       0       10        0        0       10
42661 libvarnishapi3                     	       0        8        0        0        8
42662 libvavr0-java                      	       0        3        0        0        3
42663 libvbr2                            	       0       40       11       21        8
42664 libvc-dev                          	       0        2        0        2        0
42665 libvc0                             	       0        6        0        0        6
42666 libvcdinfo-dev                     	       0       10        1        9        0
42667 libvcdinfo0                        	       0      417        0        1      416
42668 libvcflib-tools                    	       0        1        0        1        0
42669 libvcflib1                         	       0        1        0        0        1
42670 libvcflib2                         	       0        1        0        0        1
42671 libvde0                            	       0       75        0        0       75
42672 libvdeplug2t64                     	       0       52        1        1       50
42673 libvdpau-dev                       	       0       79        1       78        0
42674 libvdpau-doc                       	       0       11        0        0       11
42675 libvdpau-va-gl1                    	       0     3235        4        6     3225
42676 libvdpau1-amdgpu                   	       0        2        2        0        0
42677 libvecmath-java                    	       0       75        0        0       75
42678 libvelocity-tools-java             	       0       10        0        0       10
42679 libventrilo3-0                     	       0        2        0        0        2
42680 libverbiste-0.1-0v5                	       0        3        0        0        3
42681 libversion-perl                    	       0        5        0        0        5
42682 libversioneer-clojure              	       0        1        0        0        1
42683 libverto-libev1                    	       0       15        1        3       11
42684 libverto-libev1t64                 	       0       11        0        0       11
42685 libverto1                          	       0       15        1        3       11
42686 libverto1t64                       	       0       11        0        0       11
42687 libvformat-dev                     	       0        1        0        1        0
42688 libvformat0                        	       0        5        0        0        5
42689 libvhdi-dev                        	       0        2        0        2        0
42690 libvhdi-utils                      	       0        2        0        2        0
42691 libvhdi1                           	       0      156        0        0      156
42692 libvibrant6b                       	       0        2        0        0        2
42693 libvideo-capture-v4l-perl          	       0        2        0        0        2
42694 libvidstab-dev                     	       0       11        0       11        0
42695 libvidstab0.9                      	       0        2        0        0        2
42696 libvidstab1.0                      	       0       11        0        0       11
42697 libvidstab1.2                      	       0       52        2        4       46
42698 libviennacl-dev                    	       0        1        0        1        0
42699 libview-dev                        	       0        1        0        1        0
42700 libview2                           	       0        2        0        0        2
42701 libview2-dbg                       	       0        1        0        1        0
42702 libvigraimpex-dev                  	       0        2        0        2        0
42703 libvigraimpex-doc                  	       0        1        0        0        1
42704 libvigraimpex11                    	       0       43        0        0       43
42705 libvigraimpex4                     	       0        2        0        0        2
42706 libvigraimpex6                     	       0       10        0        0       10
42707 libvips-doc                        	       0        5        0        0        5
42708 libvips42                          	       0       12        1        0       11
42709 libvips42t64                       	       0        3        0        0        3
42710 libvirglrenderer-dev               	       0        4        1        3        0
42711 libvirglrenderer0                  	       0       52        0        1       51
42712 libvirglrenderer1                  	       0      548       11       19      518
42713 libvirt-bin                        	       0       19        0        0       19
42714 libvirt-clients-qemu               	       0       10        0       10        0
42715 libvirt-common                     	       0       34        0        0       34
42716 libvirt-daemon-config-network      	       0      349        0        0      349
42717 libvirt-daemon-config-nwfilter     	       0      348        0        0      348
42718 libvirt-daemon-driver-storage-gluster	       0        6        2        2        2
42719 libvirt-daemon-driver-storage-iscsi-direct	       0        4        0        3        1
42720 libvirt-daemon-driver-storage-rbd  	       0        6        2        2        2
42721 libvirt-daemon-driver-storage-zfs  	       0        8        2        5        1
42722 libvirt-daemon-plugin-lockd        	       0       21        0        0       21
42723 libvirt-daemon-system-sysv         	       0      330        0        0      330
42724 libvirt-dbus                       	       0        8        0        8        0
42725 libvirt-doc                        	       0        8        0        0        8
42726 libvirt-glib-1.0-0                 	       0      401        7       17      377
42727 libvirt-glib-1.0-data              	       0      277        0        0      277
42728 libvirt-l10n                       	       0      346        1        5      340
42729 libvirt-ocaml                      	       0        3        0        3        0
42730 libvirt-ocaml-dev                  	       0        1        0        1        0
42731 libvirt-sandbox-1.0-5              	       0        2        0        0        2
42732 libvirt-sanlock                    	       0        3        0        3        0
42733 libvirt-wireshark                  	       0        5        0        0        5
42734 libvirtodbc0                       	       0       14        0        0       14
42735 libvirtualpg-dev                   	       0        1        0        1        0
42736 libvirtualpg0                      	       0        8        0        0        8
42737 libvisa0                           	       0        1        0        0        1
42738 libvisio-0.0-0                     	       0        5        0        0        5
42739 libvisio-dev                       	       0        3        1        2        0
42740 libvistaio14                       	       0        2        0        0        2
42741 libvisual-0.4-0                    	       0     3257        0        0     3257
42742 libvisual-0.4-dev                  	       0        5        0        5        0
42743 libvisual-0.4-plugins              	       0      166        0        0      166
42744 libvisual-projectm                 	       0        1        0        0        1
42745 libvisualization-msgs-dev          	       0        1        0        1        0
42746 libvkd3d-dev                       	       0        6        0        0        6
42747 libvkd3d-doc                       	       0        1        0        0        1
42748 libvkd3d-headers                   	       0        7        0        7        0
42749 libvkd3d-shader1                   	       0       68        0        0       68
42750 libvkd3d-utils1                    	       0       15        0        0       15
42751 libvkd3d1                          	       0      170        0        0      170
42752 libvkfft-dev                       	       0        1        0        1        0
42753 libvlc-bin                         	       0     1643        0        0     1643
42754 libvlc-bin-dbgsym                  	       0        1        0        1        0
42755 libvlc5-dbgsym                     	       0        1        0        1        0
42756 libvlccore-dev                     	       0        9        0        9        0
42757 libvlccore5                        	       0        4        0        0        4
42758 libvlccore8                        	       0       38        0        1       37
42759 libvlccore9-dbgsym                 	       0        1        0        1        0
42760 libvldocking-java                  	       0        6        0        0        6
42761 libvlfeat-dev                      	       0        4        0        4        0
42762 libvlfeat-doc                      	       0        4        0        3        1
42763 libvlfeat1                         	       0        4        0        0        4
42764 libvm-ec2-perl                     	       0        2        0        2        0
42765 libvm-ec2-security-credentialcache-perl	       0        1        0        1        0
42766 libvmaf-dev                        	       0        7        0        7        0
42767 libvmaf1                           	       0       62       12       41        9
42768 libvmaf3                           	       0       19        4        9        6
42769 libvmdk-utils                      	       0        1        0        1        0
42770 libvmdk1                           	       0      156        0        0      156
42771 libvmime-kopano3                   	       0        1        1        0        0
42772 libvncauth0                        	       0        1        0        0        1
42773 libvncclient0                      	       0       25        0        0       25
42774 libvncclient1                      	       0     1369       14       45     1310
42775 libvncserver-config                	       0        2        0        2        0
42776 libvncserver-dev                   	       0       24        1       23        0
42777 libvncserver0                      	       0       13        0        0       13
42778 libvncserver1                      	       0      287        5       22      260
42779 libvnlog-perl                      	       0        1        0        1        0
42780 libvo-aacenc-dev                   	       0        2        0        2        0
42781 libvo-aacenc0                      	       0     3009        1        9     2999
42782 libvo-amrwbenc-dev                 	       0       13        0       13        0
42783 libvo-amrwbenc0                    	       0     3026       29      111     2886
42784 libvolk-bin                        	       0        9        0        9        0
42785 libvolk-dev                        	       0        8        0        8        0
42786 libvolk-doc                        	       0        1        0        0        1
42787 libvolk1-bin                       	       0        2        0        2        0
42788 libvolk1-dev                       	       0        2        0        2        0
42789 libvolk1.3                         	       0        2        0        0        2
42790 libvolk1.4                         	       0        5        0        0        5
42791 libvolk2-bin                       	       0       38        0       38        0
42792 libvolk2-dev                       	       0       36        0       36        0
42793 libvolk2.4                         	       0       11        0        0       11
42794 libvolk2.5                         	       0       35        0        2       33
42795 libvolk3.1t64                      	       0        9        0        1        8
42796 libvolpack1                        	       0       11        0        0       11
42797 libvolume-id0                      	       0        7        0        0        7
42798 libvolume-id1                      	       0        5        0        0        5
42799 libvomsapi1v5                      	       0        4        0        0        4
42800 libvorbis-dbg                      	       0        1        0        1        0
42801 libvorbis-ocaml                    	       0        2        0        2        0
42802 libvorbis-ocaml-dev                	       0        2        0        2        0
42803 libvorbisidec-dev                  	       0       14        1       13        0
42804 libvorbisidec1                     	       0      554        4        7      543
42805 libvorbisspi-java                  	       0        1        0        0        1
42806 libvoro++1                         	       0       13        0        0       13
42807 libvotequorum-dev                  	       0        2        0        2        0
42808 libvotequorum8                     	       0        8        0        0        8
42809 libvpb-dev                         	       0        9        0        9        0
42810 libvpb1                            	       0       11        1       10        0
42811 libvpl-dev                         	       0        7        0        7        0
42812 libvpx-doc                         	       0        5        0        0        5
42813 libvpx0                            	       0        9        0        0        9
42814 libvpx1                            	       0      143        0        3      140
42815 libvpx2                            	       0        3        0        0        3
42816 libvpx3                            	       0        2        0        0        2
42817 libvpx4                            	       0      348        0        1      347
42818 libvpx8                            	       0       36        4       11       21
42819 libvserver0                        	       0       21        0        0       21
42820 libvshadow1                        	       0       14        0        0       14
42821 libvslvm1                          	       0       14        0        0       14
42822 libvsqlitepp-dev                   	       0        2        0        0        2
42823 libvsqlitepp-doc                   	       0        1        0        0        1
42824 libvsqlitepp3v5                    	       0        4        0        1        3
42825 libvte-2.90-9                      	       0       43        0        0       43
42826 libvte-2.90-common                 	       0       45        0        0       45
42827 libvte-2.91-common                 	       0     2958        0        1     2957
42828 libvte-2.91-doc                    	       0       11        0        0       11
42829 libvte-2.91-gtk4-0                 	       0        5        0        0        5
42830 libvte-2.91-gtk4-doc               	       0        1        0        0        1
42831 libvte-common                      	       0       80        0        2       78
42832 libvte-dev                         	       0       10        0       10        0
42833 libvte-doc                         	       0        3        0        0        3
42834 libvte0.16-cil                     	       0        1        0        0        1
42835 libvte9t64                         	       0        1        0        0        1
42836 libvted-3-0                        	       0       21        1        3       17
42837 libvted-3-dev                      	       0        1        0        0        1
42838 libvterm-bin                       	       0        5        0        5        0
42839 libvterm-dev                       	       0        6        0        6        0
42840 libvterm0                          	       0      144        3        7      134
42841 libvtk-dicom-dev                   	       0        1        0        1        0
42842 libvtk6-dev                        	       0        1        0        1        0
42843 libvtk6-java                       	       0        1        0        1        0
42844 libvtk6-jni                        	       0        1        0        0        1
42845 libvtk6.3                          	       0       25        0        0       25
42846 libvtk6.3-qt                       	       0        4        0        0        4
42847 libvtk7.1                          	       0        7        0        0        7
42848 libvtk7.1-qt                       	       0        1        0        0        1
42849 libvtk7.1p                         	       0       28        0        1       27
42850 libvtk7.1p-qt                      	       0        2        0        0        2
42851 libvtk9                            	       0       11        0        0       11
42852 libvtk9-dev                        	       0       10        1        9        0
42853 libvtk9-java                       	       0       11        0       11        0
42854 libvtk9-qt                         	       0        3        0        0        3
42855 libvtk9-qt-dev                     	       0        3        0        3        0
42856 libvtk9.1                          	       0      131        0        0      131
42857 libvtk9.1-qt                       	       0       15        0        0       15
42858 libvtk9.1t64                       	       0        3        0        0        3
42859 libvtk9.3                          	       0       23        0        0       23
42860 libvtk9.3-qt                       	       0        1        0        0        1
42861 libvtkdicom0.8                     	       0        3        0        0        3
42862 libvtkgdcm-9.1                     	       0        1        0        0        1
42863 libvtkgdcm-cil                     	       0        1        0        0        1
42864 libvtkgdcm-java                    	       0        1        0        0        1
42865 libvtkgdcm-tools                   	       0        1        0        1        0
42866 libvtkgdcm2.6                      	       0        1        0        0        1
42867 libvtkgdcm2.8a                     	       0        1        0        0        1
42868 libvtkgdcm3.0                      	       0        1        0        0        1
42869 libvulkan-volk-dev                 	       0        3        0        3        0
42870 libwadseeker2                      	       0        2        0        0        2
42871 libwaei2                           	       0        2        0        0        2
42872 libwaffle-1-0                      	       0        9        0        0        9
42873 libwaffle-dev                      	       0        2        0        2        0
42874 libwagon-file-java                 	       0      128        0        0      128
42875 libwagon-ftp-java                  	       0        7        0        0        7
42876 libwagon-http-java                 	       0      374        0        0      374
42877 libwagon-http-shaded-java          	       0       95        0        0       95
42878 libwagon-java                      	       0        8        0        0        8
42879 libwagon-java-doc                  	       0        2        0        0        2
42880 libwagon-provider-api-java         	       0      462        0        0      462
42881 libwagon2-java                     	       0        2        0        0        2
42882 libwaili1c2                        	       0        5        0        0        5
42883 libwandio1                         	       0        1        0        0        1
42884 libwant-perl                       	       0      209        0        0      209
42885 libwavpack-dev                     	       0       20        0       20        0
42886 libwavpack0                        	       0        1        0        0        1
42887 libwayland-amdgpu-bin              	       0        1        0        1        0
42888 libwayland-amdgpu-client0          	       0        7        2        4        1
42889 libwayland-amdgpu-cursor0          	       0        1        1        0        0
42890 libwayland-amdgpu-dev              	       0        1        0        1        0
42891 libwayland-amdgpu-egl-backend-dev  	       0        1        0        1        0
42892 libwayland-amdgpu-egl1             	       0        5        1        1        3
42893 libwayland-amdgpu-server0          	       0        7        2        4        1
42894 libwayland-client++0               	       0       19        0        0       19
42895 libwayland-client++1               	       0       72        2       10       60
42896 libwayland-client-extra++0         	       0        1        0        0        1
42897 libwayland-client-extra++1         	       0        4        0        0        4
42898 libwayland-cursor++0               	       0       19        0        0       19
42899 libwayland-cursor++1               	       0       73        2       10       61
42900 libwayland-doc                     	       0        3        0        0        3
42901 libwayland-egl++0                  	       0       18        0        0       18
42902 libwayland-egl++1                  	       0       72        2       10       60
42903 libwayland-egl-backend-dev         	       0       11        0       11        0
42904 libwayland-egl1-mesa               	       0      170        0        0      170
42905 libwayland-server++1               	       0        4        0        0        4
42906 libwbxml2-0                        	       0        1        0        0        1
42907 libwbxml2-1                        	       0        5        0        1        4
42908 libwbxml2-dev                      	       0        1        0        1        0
42909 libwbxml2-utils                    	       0        3        0        3        0
42910 libwcat1                           	       0        1        0        1        0
42911 libwcs4                            	       0        3        0        0        3
42912 libwcs5                            	       0        6        0        0        6
42913 libwcs6                            	       0        4        0        0        4
42914 libwcs7                            	       0       36        0        0       36
42915 libwcs8                            	       0        9        0        0        9
42916 libwcstools-dev                    	       0        2        0        2        0
42917 libwcstools1                       	       0       14        0        0       14
42918 libwcstools1t64                    	       0        2        0        0        2
42919 libweather-ion6                    	       0        7        0        1        6
42920 libweather-ion7                    	       0      560        5       27      528
42921 libwebcam0                         	       0       79        0        0       79
42922 libwebcam0-dev                     	       0        1        0        1        0
42923 libwebkit-1.0-common               	       0        4        0        0        4
42924 libwebkit1.1-cil                   	       0       10        0        0       10
42925 libwebkit2-sharp-4.0-cil           	       0        1        0        0        1
42926 libwebkit2gtk-4.0-37-dbgsym        	       0        1        0        1        0
42927 libwebkit2gtk-4.0-37-gtk2          	       0        6        0        0        6
42928 libwebkit2gtk-4.0-doc              	       0        6        0        0        6
42929 libwebkit2gtk-4.1-dev              	       0       10        0       10        0
42930 libwebkit2gtk-5.0-0                	       0        1        0        0        1
42931 libwebkitgtk-1.0-0                 	       0       48        0        0       48
42932 libwebkitgtk-1.0-common            	       0        6        0        0        6
42933 libwebkitgtk-3.0-0                 	       0       45        0        0       45
42934 libwebkitgtk-3.0-common            	       0       12        0        0       12
42935 libwebkitgtk-3.0-dev               	       0        1        0        1        0
42936 libwebkitgtk-6.0-dev               	       0        5        0        5        0
42937 libwebkitgtk-dev                   	       0        3        0        3        0
42938 libwebkitgtk-doc                   	       0        2        0        0        2
42939 libwebm-tools                      	       0        2        1        1        0
42940 libwebm1                           	       0       23        0        0       23
42941 libwebp2                           	       0       11        0        0       11
42942 libwebp4                           	       0        1        0        0        1
42943 libwebp5                           	       0       83        0        0       83
42944 libwebpdecoder3                    	       0       99        6        5       88
42945 libwebpdemux1                      	       0       76        0        0       76
42946 libwebpmux1                        	       0       74        0        0       74
42947 libwebpmux2                        	       0      351        0        2      349
42948 libwebrtc-audio-processing-0       	       0       28        0        0       28
42949 libwebrtc-audio-processing-1-3     	       0       47        0        0       47
42950 libwebrtc-audio-processing-dev     	       0        6        1        5        0
42951 libwebrtc-audio-processing1        	       0     3126        1       10     3115
42952 libwebservice-ils-perl             	       0        2        0        2        0
42953 libwebservice-validator-html-w3c-perl	       0        1        0        1        0
42954 libwebservice-youtube-perl         	       0        2        0        2        0
42955 libwebsocket-api-java              	       0      793        0        0      793
42956 libwebsocketpp-dev                 	       0       15        0        0       15
42957 libwebsockets-dev                  	       0        9        0        9        0
42958 libwebsockets-evlib-ev             	       0        8        0        0        8
42959 libwebsockets-evlib-glib           	       0        8        0        0        8
42960 libwebsockets-evlib-uv             	       0        8        0        0        8
42961 libwebsockets16                    	       0       28        1        2       25
42962 libwebsockets17                    	       0       76        2        1       73
42963 libwebsockets18                    	       0        1        0        0        1
42964 libwebsockets19                    	       0        3        0        0        3
42965 libwebsockets19t64                 	       0        9        1        0        8
42966 libwebsockets8                     	       0        6        1        0        5
42967 libweed0                           	       0        6        0        0        6
42968 libwerken.xpath-java               	       0        3        0        0        3
42969 libweston-10-0                     	       0       17        0        0       17
42970 libweston-12-0                     	       0        1        0        0        1
42971 libweston-13-0                     	       0        2        0        0        2
42972 libweston-14-0                     	       0        4        0        0        4
42973 libweston-9-0                      	       0        3        0        0        3
42974 libwf-config1                      	       0        8        0        0        8
42975 libwf-utils0                       	       0        4        0        0        4
42976 libwf-utils0t64                    	       0        4        0        0        4
42977 libwfa2-0                          	       0        1        0        0        1
42978 libwfmath-0.3-4                    	       0        1        0        0        1
42979 libwfmath-1.0-1                    	       0        1        0        0        1
42980 libwfut-0.2-1                      	       0        1        0        0        1
42981 libwgdb-dev                        	       0        1        0        1        0
42982 libwgdb0                           	       0        1        0        0        1
42983 libwget0                           	       0       14        0        0       14
42984 libwget2                           	       0        2        0        0        2
42985 libwget2t64                        	       0        3        0        0        3
42986 libwget3                           	       0        1        0        0        1
42987 libwhereami0                       	       0       18        0        0       18
42988 libwhisker2-perl                   	       0        6        0        6        0
42989 libwikidata-toolkit-java           	       0        2        0        0        2
42990 libwildfly-common-java             	       0        1        0        0        1
42991 libwildmidi-config                 	       0      198        0        0      198
42992 libwildmidi-dev                    	       0        2        0        2        0
42993 libwildmidi0                       	       0        1        0        0        1
42994 libwildmidi1                       	       0       26        0        0       26
42995 libwildmidi2                       	       0     2997       13       18     2966
42996 libwim-dev                         	       0        4        0        4        0
42997 libwim15                           	       0       35        0        0       35
42998 libwim15t64                        	       0        2        0        0        2
42999 libwin32-exe-perl                  	       0        1        0        1        0
43000 libwind0-heimdal                   	       0       78        2        2       74
43001 libwind0t64-heimdal                	       0        2        0        0        2
43002 libwine-development                	       0       31        0       10       21
43003 libwine-development-dev            	       0        4        0        4        0
43004 libwine-gecko-1.4                  	       0        1        0        0        1
43005 libwine-gecko-2.21                 	       0        9        0        0        9
43006 libwine-gecko-2.24                 	       0        1        0        0        1
43007 libwings2                          	       0        3        0        0        3
43008 libwings3                          	       0       83        8       12       63
43009 libwinpr-asn1-0.1                  	       0        2        0        0        2
43010 libwinpr-bcrypt0.1                 	       0        2        0        0        2
43011 libwinpr-credentials0.1            	       0        2        0        0        2
43012 libwinpr-credui0.1                 	       0        2        0        0        2
43013 libwinpr-crt0.1                    	       0       60        0        0       60
43014 libwinpr-crypto0.1                 	       0       56        0        0       56
43015 libwinpr-dev                       	       0        2        0        2        0
43016 libwinpr-dsparse0.1                	       0       55        0        0       55
43017 libwinpr-environment0.1            	       0       60        0        0       60
43018 libwinpr-error0.1                  	       0       41        0        0       41
43019 libwinpr-file0.1                   	       0       59        0        0       59
43020 libwinpr-handle0.1                 	       0       60        0        0       60
43021 libwinpr-heap0.1                   	       0       60        0        0       60
43022 libwinpr-input0.1                  	       0       55        0        0       55
43023 libwinpr-interlocked0.1            	       0       60        0        0       60
43024 libwinpr-io0.1                     	       0        2        0        0        2
43025 libwinpr-library0.1                	       0       59        0        0       59
43026 libwinpr-path0.1                   	       0       60        0        0       60
43027 libwinpr-pipe0.1                   	       0        2        0        0        2
43028 libwinpr-pool0.1                   	       0       55        0        0       55
43029 libwinpr-registry0.1               	       0       56        0        0       56
43030 libwinpr-rpc0.1                    	       0       55        0        0       55
43031 libwinpr-sspi0.1                   	       0       56        0        0       56
43032 libwinpr-sspicli0.1                	       0        2        0        0        2
43033 libwinpr-synch0.1                  	       0       60        0        0       60
43034 libwinpr-sysinfo0.1                	       0       60        0        0       60
43035 libwinpr-thread0.1                 	       0       60        0        0       60
43036 libwinpr-timezone0.1               	       0        2        0        0        2
43037 libwinpr-tools2-2                  	       0       24        1        1       22
43038 libwinpr-tools3-3                  	       0        3        0        0        3
43039 libwinpr-utils0.1                  	       0       60        0        0       60
43040 libwinpr-winhttp0.1                	       0        2        0        0        2
43041 libwinpr-winsock0.1                	       0        2        0        0        2
43042 libwinpr2-2                        	       0      691       10       20      661
43043 libwinpr2-2t64                     	       0       15        0        0       15
43044 libwinpr2-dev                      	       0        4        0        4        0
43045 libwinpr3-3                        	       0       59        2        1       56
43046 libwirble-ruby1.9.1                	       0        2        0        0        2
43047 libwireplumber-0.4-dev             	       0        1        0        1        0
43048 libwireplumber-0.5-dev             	       0        1        0        1        0
43049 libwireshark-data                  	       0      371        0        0      371
43050 libwireshark11                     	       0       45        0        0       45
43051 libwireshark14                     	       0       80        0        0       80
43052 libwireshark15                     	       0        3        0        0        3
43053 libwireshark16                     	       0      239        1        0      238
43054 libwireshark17                     	       0        3        0        0        3
43055 libwireshark17t64                  	       0        4        0        0        4
43056 libwireshark18                     	       0       21        0        0       21
43057 libwireshark2                      	       0        2        0        2        0
43058 libwireshark5                      	       0        9        0        0        9
43059 libwireshark8                      	       0        5        0        0        5
43060 libwiretap11                       	       0       82        0        0       82
43061 libwiretap12                       	       0        3        0        0        3
43062 libwiretap13                       	       0      239        1        0      238
43063 libwiretap14                       	       0        3        0        0        3
43064 libwiretap14t64                    	       0        4        0        0        4
43065 libwiretap15                       	       0       21        0        0       21
43066 libwiretap2                        	       0        2        0        0        2
43067 libwiretap3                        	       0        2        0        0        2
43068 libwiretap4                        	       0       11        0        0       11
43069 libwiretap6                        	       0        5        0        0        5
43070 libwiretap8                        	       0       47        0        0       47
43071 libwlroots-0.18                    	       0       14        0        0       14
43072 libwlroots-dev                     	       0        1        0        1        0
43073 libwlroots-examples                	       0        1        0        1        0
43074 libwlroots11                       	       0        2        0        0        2
43075 libwlroots12t64                    	       0        7        0        1        6
43076 libwlroots6                        	       0        2        0        1        1
43077 libwlroots7                        	       0        1        0        0        1
43078 libwmaker-dev                      	       0        1        0        1        0
43079 libwmaker1                         	       0        1        0        0        1
43080 libwmf-0.2-7                       	       0     1387        0        1     1386
43081 libwmf-0.2-7-gtk                   	       0       43        0        0       43
43082 libwmf-doc                         	       0        2        0        0        2
43083 libwmf0.2-7                        	       0      760        0        0      760
43084 libwmf0.2-7-gtk                    	       0       45        0        0       45
43085 libwmflite-0.2-7                   	       0     2645        4       12     2629
43086 libwnck-3-dev                      	       0       10        1        9        0
43087 libwnck-dev                        	       0        7        0        7        0
43088 libwnck-doc                        	       0        1        0        0        1
43089 libwnck2.20-cil                    	       0        1        0        0        1
43090 libwoff-dev                        	       0        6        1        5        0
43091 libwolfssl-dev                     	       0        4        0        4        0
43092 libwolfssl24                       	       0        1        0        0        1
43093 libwolfssl35                       	       0        3        0        0        3
43094 libwolfssl42t64                    	       0        2        0        0        2
43095 libwoodstox-java                   	       0       21        0        0       21
43096 libwordnet-querydata-perl          	       0        1        0        1        0
43097 libwpa-client-dev                  	       0        2        0        2        0
43098 libwpd-0.9-9                       	       0       15        0        0       15
43099 libwpd-dev                         	       0        5        1        4        0
43100 libwpd-doc                         	       0        2        0        0        2
43101 libwpd-stream8c2a                  	       0        3        0        0        3
43102 libwpd-tools                       	       0       11        2        9        0
43103 libwpd8c2a                         	       0        6        0        0        6
43104 libwpe-1.0-dev                     	       0        3        1        2        0
43105 libwpebackend-fdo-1.0-dev          	       0        1        0        1        0
43106 libwpewebkit-1.0-3                 	       0        1        0        0        1
43107 libwpewebkit-1.0-dev               	       0        1        0        1        0
43108 libwpewebkit-1.1-0                 	       0        3        0        0        3
43109 libwpewebkit-doc                   	       0        1        0        0        1
43110 libwpg-0.1-1                       	       0        5        0        0        5
43111 libwpg-0.2-2                       	       0       13        0        0       13
43112 libwpg-dev                         	       0        4        1        3        0
43113 libwpg-doc                         	       0        1        0        0        1
43114 libwpg-tools                       	       0        1        0        1        0
43115 libwps-0.1-1                       	       0        5        0        0        5
43116 libwps-0.2-2                       	       0       11        0        0       11
43117 libwps-0.3-3                       	       0       25        0        0       25
43118 libwps-dev                         	       0        4        0        4        0
43119 libwps-doc                         	       0        1        0        0        1
43120 libwps-tools                       	       0        2        0        2        0
43121 libwraster3                        	       0        6        0        0        6
43122 libwraster5                        	       0        8        0        0        8
43123 libwraster6                        	       0       80        8       12       60
43124 libwreport-dev                     	       0        1        0        1        0
43125 libwreport3                        	       0        2        0        0        2
43126 libwriter2latex-java               	       0       22        0        0       22
43127 libwriter2latex-java-doc           	       0        2        0        0        2
43128 libws-commons-util-java            	       0        3        0        0        3
43129 libwsclean2                        	       0        4        0        0        4
43130 libwscodecs1                       	       0        5        0        0        5
43131 libwscodecs2                       	       0       48        0        0       48
43132 libwsdl4j-java                     	       0        3        0        0        3
43133 libwsdl4j-java-doc                 	       0        1        0        0        1
43134 libwslay-dev                       	       0        1        0        1        0
43135 libwslay1                          	       0        3        1        0        2
43136 libwsman-client2                   	       0        1        0        0        1
43137 libwsman-curl-client-transport1    	       0        1        0        0        1
43138 libwsman-server1                   	       0        1        0        0        1
43139 libwsman1                          	       0        1        0        0        1
43140 libwsutil10                        	       0        1        0        0        1
43141 libwsutil12                        	       0       83        0        0       83
43142 libwsutil13                        	       0        3        0        0        3
43143 libwsutil14                        	       0      239        1        0      238
43144 libwsutil15                        	       0        3        0        0        3
43145 libwsutil15t64                     	       0        4        0        0        4
43146 libwsutil16                        	       0       21        0        0       21
43147 libwsutil2                         	       0        2        0        0        2
43148 libwsutil3                         	       0        2        0        0        2
43149 libwsutil4                         	       0       11        0        0       11
43150 libwsutil7                         	       0        5        0        0        5
43151 libwsutil9                         	       0       48        0        0       48
43152 libwutil2                          	       0        2        0        0        2
43153 libwutil3                          	       0        4        0        0        4
43154 libwutil5                          	       0       83        9       17       57
43155 libwv-1.2-4                        	       0      114        0        1      113
43156 libwv-1.2-4t64                     	       0       10        0        0       10
43157 libwv-dev                          	       0        2        0        2        0
43158 libwv2-1c2                         	       0        1        0        0        1
43159 libwv2-4                           	       0        5        0        0        5
43160 libwv2-dev                         	       0        1        0        1        0
43161 libwvstreams4.6-base               	       0       27        0        0       27
43162 libwvstreams4.6-extras             	       0       27        0        0       27
43163 libwvstreams4.6t64-base            	       0        1        0        0        1
43164 libwvstreams4.6t64-extras          	       0        1        0        0        1
43165 libwww-csrf-perl                   	       0        3        0        3        0
43166 libwww-curl-perl                   	       0       33        0        0       33
43167 libwww-curl-simple-perl            	       0        2        0        2        0
43168 libwww-dev                         	       0        1        0        1        0
43169 libwww-dict-leo-org-perl           	       0        1        0        1        0
43170 libwww-finger-perl                 	       0        1        0        1        0
43171 libwww-form-urlencoded-perl        	       0       18        3       15        0
43172 libwww-form-urlencoded-xs-perl     	       0       16        1        1       14
43173 libwww-indexparser-perl            	       0        1        0        1        0
43174 libwww-mechanize-autopager-perl    	       0        1        0        1        0
43175 libwww-mechanize-formfiller-perl   	       0        2        0        2        0
43176 libwww-mechanize-gzip-perl         	       0        1        0        1        0
43177 libwww-mechanize-ruby1.9.1         	       0        1        0        0        1
43178 libwww-mechanize-shell-perl        	       0        1        0        1        0
43179 libwww-mechanize-treebuilder-perl  	       0        2        0        2        0
43180 libwww-oauth-perl                  	       0        2        1        1        0
43181 libwww-opensearch-perl             	       0       11        0       11        0
43182 libwww-search-perl                 	       0        1        0        1        0
43183 libwww-shorten-perl                	       0        1        0        1        0
43184 libwww-youtube-download-perl       	       0        3        0        3        0
43185 libwww0                            	       0        1        0        1        0
43186 libwwwbrowser-perl                 	       0        1        0        1        0
43187 libwx-glcanvas-perl                	       0       27        0       19        8
43188 libwx-perl                         	       0       54        1       53        0
43189 libwx-perl-datawalker-perl         	       0        4        0        4        0
43190 libwx-perl-processstream-perl      	       0        5        0        5        0
43191 libwx-scintilla-perl               	       0        5        0        0        5
43192 libwxbase2.6-0                     	       0        4        0        0        4
43193 libwxbase2.6-dev                   	       0        1        0        1        0
43194 libwxbase2.8-0                     	       0       13        0        1       12
43195 libwxbase2.8-dbg                   	       0        1        0        0        1
43196 libwxbase2.8-dev                   	       0        5        0        0        5
43197 libwxbase3.0-0                     	       0        6        0        0        6
43198 libwxbase3.0-0-unofficial          	       0        2        0        0        2
43199 libwxbase3.0-0v5                   	       0      382        3        7      372
43200 libwxbase3.0-dbg                   	       0        1        0        0        1
43201 libwxbase3.0-dev                   	       0       67        0        0       67
43202 libwxbase3.1-0-unofficial          	       0        1        0        0        1
43203 libwxbase3.1-0-unofficial3         	       0        1        0        0        1
43204 libwxbase3.1-dev                   	       0        1        0        1        0
43205 libwxbase3.1unofficial3-dev        	       0        1        0        1        0
43206 libwxbase3.2-0                     	       0        1        0        0        1
43207 libwxbase3.2-1                     	       0      735       10       19      706
43208 libwxgtk-gl3.2-1                   	       0      289        0        8      281
43209 libwxgtk-gl3.2-1t64                	       0       44        0        0       44
43210 libwxgtk-media3.0-0v5              	       0        9        0        0        9
43211 libwxgtk-media3.0-dev              	       0        4        0        0        4
43212 libwxgtk-media3.0-gtk3-0v5         	       0       27        0        0       27
43213 libwxgtk-media3.0-gtk3-dev         	       0       24        0        0       24
43214 libwxgtk-media3.2-1                	       0       41        0        0       41
43215 libwxgtk-media3.2-1t64             	       0        6        0        0        6
43216 libwxgtk-media3.2-dev              	       0       44        0        0       44
43217 libwxgtk-webview3.0-0v5            	       0        3        0        0        3
43218 libwxgtk-webview3.0-dev            	       0        1        0        0        1
43219 libwxgtk-webview3.0-gtk3-0v5       	       0       12        0        2       10
43220 libwxgtk-webview3.0-gtk3-dev       	       0        7        0        0        7
43221 libwxgtk-webview3.2-0              	       0        1        0        0        1
43222 libwxgtk-webview3.2-1              	       0       74        0        1       73
43223 libwxgtk-webview3.2-1t64           	       0       10        0        0       10
43224 libwxgtk-webview3.2-dev            	       0       10        0        0       10
43225 libwxgtk2.6-0                      	       0        1        0        0        1
43226 libwxgtk2.8-0                      	       0       12        0        1       11
43227 libwxgtk2.8-dbg                    	       0        1        0        0        1
43228 libwxgtk2.8-dev                    	       0        5        0        0        5
43229 libwxgtk3.0-0                      	       0        5        0        0        5
43230 libwxgtk3.0-0-unofficial           	       0        2        0        0        2
43231 libwxgtk3.0-0v5                    	       0      141        1        0      140
43232 libwxgtk3.0-dbg                    	       0        1        0        0        1
43233 libwxgtk3.0-dev                    	       0        8        0        0        8
43234 libwxgtk3.0-gtk3-0v5               	       0      276        2        6      268
43235 libwxgtk3.0-gtk3-dev               	       0       51        0        0       51
43236 libwxgtk3.1-0-unofficial3          	       0        1        0        0        1
43237 libwxgtk3.1unofficial3-dev         	       0        1        0        1        0
43238 libwxgtk3.2-0                      	       0        1        0        0        1
43239 libwxgtk3.2-1                      	       0      729       10       19      700
43240 libwxgtk3.2-dev                    	       0       64        0        0       64
43241 libwxsmithlib-dev                  	       0        5        1        4        0
43242 libwxsmithlib0                     	       0       17        0        1       16
43243 libwxsmithlib0t64                  	       0        2        0        0        2
43244 libwxsqlite3-3.0-0                 	       0        9        0        0        9
43245 libwxsqlite3-3.0-dev               	       0        5        0        1        4
43246 libwxsqlite3-3.2-0                 	       0       24        1        0       23
43247 libwxsqlite3-3.2-dev               	       0        5        0        5        0
43248 libwxsvg-dev                       	       0        6        0        6        0
43249 libwxsvg-tools                     	       0        7        0        7        0
43250 libwxsvg3                          	       0       15        0        0       15
43251 libx11-data                        	       0     4110        0        0     4110
43252 libx11-doc                         	       0      231        0        0      231
43253 libx11-freedesktop-desktopentry-perl	       0        3        0        3        0
43254 libx11-guitest-perl                	       0        3        0        0        3
43255 libx11-keyboard-perl               	       0        4        0        4        0
43256 libx11-protocol-other-perl         	       0       40        0       40        0
43257 libx11-windowhierarchy-perl        	       0        4        0        4        0
43258 libx11-xcb-perl                    	       0        6        0        0        6
43259 libx264-112                        	       0        3        0        0        3
43260 libx264-118                        	       0        5        0        0        5
43261 libx264-120                        	       0        4        0        1        3
43262 libx264-123                        	       0       23        0        0       23
43263 libx264-124                        	       0        6        0        0        6
43264 libx264-125                        	       0        1        0        0        1
43265 libx264-129                        	       0        1        0        0        1
43266 libx264-130                        	       0        2        0        0        2
43267 libx264-132                        	       0        7        0        0        7
43268 libx264-138                        	       0        1        0        0        1
43269 libx264-140                        	       0        1        0        0        1
43270 libx264-142                        	       0       81        0        2       79
43271 libx264-146                        	       0       12        0        0       12
43272 libx264-148                        	       0      335        0        2      333
43273 libx264-150                        	       0        7        0        0        7
43274 libx264-152                        	       0        6        0        0        6
43275 libx264-155                        	       0      207        2        2      203
43276 libx264-157                        	       0       13        0        2       11
43277 libx264-161                        	       0        1        0        0        1
43278 libx264-163                        	       0       11        0        0       11
43279 libx264-54                         	       0        1        0        0        1
43280 libx264-93                         	       0        1        0        0        1
43281 libx264-dev                        	       0       52        1       51        0
43282 libx265-102                        	       0        1        0        0        1
43283 libx265-116                        	       0        7        0        0        7
43284 libx265-130                        	       0        2        0        0        2
43285 libx265-146                        	       0        2        0        0        2
43286 libx265-151                        	       0        1        0        0        1
43287 libx265-160                        	       0        3        0        0        3
43288 libx265-165                        	       0      244        2        1      241
43289 libx265-169                        	       0        3        0        0        3
43290 libx265-176                        	       0       11        0        2        9
43291 libx265-179                        	       0        2        0        1        1
43292 libx265-209                        	       0      207       14      114       79
43293 libx265-212                        	       0        5        0        2        3
43294 libx265-31                         	       0        2        0        0        2
43295 libx265-43                         	       0        1        0        0        1
43296 libx265-51                         	       0       11        0        0       11
43297 libx265-59                         	       0        4        0        0        4
43298 libx265-79                         	       0        5        0        0        5
43299 libx265-87                         	       0        7        0        0        7
43300 libx265-95                         	       0      333        0        2      331
43301 libx265-doc                        	       0        4        0        0        4
43302 libx32asan1                        	       0        5        0        0        5
43303 libx32asan3                        	       0        8        0        0        8
43304 libx32asan5                        	       0       17        0        0       17
43305 libx32asan5-i386-cross             	       0        1        0        0        1
43306 libx32asan6                        	       0       93        0        0       93
43307 libx32asan6-i386-cross             	       0        1        0        0        1
43308 libx32asan8                        	       0      141        0        0      141
43309 libx32asan8-i386-cross             	       0        3        0        0        3
43310 libx32atomic1                      	       0      191        0        0      191
43311 libx32atomic1-dbgsym               	       0        1        0        1        0
43312 libx32atomic1-i386-cross           	       0        4        0        0        4
43313 libx32cilkrts5                     	       0       10        0        0       10
43314 libx32gcc-10-dev                   	       0       87        0        0       87
43315 libx32gcc-11-dev                   	       0        8        0        0        8
43316 libx32gcc-11-dev-i386-cross        	       0        1        0        0        1
43317 libx32gcc-12-dev                   	       0      128        0        0      128
43318 libx32gcc-12-dev-i386-cross        	       0        2        0        0        2
43319 libx32gcc-13-dev                   	       0       19        0        0       19
43320 libx32gcc-14-dev                   	       0       24        0        0       24
43321 libx32gcc-14-dev-i386-cross        	       0        1        0        0        1
43322 libx32gcc-4.7-dev                  	       0        1        0        0        1
43323 libx32gcc-4.9-dev                  	       0        5        0        0        5
43324 libx32gcc-6-dev                    	       0        8        0        0        8
43325 libx32gcc-8-dev                    	       0       10        0        0       10
43326 libx32gcc-8-dev-i386-cross         	       0        1        0        0        1
43327 libx32gcc-9-dev                    	       0        3        0        0        3
43328 libx32gcc-s1                       	       0      173        0        0      173
43329 libx32gcc-s1-dbgsym                	       0        1        0        1        0
43330 libx32gcc-s1-i386-cross            	       0        3        0        0        3
43331 libx32gcc1                         	       0       17        0        0       17
43332 libx32gcc1-i386-cross              	       0        1        0        0        1
43333 libx32gfortran-10-dev              	       0       13        0        0       13
43334 libx32gfortran-11-dev              	       0        2        0        0        2
43335 libx32gfortran-12-dev              	       0       15        0        0       15
43336 libx32gfortran-13-dev              	       0        3        0        0        3
43337 libx32gfortran-14-dev              	       0        5        0        0        5
43338 libx32gfortran-4.9-dev             	       0        1        0        0        1
43339 libx32gfortran-6-dev               	       0        1        0        0        1
43340 libx32gfortran-8-dev               	       0        1        0        0        1
43341 libx32gfortran3                    	       0        1        0        0        1
43342 libx32gfortran5                    	       0       22        0        0       22
43343 libx32gfortran5-dbgsym             	       0        1        0        1        0
43344 libx32go-11-dev-i386-cross         	       0        1        0        0        1
43345 libx32go-13-dev                    	       0        1        0        0        1
43346 libx32go19-i386-cross              	       0        1        0        0        1
43347 libx32go22                         	       0        1        0        0        1
43348 libx32gomp1                        	       0      192        0        0      192
43349 libx32gomp1-dbgsym                 	       0        1        0        1        0
43350 libx32gomp1-i386-cross             	       0        4        0        0        4
43351 libx32gphobos-13-dev               	       0        1        0        0        1
43352 libx32gphobos4                     	       0        1        0        0        1
43353 libx32gphobos4-dbgsym              	       0        1        0        1        0
43354 libx32itm1                         	       0      192        0        0      192
43355 libx32itm1-dbgsym                  	       0        1        0        1        0
43356 libx32itm1-i386-cross              	       0        4        0        0        4
43357 libx32objc-12-dev                  	       0        1        0        0        1
43358 libx32objc-13-dev                  	       0        1        0        0        1
43359 libx32objc-14-dev                  	       0        1        0        0        1
43360 libx32objc-4.9-dev                 	       0        1        0        0        1
43361 libx32objc-6-dev                   	       0        1        0        0        1
43362 libx32objc4                        	       0        4        0        0        4
43363 libx32objc4-dbgsym                 	       0        1        0        1        0
43364 libx32quadmath0                    	       0      191        0        0      191
43365 libx32quadmath0-dbgsym             	       0        1        0        1        0
43366 libx32quadmath0-i386-cross         	       0        4        0        0        4
43367 libx32stdc++-10-dev                	       0       34        1       33        0
43368 libx32stdc++-11-dev                	       0        5        0        5        0
43369 libx32stdc++-13-dev                	       0        9        0        9        0
43370 libx32stdc++-4.9-dev               	       0        2        0        2        0
43371 libx32stdc++-6-dev                 	       0        2        0        2        0
43372 libx32stdc++-8-dev                 	       0        5        1        4        0
43373 libx32stdc++6                      	       0      188        0        0      188
43374 libx32stdc++6-10-dbg               	       0        1        0        1        0
43375 libx32stdc++6-12-dbg               	       0        1        0        1        0
43376 libx32stdc++6-dbgsym               	       0        1        0        1        0
43377 libx32stdc++6-i386-cross           	       0        4        0        0        4
43378 libx32ubsan0                       	       0       10        0        0       10
43379 libx32ubsan1                       	       0      180        0        0      180
43380 libx32ubsan1-i386-cross            	       0        4        0        0        4
43381 libx86-1                           	       0     1589        0        0     1589
43382 libx86-dev                         	       0        1        0        1        0
43383 libx86emu1                         	       0       19        0        0       19
43384 libx86emu2                         	       0       15        0        0       15
43385 libx86emu3                         	       0      310        0        0      310
43386 libxalan-c-doc                     	       0        1        0        0        1
43387 libxalan-c111                      	       0        4        0        0        4
43388 libxalan-c112                      	       0       10        0        0       10
43389 libxalan2-java                     	       0      215        0        0      215
43390 libxalan2-java-doc                 	       0        8        0        8        0
43391 libxalan2-java-gcj                 	       0        1        0        1        0
43392 libxapian15                        	       0        5        0        0        5
43393 libxapp-dev                        	       0        2        0        2        0
43394 libxatracker-amdgpu-dev            	       0        1        0        1        0
43395 libxatracker-dev                   	       0        3        0        3        0
43396 libxatracker2-amdgpu               	       0        8        0        0        8
43397 libxavs2-13                        	       0       75       14       45       16
43398 libxavs2-dev                       	       0        1        0        1        0
43399 libxaw-doc                         	       0        4        0        0        4
43400 libxaw3dxft6                       	       0       57        0        0       57
43401 libxaw3dxft6t64                    	       0        2        0        0        2
43402 libxaw3dxft8                       	       0        6        0        0        6
43403 libxaw3dxft8-dev                   	       0        5        0        5        0
43404 libxaw6                            	       0        2        0        0        2
43405 libxbae-dev                        	       0        3        0        3        0
43406 libxbae4m                          	       0       26        0        0       26
43407 libxbase2.0-0                      	       0        2        0        0        2
43408 libxbase2.0-bin                    	       0        1        0        1        0
43409 libxbase2.0-dev                    	       0        2        0        2        0
43410 libxbase64-1                       	       0        2        0        0        2
43411 libxbase64-bin                     	       0        1        0        1        0
43412 libxbase64-dev                     	       0        2        0        2        0
43413 libxbase64-doc                     	       0        2        0        0        2
43414 libxbean-java                      	       0        7        0        0        7
43415 libxbean-reflect-java              	       0       69        0        0       69
43416 libxc-dev                          	       0        1        0        1        0
43417 libxc5                             	       0        1        0        0        1
43418 libxc9                             	       0        2        0        0        2
43419 libxcb-atom1                       	       0        6        0        0        6
43420 libxcb-aux0                        	       0        6        0        0        6
43421 libxcb-build-deps-depends          	       0        1        0        0        1
43422 libxcb-composite0-dev              	       0       53        1       52        0
43423 libxcb-damage0-dev                 	       0       30        1       29        0
43424 libxcb-doc                         	       0       18        0        0       18
43425 libxcb-dpms0-dev                   	       0       17        0       17        0
43426 libxcb-dri2-0-dev                  	       0      113        1      112        0
43427 libxcb-dri3-dev                    	       0      120        1      119        0
43428 libxcb-errors-dev                  	       0        1        0        1        0
43429 libxcb-errors0                     	       0       15        1        1       13
43430 libxcb-event1                      	       0        6        0        0        6
43431 libxcb-glx0-dev                    	       0      131        2      129        0
43432 libxcb-imdkit-dev                  	       0        6        0        6        0
43433 libxcb-imdkit0                     	       0        2        0        0        2
43434 libxcb-keysyms1-dev                	       0       56        2       54        0
43435 libxcb-present-dev                 	       0      129        2      127        0
43436 libxcb-record0-dev                 	       0       11        0       11        0
43437 libxcb-screensaver0                	       0      291       19       61      211
43438 libxcb-screensaver0-dev            	       0       14        0       14        0
43439 libxcb-shape0-dev                  	       0      189        2      187        0
43440 libxcb-sync-dev                    	       0      135        2      133        0
43441 libxcb-sync0                       	       0        1        0        0        1
43442 libxcb-util0                       	       0      307        2        3      302
43443 libxcb-util0-dev                   	       0       36        0        3       33
43444 libxcb-util1-dbgsym                	       0        1        0        1        0
43445 libxcb-xf86dri0                    	       0       48        0        0       48
43446 libxcb-xf86dri0-dev                	       0       14        1       13        0
43447 libxcb-xfixes0-dev                 	       0      182        2      180        0
43448 libxcb-xinput-dev                  	       0       24        1       23        0
43449 libxcb-xinput0-dbgsym              	       0        1        0        1        0
43450 libxcb-xrm-dev                     	       0       19        0       19        0
43451 libxcb-xrm0                        	       0      238       18       68      152
43452 libxcb-xtest0                      	       0      292        7       15      270
43453 libxcb-xtest0-dev                  	       0       22        0       22        0
43454 libxcb-xv0                         	       0     1757        0        8     1749
43455 libxcb-xv0-dev                     	       0       22        1       21        0
43456 libxcb-xvmc0                       	       0        9        0        0        9
43457 libxcb-xvmc0-dev                   	       0        8        0        8        0
43458 libxcb1-dbgsym                     	       0        1        0        1        0
43459 libxcomp-dev                       	       0        1        0        1        0
43460 libxcomp3                          	       0      111        3        4      104
43461 libxcomp3t64                       	       0        8        0        1        7
43462 libxcompext3                       	       0        2        0        0        2
43463 libxcompshad-dev                   	       0        1        0        1        0
43464 libxcompshad3                      	       0       67        2        2       63
43465 libxcompshad3t64                   	       0        4        0        1        3
43466 libxcrypt-dev                      	       0        1        0        1        0
43467 libxcrypt-source                   	       0        3        0        3        0
43468 libxcrypt1                         	       0        4        0        0        4
43469 libxdelta2                         	       0      191        0        0      191
43470 libxdelta2t64                      	       0       30        0        0       30
43471 libxdf0                            	       0        4        0        0        4
43472 libxdg-basedir-dev                 	       0        4        0        4        0
43473 libxdg-basedir1                    	       0       86        3       13       70
43474 libxdg-basedir1-dbg                	       0        1        0        1        0
43475 libxdmf2                           	       0        1        0        0        1
43476 libxdo-dev                         	       0        1        0        1        0
43477 libxdo2                            	       0        1        0        0        1
43478 libxdo3                            	       0      495       13       29      453
43479 libxdot4                           	       0      175        0        0      175
43480 libxdp-dev                         	       0        2        0        2        0
43481 libxdp1                            	       0       21        3        8       10
43482 libxen-4.1                         	       0        6        0        0        6
43483 libxen-4.3                         	       0        1        0        0        1
43484 libxen-4.4                         	       0       21        0        0       21
43485 libxen-4.8                         	       0       36        0        0       36
43486 libxen-dev                         	       0       13        0       13        0
43487 libxencall1t64                     	       0       14        5        8        1
43488 libxendevicemodel1t64              	       0       14        5        8        1
43489 libxenevtchn1t64                   	       0       14        5        8        1
43490 libxenforeignmemory1t64            	       0       14        5        8        1
43491 libxengnttab1t64                   	       0       14        5        8        1
43492 libxenhypfs1t64                    	       0       14        5        8        1
43493 libxenmisc4.11                     	       0       57        0        1       56
43494 libxenmisc4.14                     	       0      127       15       52       60
43495 libxenmisc4.16                     	       0        5        0        2        3
43496 libxenmisc4.17t64                  	       0       15        5        9        1
43497 libxenstore3.0                     	       0      228       15       53      160
43498 libxenstore4t64                    	       0       20        9       10        1
43499 libxentoolcore1t64                 	       0       14        5        8        1
43500 libxentoollog1t64                  	       0       14        5        8        1
43501 libxerces-c-dev                    	       0       48        0        0       48
43502 libxerces-c-doc                    	       0        5        0        0        5
43503 libxerces-c-samples                	       0        1        0        1        0
43504 libxerces-c2-dev                   	       0        1        0        1        0
43505 libxerces-c28                      	       0        3        0        0        3
43506 libxerces-c3.1                     	       0       39        0        0       39
43507 libxerces-c3.2                     	       0      977        5       13      959
43508 libxerces-c3.2t64                  	       0       84        0        0       84
43509 libxerces2-java                    	       0      582        0        0      582
43510 libxerces2-java-doc                	       0        5        0        0        5
43511 libxerces2-java-gcj                	       0        2        0        2        0
43512 libxerces27                        	       0        1        0        0        1
43513 libxerial-sqlite-jdbc-java         	       0        2        0        0        2
43514 libxerial-sqlite-jdbc-jni          	       0        2        0        0        2
43515 libxeus-python0                    	       0        1        0        0        1
43516 libxeus6                           	       0        1        0        0        1
43517 libxevie1                          	       0        1        0        0        1
43518 libxext-doc                        	       0       13        0        0       13
43519 libxfce4mcs-client3                	       0        2        0        0        2
43520 libxfce4mcs-manager3               	       0        2        0        0        2
43521 libxfce4menu-0.1-0                 	       0        1        0        0        1
43522 libxfce4panel-2.0-4-dbgsym         	       0        2        0        2        0
43523 libxfce4ui-1-0                     	       0      442        0        0      442
43524 libxfce4ui-1-dev                   	       0        7        0        7        0
43525 libxfce4ui-2-0-dbgsym              	       0        2        0        2        0
43526 libxfce4ui-build-deps              	       0        1        0        0        1
43527 libxfce4ui-glade                   	       0        5        0        0        5
43528 libxfce4ui-glade-dbgsym            	       0        1        0        1        0
43529 libxfce4ui-nocsd-2-0               	       0        1        0        0        1
43530 libxfce4ui-utils-dbgsym            	       0        2        0        2        0
43531 libxfce4util-bin-dbgsym            	       0        1        0        1        0
43532 libxfce4util-build-deps            	       0        1        0        0        1
43533 libxfce4util-common                	       0     2121        0        1     2120
43534 libxfce4util4                      	       0        8        0        0        8
43535 libxfce4util6                      	       0       23        0        0       23
43536 libxfce4util7-dbgsym               	       0        1        0        1        0
43537 libxfce4windowing-common           	       0       60        0        1       59
43538 libxfcegui4-4                      	       0       24        0        0       24
43539 libxfcegui4-dev                    	       0        2        0        2        0
43540 libxfconf-0-2                      	       0      422        1        7      414
43541 libxfconf-0-3-dbgsym               	       0        1        0        1        0
43542 libxfont1                          	       0      120        0        0      120
43543 libxfont1-dev                      	       0        3        0        3        0
43544 libxfontp1                         	       0        1        0        0        1
43545 libxfreerdp-client1.1              	       0       27        0        0       27
43546 libxgboost0                        	       0        1        0        0        1
43547 libxgks2                           	       0        1        0        0        1
43548 libxgks2-data                      	       0        1        0        1        0
43549 libxine-dev                        	       0        1        0        1        0
43550 libxine1                           	       0        3        0        0        3
43551 libxine1-bin                       	       0        6        0        6        0
43552 libxine1-console                   	       0        5        0        5        0
43553 libxine1-doc                       	       0        1        0        0        1
43554 libxine1-ffmpeg                    	       0        4        0        4        0
43555 libxine1-gnome                     	       0        2        0        2        0
43556 libxine1-misc-plugins              	       0        3        0        3        0
43557 libxine1-plugins                   	       0        1        0        0        1
43558 libxine1-x                         	       0        4        0        4        0
43559 libxine2                           	       0       94        0        0       94
43560 libxine2-all-plugins               	       0        2        0        0        2
43561 libxine2-console                   	       0       16        0        1       15
43562 libxine2-dev                       	       0        3        0        3        0
43563 libxine2-doc                       	       0       40        0        0       40
43564 libxine2-ffmpeg                    	       0      108        0        6      102
43565 libxine2-gnome                     	       0        5        0        1        4
43566 libxine2-misc-plugins              	       0      103        0        6       97
43567 libxine2-plugins                   	       0       93        0        0       93
43568 libxine2-x                         	       0       94        0        5       89
43569 libxine2-xvdr                      	       0        3        0        0        3
43570 libxineliboutput-sxfe              	       0        2        0        2        0
43571 libxisf0                           	       0        1        0        0        1
43572 libxkbcommon-doc                   	       0        2        0        0        2
43573 libxkbcommon-tools                 	       0        3        0        3        0
43574 libxkbregistry-dev                 	       0        8        1        7        0
43575 libxkbui1                          	       0        2        0        0        2
43576 libxklavier10                      	       0        1        0        0        1
43577 libxklavier12                      	       0        2        0        0        2
43578 libxlsxwriter-dev                  	       0        1        0        1        0
43579 libxlsxwriter4                     	       0       11        0        0       11
43580 libxlsxwriter5                     	       0        1        0        0        1
43581 libxm4                             	       0      404        3       15      386
43582 libxmhtml-dev                      	       0        2        0        2        0
43583 libxmhtml1.1                       	       0       24        0        0       24
43584 libxmhtml1.1t64                    	       0        2        0        0        2
43585 libxml++2.6-2                      	       0        1        0        0        1
43586 libxml++2.6-2v5                    	       0      377        0        1      376
43587 libxml++2.6-dbg                    	       0        1        0        1        0
43588 libxml++2.6-dev                    	       0       16        0       16        0
43589 libxml++2.6-doc                    	       0        1        0        1        0
43590 libxml-atom-owl-perl               	       0        2        0        2        0
43591 libxml-atom-perl                   	       0       12        0       12        0
43592 libxml-atom-service-perl           	       0        1        0        1        0
43593 libxml-bare-perl                   	       0        1        0        0        1
43594 libxml-catalog-perl                	       0        6        0        6        0
43595 libxml-checker-perl                	       0        2        0        2        0
43596 libxml-commonns-perl               	       0        5        0        5        0
43597 libxml-commons-external-java       	       0      932        0        0      932
43598 libxml-commons-resolver1.1-java    	       0      739        0        0      739
43599 libxml-commons-resolver1.1-java-doc	       0        5        0        0        5
43600 libxml-compacttree-perl            	       0        1        0        1        0
43601 libxml-compile-perl                	       0        1        0        1        0
43602 libxml-descent-perl                	       0        9        0        9        0
43603 libxml-dom-xpath-perl              	       0        4        0        4        0
43604 libxml-dt-perl                     	       0        2        0        2        0
43605 libxml-dtdparser-perl              	       0        2        0        2        0
43606 libxml-dumper-perl                 	       0       11        1       10        0
43607 libxml-feed-perl                   	       0       12        0       12        0
43608 libxml-feedpp-mediarss-perl        	       0        1        0        1        0
43609 libxml-feedpp-perl                 	       0        1        0        1        0
43610 libxml-filter-buffertext-perl      	       0        7        0        7        0
43611 libxml-generator-perl              	       0        3        0        3        0
43612 libxml-grove-perl                  	       0        3        0        3        0
43613 libxml-handler-trees-perl          	       0        6        0        6        0
43614 libxml-handler-yawriter-perl       	       0        1        0        1        0
43615 libxml-java                        	       0      741        0        0      741
43616 libxml-libxml-lazybuilder-perl     	       0        3        0        3        0
43617 libxml-libxml-perl                 	       0     1387       12       44     1331
43618 libxml-libxslt-perl                	       0      153        1        1      151
43619 libxml-maven-plugin-java           	       0        1        0        0        1
43620 libxml-mini-perl                   	       0        1        0        1        0
43621 libxml-namespace-perl              	       0        4        0        4        0
43622 libxml-namespacefactory-perl       	       0        5        0        5        0
43623 libxml-opml-perl                   	       0        1        0        1        0
43624 libxml-parser-easytree-perl        	       0        1        0        1        0
43625 libxml-parser-lite-perl            	       0        1        0        1        0
43626 libxml-parser-lite-tree-perl       	       0        3        1        2        0
43627 libxml-parser-perl                 	       0     3424        7       11     3406
43628 libxml-regexp-perl                 	       0       49        2       47        0
43629 libxml-rss-feed-perl               	       0        1        0        1        0
43630 libxml-rss-libxml-perl             	       0        3        0        3        0
43631 libxml-rss-simplegen-perl          	       0        1        0        1        0
43632 libxml-rsslite-perl                	       0        1        0        1        0
43633 libxml-ruby                        	       0        1        0        0        1
43634 libxml-ruby1.9.1                   	       0        1        0        0        1
43635 libxml-sax-expatxs-perl            	       0       34        0        0       34
43636 libxml-sax-writer-perl             	       0        7        0        7        0
43637 libxml-security-c17v5              	       0        7        0        0        7
43638 libxml-security-c20                	       0        2        0        0        2
43639 libxml-security-java               	       0        5        0        0        5
43640 libxml-semanticdiff-perl           	       0        1        0        1        0
43641 libxml-simpleobject-libxml-perl    	       0        9        1        8        0
43642 libxml-simpleobject-perl           	       0        1        0        1        0
43643 libxml-smart-perl                  	       0        1        0        1        0
43644 libxml-stream-perl                 	       0       10        1        9        0
43645 libxml-struct-perl                 	       0        1        0        1        0
43646 libxml-structured-perl             	       0        1        0        1        0
43647 libxml-tidy-perl                   	       0        2        0        2        0
43648 libxml-tokeparser-perl             	       0       11        0       11        0
43649 libxml-treebuilder-perl            	       0        6        0        6        0
43650 libxml-treepp-perl                 	       0       31        5       26        0
43651 libxml-treepuller-perl             	       0        1        0        1        0
43652 libxml-writer-simple-perl          	       0        2        0        2        0
43653 libxml-writer-string-perl          	       0        2        0        2        0
43654 libxml1                            	       0        1        0        0        1
43655 libxml2-dbg                        	       0        1        0        1        0
43656 libxml2-doc                        	       0        8        0        0        8
43657 libxml2-utils-dbg                  	       0        1        0        1        0
43658 libxmlada-doc                      	       0        1        0        0        1
43659 libxmlada-dom10-dev                	       0        1        0        0        1
43660 libxmlada-dom12-dev                	       0        1        0        0        1
43661 libxmlada-dom4.5.2015              	       0        2        0        0        2
43662 libxmlada-dom5                     	       0        1        0        0        1
43663 libxmlada-dom7                     	       0        2        0        0        2
43664 libxmlada-dom8                     	       0        7        0        0        7
43665 libxmlada-input-sources4.5.2015    	       0        2        0        0        2
43666 libxmlada-input10-dev              	       0        1        0        0        1
43667 libxmlada-input12-dev              	       0        1        0        0        1
43668 libxmlada-input5                   	       0        1        0        0        1
43669 libxmlada-input7                   	       0        9        0        0        9
43670 libxmlada-sax10-dev                	       0        1        0        0        1
43671 libxmlada-sax12-dev                	       0        1        0        0        1
43672 libxmlada-sax4.5.2015              	       0        2        0        0        2
43673 libxmlada-sax5                     	       0        1        0        0        1
43674 libxmlada-sax7                     	       0        9        0        0        9
43675 libxmlada-schema10-dev             	       0        1        0        0        1
43676 libxmlada-schema12-dev             	       0        1        0        0        1
43677 libxmlada-schema4.5.2015           	       0        2        0        0        2
43678 libxmlada-schema5                  	       0        1        0        0        1
43679 libxmlada-schema7                  	       0        9        0        0        9
43680 libxmlada-unicode10-dev            	       0        1        0        0        1
43681 libxmlada-unicode12-dev            	       0        1        0        0        1
43682 libxmlada-unicode4.5.2015          	       0        2        0        0        2
43683 libxmlada-unicode5                 	       0        1        0        0        1
43684 libxmlada-unicode7                 	       0        9        0        0        9
43685 libxmlb-dev                        	       0       14        0       14        0
43686 libxmlbeans-java                   	       0      362        0        0      362
43687 libxmlbeans-maven-plugin-java      	       0        1        0        0        1
43688 libxmlbird1                        	       0        7        0        0        7
43689 libxmlbird1t64                     	       0        3        0        0        3
43690 libxmlezout7                       	       0        4        0        0        4
43691 libxmlgraphics-commons-java        	       0      604        0        0      604
43692 libxmlgraphics-commons-java-doc    	       0        8        0        0        8
43693 libxmlm-ocaml-dev                  	       0        1        0        1        0
43694 libxmlplaylist-ocaml-dev           	       0        1        0        1        0
43695 libxmlrpc-c++8-dev                 	       0        1        0        1        0
43696 libxmlrpc-c++8v5                   	       0        2        0        0        2
43697 libxmlrpc-core-c3                  	       0       92        0        4       88
43698 libxmlrpc-core-c3-dbgsym           	       0        1        0        1        0
43699 libxmlrpc-core-c3-dev              	       0        5        0        5        0
43700 libxmlrpc-core-c3-dev-dbgsym       	       0        1        0        1        0
43701 libxmlrpc-core-c3t64               	       0       16        0        0       16
43702 libxmlrpc-epi-dev                  	       0        1        0        1        0
43703 libxmlrpc-epi0                     	       0       33        5        7       21
43704 libxmlrpc-epi0t64                  	       0        2        1        0        1
43705 libxmlrpc-util-dev                 	       0        1        0        0        1
43706 libxmlrpc-util4                    	       0       16        0        0       16
43707 libxmlrpc3-common-java             	       0        1        0        0        1
43708 libxmlrpcpp-dev                    	       0        3        0        3        0
43709 libxmlrpcpp3d                      	       0        4        0        0        4
43710 libxmlsec1-dev                     	       0       11        0       11        0
43711 libxmlsec1-doc                     	       0        3        0        0        3
43712 libxmlsec1-gcrypt                  	       0       26        0        0       26
43713 libxmlsec1-gnutls                  	       0       26        0        0       26
43714 libxmlsec1t64                      	       0      213        3        7      203
43715 libxmlsec1t64-gcrypt               	       0        1        0        0        1
43716 libxmlsec1t64-gnutls               	       0        1        0        0        1
43717 libxmlsec1t64-nss                  	       0      190        3        3      184
43718 libxmlsec1t64-openssl              	       0       72        0        4       68
43719 libxmltok1                         	       0        7        0        0        7
43720 libxmltok1-dev                     	       0        3        0        3        0
43721 libxmltooling10                    	       0        1        0        0        1
43722 libxmltv-perl                      	       0       17        1       16        0
43723 libxmlunit-java                    	       0       27        0        0       27
43724 libxmmsclient++-dev                	       0        1        0        1        0
43725 libxmmsclient++4v5                 	       0        2        0        0        2
43726 libxmmsclient-dev                  	       0        3        0        3        0
43727 libxmmsclient-glib1                	       0       79        0        3       76
43728 libxmmsclient6                     	       0      100        1        3       96
43729 libxmp-dev                         	       0        3        0        3        0
43730 libxmp4                            	       0       56        0        0       56
43731 libxmpcore-java                    	       0       70        0        0       70
43732 libxmpi4                           	       0        1        0        0        1
43733 libxmpi4-dev                       	       0        1        0        1        0
43734 libxmu-dev                         	       0      160        0        0      160
43735 libxmuu-dev                        	       0       60        0        0       60
43736 libxmuu1                           	       0     4036        1        0     4035
43737 libxnee0                           	       0       10        0        0       10
43738 libxneur                           	       0        1        0        0        1
43739 libxnnpack0                        	       0      105        0        0      105
43740 libxnnpack0.20241108               	       0       17        0        0       17
43741 libxom-java                        	       0      445        0        0      445
43742 libxom-java-doc                    	       0        4        0        0        4
43743 libxosd-dev                        	       0        5        1        4        0
43744 libxosd2                           	       0       61        0        1       60
43745 libxp-dev                          	       0        5        0        0        5
43746 libxp6                             	       0       24        1        0       23
43747 libxp6-dbg                         	       0        1        0        1        0
43748 libxpa-dev                         	       0        1        0        1        0
43749 libxpa1                            	       0       16        0        0       16
43750 libxplc0.3.13                      	       0        3        0        0        3
43751 libxplc0.3.13-dev                  	       0        1        0        1        0
43752 libxpp2-java                       	       0      181        0        0      181
43753 libxpp3-java                       	       0      271        0        0      271
43754 libxpresent-build-deps             	       0        1        0        0        1
43755 libxpresent-dev                    	       0       22        1       21        0
43756 libxpresent1-dbgsym                	       0        1        0        1        0
43757 libxprintapputil1                  	       0        3        0        0        3
43758 libxprintutil1                     	       0        3        0        0        3
43759 libxqdbm3t64                       	       0        1        0        0        1
43760 libxrd-parser-perl                 	       0        1        0        1        0
43761 libxrdapputils2                    	       0        1        0        0        1
43762 libxrdcl3                          	       0        2        0        0        2
43763 libxrdcrypto2                      	       0        2        0        0        2
43764 libxrdcryptolite2                  	       0        2        0        0        2
43765 libxrdesktop-0.15-0                	       0        2        0        0        2
43766 libxrdffs3                         	       0        2        0        0        2
43767 libxrdhttputils2                   	       0        1        0        0        1
43768 libxrdposix3                       	       0        2        0        0        2
43769 libxrdserver3                      	       0        1        0        0        1
43770 libxrdssilib2                      	       0        1        0        0        1
43771 libxrdssishmap2                    	       0        1        0        0        1
43772 libxrdutils3                       	       0        2        0        0        2
43773 libxrdxml3                         	       0        2        0        0        2
43774 libxrootd-client-dev               	       0        1        0        0        1
43775 libxrootd-dev                      	       0        1        0        1        0
43776 libxrootd-private-dev              	       0        1        0        0        1
43777 libxrootd-server-dev               	       0        1        0        0        1
43778 libxs-object-magic-perl            	       0        6        0        0        6
43779 libxs-parse-keyword-perl           	       0      587        0        0      587
43780 libxs-parse-sublike-perl           	       0      258        0        0      258
43781 libxsettings-client-dev            	       0        1        0        1        0
43782 libxsettings-client0               	       0       43        0        0       43
43783 libxsettings-dev                   	       0        1        0        1        0
43784 libxsettings0                      	       0        1        0        0        1
43785 libxshmfence-dev                   	       0      108        2      106        0
43786 libxsimd-dev                       	       0      408        0        0      408
43787 libxsimd-doc                       	       0        1        0        0        1
43788 libxsltc-java                      	       0        9        0        0        9
43789 libxslthl-java                     	       0        5        0        0        5
43790 libxsom-java                       	       0      338        0        0      338
43791 libxstream-java                    	       0       86        0        0       86
43792 libxstring-perl                    	       0      942        4        6      932
43793 libxt-doc                          	       0        8        0        0        8
43794 libxt-java                         	       0        1        0        0        1
43795 libxtables-dev                     	       0       12        1       11        0
43796 libxtables10                       	       0      226        0        0      226
43797 libxtables11                       	       0        1        0        0        1
43798 libxtc-rats-java                   	       0        2        0        0        2
43799 libxtensor-dev                     	       0        3        0        0        3
43800 libxtrap-dev                       	       0        1        0        1        0
43801 libxtrap6                          	       0        1        0        0        1
43802 libxtrx0                           	       0       35        0        3       32
43803 libxtrxdsp0                        	       0       35        0        3       32
43804 libxtrxll0                         	       0       30        1       29        0
43805 libxtrxll0t64                      	       0        5        0        5        0
43806 libxul-common                      	       0        2        0        2        0
43807 libxul0d                           	       0        1        0        1        0
43808 libxvidcore-dev                    	       0       29        1       28        0
43809 libxvmc1                           	       0     3142        3        5     3134
43810 libxwiimote2                       	       0        4        0        1        3
43811 libxxf86dga1                       	       0     3443        4        8     3431
43812 libxxf86misc-dev                   	       0        1        0        1        0
43813 libxxf86misc1                      	       0        1        0        0        1
43814 libxxf86vm1-dbg                    	       0        1        0        1        0
43815 libxxhash0-dbgsym                  	       0        1        0        1        0
43816 libxxx-perl                        	       0       27        0       27        0
43817 libxy3v5                           	       0        3        0        0        3
43818 libxz-java                         	       0      625        0        0      625
43819 liby2-14                           	       0        1        0        0        1
43820 libyahoo2-11                       	       0        1        0        0        1
43821 libyajl-doc                        	       0        1        0        0        1
43822 libyajl1                           	       0        2        0        0        2
43823 libyaml-0-2-dbg                    	       0        1        0        1        0
43824 libyaml-cpp0.3                     	       0        2        0        0        2
43825 libyaml-cpp0.3-dev                 	       0        1        0        1        0
43826 libyaml-cpp0.3v5                   	       0       10        0        0       10
43827 libyaml-cpp0.5v5                   	       0       14        1        3       10
43828 libyaml-cpp0.6                     	       0      103        0        6       97
43829 libyaml-cpp0.7                     	       0      264        0        7      257
43830 libyaml-cpp0.8                     	       0       45        0        1       44
43831 libyaml-dev                        	       0       64        4       60        0
43832 libyaml-doc                        	       0        4        0        0        4
43833 libyaml-libyaml-perl               	       0      870        1        1      868
43834 libyaml-ruby                       	       0        1        0        0        1
43835 libyaml-shell-perl                 	       0        8        0        8        0
43836 libyaml-snake-java                 	       0      103        0        0      103
43837 libyaml-snake-java-doc             	       0        2        0        0        2
43838 libyaml-syck-perl                  	       0       48        0        0       48
43839 libyanfs-java                      	       0        9        0        0        9
43840 libyang-dev                        	       0        1        0        1        0
43841 libyang-tools                      	       0        1        0        0        1
43842 libyang1                           	       0        2        0        1        1
43843 libyang2                           	       0        8        2        3        3
43844 libyang2-dev                       	       0        1        0        1        0
43845 libyang2-tools                     	       0        1        0        1        0
43846 libyara-dev                        	       0        1        0        1        0
43847 libyara10                          	       0        8        0        0        8
43848 libyara3                           	       0       17        0        0       17
43849 libyara4                           	       0       29        0        0       29
43850 libyara8                           	       0        1        0        0        1
43851 libyara9                           	       0       81        0        0       81
43852 libyascreen-dev                    	       0        4        0        4        0
43853 libyascreen0                       	       0       36        0        0       36
43854 libyascreen1                       	       0        1        0        0        1
43855 libyascreen1-dbgsym                	       0        1        0        1        0
43856 libyaz-dev                         	       0        2        0        2        0
43857 libyaz4                            	       0        4        0        0        4
43858 libyaz4-dev                        	       0        1        0        1        0
43859 libyaz5                            	       0       21        1        1       19
43860 libycadgraywolf1                   	       0        6        0        0        6
43861 libyder2.0                         	       0        6        0        0        6
43862 libyder2.0t64                      	       0        1        0        0        1
43863 libyecht-java                      	       0        1        0        0        1
43864 libyelp0                           	       0     1666        0        0     1666
43865 libykclient-dev                    	       0        1        0        1        0
43866 libykclient3                       	       0        4        1        0        3
43867 libykhsmauth2                      	       0        3        0        0        3
43868 libykneomgr0                       	       0        1        0        0        1
43869 libykpers-1-1                      	       0       92        2       13       77
43870 libykpers-1-dev                    	       0        2        0        2        0
43871 libykpiv-dev                       	       0        1        0        1        0
43872 libykpiv1                          	       0        1        0        0        1
43873 libykpiv2                          	       0       17        0        0       17
43874 libyojson-ocaml                    	       0        2        0        2        0
43875 libyojson-ocaml-dev                	       0        2        0        2        0
43876 libytnef0                          	       0      288        2       14      272
43877 libytnef0-dev                      	       0        7        1        6        0
43878 libyubihsm-http2                   	       0        3        0        0        3
43879 libyubihsm-usb2                    	       0        2        0        0        2
43880 libyubihsm2                        	       0        3        0        0        3
43881 libyubikey-dev                     	       0        2        0        2        0
43882 libyubikey-udev                    	       0       90        2       88        0
43883 libyubikey0                        	       0       92        2       10       80
43884 libyuma-base                       	       0        1        0        0        1
43885 libyuma2t64                        	       0        1        0        0        1
43886 libyuv                             	       0        1        0        0        1
43887 libyyjson0                         	       0       21        0        0       21
43888 libz-mingw-w64                     	       0      414        0        0      414
43889 libz-mingw-w64-dev                 	       0       11        0       11        0
43890 libz3-java                         	       0        1        0        0        1
43891 libz3-jni                          	       0        1        0        0        1
43892 libz80ex-dev                       	       0        2        0        2        0
43893 libz80ex1                          	       0        2        0        0        2
43894 libzadc4                           	       0        2        0        0        2
43895 libzapojit-0.0-0                   	       0       43        0        0       43
43896 libzarchive0.1                     	       0        3        0        0        3
43897 libzarith-ocaml                    	       0        5        0        4        1
43898 libzarith-ocaml-dev                	       0        2        0        2        0
43899 libzbar-dev                        	       0       11        0       11        0
43900 libzbar0                           	       0     2812        0        0     2812
43901 libzbar0t64                        	       0      194        0        0      194
43902 libzbargtk0                        	       0       15        0        0       15
43903 libzbarqt0                         	       0        5        0        0        5
43904 libzbarqt0t64                      	       0        1        0        0        1
43905 libzc6                             	       0        2        0        0        2
43906 libzck1                            	       0        4        0        0        4
43907 libze-dev                          	       0        1        0        1        0
43908 libze-intel-gpu-dev                	       0        1        0        1        0
43909 libze-intel-gpu1                   	       0        1        0        0        1
43910 libze1                             	       0        2        0        0        2
43911 libzeitgeist-1.0-1                 	       0        1        0        0        1
43912 libzeitgeist-2.0-0                 	       0      110        8       35       67
43913 libzeitgeist-2.0-dev               	       0        2        0        2        0
43914 libzeitgeist-2.0-doc               	       0        1        0        0        1
43915 libzeitgeist0.8-cil                	       0        2        0        0        2
43916 libzen-dev                         	       0        4        0        4        0
43917 libzen0                            	       0        1        0        0        1
43918 libzen0t64                         	       0       27        0        3       24
43919 libzen0v5                          	       0      169        1       11      157
43920 libzephyr-dev                      	       0        5        1        4        0
43921 libzephyr4                         	       0      431       15       19      397
43922 libzeroc-ice-dev                   	       0        4        0        4        0
43923 libzeroc-ice3.6                    	       0        1        0        0        1
43924 libzeroc-ice3.7                    	       0       19        3       12        4
43925 libzeus-jscl-java                  	       0        1        0        0        1
43926 libzfp1                            	       0        4        0        0        4
43927 libzfp1t64                         	       0        2        0        0        2
43928 libzfs2linux                       	       0        2        0        0        2
43929 libzfs5                            	       0        1        0        1        0
43930 libzfs5-devel                      	       0        2        0        2        0
43931 libzfs6linux                       	       0        1        1        0        0
43932 libzfsbootenv1linux                	       0        4        0        0        4
43933 libzfslinux-dev                    	       0        3        0        3        0
43934 libzia                             	       0        5        0        0        5
43935 libzim-dev                         	       0        1        0        1        0
43936 libzim-git                         	       0        1        0        1        0
43937 libzim6                            	       0        4        0        0        4
43938 libzim8                            	       0       15        0        0       15
43939 libzim9                            	       0        1        0        0        1
43940 libzimg-dev                        	       0       19        0       19        0
43941 libzinnia0                         	       0        6        0        0        6
43942 libzint2.11                        	       0        5        0        0        5
43943 libzint2.13                        	       0        2        0        0        2
43944 libzint2.15                        	       0        1        0        0        1
43945 libzip-ocaml                       	       0        3        0        3        0
43946 libzip-ocaml-dev                   	       0        1        0        1        0
43947 libzip2                            	       0       13        0        0       13
43948 libzip4t64                         	       0       42        0        6       36
43949 libzip5                            	       0       78        2        4       72
43950 libzipios++-dev                    	       0        7        0        7        0
43951 libzipios++-doc                    	       0        1        0        0        1
43952 libzipios++0c2a                    	       0        1        0        0        1
43953 libzipios++0v5                     	       0      151        1        2      148
43954 libzita-alsa-pcmi-dev              	       0        4        0        4        0
43955 libzita-alsa-pcmi0                 	       0      141        0        0      141
43956 libzita-alsa-pcmi0t64              	       0        9        0        0        9
43957 libzita-convolver-dev              	       0        2        0        2        0
43958 libzita-convolver3                 	       0        4        0        0        4
43959 libzita-convolver4                 	       0       97        2        6       89
43960 libzita-resampler-dev              	       0        6        0        6        0
43961 libzita-resampler1                 	       0      145        1        0      144
43962 libzix-0-0                         	       0      232       13       29      190
43963 libzix-dev                         	       0        2        0        2        0
43964 libzlcore-data                     	       0      106        0        0      106
43965 libzlcore-dev                      	       0        4        0        4        0
43966 libzlcore0.10                      	       0        1        0        0        1
43967 libzlcore0.13                      	       0       95        0        0       95
43968 libzlcore0.13t64                   	       0       11        0        0       11
43969 libzltext-data                     	       0      103        0        0      103
43970 libzltext-dev                      	       0        1        0        1        0
43971 libzltext0.10                      	       0        1        0        0        1
43972 libzltext0.13                      	       0       93        0        0       93
43973 libzltext0.13t64                   	       0       10        0        0       10
43974 libzlui-qt4                        	       0        7        0        7        0
43975 libzmf-tools                       	       0        1        0        1        0
43976 libzmq-dev                         	       0        1        0        1        0
43977 libzmq-ffi-perl                    	       0        2        0        2        0
43978 libzmq1                            	       0        2        0        0        2
43979 libzmq3                            	       0       12        0        0       12
43980 libzmq3-dev                        	       0       42        0       42        0
43981 libzn-poly-0.9                     	       0       10        0        0       10
43982 libzn-poly-dev                     	       0        9        0        9        0
43983 libznz-dev                         	       0        3        0        3        0
43984 libznz3                            	       0       18        0        0       18
43985 libzookeeper-java                  	       0        3        0        0        3
43986 libzopfli-dev                      	       0        2        0        2        0
43987 libzopfli1                         	       0       42        0        0       42
43988 libzpool2                          	       0        1        0        0        1
43989 libzpool2linux                     	       0        3        0        0        3
43990 libzpool4linux                     	       0        7        0        0        7
43991 libzpool5                          	       0        1        0        1        0
43992 libzpool5linux                     	       0       70        0        0       70
43993 libzpool6linux                     	       0        1        0        0        1
43994 libzrtpcpp-1.4-0                   	       0        2        0        0        2
43995 libzrtpcpp-dev                     	       0        1        0        1        0
43996 libzrtpcpp2                        	       0        2        0        0        2
43997 libzscanner1                       	       0        1        0        0        1
43998 libzscanner2                       	       0        2        0        0        2
43999 libzscanner3                       	       0        5        0        0        5
44000 libzscanner4                       	       0       12        3        4        5
44001 libzscanner4t64                    	       0        2        0        2        0
44002 libzthread-2.3-2                   	       0        4        0        0        4
44003 libzulucrypt-dev                   	       0        3        0        3        0
44004 libzulucrypt-exe-dev               	       0        2        0        2        0
44005 libzulucrypt-exe1.2.0              	       0       33        0        0       33
44006 libzulucrypt-plugins               	       0        7        0        0        7
44007 libzulucrypt1                      	       0        1        0        0        1
44008 libzulucrypt1.2.0                  	       0       33        0        0       33
44009 libzulucryptpluginmanager-dev      	       0        2        0        2        0
44010 libzulucryptpluginmanager1.0.0     	       0       33        0        2       31
44011 libzvbi-common                     	       0     3384        0        0     3384
44012 libzvbi-dev                        	       0       20        1       19        0
44013 libzvt2                            	       0        1        0        1        0
44014 libzxcvbn-dev                      	       0        1        0        1        0
44015 libzxing-dev                       	       0        1        0        1        0
44016 libzxingcore1                      	       0      105        0        2      103
44017 libzycore-dev                      	       0        1        0        1        0
44018 libzycore1.5                       	       0        1        0        0        1
44019 libzydis-dev                       	       0        1        0        1        0
44020 libzydis-doc                       	       0        1        0        0        1
44021 libzydis4.0                        	       0        4        0        0        4
44022 libzydis4.1                        	       0        1        0        0        1
44023 libzypp-bin                        	       0        2        0        2        0
44024 libzypp-common                     	       0        2        0        0        2
44025 libzypp-config                     	       0        2        0        0        2
44026 libzypp-doc                        	       0        1        0        0        1
44027 libzypp1722                        	       0        3        0        0        3
44028 libzypp1735                        	       0        1        0        0        1
44029 libzzip-0-12                       	       0        2        0        0        2
44030 libzzip-0-13                       	       0      607       10       15      582
44031 libzzip-0-13t64                    	       0       68        3        4       61
44032 libzzip-dev                        	       0       12        1       11        0
44033 license-agpl                       	       0        1        0        0        1
44034 license-apache                     	       0        1        0        0        1
44035 license-artistic                   	       0        1        0        0        1
44036 license-cecill                     	       0        1        0        0        1
44037 license-creative                   	       0        1        0        0        1
44038 license-fdl                        	       0        1        0        0        1
44039 license-gpl                        	       0        1        0        0        1
44040 license-lgpl                       	       0        1        0        0        1
44041 license-mpl                        	       0        1        0        0        1
44042 license-pdm                        	       0        1        0        0        1
44043 license-spl                        	       0        1        0        0        1
44044 license-wtfpl                      	       0        1        0        0        1
44045 license-zlib                       	       0        1        0        0        1
44046 licenserecon                       	       0        1        0        1        0
44047 licenseutils                       	       0        5        0        5        0
44048 lie                                	       0        3        1        2        0
44049 lierolibre                         	       0        3        0        3        0
44050 lierolibre-data                    	       0        3        0        0        3
44051 lifelines                          	       0        2        0        2        0
44052 lifelines-doc                      	       0        1        0        0        1
44053 lifeograph                         	       0        4        0        4        0
44054 liferea                            	       0       46        3       43        0
44055 liferea-build-deps                 	       0        1        0        0        1
44056 liferea-data                       	       0       49        0        2       47
44057 liferea-dbgsym                     	       0        1        0        1        0
44058 lightdm-autologin-greeter          	       0        5        0        0        5
44059 lightdm-remote-session-freerdp2    	       0        4        0        0        4
44060 lightdm-remote-session-x2go        	       0        4        0        0        4
44061 lightdm-vala                       	       0        3        0        0        3
44062 lightning                          	       0       58        0        3       55
44063 lightning-l10n-de                  	       0        4        0        0        4
44064 lightning-l10n-en-gb               	       0        1        0        0        1
44065 lightning-l10n-fr                  	       0        5        0        0        5
44066 lightning-l10n-it                  	       0        1        0        0        1
44067 lightscribe                        	       0        1        0        1        0
44068 lightscribeapplications            	       0        1        0        0        1
44069 lightspark                         	       0        1        0        1        0
44070 lightspark-common                  	       0        2        0        2        0
44071 lightspeed                         	       0        3        0        3        0
44072 lightsquid                         	       0        1        0        1        0
44073 lighttpd                           	       0       70       20       50        0
44074 lighttpd-doc                       	       0       16        0        0       16
44075 lighttpd-mod-mbedtls               	       0        1        0        1        0
44076 lightyears                         	       0        5        0        5        0
44077 likwid                             	       0        2        0        2        0
44078 lilo-doc                           	       0        2        0        0        2
44079 lilv-utils                         	       0        8        0        8        0
44080 lilypond-data                      	       0       71        0        0       71
44081 lilypond-doc                       	       0       22        0        0       22
44082 lilypond-doc-html                  	       0       20        0        0       20
44083 lilypond-doc-html-cs               	       0        1        0        0        1
44084 lilypond-doc-html-de               	       0        3        0        0        3
44085 lilypond-doc-html-es               	       0        2        0        0        2
44086 lilypond-doc-html-fr               	       0        2        0        0        2
44087 lilypond-doc-html-hu               	       0        1        0        0        1
44088 lilypond-doc-html-it               	       0        1        0        0        1
44089 lilypond-doc-html-ja               	       0        1        0        0        1
44090 lilypond-doc-html-nl               	       0        2        0        0        2
44091 lilypond-doc-html-zh               	       0        1        0        0        1
44092 lilypond-doc-pdf                   	       0       22        0        0       22
44093 lilypond-doc-pdf-de                	       0        2        0        0        2
44094 lilypond-doc-pdf-es                	       0        3        0        0        3
44095 lilypond-doc-pdf-fr                	       0        1        0        0        1
44096 lilypond-doc-pdf-hu                	       0        1        0        0        1
44097 lilypond-doc-pdf-it                	       0        1        0        0        1
44098 lilypond-doc-pdf-nl                	       0        2        0        0        2
44099 lilypond-fonts                     	       0       67        0        0       67
44100 lilyterm                           	       0        2        0        2        0
44101 limesuite                          	       0        5        0        5        0
44102 lincity                            	       0        7        0        7        0
44103 lincity-ng-data                    	       0       17        0        0       17
44104 lincredits                         	       0        1        0        1        0
44105 lingot                             	       0       18        1       17        0
44106 link-grammar                       	       0        3        1        2        0
44107 link-grammar-dictionaries-all      	       0        1        0        0        1
44108 linkchecker                        	       0        8        0        8        0
44109 linklint                           	       0        3        0        3        0
44110 linneighborhood                    	       0        1        0        1        0
44111 linode-longview                    	       0        1        0        1        0
44112 linpac                             	       0        4        0        4        0
44113 linphone                           	       0       24        0        1       23
44114 linphone-cli                       	       0        3        0        3        0
44115 linphone-common                    	       0       32        0        0       32
44116 linphone-desktop                   	       0       28        1       27        0
44117 linphone-nogtk                     	       0        4        0        1        3
44118 linpopup                           	       0        1        0        1        0
44119 linsmith                           	       0        2        0        2        0
44120 lintex                             	       0        4        2        2        0
44121 lintian-brush                      	       0        1        0        1        0
44122 linux-buildinfo-6.11.0-1013-oem    	       0        1        0        0        1
44123 linux-buildinfo-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
44124 linux-compiler-gcc-10-x86          	       0      277        0        0      277
44125 linux-compiler-gcc-11-x86          	       0        9        0        0        9
44126 linux-compiler-gcc-12-x86          	       0      687        0        0      687
44127 linux-compiler-gcc-13-x86          	       0       13        0        0       13
44128 linux-compiler-gcc-4.6-x86         	       0        3        0        0        3
44129 linux-compiler-gcc-4.8-x86         	       0       31        0        0       31
44130 linux-compiler-gcc-4.9-x86         	       0       20        0        0       20
44131 linux-compiler-gcc-5-x86           	       0        1        0        0        1
44132 linux-compiler-gcc-6-x86           	       0       85        0        0       85
44133 linux-compiler-gcc-8-x86           	       0       62        0        0       62
44134 linux-compiler-gcc-9-x86           	       0        4        0        0        4
44135 linux-config-4.19                  	       0        9        0        0        9
44136 linux-config-5.10                  	       0       19        0        0       19
44137 linux-config-5.15                  	       0        1        0        0        1
44138 linux-config-5.16                  	       0        2        0        0        2
44139 linux-config-5.18                  	       0        1        0        0        1
44140 linux-config-5.2                   	       0        1        0        0        1
44141 linux-config-5.5                   	       0        1        0        0        1
44142 linux-config-5.6                   	       0        1        0        0        1
44143 linux-config-5.7                   	       0        1        0        0        1
44144 linux-config-6.0                   	       0        1        0        0        1
44145 linux-config-6.1                   	       0       42        0        0       42
44146 linux-config-6.10                  	       0        6        0        0        6
44147 linux-config-6.11                  	       0        1        0        0        1
44148 linux-config-6.12                  	       0        4        0        0        4
44149 linux-config-6.3                   	       0        1        0        0        1
44150 linux-config-6.4                   	       0        1        0        0        1
44151 linux-config-6.5                   	       0        1        0        0        1
44152 linux-config-6.9                   	       0        1        0        0        1
44153 linux-doc                          	       0       20        0        0       20
44154 linux-doc-3.11                     	       0        1        0        0        1
44155 linux-doc-3.12                     	       0        1        0        0        1
44156 linux-doc-3.16                     	       0        1        0        0        1
44157 linux-doc-3.2                      	       0        1        0        0        1
44158 linux-doc-4.1                      	       0        1        0        0        1
44159 linux-doc-4.19                     	       0        5        0        0        5
44160 linux-doc-4.9                      	       0       11        0       11        0
44161 linux-doc-5.10                     	       0       14        0        0       14
44162 linux-doc-5.15                     	       0        2        0        0        2
44163 linux-doc-5.18                     	       0        4        0        0        4
44164 linux-doc-5.4                      	       0        1        0        0        1
44165 linux-doc-6.1                      	       0       18        0        0       18
44166 linux-doc-6.10                     	       0        6        0        0        6
44167 linux-doc-6.11                     	       0        5        0        0        5
44168 linux-doc-6.12                     	       0        4        0        0        4
44169 linux-doc-6.3                      	       0        1        0        0        1
44170 linux-doc-6.5                      	       0        1        0        0        1
44171 linux-doc-6.7                      	       0        2        0        0        2
44172 linux-doc-6.9                      	       0        2        0        0        2
44173 linux-dtb-current-sunxi64          	       0        2        0        0        2
44174 linux-dwarf-pack                   	       0        2        0        2        0
44175 linux-firewire-utils               	       0        2        0        2        0
44176 linux-firmware                     	       0        2        0        2        0
44177 linux-firmware-hauppauge           	       0        1        0        1        0
44178 linux-firmware-image               	       0        1        0        0        1
44179 linux-grsec-source-4.7             	       0        1        0        0        1
44180 linux-grsec-support-4.7.0-1        	       0        1        0        1        0
44181 linux-headers-2.6-amd64            	       0        1        0        0        1
44182 linux-headers-2.6.18-5             	       0        1        0        0        1
44183 linux-headers-2.6.25-2-common      	       0        1        0        0        1
44184 linux-headers-2.6.26-2-common-openvz	       0        1        0        1        0
44185 linux-headers-2.6.32-5-amd64       	       0        1        0        1        0
44186 linux-headers-2.6.32-5-common      	       0        4        0        3        1
44187 linux-headers-2.6.32-5-common-openvz	       0        1        0        1        0
44188 linux-headers-2.6.32-5-openvz-amd64	       0        1        0        1        0
44189 linux-headers-3.10-2-amd64         	       0        1        0        1        0
44190 linux-headers-3.10-2-common        	       0        1        0        1        0
44191 linux-headers-3.10-3-amd64         	       0        1        0        1        0
44192 linux-headers-3.10-3-common        	       0        1        0        1        0
44193 linux-headers-3.10-rc5-common      	       0        1        0        1        0
44194 linux-headers-3.12-rc7-common      	       0        1        0        1        0
44195 linux-headers-3.14-1-common        	       0        1        0        1        0
44196 linux-headers-3.16.0-0.bpo.4-amd64 	       0        1        0        1        0
44197 linux-headers-3.16.0-0.bpo.4-common	       0        1        0        1        0
44198 linux-headers-3.16.0-10-amd64      	       0        2        0        2        0
44199 linux-headers-3.16.0-10-common     	       0        2        0        2        0
44200 linux-headers-3.16.0-11-amd64      	       0        1        0        1        0
44201 linux-headers-3.16.0-11-common     	       0        1        0        1        0
44202 linux-headers-3.16.0-4-686-pae     	       0        2        0        2        0
44203 linux-headers-3.16.0-4-amd64       	       0       19        1       18        0
44204 linux-headers-3.16.0-4-common      	       0       23        1       22        0
44205 linux-headers-3.16.0-5-amd64       	       0        8        0        8        0
44206 linux-headers-3.16.0-5-common      	       0        9        0        9        0
44207 linux-headers-3.16.0-6-686-pae     	       0        1        0        1        0
44208 linux-headers-3.16.0-6-amd64       	       0        9        0        9        0
44209 linux-headers-3.16.0-6-common      	       0        9        0        9        0
44210 linux-headers-3.16.0-7-amd64       	       0        2        0        2        0
44211 linux-headers-3.16.0-7-common      	       0        2        0        2        0
44212 linux-headers-3.16.0-8-common      	       0        1        0        1        0
44213 linux-headers-3.16.0-9-amd64       	       0        1        0        1        0
44214 linux-headers-3.16.0-9-common      	       0        1        0        1        0
44215 linux-headers-3.18.0-trunk-all     	       0        1        0        0        1
44216 linux-headers-3.18.0-trunk-all-amd64	       0        1        0        0        1
44217 linux-headers-3.18.0-trunk-amd64   	       0        1        0        1        0
44218 linux-headers-3.18.0-trunk-common  	       0        1        0        1        0
44219 linux-headers-3.18.91-vs2.3.7.5-beng	       0        2        0        2        0
44220 linux-headers-3.19.0-trunk-common  	       0        1        0        1        0
44221 linux-headers-3.2.0-4-amd64        	       0       14        1       12        1
44222 linux-headers-3.2.0-4-common       	       0       16        1       14        1
44223 linux-headers-3.2.0-4-common-rt    	       0        1        0        0        1
44224 linux-headers-3.2.0-4-rt-amd64     	       0        1        0        0        1
44225 linux-headers-3.2.0-5-amd64        	       0        1        0        1        0
44226 linux-headers-3.2.0-5-common       	       0        1        0        1        0
44227 linux-headers-3.2.0-rc4-common-rt  	       0        1        0        1        0
44228 linux-headers-3.2.0-rc4-rt-amd64   	       0        1        0        1        0
44229 linux-headers-3.6.0-amd64          	       0        1        0        1        0
44230 linux-headers-3.7-trunk-common     	       0        1        0        1        0
44231 linux-headers-4.14.0-0.bpo.3-amd64 	       0        1        0        1        0
44232 linux-headers-4.14.0-0.bpo.3-common	       0        1        0        1        0
44233 linux-headers-4.14.0-3-common      	       0        1        0        1        0
44234 linux-headers-4.15.9.64            	       0        1        0        1        0
44235 linux-headers-4.16.0-0.bpo.2-amd64 	       0        1        0        1        0
44236 linux-headers-4.16.0-0.bpo.2-common	       0        1        0        1        0
44237 linux-headers-4.19-amd64           	       0        3        0        0        3
44238 linux-headers-4.19.0-0.bpo.19-amd64	       0        3        0        3        0
44239 linux-headers-4.19.0-0.bpo.19-common	       0        3        0        3        0
44240 linux-headers-4.19.0-0.bpo.6-amd64 	       0        2        0        2        0
44241 linux-headers-4.19.0-0.bpo.6-common	       0        2        0        2        0
44242 linux-headers-4.19.0-0.bpo.8-amd64 	       0        1        0        1        0
44243 linux-headers-4.19.0-0.bpo.8-common	       0        2        0        2        0
44244 linux-headers-4.19.0-0.bpo.9-amd64 	       0        2        0        2        0
44245 linux-headers-4.19.0-0.bpo.9-common	       0        2        0        2        0
44246 linux-headers-4.19.0-10-common     	       0        2        0        1        1
44247 linux-headers-4.19.0-11-amd64      	       0        1        0        1        0
44248 linux-headers-4.19.0-11-common     	       0        3        0        2        1
44249 linux-headers-4.19.0-12-all        	       0        1        0        0        1
44250 linux-headers-4.19.0-12-all-amd64  	       0        1        0        0        1
44251 linux-headers-4.19.0-12-amd64      	       0        2        0        2        0
44252 linux-headers-4.19.0-12-cloud-amd64	       0        1        0        1        0
44253 linux-headers-4.19.0-12-common     	       0        3        0        2        1
44254 linux-headers-4.19.0-12-common-rt  	       0        1        0        1        0
44255 linux-headers-4.19.0-12-rt-amd64   	       0        1        0        1        0
44256 linux-headers-4.19.0-13-all        	       0        1        0        0        1
44257 linux-headers-4.19.0-13-all-amd64  	       0        1        0        0        1
44258 linux-headers-4.19.0-13-amd64      	       0        2        0        2        0
44259 linux-headers-4.19.0-13-cloud-amd64	       0        1        0        1        0
44260 linux-headers-4.19.0-13-common     	       0        4        0        3        1
44261 linux-headers-4.19.0-13-common-rt  	       0        1        0        1        0
44262 linux-headers-4.19.0-13-rt-amd64   	       0        1        0        1        0
44263 linux-headers-4.19.0-14-amd64      	       0        4        0        4        0
44264 linux-headers-4.19.0-14-common     	       0        8        0        7        1
44265 linux-headers-4.19.0-16-amd64      	       0        7        0        7        0
44266 linux-headers-4.19.0-16-common     	       0       10        0        9        1
44267 linux-headers-4.19.0-17-amd64      	       0        5        0        5        0
44268 linux-headers-4.19.0-17-common     	       0       10        0        9        1
44269 linux-headers-4.19.0-18-amd64      	       0        7        0        7        0
44270 linux-headers-4.19.0-18-common     	       0       13        0       12        1
44271 linux-headers-4.19.0-18-common-rt  	       0        1        0        1        0
44272 linux-headers-4.19.0-18-rt-amd64   	       0        1        0        1        0
44273 linux-headers-4.19.0-19-all        	       0        1        0        0        1
44274 linux-headers-4.19.0-19-all-amd64  	       0        1        0        0        1
44275 linux-headers-4.19.0-19-amd64      	       0        5        0        5        0
44276 linux-headers-4.19.0-19-cloud-amd64	       0        1        0        1        0
44277 linux-headers-4.19.0-19-common     	       0        7        0        7        0
44278 linux-headers-4.19.0-19-common-rt  	       0        1        0        1        0
44279 linux-headers-4.19.0-19-rt-amd64   	       0        1        0        1        0
44280 linux-headers-4.19.0-20-amd64      	       0       10        0       10        0
44281 linux-headers-4.19.0-20-common     	       0       12        0       12        0
44282 linux-headers-4.19.0-20-common-rt  	       0        1        0        1        0
44283 linux-headers-4.19.0-21-amd64      	       0        6        0        6        0
44284 linux-headers-4.19.0-21-common     	       0        6        0        6        0
44285 linux-headers-4.19.0-21-common-rt  	       0        1        0        1        0
44286 linux-headers-4.19.0-21-rt-amd64   	       0        1        0        1        0
44287 linux-headers-4.19.0-22-amd64      	       0        7        0        7        0
44288 linux-headers-4.19.0-22-common     	       0        8        0        8        0
44289 linux-headers-4.19.0-22-common-rt  	       0        1        0        1        0
44290 linux-headers-4.19.0-22-rt-amd64   	       0        1        0        1        0
44291 linux-headers-4.19.0-23-amd64      	       0        4        0        4        0
44292 linux-headers-4.19.0-23-common     	       0        6        0        6        0
44293 linux-headers-4.19.0-24-amd64      	       0        4        0        4        0
44294 linux-headers-4.19.0-24-common     	       0        5        0        5        0
44295 linux-headers-4.19.0-25-amd64      	       0        9        0        9        0
44296 linux-headers-4.19.0-25-common     	       0       10        0       10        0
44297 linux-headers-4.19.0-26-686-pae    	       0        1        0        1        0
44298 linux-headers-4.19.0-26-amd64      	       0        8        0        8        0
44299 linux-headers-4.19.0-26-common     	       0        9        0        9        0
44300 linux-headers-4.19.0-27-686-pae    	       0        1        0        1        0
44301 linux-headers-4.19.0-27-amd64      	       0       10        0       10        0
44302 linux-headers-4.19.0-27-common     	       0       11        0       11        0
44303 linux-headers-4.19.0-5-amd64       	       0        2        0        2        0
44304 linux-headers-4.19.0-5-common      	       0        2        0        2        0
44305 linux-headers-4.19.0-6-amd64       	       0        1        0        1        0
44306 linux-headers-4.19.0-6-common      	       0        4        0        4        0
44307 linux-headers-4.19.0-6-common-rt   	       0        1        0        1        0
44308 linux-headers-4.19.0-8-all         	       0        1        0        0        1
44309 linux-headers-4.19.0-8-all-amd64   	       0        1        0        0        1
44310 linux-headers-4.19.0-8-amd64       	       0        2        0        2        0
44311 linux-headers-4.19.0-8-cloud-amd64 	       0        1        0        1        0
44312 linux-headers-4.19.0-8-common      	       0        5        0        5        0
44313 linux-headers-4.19.0-8-common-rt   	       0        1        0        1        0
44314 linux-headers-4.19.0-8-rt-amd64    	       0        1        0        1        0
44315 linux-headers-4.19.0-9-amd64       	       0        4        0        4        0
44316 linux-headers-4.19.0-9-common      	       0        5        0        5        0
44317 linux-headers-4.19.249             	       0        1        0        1        0
44318 linux-headers-4.19.251-proxima     	       0        1        0        1        0
44319 linux-headers-4.2.0-0.bpo.1-all-amd64	       0        1        0        0        1
44320 linux-headers-4.2.0-0.bpo.1-amd64  	       0        1        0        1        0
44321 linux-headers-4.2.0-0.bpo.1-common 	       0        1        0        1        0
44322 linux-headers-4.4.144-vs2.3.9.7.2-beng	       0        4        0        4        0
44323 linux-headers-4.4.202-vs2.3.9.8-beng	       0        1        0        1        0
44324 linux-headers-4.4.227-vs2.3.9.9-beng	       0        1        0        1        0
44325 linux-headers-4.5.0-2-common       	       0        1        0        1        0
44326 linux-headers-4.7.0-0.bpo.1-amd64  	       0        2        0        2        0
44327 linux-headers-4.7.0-0.bpo.1-common 	       0        2        0        2        0
44328 linux-headers-4.8.0-0.bpo.2-686-pae	       0        1        0        1        0
44329 linux-headers-4.8.0-0.bpo.2-amd64  	       0        2        0        2        0
44330 linux-headers-4.8.0-0.bpo.2-common 	       0        3        0        3        0
44331 linux-headers-4.9.0-0.bpo.1-amd64  	       0        1        0        1        0
44332 linux-headers-4.9.0-0.bpo.1-common 	       0        1        0        1        0
44333 linux-headers-4.9.0-0.bpo.2-common-rt	       0        1        0        1        0
44334 linux-headers-4.9.0-0.bpo.3-amd64  	       0        3        0        3        0
44335 linux-headers-4.9.0-0.bpo.3-common 	       0        3        0        3        0
44336 linux-headers-4.9.0-0.bpo.4-686-pae	       0        1        0        1        0
44337 linux-headers-4.9.0-0.bpo.4-common 	       0        1        0        1        0
44338 linux-headers-4.9.0-0.bpo.5-amd64  	       0        2        0        2        0
44339 linux-headers-4.9.0-0.bpo.5-common 	       0        2        0        2        0
44340 linux-headers-4.9.0-0.bpo.6-amd64  	       0        1        0        1        0
44341 linux-headers-4.9.0-0.bpo.6-common 	       0        1        0        1        0
44342 linux-headers-4.9.0-11-686         	       0        3        0        3        0
44343 linux-headers-4.9.0-11-686-pae     	       0        1        0        1        0
44344 linux-headers-4.9.0-11-all         	       0        2        0        0        2
44345 linux-headers-4.9.0-11-all-amd64   	       0        2        0        0        2
44346 linux-headers-4.9.0-11-all-i386    	       0        1        0        0        1
44347 linux-headers-4.9.0-11-amd64       	       0       17        0       17        0
44348 linux-headers-4.9.0-11-common      	       0       19        0       19        0
44349 linux-headers-4.9.0-11-common-rt   	       0        2        0        2        0
44350 linux-headers-4.9.0-11-rt-686-pae  	       0        1        0        1        0
44351 linux-headers-4.9.0-11-rt-amd64    	       0        2        0        2        0
44352 linux-headers-4.9.0-12-686         	       0        1        0        1        0
44353 linux-headers-4.9.0-12-686-pae     	       0        1        0        1        0
44354 linux-headers-4.9.0-12-all-amd64   	       0        1        0        0        1
44355 linux-headers-4.9.0-12-amd64       	       0       15        0       15        0
44356 linux-headers-4.9.0-12-common      	       0       17        0       17        0
44357 linux-headers-4.9.0-12-common-rt   	       0        1        0        1        0
44358 linux-headers-4.9.0-12-rt-amd64    	       0        1        0        1        0
44359 linux-headers-4.9.0-13-686-pae     	       0        7        0        7        0
44360 linux-headers-4.9.0-13-all         	       0        1        0        0        1
44361 linux-headers-4.9.0-13-all-amd64   	       0        1        0        0        1
44362 linux-headers-4.9.0-13-amd64       	       0        3        0        2        1
44363 linux-headers-4.9.0-13-common      	       0       10        0        9        1
44364 linux-headers-4.9.0-13-common-rt   	       0        1        0        1        0
44365 linux-headers-4.9.0-13-rt-amd64    	       0        1        0        1        0
44366 linux-headers-4.9.0-14-amd64       	       0        5        0        5        0
44367 linux-headers-4.9.0-14-common      	       0        5        0        5        0
44368 linux-headers-4.9.0-15-amd64       	       0        7        0        6        1
44369 linux-headers-4.9.0-15-common      	       0        7        0        6        1
44370 linux-headers-4.9.0-16-all-amd64   	       0        1        0        0        1
44371 linux-headers-4.9.0-16-amd64       	       0        6        0        6        0
44372 linux-headers-4.9.0-16-common      	       0        6        0        6        0
44373 linux-headers-4.9.0-16-common-rt   	       0        1        0        1        0
44374 linux-headers-4.9.0-16-rt-amd64    	       0        1        0        1        0
44375 linux-headers-4.9.0-17-all         	       0        1        0        0        1
44376 linux-headers-4.9.0-17-all-amd64   	       0        1        0        0        1
44377 linux-headers-4.9.0-17-amd64       	       0        7        0        7        0
44378 linux-headers-4.9.0-17-common      	       0        7        0        7        0
44379 linux-headers-4.9.0-17-common-rt   	       0        1        0        1        0
44380 linux-headers-4.9.0-17-rt-amd64    	       0        1        0        1        0
44381 linux-headers-4.9.0-18-amd64       	       0        7        0        7        0
44382 linux-headers-4.9.0-18-common      	       0        7        0        7        0
44383 linux-headers-4.9.0-19-686-pae     	       0        1        0        1        0
44384 linux-headers-4.9.0-19-amd64       	       0       11        0       11        0
44385 linux-headers-4.9.0-19-common      	       0       13        0       13        0
44386 linux-headers-4.9.0-4-amd64        	       0        2        0        2        0
44387 linux-headers-4.9.0-4-common       	       0        2        0        2        0
44388 linux-headers-4.9.0-6-686-pae      	       0        3        0        3        0
44389 linux-headers-4.9.0-6-amd64        	       0        8        0        8        0
44390 linux-headers-4.9.0-6-common       	       0       11        0       11        0
44391 linux-headers-4.9.0-7-amd64        	       0        4        0        4        0
44392 linux-headers-4.9.0-7-common       	       0        4        0        4        0
44393 linux-headers-4.9.0-8-686          	       0        1        0        1        0
44394 linux-headers-4.9.0-8-686-pae      	       0        1        0        1        0
44395 linux-headers-4.9.0-8-all          	       0        1        0        0        1
44396 linux-headers-4.9.0-8-all-amd64    	       0        2        0        0        2
44397 linux-headers-4.9.0-8-amd64        	       0       10        0       10        0
44398 linux-headers-4.9.0-8-common       	       0       12        0       12        0
44399 linux-headers-4.9.0-8-common-rt    	       0        2        0        2        0
44400 linux-headers-4.9.0-8-rt-amd64     	       0        2        0        2        0
44401 linux-headers-4.9.0-9-amd64        	       0       11        0       11        0
44402 linux-headers-4.9.0-9-common       	       0       12        0       12        0
44403 linux-headers-4.9.227-vs2.3.9.12-beng	       0        5        1        4        0
44404 linux-headers-5.0.0                	       0        1        0        1        0
44405 linux-headers-5.1.0+               	       0        1        0        1        0
44406 linux-headers-5.1.0-20.1-liquorix-amd64	       0        1        0        1        0
44407 linux-headers-5.10-amd64           	       0        5        0        0        5
44408 linux-headers-5.10-rt-amd64        	       0        1        0        0        1
44409 linux-headers-5.10.0-0.bpo.11-amd64	       0        1        0        1        0
44410 linux-headers-5.10.0-0.bpo.11-common	       0        1        0        1        0
44411 linux-headers-5.10.0-0.bpo.12-amd64	       0        2        0        2        0
44412 linux-headers-5.10.0-0.bpo.12-common	       0        2        0        2        0
44413 linux-headers-5.10.0-0.bpo.12-common-rt	       0        1        0        1        0
44414 linux-headers-5.10.0-0.bpo.12-rt-amd64	       0        1        0        1        0
44415 linux-headers-5.10.0-0.bpo.15-amd64	       0        4        0        4        0
44416 linux-headers-5.10.0-0.bpo.15-common	       0        4        0        4        0
44417 linux-headers-5.10.0-0.bpo.5-amd64 	       0        2        0        2        0
44418 linux-headers-5.10.0-0.bpo.5-common	       0        3        0        3        0
44419 linux-headers-5.10.0-0.bpo.7-amd64 	       0        2        0        2        0
44420 linux-headers-5.10.0-0.bpo.7-common	       0        3        0        3        0
44421 linux-headers-5.10.0-0.bpo.9-amd64 	       0        1        0        1        0
44422 linux-headers-5.10.0-0.bpo.9-common	       0        1        0        1        0
44423 linux-headers-5.10.0-0.deb10.16-common	       0        1        0        1        0
44424 linux-headers-5.10.0-0.deb10.17-amd64	       0        1        0        1        0
44425 linux-headers-5.10.0-0.deb10.17-common	       0        1        0        1        0
44426 linux-headers-5.10.0-0.deb10.19-amd64	       0        2        0        2        0
44427 linux-headers-5.10.0-0.deb10.19-common	       0        2        0        2        0
44428 linux-headers-5.10.0-0.deb10.19-common-rt	       0        1        0        1        0
44429 linux-headers-5.10.0-0.deb10.19-rt-amd64	       0        1        0        1        0
44430 linux-headers-5.10.0-0.deb10.20-amd64	       0        1        0        1        0
44431 linux-headers-5.10.0-0.deb10.20-common	       0        1        0        1        0
44432 linux-headers-5.10.0-0.deb10.20-common-rt	       0        1        0        1        0
44433 linux-headers-5.10.0-0.deb10.20-rt-amd64	       0        1        0        1        0
44434 linux-headers-5.10.0-0.deb10.21-amd64	       0        1        0        1        0
44435 linux-headers-5.10.0-0.deb10.21-common	       0        1        0        1        0
44436 linux-headers-5.10.0-0.deb10.28-amd64	       0        3        0        3        0
44437 linux-headers-5.10.0-0.deb10.28-common	       0        3        0        3        0
44438 linux-headers-5.10.0-0.deb10.28-common-rt	       0        1        0        1        0
44439 linux-headers-5.10.0-0.deb10.28-rt-amd64	       0        1        0        1        0
44440 linux-headers-5.10.0-0.deb10.30-amd64	       0        1        0        1        0
44441 linux-headers-5.10.0-0.deb10.30-common	       0        1        0        1        0
44442 linux-headers-5.10.0-0.deb10.30-common-rt	       0        1        0        1        0
44443 linux-headers-5.10.0-0.deb10.30-rt-amd64	       0        1        0        1        0
44444 linux-headers-5.10.0-10-amd64      	       0       18        0       17        1
44445 linux-headers-5.10.0-10-common     	       0       20        0       19        1
44446 linux-headers-5.10.0-11-686        	       0        1        0        1        0
44447 linux-headers-5.10.0-11-686-pae    	       0        1        0        1        0
44448 linux-headers-5.10.0-11-amd64      	       0       15        0       15        0
44449 linux-headers-5.10.0-11-common     	       0       16        0       16        0
44450 linux-headers-5.10.0-12-amd64      	       0       11        0       11        0
44451 linux-headers-5.10.0-12-common     	       0       12        0       12        0
44452 linux-headers-5.10.0-13-amd64      	       0       11        0       11        0
44453 linux-headers-5.10.0-13-common     	       0       12        0       12        0
44454 linux-headers-5.10.0-14-amd64      	       0       16        0       16        0
44455 linux-headers-5.10.0-14-common     	       0       17        0       17        0
44456 linux-headers-5.10.0-15-amd64      	       0       10        0       10        0
44457 linux-headers-5.10.0-15-common     	       0       10        0       10        0
44458 linux-headers-5.10.0-16-amd64      	       0       17        0       17        0
44459 linux-headers-5.10.0-16-common     	       0       17        0       17        0
44460 linux-headers-5.10.0-17-686-pae    	       0        1        0        1        0
44461 linux-headers-5.10.0-17-amd64      	       0        7        0        7        0
44462 linux-headers-5.10.0-17-common     	       0        9        0        9        0
44463 linux-headers-5.10.0-18-amd64      	       0       11        0       10        1
44464 linux-headers-5.10.0-18-common     	       0       11        0       10        1
44465 linux-headers-5.10.0-19-686-pae    	       0        1        0        1        0
44466 linux-headers-5.10.0-19-amd64      	       0       18        0       18        0
44467 linux-headers-5.10.0-19-common     	       0       21        0       21        0
44468 linux-headers-5.10.0-20-686        	       0        1        0        1        0
44469 linux-headers-5.10.0-20-amd64      	       0       24        0       24        0
44470 linux-headers-5.10.0-20-common     	       0       25        0       25        0
44471 linux-headers-5.10.0-21-amd64      	       0       27        0       27        0
44472 linux-headers-5.10.0-21-common     	       0       27        0       27        0
44473 linux-headers-5.10.0-22-amd64      	       0       12        0       12        0
44474 linux-headers-5.10.0-22-common     	       0       13        0       13        0
44475 linux-headers-5.10.0-23-686-pae    	       0        1        0        1        0
44476 linux-headers-5.10.0-23-amd64      	       0       33        0       33        0
44477 linux-headers-5.10.0-23-arm64      	       0        1        0        1        0
44478 linux-headers-5.10.0-23-common     	       0       36        0       36        0
44479 linux-headers-5.10.0-24-686-pae    	       0        1        0        1        0
44480 linux-headers-5.10.0-24-amd64      	       0       10        0       10        0
44481 linux-headers-5.10.0-24-common     	       0       11        0       11        0
44482 linux-headers-5.10.0-25-amd64      	       0       26        1       25        0
44483 linux-headers-5.10.0-25-common     	       0       27        1       26        0
44484 linux-headers-5.10.0-26-686-pae    	       0        1        0        1        0
44485 linux-headers-5.10.0-26-amd64      	       0       40        1       38        1
44486 linux-headers-5.10.0-26-common     	       0       42        1       40        1
44487 linux-headers-5.10.0-27-686-pae    	       0        1        0        1        0
44488 linux-headers-5.10.0-27-amd64      	       0       19        0       19        0
44489 linux-headers-5.10.0-27-common     	       0       21        0       21        0
44490 linux-headers-5.10.0-28-amd64      	       0       33        0       33        0
44491 linux-headers-5.10.0-28-common     	       0       34        0       34        0
44492 linux-headers-5.10.0-29-686-pae    	       0        1        0        1        0
44493 linux-headers-5.10.0-29-amd64      	       0       15        0       15        0
44494 linux-headers-5.10.0-29-common     	       0       17        0       17        0
44495 linux-headers-5.10.0-3-amd64       	       0        1        0        1        0
44496 linux-headers-5.10.0-3-common      	       0        1        0        1        0
44497 linux-headers-5.10.0-30-686-pae    	       0        2        0        2        0
44498 linux-headers-5.10.0-30-amd64      	       0       22        0       22        0
44499 linux-headers-5.10.0-30-common     	       0       24        0       24        0
44500 linux-headers-5.10.0-31-amd64      	       0       14        0       14        0
44501 linux-headers-5.10.0-31-common     	       0       15        0       15        0
44502 linux-headers-5.10.0-32-686-pae    	       0        1        0        1        0
44503 linux-headers-5.10.0-32-amd64      	       0       39        0       39        0
44504 linux-headers-5.10.0-32-common     	       0       40        0       40        0
44505 linux-headers-5.10.0-33-686        	       0        1        0        1        0
44506 linux-headers-5.10.0-33-686-pae    	       0        2        0        2        0
44507 linux-headers-5.10.0-33-amd64      	       0       54        0       54        0
44508 linux-headers-5.10.0-33-common     	       0       56        0       56        0
44509 linux-headers-5.10.0-33-common-rt  	       0        1        0        1        0
44510 linux-headers-5.10.0-33-rt-amd64   	       0        1        0        1        0
44511 linux-headers-5.10.0-4-amd64       	       0        1        0        1        0
44512 linux-headers-5.10.0-4-common      	       0        1        0        1        0
44513 linux-headers-5.10.0-6-amd64       	       0        2        0        2        0
44514 linux-headers-5.10.0-6-common      	       0        3        0        3        0
44515 linux-headers-5.10.0-6-common-rt   	       0        1        0        1        0
44516 linux-headers-5.10.0-7-amd64       	       0        3        0        3        0
44517 linux-headers-5.10.0-7-common      	       0        5        0        5        0
44518 linux-headers-5.10.0-8-amd64       	       0       11        0       11        0
44519 linux-headers-5.10.0-8-common      	       0       12        0       12        0
44520 linux-headers-5.10.0-9-amd64       	       0       25        0       25        0
44521 linux-headers-5.10.0-9-common      	       0       26        0       26        0
44522 linux-headers-5.10.41+             	       0        1        0        1        0
44523 linux-headers-5.14.0-0.bpo.2-amd64 	       0        2        0        2        0
44524 linux-headers-5.14.0-0.bpo.2-common	       0        2        0        2        0
44525 linux-headers-5.14.0-4-common      	       0        1        0        1        0
44526 linux-headers-5.15.0               	       0        1        0        1        0
44527 linux-headers-5.15.0-0.bpo.2-686-pae	       0        1        0        1        0
44528 linux-headers-5.15.0-0.bpo.2-amd64 	       0        1        0        1        0
44529 linux-headers-5.15.0-0.bpo.2-common	       0        2        0        2        0
44530 linux-headers-5.15.0-0.bpo.3-common	       0        1        0        0        1
44531 linux-headers-5.15.0-2-amd64       	       0        1        0        1        0
44532 linux-headers-5.15.0-2-common      	       0        2        0        2        0
44533 linux-headers-5.15.0-3-common      	       0        1        0        1        0
44534 linux-headers-5.15.173-gnu         	       0        1        0        1        0
44535 linux-headers-5.15.25-atom         	       0        1        0        1        0
44536 linux-headers-5.15.29-gnu          	       0        1        0        1        0
44537 linux-headers-5.15.32              	       0        1        0        1        0
44538 linux-headers-5.15.78-xanmod1      	       0        1        0        1        0
44539 linux-headers-5.15.81-xanmod1      	       0        1        0        1        0
44540 linux-headers-5.15.84-xanmod1      	       0        1        0        1        0
44541 linux-headers-5.15.87-xanmod1      	       0        1        0        1        0
44542 linux-headers-5.15.89-xanmod1      	       0        1        0        1        0
44543 linux-headers-5.16.0-0.bpo.3-amd64 	       0        1        0        1        0
44544 linux-headers-5.16.0-0.bpo.3-common	       0        1        0        1        0
44545 linux-headers-5.16.0-0.bpo.4-amd64 	       0        3        0        3        0
44546 linux-headers-5.16.0-0.bpo.4-common	       0        4        0        3        1
44547 linux-headers-5.16.0-1-amd64       	       0        1        0        1        0
44548 linux-headers-5.16.0-1-common      	       0        1        0        1        0
44549 linux-headers-5.16.0-3-amd64       	       0        1        0        1        0
44550 linux-headers-5.16.0-3-common      	       0        1        0        1        0
44551 linux-headers-5.16.0-4-amd64       	       0        1        0        1        0
44552 linux-headers-5.16.0-4-common      	       0        1        0        1        0
44553 linux-headers-5.16.0-5-amd64       	       0        1        0        1        0
44554 linux-headers-5.16.0-5-common      	       0        2        0        2        0
44555 linux-headers-5.16.0-6-amd64       	       0        2        0        2        0
44556 linux-headers-5.16.0-6-common      	       0        2        0        2        0
44557 linux-headers-5.17.0-1-amd64       	       0        1        0        1        0
44558 linux-headers-5.17.0-1-common      	       0        1        0        1        0
44559 linux-headers-5.17.0-3-amd64       	       0        1        0        1        0
44560 linux-headers-5.17.0-3-common      	       0        1        0        1        0
44561 linux-headers-5.17.8               	       0        1        0        1        0
44562 linux-headers-5.18.0-0.bpo.1-amd64 	       0        2        0        2        0
44563 linux-headers-5.18.0-0.bpo.1-common	       0        3        0        3        0
44564 linux-headers-5.18.0-0.deb11.4-amd64	       0        2        0        2        0
44565 linux-headers-5.18.0-0.deb11.4-common	       0        2        0        2        0
44566 linux-headers-5.18.0-2-amd64       	       0        3        0        3        0
44567 linux-headers-5.18.0-2-common      	       0        3        0        3        0
44568 linux-headers-5.18.0-2-common-rt   	       0        1        0        1        0
44569 linux-headers-5.18.0-2-rt-amd64    	       0        1        0        1        0
44570 linux-headers-5.18.0-3-amd64       	       0        1        0        1        0
44571 linux-headers-5.18.0-3-common      	       0        1        0        1        0
44572 linux-headers-5.18.0-4-amd64       	       0        1        0        1        0
44573 linux-headers-5.18.0-4-common      	       0        1        0        1        0
44574 linux-headers-5.18.0-4-common-rt   	       0        1        0        1        0
44575 linux-headers-5.18.0-4-rt-amd64    	       0        1        0        1        0
44576 linux-headers-5.19.0-0.deb11.2-amd64	       0        2        0        2        0
44577 linux-headers-5.19.0-0.deb11.2-common	       0        2        0        2        0
44578 linux-headers-5.19.0-2-amd64       	       0        1        0        1        0
44579 linux-headers-5.19.0-2-common      	       0        1        0        1        0
44580 linux-headers-5.2.0-2-common       	       0        1        0        1        0
44581 linux-headers-5.2.1-diglator.15    	       0        1        0        1        0
44582 linux-headers-5.2.13-19.09.09.amdgpu	       0        1        0        1        0
44583 linux-headers-5.4.0-0.bpo.2-common 	       0        1        0        1        0
44584 linux-headers-5.4.0-0.bpo.3-amd64  	       0        1        0        1        0
44585 linux-headers-5.4.0-0.bpo.3-common 	       0        1        0        1        0
44586 linux-headers-5.4.0-0.bpo.4-common 	       0        1        0        1        0
44587 linux-headers-5.4.0-0.bpo.4-common-rt	       0        1        0        1        0
44588 linux-headers-5.4.0-0.bpo.4-rt-amd64	       0        1        0        1        0
44589 linux-headers-5.4.0-1692312122121  	       0        1        0        1        0
44590 linux-headers-5.4.0-1692312122121-generic	       0        1        0        1        0
44591 linux-headers-5.4.0-1862405280420  	       0        1        0        1        0
44592 linux-headers-5.4.0-1862405280420-generic	       0        1        0        1        0
44593 linux-headers-5.4.0-4-amd64        	       0        2        0        2        0
44594 linux-headers-5.4.0-4-common       	       0        2        0        2        0
44595 linux-headers-5.4.13               	       0        1        0        1        0
44596 linux-headers-5.4.51-v8+           	       0        1        0        1        0
44597 linux-headers-5.6.0-0.bpo.2-common 	       0        1        0        1        0
44598 linux-headers-5.6.0-1-amd64        	       0        1        0        1        0
44599 linux-headers-5.6.0-1-common       	       0        1        0        1        0
44600 linux-headers-5.6.0-2-amd64        	       0        1        0        1        0
44601 linux-headers-5.6.0-2-common       	       0        1        0        1        0
44602 linux-headers-5.7.0-0.bpo.2-amd64  	       0        1        0        1        0
44603 linux-headers-5.7.0-0.bpo.2-common 	       0        2        0        2        0
44604 linux-headers-5.7.0-2-amd64        	       0        1        0        1        0
44605 linux-headers-5.7.0-2-common       	       0        1        0        1        0
44606 linux-headers-5.8.0-0.bpo.2-amd64  	       0        1        0        1        0
44607 linux-headers-5.8.0-0.bpo.2-common 	       0        1        0        1        0
44608 linux-headers-5.8.0-1-amd64        	       0        1        0        1        0
44609 linux-headers-5.8.0-1-common       	       0        1        0        1        0
44610 linux-headers-5.8.0-2-amd64        	       0        1        0        1        0
44611 linux-headers-5.8.0-2-common       	       0        1        0        1        0
44612 linux-headers-5.8.0-21             	       0        1        0        1        0
44613 linux-headers-5.9.0-0.bpo.5-common-rt	       0        1        0        1        0
44614 linux-headers-5.9.0-1-amd64        	       0        1        0        1        0
44615 linux-headers-5.9.0-1-common       	       0        1        0        1        0
44616 linux-headers-5.9.0-3-amd64        	       0        1        0        1        0
44617 linux-headers-5.9.0-3-common       	       0        1        0        1        0
44618 linux-headers-5.9.0-5-amd64        	       0        1        0        1        0
44619 linux-headers-5.9.0-5-common       	       0        1        0        1        0
44620 linux-headers-586                  	       0        1        0        0        1
44621 linux-headers-6.0.0-0.deb11.2-common	       0        1        0        1        0
44622 linux-headers-6.0.0-0.deb11.6-amd64	       0        2        0        2        0
44623 linux-headers-6.0.0-0.deb11.6-common	       0        2        0        2        0
44624 linux-headers-6.0.0-1-amd64        	       0        1        0        1        0
44625 linux-headers-6.0.0-1-common       	       0        1        0        1        0
44626 linux-headers-6.0.0-2-common       	       0        1        0        1        0
44627 linux-headers-6.0.0-3-amd64        	       0        1        0        1        0
44628 linux-headers-6.0.0-3-common       	       0        1        0        1        0
44629 linux-headers-6.0.0-6-amd64        	       0        2        0        2        0
44630 linux-headers-6.0.0-6-common       	       0        2        0        2        0
44631 linux-headers-6.0.11               	       0        1        0        1        0
44632 linux-headers-6.0.9-surface        	       0        1        0        1        0
44633 linux-headers-6.1.0-0.deb11.11-amd64	       0        2        0        2        0
44634 linux-headers-6.1.0-0.deb11.11-common	       0        2        0        2        0
44635 linux-headers-6.1.0-0.deb11.13-amd64	       0        4        0        4        0
44636 linux-headers-6.1.0-0.deb11.13-common	       0        4        0        4        0
44637 linux-headers-6.1.0-0.deb11.13-common-rt	       0        1        0        1        0
44638 linux-headers-6.1.0-0.deb11.13-rt-amd64	       0        1        0        1        0
44639 linux-headers-6.1.0-0.deb11.17-amd64	       0        5        0        5        0
44640 linux-headers-6.1.0-0.deb11.17-common	       0        5        0        5        0
44641 linux-headers-6.1.0-0.deb11.18-amd64	       0        1        0        1        0
44642 linux-headers-6.1.0-0.deb11.18-common	       0        1        0        1        0
44643 linux-headers-6.1.0-0.deb11.21-amd64	       0        4        0        4        0
44644 linux-headers-6.1.0-0.deb11.21-common	       0        4        0        4        0
44645 linux-headers-6.1.0-0.deb11.22-amd64	       0        1        0        1        0
44646 linux-headers-6.1.0-0.deb11.22-common	       0        1        0        1        0
44647 linux-headers-6.1.0-0.deb11.28-amd64	       0        1        0        1        0
44648 linux-headers-6.1.0-0.deb11.28-common	       0        1        0        1        0
44649 linux-headers-6.1.0-0.deb11.5-amd64	       0        2        0        2        0
44650 linux-headers-6.1.0-0.deb11.5-common	       0        3        0        3        0
44651 linux-headers-6.1.0-0.deb11.6-amd64	       0        1        0        1        0
44652 linux-headers-6.1.0-0.deb11.6-common	       0        2        0        2        0
44653 linux-headers-6.1.0-0.deb11.7-amd64	       0        3        0        3        0
44654 linux-headers-6.1.0-0.deb11.7-common	       0        4        0        4        0
44655 linux-headers-6.1.0-1-amd64        	       0        1        0        1        0
44656 linux-headers-6.1.0-1-common       	       0        1        0        1        0
44657 linux-headers-6.1.0-10-amd64       	       0       14        0       14        0
44658 linux-headers-6.1.0-10-common      	       0       15        0       15        0
44659 linux-headers-6.1.0-11-amd64       	       0       21        0       21        0
44660 linux-headers-6.1.0-11-common      	       0       21        0       21        0
44661 linux-headers-6.1.0-12-amd64       	       0       23        0       23        0
44662 linux-headers-6.1.0-12-cloud-amd64 	       0        1        0        1        0
44663 linux-headers-6.1.0-12-common      	       0       24        0       24        0
44664 linux-headers-6.1.0-12-common-rt   	       0        1        0        1        0
44665 linux-headers-6.1.0-12-rt-amd64    	       0        1        0        1        0
44666 linux-headers-6.1.0-13-686         	       0        1        0        1        0
44667 linux-headers-6.1.0-13-686-pae     	       0        1        0        1        0
44668 linux-headers-6.1.0-13-amd64       	       0       39        0       39        0
44669 linux-headers-6.1.0-13-cloud-amd64 	       0        1        0        1        0
44670 linux-headers-6.1.0-13-common      	       0       42        0       42        0
44671 linux-headers-6.1.0-13-common-rt   	       0        1        0        1        0
44672 linux-headers-6.1.0-13-rt-amd64    	       0        1        0        1        0
44673 linux-headers-6.1.0-14-amd64       	       0        3        0        3        0
44674 linux-headers-6.1.0-14-common      	       0        3        0        3        0
44675 linux-headers-6.1.0-15-amd64       	       0        8        0        8        0
44676 linux-headers-6.1.0-15-common      	       0        9        0        9        0
44677 linux-headers-6.1.0-16-amd64       	       0       16        0       16        0
44678 linux-headers-6.1.0-16-common      	       0       18        0       18        0
44679 linux-headers-6.1.0-17-amd64       	       0       47        0       47        0
44680 linux-headers-6.1.0-17-cloud-amd64 	       0        1        0        1        0
44681 linux-headers-6.1.0-17-common      	       0       51        0       51        0
44682 linux-headers-6.1.0-17-common-rt   	       0        2        0        2        0
44683 linux-headers-6.1.0-17-rt-amd64    	       0        2        0        2        0
44684 linux-headers-6.1.0-18-686-pae     	       0        1        0        1        0
44685 linux-headers-6.1.0-18-amd64       	       0       70        0       70        0
44686 linux-headers-6.1.0-18-common      	       0       71        0       71        0
44687 linux-headers-6.1.0-2-amd64        	       0        1        0        1        0
44688 linux-headers-6.1.0-2-common       	       0        1        0        1        0
44689 linux-headers-6.1.0-2.frea-amd64   	       0        1        0        1        0
44690 linux-headers-6.1.0-2.frea-common  	       0        1        0        1        0
44691 linux-headers-6.1.0-20-amd64       	       0       29        1       28        0
44692 linux-headers-6.1.0-20-common      	       0       29        1       28        0
44693 linux-headers-6.1.0-21-amd64       	       0       76        0       76        0
44694 linux-headers-6.1.0-21-cloud-amd64 	       0        1        0        1        0
44695 linux-headers-6.1.0-21-common      	       0       79        0       79        0
44696 linux-headers-6.1.0-21-common-rt   	       0        1        0        1        0
44697 linux-headers-6.1.0-21-rt-amd64    	       0        1        0        1        0
44698 linux-headers-6.1.0-22-686         	       0        1        0        1        0
44699 linux-headers-6.1.0-22-amd64       	       0       63        0       62        1
44700 linux-headers-6.1.0-22-cloud-amd64 	       0        1        0        1        0
44701 linux-headers-6.1.0-22-common      	       0       66        0       65        1
44702 linux-headers-6.1.0-22-common-rt   	       0        1        0        1        0
44703 linux-headers-6.1.0-22-rt-amd64    	       0        1        0        1        0
44704 linux-headers-6.1.0-23-686         	       0        1        0        1        0
44705 linux-headers-6.1.0-23-amd64       	       0      126        0      126        0
44706 linux-headers-6.1.0-23-cloud-amd64 	       0        1        0        1        0
44707 linux-headers-6.1.0-23-common      	       0      129        0      129        0
44708 linux-headers-6.1.0-23-common-rt   	       0        2        0        2        0
44709 linux-headers-6.1.0-23-rt-amd64    	       0        2        0        2        0
44710 linux-headers-6.1.0-25-686         	       0        1        0        1        0
44711 linux-headers-6.1.0-25-686-pae     	       0        2        1        1        0
44712 linux-headers-6.1.0-25-amd64       	       0      130        1      127        2
44713 linux-headers-6.1.0-25-cloud-amd64 	       0        1        0        1        0
44714 linux-headers-6.1.0-25-common      	       0      139        2      134        3
44715 linux-headers-6.1.0-25-common-rt   	       0        1        0        1        0
44716 linux-headers-6.1.0-25-powerpc64le 	       0        1        0        1        0
44717 linux-headers-6.1.0-25-rt-amd64    	       0        1        0        1        0
44718 linux-headers-6.1.0-26-686         	       0        1        0        1        0
44719 linux-headers-6.1.0-26-686-pae     	       0        2        0        2        0
44720 linux-headers-6.1.0-26-amd64       	       0      133        0      132        1
44721 linux-headers-6.1.0-26-cloud-amd64 	       0        1        0        1        0
44722 linux-headers-6.1.0-26-common      	       0      136        0      136        0
44723 linux-headers-6.1.0-26-common-rt   	       0        1        0        1        0
44724 linux-headers-6.1.0-26-rt-amd64    	       0        1        0        1        0
44725 linux-headers-6.1.0-27-686-pae     	       0        1        0        1        0
44726 linux-headers-6.1.0-27-amd64       	       0      117        0      117        0
44727 linux-headers-6.1.0-27-common      	       0      119        0      119        0
44728 linux-headers-6.1.0-28-686         	       0        1        0        1        0
44729 linux-headers-6.1.0-28-686-pae     	       0        3        0        3        0
44730 linux-headers-6.1.0-28-amd64       	       0      194        3      191        0
44731 linux-headers-6.1.0-28-arm64       	       0        1        1        0        0
44732 linux-headers-6.1.0-28-common      	       0      200        4      196        0
44733 linux-headers-6.1.0-28-common-rt   	       0        1        0        1        0
44734 linux-headers-6.1.0-29-powerpc64le 	       0        1        0        1        0
44735 linux-headers-6.1.0-3-amd64        	       0        1        0        1        0
44736 linux-headers-6.1.0-3-common       	       0        2        0        2        0
44737 linux-headers-6.1.0-30-686         	       0        1        0        1        0
44738 linux-headers-6.1.0-30-686-pae     	       0        1        0        1        0
44739 linux-headers-6.1.0-4-amd64        	       0        1        0        1        0
44740 linux-headers-6.1.0-4-common       	       0        1        0        1        0
44741 linux-headers-6.1.0-5-amd64        	       0        2        0        2        0
44742 linux-headers-6.1.0-5-common       	       0        2        0        2        0
44743 linux-headers-6.1.0-6-amd64        	       0        3        0        3        0
44744 linux-headers-6.1.0-6-common       	       0        3        0        3        0
44745 linux-headers-6.1.0-7-amd64        	       0        7        0        7        0
44746 linux-headers-6.1.0-7-common       	       0        8        0        8        0
44747 linux-headers-6.1.0-9-amd64        	       0        7        0        7        0
44748 linux-headers-6.1.0-9-common       	       0        8        0        8        0
44749 linux-headers-6.1.0-odroid-arm64   	       0        1        0        1        0
44750 linux-headers-6.1.0-odroid-common  	       0        1        0        1        0
44751 linux-headers-6.1.115-326          	       0        1        0        1        0
44752 linux-headers-6.1.119-327          	       0        1        0        1        0
44753 linux-headers-6.1.20-x64v1-xanmod1 	       0        1        0        1        0
44754 linux-headers-6.1.22-x64v1-xanmod1 	       0        1        0        1        0
44755 linux-headers-6.1.47-x64v1-xanmod1 	       0        1        0        1        0
44756 linux-headers-6.1.62-gnu           	       0        1        0        1        0
44757 linux-headers-6.1.62-x64v1-xanmod1 	       0        1        0        1        0
44758 linux-headers-6.1.63-x64v1-xanmod1 	       0        1        0        1        0
44759 linux-headers-6.1.64-x64v1-xanmod1 	       0        1        0        1        0
44760 linux-headers-6.1.96-319           	       0        1        0        1        0
44761 linux-headers-6.10.10-2-liquorix-amd64	       0        1        0        1        0
44762 linux-headers-6.10.10-x64v1-xanmod1	       0        1        0        1        0
44763 linux-headers-6.10.11+bpo-amd64    	       0       11        0       11        0
44764 linux-headers-6.10.11+bpo-common   	       0       12        0       12        0
44765 linux-headers-6.10.11+bpo-common-rt	       0        1        0        1        0
44766 linux-headers-6.10.11+bpo-rt-amd64 	       0        1        0        1        0
44767 linux-headers-6.10.11-amd64        	       0        7        0        7        0
44768 linux-headers-6.10.11-common       	       0        7        0        7        0
44769 linux-headers-6.10.11-x64v1-xanmod1	       0        1        0        1        0
44770 linux-headers-6.10.12-amd64        	       0        1        0        1        0
44771 linux-headers-6.10.12-common       	       0        1        0        1        0
44772 linux-headers-6.10.3-amd64         	       0        2        0        2        0
44773 linux-headers-6.10.3-common        	       0        3        0        3        0
44774 linux-headers-6.10.4-amd64         	       0        3        0        3        0
44775 linux-headers-6.10.4-common        	       0        3        0        3        0
44776 linux-headers-6.10.6+bpo-amd64     	       0       10        0       10        0
44777 linux-headers-6.10.6+bpo-common    	       0       10        0       10        0
44778 linux-headers-6.10.6-2-liquorix-amd64	       0        1        0        1        0
44779 linux-headers-6.10.6-amd64         	       0        4        0        4        0
44780 linux-headers-6.10.6-common        	       0        5        0        5        0
44781 linux-headers-6.10.6-custom        	       0        1        0        1        0
44782 linux-headers-6.10.6-x64v4-xanmod1 	       0        1        0        1        0
44783 linux-headers-6.10.7-amd64         	       0        1        0        1        0
44784 linux-headers-6.10.7-common        	       0        2        0        2        0
44785 linux-headers-6.10.9-amd64         	       0        9        0        9        0
44786 linux-headers-6.10.9-common        	       0        9        0        9        0
44787 linux-headers-6.10.9-custom        	       0        1        0        1        0
44788 linux-headers-6.11.10+bpo-amd64    	       0       11        0       11        0
44789 linux-headers-6.11.10+bpo-common   	       0       11        0       11        0
44790 linux-headers-6.11.10+bpo-common-rt	       0        1        0        1        0
44791 linux-headers-6.11.10+bpo-rt-amd64 	       0        1        0        1        0
44792 linux-headers-6.11.10-1-liquorix-amd64	       0        1        0        1        0
44793 linux-headers-6.11.10-amd64        	       0       11        0       11        0
44794 linux-headers-6.11.10-common       	       0       11        0       11        0
44795 linux-headers-6.11.2-amd64         	       0        7        0        7        0
44796 linux-headers-6.11.2-common        	       0        7        0        7        0
44797 linux-headers-6.11.4-amd64         	       0        6        0        6        0
44798 linux-headers-6.11.4-common        	       0        6        0        6        0
44799 linux-headers-6.11.5+bpo-amd64     	       0        9        0        9        0
44800 linux-headers-6.11.5+bpo-common    	       0       10        0       10        0
44801 linux-headers-6.11.5-1-liquorix-amd64	       0        1        0        1        0
44802 linux-headers-6.11.5-amd64         	       0        4        0        4        0
44803 linux-headers-6.11.5-common        	       0        4        0        4        0
44804 linux-headers-6.11.7-2-liquorix-amd64	       0        1        0        1        0
44805 linux-headers-6.11.7-amd64         	       0        3        0        3        0
44806 linux-headers-6.11.7-common        	       0        3        0        3        0
44807 linux-headers-6.11.9-amd64         	       0        3        0        3        0
44808 linux-headers-6.11.9-common        	       0        3        0        3        0
44809 linux-headers-6.12.10-amd64        	       0        6        1        5        0
44810 linux-headers-6.12.10-common       	       0        6        1        5        0
44811 linux-headers-6.12.11-2-liquorix-amd64	       0        1        0        1        0
44812 linux-headers-6.12.11-amd64        	       0        7        0        7        0
44813 linux-headers-6.12.11-common       	       0        7        0        7        0
44814 linux-headers-6.12.11-common-rt    	       0        1        0        1        0
44815 linux-headers-6.12.11-rt-amd64     	       0        1        0        1        0
44816 linux-headers-6.12.12-common-rt    	       0        1        0        1        0
44817 linux-headers-6.12.12-rt-amd64     	       0        1        0        1        0
44818 linux-headers-6.12.17-amd64        	       0        1        1        0        0
44819 linux-headers-6.12.17-common       	       0        1        1        0        0
44820 linux-headers-6.12.3-amd64         	       0        2        0        2        0
44821 linux-headers-6.12.3-common        	       0        2        0        2        0
44822 linux-headers-6.12.5-amd64         	       0        4        0        4        0
44823 linux-headers-6.12.5-common        	       0        4        0        4        0
44824 linux-headers-6.12.6-1-liquorix-amd64	       0        1        0        1        0
44825 linux-headers-6.12.6-amd64         	       0       11        0       11        0
44826 linux-headers-6.12.6-cloud-amd64   	       0        1        0        1        0
44827 linux-headers-6.12.6-common        	       0       11        0       11        0
44828 linux-headers-6.12.6-common-rt     	       0        1        0        1        0
44829 linux-headers-6.12.6-rt-amd64      	       0        1        0        1        0
44830 linux-headers-6.12.7-2-liquorix-amd64	       0        1        0        1        0
44831 linux-headers-6.12.7-x64v3-xanmod1 	       0        1        0        1        0
44832 linux-headers-6.12.8-1-liquorix-amd64	       0        1        0        1        0
44833 linux-headers-6.12.8-amd64         	       0        1        0        1        0
44834 linux-headers-6.12.8-common        	       0        1        0        1        0
44835 linux-headers-6.12.8-x64v3-xanmod1 	       0        1        0        1        0
44836 linux-headers-6.12.9-1-liquorix-amd64	       0        1        0        1        0
44837 linux-headers-6.12.9-amd64         	       0        7        0        7        0
44838 linux-headers-6.12.9-common        	       0        8        0        8        0
44839 linux-headers-6.13.1-x64v3-xanmod1 	       0        1        0        1        0
44840 linux-headers-6.13.2-x64v3-xanmod1 	       0        1        0        1        0
44841 linux-headers-6.3.0-1-amd64        	       0        1        0        1        0
44842 linux-headers-6.3.0-1-common       	       0        1        0        1        0
44843 linux-headers-6.3.0-2-amd64        	       0        2        0        2        0
44844 linux-headers-6.3.0-2-common       	       0        2        0        2        0
44845 linux-headers-6.4.0-0.deb12.2-amd64	       0        2        0        2        0
44846 linux-headers-6.4.0-0.deb12.2-common	       0        2        0        2        0
44847 linux-headers-6.4.0-1-amd64        	       0        1        0        1        0
44848 linux-headers-6.4.0-1-common       	       0        1        0        1        0
44849 linux-headers-6.4.0-2-amd64        	       0        1        0        1        0
44850 linux-headers-6.4.0-2-common       	       0        1        0        1        0
44851 linux-headers-6.4.0-3-amd64        	       0        1        0        1        0
44852 linux-headers-6.4.0-3-common       	       0        1        0        1        0
44853 linux-headers-6.4.0-4-amd64        	       0        1        0        1        0
44854 linux-headers-6.4.0-4-common       	       0        1        0        1        0
44855 linux-headers-6.4.4                	       0        1        0        0        1
44856 linux-headers-6.5.0-0.deb12.1-amd64	       0        2        0        2        0
44857 linux-headers-6.5.0-0.deb12.1-common	       0        2        0        2        0
44858 linux-headers-6.5.0-0.deb12.4-amd64	       0        6        0        6        0
44859 linux-headers-6.5.0-0.deb12.4-common	       0        7        0        7        0
44860 linux-headers-6.5.0-1-amd64        	       0        5        0        5        0
44861 linux-headers-6.5.0-1-common       	       0        5        0        5        0
44862 linux-headers-6.5.0-2-amd64        	       0        1        0        1        0
44863 linux-headers-6.5.0-2-common       	       0        1        0        1        0
44864 linux-headers-6.5.0-4-amd64        	       0        1        0        1        0
44865 linux-headers-6.5.0-4-common       	       0        1        0        1        0
44866 linux-headers-6.5.0-5-amd64        	       0        1        0        1        0
44867 linux-headers-6.5.0-5-common       	       0        2        0        2        0
44868 linux-headers-6.6.0                	       0        1        0        1        0
44869 linux-headers-6.6.0-odroid-arm64   	       0        1        0        1        0
44870 linux-headers-6.6.0-odroid-common  	       0        1        0        1        0
44871 linux-headers-6.6.11-amd64         	       0        1        0        1        0
44872 linux-headers-6.6.11-common        	       0        1        0        1        0
44873 linux-headers-6.6.13+bpo-amd64     	       0        7        0        7        0
44874 linux-headers-6.6.13+bpo-common    	       0        7        0        7        0
44875 linux-headers-6.6.13-amd64         	       0        1        0        1        0
44876 linux-headers-6.6.13-common        	       0        1        0        1        0
44877 linux-headers-6.6.15-amd64         	       0        2        0        2        0
44878 linux-headers-6.6.15-common        	       0        2        0        2        0
44879 linux-headers-6.6.30-rt30-x64v3-xanmod1	       0        1        0        1        0
44880 linux-headers-6.6.30-x64v3-xanmod1 	       0        1        0        1        0
44881 linux-headers-6.6.31-rt31-x64v3-xanmod1	       0        1        0        1        0
44882 linux-headers-6.6.31-x64v3-xanmod1 	       0        1        0        1        0
44883 linux-headers-6.6.40-x64v3-xanmod1 	       0        1        0        1        0
44884 linux-headers-6.6.44-x64v1-xanmod1 	       0        1        0        1        0
44885 linux-headers-6.6.46-x64v1-xanmod1 	       0        1        0        1        0
44886 linux-headers-6.6.49-gnu           	       0        1        0        1        0
44887 linux-headers-6.6.52-rt43-x64v3-xanmod1	       0        1        0        1        0
44888 linux-headers-6.6.58               	       0        1        0        1        0
44889 linux-headers-6.6.58-x64v1-xanmod1 	       0        1        0        1        0
44890 linux-headers-6.6.63-x64v1-xanmod1 	       0        2        0        2        0
44891 linux-headers-6.6.64-x64v1-xanmod1 	       0        1        0        1        0
44892 linux-headers-6.6.65-x64v1-xanmod1 	       0        1        0        1        0
44893 linux-headers-6.6.66-x64v1-xanmod1 	       0        1        0        1        0
44894 linux-headers-6.6.70-x64v1-xanmod1 	       0        1        0        1        0
44895 linux-headers-6.6.8-amd64          	       0        1        0        1        0
44896 linux-headers-6.6.8-common         	       0        1        0        1        0
44897 linux-headers-6.6.8-yogabook1      	       0        1        0        1        0
44898 linux-headers-6.6.9-amd64          	       0        1        0        1        0
44899 linux-headers-6.6.9-common         	       0        1        0        1        0
44900 linux-headers-6.7.12+bpo-amd64     	       0        3        0        3        0
44901 linux-headers-6.7.12+bpo-common    	       0        3        0        3        0
44902 linux-headers-6.7.12-amd64         	       0        1        0        1        0
44903 linux-headers-6.7.12-common        	       0        1        0        1        0
44904 linux-headers-6.7.9-amd64          	       0        2        0        2        0
44905 linux-headers-6.7.9-common         	       0        2        0        2        0
44906 linux-headers-6.8.12-amd64         	       0        4        0        4        0
44907 linux-headers-6.8.12-common        	       0        4        0        4        0
44908 linux-headers-6.8.9-amd64          	       0        1        0        1        0
44909 linux-headers-6.8.9-common         	       0        1        0        1        0
44910 linux-headers-6.8.9-x64v3-xanmod1  	       0        1        0        1        0
44911 linux-headers-6.9.10+bpo-amd64     	       0        5        0        5        0
44912 linux-headers-6.9.10+bpo-common    	       0        5        0        5        0
44913 linux-headers-6.9.10-amd64         	       0        1        0        1        0
44914 linux-headers-6.9.10-common        	       0        1        0        1        0
44915 linux-headers-6.9.10-x64v3-xanmod1 	       0        2        0        2        0
44916 linux-headers-6.9.11-1-liquorix-amd64	       0        1        0        1        0
44917 linux-headers-6.9.12-2-liquorix-amd64	       0        1        0        1        0
44918 linux-headers-6.9.12-amd64         	       0        1        0        1        0
44919 linux-headers-6.9.12-common        	       0        1        0        1        0
44920 linux-headers-6.9.6-kirkwood-tld-1 	       0        1        0        1        0
44921 linux-headers-6.9.7+bpo-amd64      	       0        9        0        9        0
44922 linux-headers-6.9.7+bpo-common     	       0        9        0        9        0
44923 linux-headers-6.9.7-amd64          	       0        2        0        2        0
44924 linux-headers-6.9.7-common         	       0        2        0        2        0
44925 linux-headers-6.9.8-amd64          	       0        2        0        2        0
44926 linux-headers-6.9.8-common         	       0        2        0        2        0
44927 linux-headers-6.9.9-x64v3-xanmod1  	       0        1        0        1        0
44928 linux-headers-686                  	       0        4        0        0        4
44929 linux-headers-686-pae              	       0       16        0        0       16
44930 linux-headers-amd64                	       0      848        0        0      848
44931 linux-headers-arm64                	       0        2        0        0        2
44932 linux-headers-bcm2709-rpi-2+3      	       0        1        0        1        0
44933 linux-headers-liquorix-amd64       	       0        5        0        0        5
44934 linux-headers-powerpc64le          	       0        1        0        0        1
44935 linux-headers-rt-amd64             	       0        1        0        0        1
44936 linux-headers-vserver-3.18-beng    	       0        2        0        0        2
44937 linux-headers-vserver-4.4-beng     	       0        6        0        0        6
44938 linux-headers-vserver-4.9-beng     	       0        5        0        0        5
44939 linux-image-2.6-amd64              	       0        8        0        0        8
44940 linux-image-2.6.26-486-voyage      	       0        1        0        1        0
44941 linux-image-2.6.32-5-486           	       0        1        0        1        0
44942 linux-image-3.12-0.bpo.1-amd64     	       0        1        0        1        0
44943 linux-image-3.14-0.bpo.2-amd64     	       0        1        0        1        0
44944 linux-image-3.16-0.bpo.2-686-pae   	       0        1        0        1        0
44945 linux-image-3.16.0-0.bpo.4-586     	       0        1        0        1        0
44946 linux-image-3.16.0-0.bpo.4-amd64   	       0        3        0        1        2
44947 linux-image-3.16.0-10-586          	       0        1        0        1        0
44948 linux-image-3.16.0-10-686-pae      	       0        2        0        2        0
44949 linux-image-3.16.0-10-amd64        	       0        9        0        9        0
44950 linux-image-3.16.0-11-686-pae      	       0        2        0        2        0
44951 linux-image-3.16.0-11-amd64        	       0        9        0        9        0
44952 linux-image-3.16.0-4-686-pae       	       0        6        0        5        1
44953 linux-image-3.16.0-4-amd64         	       0       64        1       62        1
44954 linux-image-3.16.0-4-amd64-dbg     	       0        1        0        1        0
44955 linux-image-3.16.0-5-586           	       0        1        0        1        0
44956 linux-image-3.16.0-5-686-pae       	       0        1        0        1        0
44957 linux-image-3.16.0-5-amd64         	       0       11        1       10        0
44958 linux-image-3.16.0-6-586           	       0        1        0        1        0
44959 linux-image-3.16.0-6-686-pae       	       0        3        0        3        0
44960 linux-image-3.16.0-6-amd64         	       0       23        1       22        0
44961 linux-image-3.16.0-7-586           	       0        1        0        1        0
44962 linux-image-3.16.0-7-686-pae       	       0        1        0        1        0
44963 linux-image-3.16.0-7-amd64         	       0        7        0        7        0
44964 linux-image-3.16.0-8-586           	       0        1        0        1        0
44965 linux-image-3.16.0-8-amd64         	       0        2        0        1        1
44966 linux-image-3.16.0-9-586           	       0        1        0        1        0
44967 linux-image-3.16.0-9-amd64         	       0        1        0        1        0
44968 linux-image-3.16.43-intel-server-64bit	       0        1        0        1        0
44969 linux-image-3.18.91-vs2.3.7.5-beng 	       0        2        0        2        0
44970 linux-image-3.18.98-vs2.3.7.5-beng 	       0        1        0        1        0
44971 linux-image-3.2.0-4-486            	       0        1        0        1        0
44972 linux-image-3.2.0-4-686-pae        	       0        2        0        2        0
44973 linux-image-3.2.0-4-amd64          	       0       11        1       10        0
44974 linux-image-3.2.0-5-amd64          	       0        2        1        1        0
44975 linux-image-3.9-1-amd64            	       0        1        0        1        0
44976 linux-image-4.1.39-ck2-nooesxi     	       0        2        1        1        0
44977 linux-image-4.10.0-rc6-amd64       	       0        1        0        1        0
44978 linux-image-4.14.0-0.bpo.2-amd64   	       0        1        0        1        0
44979 linux-image-4.14.0-0.bpo.3-amd64   	       0        1        0        1        0
44980 linux-image-4.14.0-rc4             	       0        1        0        0        1
44981 linux-image-4.14.0-rc6-snow        	       0        1        0        0        1
44982 linux-image-4.15.0-rc1-snow        	       0        1        0        0        1
44983 linux-image-4.15.9.64              	       0        1        0        1        0
44984 linux-image-4.16.0-0.bpo.2-amd64   	       0        1        0        1        0
44985 linux-image-4.16.0-rc5-snow        	       0        1        0        0        1
44986 linux-image-4.18.0-0.bpo.1-amd64   	       0        1        0        1        0
44987 linux-image-4.18.0-0.bpo.1-cloud-amd64	       0        1        0        1        0
44988 linux-image-4.18.0-2-amd64         	       0        2        0        2        0
44989 linux-image-4.19-amd64             	       0        3        0        0        3
44990 linux-image-4.19.0-0.bpo.1-amd64   	       0        1        0        1        0
44991 linux-image-4.19.0-0.bpo.14-amd64  	       0        1        0        1        0
44992 linux-image-4.19.0-0.bpo.19-amd64  	       0        4        0        4        0
44993 linux-image-4.19.0-0.bpo.2-amd64   	       0        1        0        1        0
44994 linux-image-4.19.0-0.bpo.2-amd64-unsigned	       0        1        0        1        0
44995 linux-image-4.19.0-0.bpo.6-amd64   	       0        2        0        2        0
44996 linux-image-4.19.0-0.bpo.8-amd64   	       0        2        0        1        1
44997 linux-image-4.19.0-0.bpo.9-amd64   	       0        3        0        3        0
44998 linux-image-4.19.0-0.bpo.9-cloud-amd64	       0        1        0        1        0
44999 linux-image-4.19.0-10-amd64        	       0       18        0       17        1
45000 linux-image-4.19.0-11-amd64        	       0       10        0        9        1
45001 linux-image-4.19.0-11-armmp        	       0        1        0        1        0
45002 linux-image-4.19.0-12-amd64        	       0       14        0       13        1
45003 linux-image-4.19.0-12-armmp        	       0        1        0        1        0
45004 linux-image-4.19.0-12-rt-amd64-unsigned	       0        1        0        1        0
45005 linux-image-4.19.0-13-amd64        	       0       29        1       27        1
45006 linux-image-4.19.0-14-rt-amd64     	       0        1        0        0        1
45007 linux-image-4.19.0-16-686          	       0        1        0        1        0
45008 linux-image-4.19.0-16-amd64        	       0       36        0       35        1
45009 linux-image-4.19.0-17-686          	       0        2        0        2        0
45010 linux-image-4.19.0-17-686-pae      	       0        1        0        1        0
45011 linux-image-4.19.0-17-amd64        	       0       42        2       39        1
45012 linux-image-4.19.0-18-686          	       0        3        0        3        0
45013 linux-image-4.19.0-18-amd64        	       0       51        0       49        2
45014 linux-image-4.19.0-19-amd64        	       0        8        0        8        0
45015 linux-image-4.19.0-20-amd64        	       0       32        0       32        0
45016 linux-image-4.19.0-20-rt-amd64-unsigned	       0        1        0        1        0
45017 linux-image-4.19.0-21-686-pae      	       0        2        0        2        0
45018 linux-image-4.19.0-21-amd64        	       0       28        1       27        0
45019 linux-image-4.19.0-21-marvell      	       0        1        0        1        0
45020 linux-image-4.19.0-22-686          	       0        1        0        1        0
45021 linux-image-4.19.0-22-686-pae      	       0        1        0        1        0
45022 linux-image-4.19.0-22-amd64        	       0       25        1       24        0
45023 linux-image-4.19.0-23-686          	       0        1        0        1        0
45024 linux-image-4.19.0-23-686-pae      	       0        2        0        2        0
45025 linux-image-4.19.0-23-amd64        	       0       29        0       28        1
45026 linux-image-4.19.0-24-686          	       0        2        0        2        0
45027 linux-image-4.19.0-24-686-pae      	       0        1        0        1        0
45028 linux-image-4.19.0-24-amd64        	       0       27        1       26        0
45029 linux-image-4.19.0-25-686          	       0        4        0        4        0
45030 linux-image-4.19.0-25-686-pae      	       0        4        0        4        0
45031 linux-image-4.19.0-25-amd64        	       0       45        2       43        0
45032 linux-image-4.19.0-26-686          	       0        7        0        7        0
45033 linux-image-4.19.0-26-686-pae      	       0        5        0        5        0
45034 linux-image-4.19.0-26-amd64        	       0       59        2       57        0
45035 linux-image-4.19.0-27-686          	       0        5        0        5        0
45036 linux-image-4.19.0-27-686-pae      	       0        7        0        7        0
45037 linux-image-4.19.0-3-amd64-unsigned	       0        1        0        1        0
45038 linux-image-4.19.0-4-amd64         	       0        1        0        1        0
45039 linux-image-4.19.0-5-686           	       0        1        0        1        0
45040 linux-image-4.19.0-5-686-pae       	       0        1        0        1        0
45041 linux-image-4.19.0-5-amd64         	       0        4        0        4        0
45042 linux-image-4.19.0-6-686-pae       	       0        1        0        1        0
45043 linux-image-4.19.0-6-amd64         	       0        9        0        8        1
45044 linux-image-4.19.0-6-amd64-dbg     	       0        1        0        1        0
45045 linux-image-4.19.0-8-686-pae       	       0        1        0        1        0
45046 linux-image-4.19.0-8-amd64         	       0       10        0       10        0
45047 linux-image-4.19.0-9-686           	       0        1        0        1        0
45048 linux-image-4.19.0-9-amd64         	       0       55        2       53        0
45049 linux-image-4.19.0-9-amd64-unsigned	       0        1        0        1        0
45050 linux-image-4.19.0-rc3-snow        	       0        1        0        0        1
45051 linux-image-4.19.0-rc6-moose       	       0        1        0        0        1
45052 linux-image-4.19.0-rc6-moosette    	       0        1        0        0        1
45053 linux-image-4.19.0-rc6-pepper      	       0        1        0        0        1
45054 linux-image-4.19.249               	       0        1        0        1        0
45055 linux-image-4.19.251-proxima       	       0        1        0        1        0
45056 linux-image-4.2.0-0.bpo.1-amd64    	       0        1        0        1        0
45057 linux-image-4.20.0-rc5-snow        	       0        1        0        0        1
45058 linux-image-4.3.0-0.bpo.1-amd64    	       0        2        0        1        1
45059 linux-image-4.4.0-0.bpo.1-amd64    	       0        1        0        0        1
45060 linux-image-4.4.144-vs2.3.9.7.2-beng	       0        4        0        4        0
45061 linux-image-4.4.202-vs2.3.9.8-beng 	       0        5        0        5        0
45062 linux-image-4.4.227-vs2.3.9.9-beng 	       0        1        0        1        0
45063 linux-image-4.5.0-0.bpo.1-amd64    	       0        1        0        0        1
45064 linux-image-4.5.0-0.bpo.2-amd64    	       0        1        0        0        1
45065 linux-image-4.6.0-0.bpo.1-amd64    	       0        1        0        0        1
45066 linux-image-4.7.0-0.bpo.1-amd64    	       0        3        0        2        1
45067 linux-image-4.8.0-0.bpo.2-amd64    	       0        2        0        1        1
45068 linux-image-4.8.0-2-amd64          	       0        1        0        1        0
45069 linux-image-4.9-amd64              	       0        1        0        0        1
45070 linux-image-4.9.0-0.bpo.1-amd64-unsigned	       0        1        0        0        1
45071 linux-image-4.9.0-0.bpo.12-686     	       0        1        0        1        0
45072 linux-image-4.9.0-0.bpo.12-amd64   	       0        1        0        1        0
45073 linux-image-4.9.0-0.bpo.2-amd64    	       0        2        0        1        1
45074 linux-image-4.9.0-0.bpo.2-rt-amd64 	       0        1        0        1        0
45075 linux-image-4.9.0-0.bpo.3-amd64    	       0        3        0        2        1
45076 linux-image-4.9.0-0.bpo.4-686-pae  	       0        1        0        0        1
45077 linux-image-4.9.0-0.bpo.4-amd64    	       0        2        0        1        1
45078 linux-image-4.9.0-0.bpo.5-amd64    	       0        5        0        4        1
45079 linux-image-4.9.0-0.bpo.6-amd64    	       0        4        0        4        0
45080 linux-image-4.9.0-1-amd64          	       0        1        0        1        0
45081 linux-image-4.9.0-11-686           	       0        3        0        3        0
45082 linux-image-4.9.0-11-686-pae       	       0        6        0        6        0
45083 linux-image-4.9.0-11-amd64         	       0       53        2       49        2
45084 linux-image-4.9.0-12-686           	       0        3        0        2        1
45085 linux-image-4.9.0-12-686-dbg       	       0        1        0        1        0
45086 linux-image-4.9.0-12-686-pae       	       0        4        0        3        1
45087 linux-image-4.9.0-12-686-pae-dbg   	       0        1        0        1        0
45088 linux-image-4.9.0-12-amd64         	       0       34        0       32        2
45089 linux-image-4.9.0-13-686           	       0        2        0        2        0
45090 linux-image-4.9.0-13-686-pae       	       0        7        0        7        0
45091 linux-image-4.9.0-13-amd64         	       0       24        0       22        2
45092 linux-image-4.9.0-14-686           	       0        1        0        1        0
45093 linux-image-4.9.0-14-686-pae       	       0        2        0        2        0
45094 linux-image-4.9.0-14-amd64         	       0       23        0       21        2
45095 linux-image-4.9.0-15-686           	       0        1        0        1        0
45096 linux-image-4.9.0-15-amd64         	       0       22        0       20        2
45097 linux-image-4.9.0-16-686           	       0        2        0        2        0
45098 linux-image-4.9.0-16-686-pae       	       0        1        0        1        0
45099 linux-image-4.9.0-16-amd64         	       0       25        1       23        1
45100 linux-image-4.9.0-17-686           	       0        2        0        2        0
45101 linux-image-4.9.0-17-amd64         	       0       18        1       17        0
45102 linux-image-4.9.0-18-686           	       0        1        0        1        0
45103 linux-image-4.9.0-18-amd64         	       0       29        1       27        1
45104 linux-image-4.9.0-19-686           	       0        3        0        3        0
45105 linux-image-4.9.0-19-686-pae       	       0        4        0        4        0
45106 linux-image-4.9.0-19-amd64         	       0       52        1       51        0
45107 linux-image-4.9.0-2-amd64          	       0        3        0        3        0
45108 linux-image-4.9.0-3-amd64          	       0        1        0        1        0
45109 linux-image-4.9.0-3-rt-amd64       	       0        1        0        1        0
45110 linux-image-4.9.0-4-686-pae        	       0        1        0        1        0
45111 linux-image-4.9.0-4-amd64          	       0        5        0        5        0
45112 linux-image-4.9.0-5-686-pae        	       0        1        0        1        0
45113 linux-image-4.9.0-5-amd64          	       0        6        0        6        0
45114 linux-image-4.9.0-6-686            	       0        3        0        3        0
45115 linux-image-4.9.0-6-686-pae        	       0       15        0       14        1
45116 linux-image-4.9.0-6-amd64          	       0       74        0       71        3
45117 linux-image-4.9.0-7-amd64          	       0       15        1       14        0
45118 linux-image-4.9.0-8-686            	       0        1        0        1        0
45119 linux-image-4.9.0-8-686-pae        	       0        4        0        4        0
45120 linux-image-4.9.0-8-amd64          	       0       37        0       35        2
45121 linux-image-4.9.0-9-686            	       0        1        0        1        0
45122 linux-image-4.9.0-9-686-pae        	       0        1        0        1        0
45123 linux-image-4.9.0-9-amd64          	       0       18        0       17        1
45124 linux-image-4.9.164-vs2.3.9.8-beng 	       0        1        0        1        0
45125 linux-image-4.9.18-phenom          	       0        1        0        1        0
45126 linux-image-4.9.202-vs2.3.9.9-beng 	       0        3        0        3        0
45127 linux-image-4.9.227-vs2.3.9.12-beng	       0        7        1        6        0
45128 linux-image-486                    	       0        2        0        0        2
45129 linux-image-5.0.0-rc5-moose        	       0        1        0        0        1
45130 linux-image-5.0.0-rc5-pepper       	       0        1        0        0        1
45131 linux-image-5.0.0-rc5-snow         	       0        1        0        0        1
45132 linux-image-5.1.0+                 	       0        1        0        1        0
45133 linux-image-5.1.0+-dbg             	       0        1        0        1        0
45134 linux-image-5.10-amd64             	       0        8        0        0        8
45135 linux-image-5.10-rt-amd64          	       0        1        0        0        1
45136 linux-image-5.10.0-0.bpo.12-amd64  	       0        4        0        4        0
45137 linux-image-5.10.0-0.bpo.15-amd64  	       0        4        0        4        0
45138 linux-image-5.10.0-0.bpo.3-amd64   	       0        2        0        2        0
45139 linux-image-5.10.0-0.bpo.4-686     	       0        1        0        1        0
45140 linux-image-5.10.0-0.bpo.4-amd64   	       0        1        0        1        0
45141 linux-image-5.10.0-0.bpo.5-amd64   	       0        6        0        6        0
45142 linux-image-5.10.0-0.bpo.7-amd64   	       0        6        0        6        0
45143 linux-image-5.10.0-0.bpo.7-arm64   	       0        1        0        1        0
45144 linux-image-5.10.0-0.bpo.8-amd64   	       0        1        0        1        0
45145 linux-image-5.10.0-0.bpo.9-amd64   	       0        2        0        2        0
45146 linux-image-5.10.0-0.deb10.16-amd64	       0        5        0        5        0
45147 linux-image-5.10.0-0.deb10.16-arm64	       0        1        0        1        0
45148 linux-image-5.10.0-0.deb10.17-amd64	       0        1        0        1        0
45149 linux-image-5.10.0-0.deb10.19-amd64	       0        2        0        2        0
45150 linux-image-5.10.0-0.deb10.20-amd64	       0        1        0        1        0
45151 linux-image-5.10.0-0.deb10.20-rt-amd64	       0        1        0        1        0
45152 linux-image-5.10.0-0.deb10.21-amd64	       0        1        0        1        0
45153 linux-image-5.10.0-0.deb10.23-amd64	       0        1        0        1        0
45154 linux-image-5.10.0-0.deb10.24-amd64	       0        1        0        1        0
45155 linux-image-5.10.0-0.deb10.26-amd64	       0        2        0        2        0
45156 linux-image-5.10.0-0.deb10.28-amd64	       0        4        0        4        0
45157 linux-image-5.10.0-0.deb10.30-amd64	       0        1        0        1        0
45158 linux-image-5.10.0-1-amd64         	       0        1        0        1        0
45159 linux-image-5.10.0-10-686          	       0        1        0        1        0
45160 linux-image-5.10.0-10-amd64        	       0       26        0       25        1
45161 linux-image-5.10.0-11-686          	       0        1        0        1        0
45162 linux-image-5.10.0-11-686-pae      	       0        1        0        1        0
45163 linux-image-5.10.0-11-amd64        	       0       37        1       35        1
45164 linux-image-5.10.0-12-686          	       0        3        0        3        0
45165 linux-image-5.10.0-12-amd64        	       0       17        0       16        1
45166 linux-image-5.10.0-13-686          	       0        4        0        4        0
45167 linux-image-5.10.0-13-686-pae      	       0        1        0        1        0
45168 linux-image-5.10.0-13-amd64        	       0       30        0       28        2
45169 linux-image-5.10.0-14-686          	       0        1        0        1        0
45170 linux-image-5.10.0-14-amd64        	       0       27        0       26        1
45171 linux-image-5.10.0-14-amd64-unsigned	       0        1        1        0        0
45172 linux-image-5.10.0-15-686          	       0        3        0        3        0
45173 linux-image-5.10.0-15-amd64        	       0       25        0       24        1
45174 linux-image-5.10.0-15-cloud-amd64  	       0        1        0        1        0
45175 linux-image-5.10.0-15-cloud-amd64-unsigned	       0        1        0        1        0
45176 linux-image-5.10.0-16-686          	       0        2        0        2        0
45177 linux-image-5.10.0-16-amd64        	       0       26        0       25        1
45178 linux-image-5.10.0-16-amd64-dbg    	       0        1        0        1        0
45179 linux-image-5.10.0-16-powerpc64le  	       0        1        0        1        0
45180 linux-image-5.10.0-17-686          	       0        2        0        2        0
45181 linux-image-5.10.0-17-686-pae      	       0        2        0        2        0
45182 linux-image-5.10.0-17-amd64        	       0       25        0       24        1
45183 linux-image-5.10.0-17-powerpc64le  	       0        1        0        1        0
45184 linux-image-5.10.0-18-686          	       0        2        0        2        0
45185 linux-image-5.10.0-18-amd64        	       0       41        0       40        1
45186 linux-image-5.10.0-18-cloud-amd64  	       0        1        0        1        0
45187 linux-image-5.10.0-18-powerpc64le  	       0        1        0        1        0
45188 linux-image-5.10.0-19-686          	       0        3        0        3        0
45189 linux-image-5.10.0-19-686-pae      	       0        1        0        1        0
45190 linux-image-5.10.0-19-amd64        	       0       58        0       57        1
45191 linux-image-5.10.0-19-powerpc64le  	       0        1        0        1        0
45192 linux-image-5.10.0-2-amd64         	       0        2        0        2        0
45193 linux-image-5.10.0-20-686          	       0        4        0        4        0
45194 linux-image-5.10.0-20-686-pae      	       0        2        0        2        0
45195 linux-image-5.10.0-20-amd64        	       0       57        0       56        1
45196 linux-image-5.10.0-20-cloud-amd64  	       0        1        0        1        0
45197 linux-image-5.10.0-21-686          	       0        5        0        5        0
45198 linux-image-5.10.0-21-686-pae      	       0        1        0        1        0
45199 linux-image-5.10.0-21-amd64        	       0       95        0       95        0
45200 linux-image-5.10.0-21-cloud-amd64  	       0        1        0        1        0
45201 linux-image-5.10.0-22-686          	       0        2        0        2        0
45202 linux-image-5.10.0-22-amd64        	       0       27        0       27        0
45203 linux-image-5.10.0-23-686          	       0        8        0        7        1
45204 linux-image-5.10.0-23-amd64        	       0       90        1       88        1
45205 linux-image-5.10.0-23-amd64-dbg    	       0        1        0        1        0
45206 linux-image-5.10.0-23-rt-amd64     	       0        2        0        2        0
45207 linux-image-5.10.0-24-686          	       0        2        0        2        0
45208 linux-image-5.10.0-24-amd64        	       0       25        1       24        0
45209 linux-image-5.10.0-25-686          	       0        3        0        3        0
45210 linux-image-5.10.0-25-686-pae      	       0        3        0        3        0
45211 linux-image-5.10.0-25-amd64        	       0       71        3       67        1
45212 linux-image-5.10.0-25-arm64        	       0        1        0        1        0
45213 linux-image-5.10.0-26-686          	       0        7        0        7        0
45214 linux-image-5.10.0-26-686-pae      	       0        2        0        2        0
45215 linux-image-5.10.0-26-amd64        	       0      121        1      119        1
45216 linux-image-5.10.0-26-amd64-unsigned	       0        1        0        1        0
45217 linux-image-5.10.0-26-arm64        	       0        1        0        1        0
45218 linux-image-5.10.0-26-rt-amd64     	       0        2        0        2        0
45219 linux-image-5.10.0-27-686          	       0        2        0        2        0
45220 linux-image-5.10.0-27-amd64        	       0       64        1       62        1
45221 linux-image-5.10.0-27-rt-amd64     	       0        1        0        1        0
45222 linux-image-5.10.0-28-686          	       0        6        0        6        0
45223 linux-image-5.10.0-28-686-pae      	       0        2        0        2        0
45224 linux-image-5.10.0-28-amd64        	       0      123        2      120        1
45225 linux-image-5.10.0-28-rt-amd64     	       0        1        0        1        0
45226 linux-image-5.10.0-29-686          	       0        2        0        2        0
45227 linux-image-5.10.0-29-686-pae      	       0        1        0        1        0
45228 linux-image-5.10.0-29-amd64        	       0       68        2       66        0
45229 linux-image-5.10.0-3-amd64         	       0        3        0        3        0
45230 linux-image-5.10.0-30-686          	       0        2        0        2        0
45231 linux-image-5.10.0-30-686-pae      	       0        1        0        1        0
45232 linux-image-5.10.0-30-amd64        	       0       93        4       89        0
45233 linux-image-5.10.0-30-cloud-amd64  	       0        1        0        1        0
45234 linux-image-5.10.0-31-686          	       0        2        0        2        0
45235 linux-image-5.10.0-31-686-pae      	       0        2        0        2        0
45236 linux-image-5.10.0-31-amd64        	       0       68        3       65        0
45237 linux-image-5.10.0-32-686          	       0        7        0        7        0
45238 linux-image-5.10.0-32-686-pae      	       0        4        0        4        0
45239 linux-image-5.10.0-32-amd64        	       0      155        1      153        1
45240 linux-image-5.10.0-32-cloud-amd64  	       0        1        1        0        0
45241 linux-image-5.10.0-33-686-pae      	       0        4        0        4        0
45242 linux-image-5.10.0-33-amd64-dbg    	       0        1        0        1        0
45243 linux-image-5.10.0-33-cloud-amd64  	       0        1        1        0        0
45244 linux-image-5.10.0-33-rt-amd64     	       0        2        0        2        0
45245 linux-image-5.10.0-4-amd64         	       0        2        0        2        0
45246 linux-image-5.10.0-5-686           	       0        1        0        0        1
45247 linux-image-5.10.0-5-686-pae-unsigned	       0        1        0        0        1
45248 linux-image-5.10.0-6-686           	       0        1        0        0        1
45249 linux-image-5.10.0-6-amd64         	       0        3        0        3        0
45250 linux-image-5.10.0-6-rt-amd64      	       0        1        0        1        0
45251 linux-image-5.10.0-7-amd64         	       0        1        0        1        0
45252 linux-image-5.10.0-8-686-pae       	       0        1        0        1        0
45253 linux-image-5.10.0-8-amd64         	       0       17        0       17        0
45254 linux-image-5.10.0-9-686-pae       	       0        1        0        1        0
45255 linux-image-5.10.0-9-amd64-unsigned	       0        1        0        1        0
45256 linux-image-5.10.1-gnu             	       0        1        0        1        0
45257 linux-image-5.10.106               	       0        1        0        1        0
45258 linux-image-5.10.113               	       0        1        1        0        0
45259 linux-image-5.10.180-olimex        	       0        1        0        1        0
45260 linux-image-5.10.191               	       0        1        0        1        0
45261 linux-image-5.10.22                	       0        1        0        0        1
45262 linux-image-5.10.30-m              	       0        1        0        0        1
45263 linux-image-5.10.30-moose          	       0        1        0        0        1
45264 linux-image-5.10.36-moose          	       0        1        0        0        1
45265 linux-image-5.10.41+               	       0        1        0        1        0
45266 linux-image-5.10.9-castillo        	       0        1        0        1        0
45267 linux-image-5.11.1-moose           	       0        1        0        0        1
45268 linux-image-5.11.11                	       0        1        0        0        1
45269 linux-image-5.11.15-moose          	       0        1        0        0        1
45270 linux-image-5.12.0-moose           	       0        1        0        0        1
45271 linux-image-5.12.0-pepper          	       0        1        0        0        1
45272 linux-image-5.12.0-rc4             	       0        1        0        0        1
45273 linux-image-5.12.0-rc4-moose       	       0        1        0        0        1
45274 linux-image-5.12.0-rc4-moosette    	       0        1        0        0        1
45275 linux-image-5.12.0-rc5-moose       	       0        1        0        0        1
45276 linux-image-5.12.0-rc6-moose       	       0        1        0        0        1
45277 linux-image-5.12.0-rc8-pepper      	       0        1        0        0        1
45278 linux-image-5.13.0-rc4-pepper      	       0        1        0        0        1
45279 linux-image-5.13.0-rc5-pepper      	       0        1        0        0        1
45280 linux-image-5.14.0-0.bpo.2-amd64   	       0        3        0        2        1
45281 linux-image-5.14.0-rc5-pepper      	       0        1        0        0        1
45282 linux-image-5.15.0                 	       0        1        1        0        0
45283 linux-image-5.15.0-0.bpo.2-686-pae-unsigned	       0        1        0        1        0
45284 linux-image-5.15.0-0.bpo.2-amd64   	       0        4        0        4        0
45285 linux-image-5.15.0-1-amd64         	       0        1        0        1        0
45286 linux-image-5.15.0-2-amd64         	       0        3        0        3        0
45287 linux-image-5.15.0-2-rt-amd64      	       0        1        0        1        0
45288 linux-image-5.15.0-3-amd64         	       0        2        0        2        0
45289 linux-image-5.15.0-rc5-pepper      	       0        1        0        1        0
45290 linux-image-5.15.0-rc6-moose       	       0        1        0        0        1
45291 linux-image-5.15.173-gnu           	       0        1        0        1        0
45292 linux-image-5.15.25-atom           	       0        1        0        1        0
45293 linux-image-5.15.32                	       0        1        0        1        0
45294 linux-image-5.15.4-moose           	       0        1        0        0        1
45295 linux-image-5.15.4-pepper          	       0        1        0        1        0
45296 linux-image-5.15.4-pogo            	       0        1        0        0        1
45297 linux-image-5.15.5-jae             	       0        1        0        1        0
45298 linux-image-5.15.78-xanmod1        	       0        1        0        1        0
45299 linux-image-5.15.81-xanmod1        	       0        1        0        1        0
45300 linux-image-5.15.84-xanmod1        	       0        1        0        1        0
45301 linux-image-5.15.87-xanmod1        	       0        1        0        1        0
45302 linux-image-5.15.89-xanmod1        	       0        1        0        1        0
45303 linux-image-5.16.0-0.bpo.4-amd64   	       0        1        0        1        0
45304 linux-image-5.16.0-0.bpo.4-amd64-unsigned	       0        1        0        1        0
45305 linux-image-5.16.0-0.bpo.4-rt-amd64	       0        1        0        1        0
45306 linux-image-5.16.0-0.bpo.4-rt-amd64-unsigned	       0        1        0        1        0
45307 linux-image-5.16.0-1-amd64         	       0        2        0        2        0
45308 linux-image-5.16.0-2-amd64         	       0        1        0        1        0
45309 linux-image-5.16.0-3-amd64         	       0        2        0        2        0
45310 linux-image-5.16.0-4-amd64         	       0        3        0        3        0
45311 linux-image-5.16.0-5-amd64         	       0        4        0        4        0
45312 linux-image-5.16.0-6-amd64         	       0        4        0        4        0
45313 linux-image-5.17.0-1-686           	       0        1        0        1        0
45314 linux-image-5.17.0-1-amd64         	       0        3        0        3        0
45315 linux-image-5.17.0-2-amd64         	       0        1        0        1        0
45316 linux-image-5.17.0-3-amd64         	       0        1        0        1        0
45317 linux-image-5.17.0-moose           	       0        1        0        0        1
45318 linux-image-5.17.0-pepper          	       0        1        0        1        0
45319 linux-image-5.17.0-rc6-moose       	       0        1        0        0        1
45320 linux-image-5.17.0-rc6-pepper      	       0        1        0        1        0
45321 linux-image-5.17.8                 	       0        1        0        1        0
45322 linux-image-5.18.0-0.bpo.1-amd64   	       0        3        0        3        0
45323 linux-image-5.18.0-0.deb11.4-amd64 	       0        1        0        1        0
45324 linux-image-5.18.0-1-amd64         	       0        1        0        1        0
45325 linux-image-5.18.0-1-rt-amd64-unsigned	       0        1        0        1        0
45326 linux-image-5.18.0-2-686           	       0        1        0        1        0
45327 linux-image-5.18.0-2-amd64         	       0        4        0        4        0
45328 linux-image-5.18.0-3-amd64         	       0        5        0        5        0
45329 linux-image-5.18.0-4-amd64         	       0        3        0        3        0
45330 linux-image-5.19.0-0.deb11.2-686-pae	       0        1        0        1        0
45331 linux-image-5.19.0-0.deb11.2-amd64 	       0        5        0        5        0
45332 linux-image-5.19.0-1-amd64         	       0        3        0        3        0
45333 linux-image-5.19.0-2-amd64         	       0        5        0        4        1
45334 linux-image-5.2.0-2-amd64          	       0        1        0        1        0
45335 linux-image-5.2.0-3-amd64          	       0        1        0        1        0
45336 linux-image-5.2.1-diglator.15      	       0        1        0        1        0
45337 linux-image-5.2.13-19.09.09.amdgpu 	       0        1        0        1        0
45338 linux-image-5.3.0-2-amd64          	       0        1        0        1        0
45339 linux-image-5.4.0-0.bpo.3-amd64    	       0        1        0        1        0
45340 linux-image-5.4.0-0.bpo.4-amd64-unsigned	       0        2        0        2        0
45341 linux-image-5.4.0-1862405280420-generic	       0        1        0        0        1
45342 linux-image-5.4.0-4-amd64          	       0        1        0        1        0
45343 linux-image-5.4.111-moose          	       0        1        0        0        1
45344 linux-image-5.4.111-mse            	       0        1        0        0        1
45345 linux-image-5.4.13                 	       0        1        0        1        0
45346 linux-image-5.5.0-0.bpo.2-amd64    	       0        1        0        1        0
45347 linux-image-5.5.0-rc2-snow         	       0        1        0        0        1
45348 linux-image-5.6.0-0.bpo.2-amd64    	       0        1        0        1        0
45349 linux-image-5.6.0-2-amd64-unsigned 	       0        1        0        1        0
45350 linux-image-5.6.0-rc4-snow         	       0        1        0        0        1
45351 linux-image-5.6.4-rt3              	       0        1        0        1        0
45352 linux-image-5.7.0-0.bpo.2-amd64    	       0        1        0        1        0
45353 linux-image-5.7.0-1-amd64-unsigned 	       0        1        0        1        0
45354 linux-image-5.7.0-3-amd64          	       0        1        0        1        0
45355 linux-image-5.7.19-moose           	       0        1        0        0        1
45356 linux-image-5.8.0-0.bpo.2-amd64    	       0        2        0        2        0
45357 linux-image-5.9.0-0.bpo.5-amd64-dbg	       0        1        0        1        0
45358 linux-image-5.9.0-0.bpo.5-arm64    	       0        1        0        1        0
45359 linux-image-5.9.0-0.bpo.5-rt-amd64 	       0        1        0        1        0
45360 linux-image-5.9.0-1-amd64          	       0        2        0        2        0
45361 linux-image-5.9.0-4-amd64          	       0        1        0        1        0
45362 linux-image-5.9.0-5-amd64          	       0        2        0        2        0
45363 linux-image-586                    	       0        4        0        0        4
45364 linux-image-6.0.0-0.deb11.2-amd64-unsigned	       0        1        0        1        0
45365 linux-image-6.0.0-0.deb11.6-amd64  	       0        6        0        6        0
45366 linux-image-6.0.0-0.deb11.6-amd64-unsigned	       0        1        0        1        0
45367 linux-image-6.0.0-1-amd64          	       0        1        0        1        0
45368 linux-image-6.0.0-3-amd64          	       0        1        0        1        0
45369 linux-image-6.0.0-4-amd64          	       0        1        0        1        0
45370 linux-image-6.0.0-5-amd64          	       0        2        0        2        0
45371 linux-image-6.0.0-6-686            	       0        1        0        1        0
45372 linux-image-6.0.0-6-amd64          	       0       10        0       10        0
45373 linux-image-6.0.0-rc1-moose        	       0        1        0        0        1
45374 linux-image-6.0.0-rc6-moose        	       0        1        0        0        1
45375 linux-image-6.0.11                 	       0        1        0        1        0
45376 linux-image-6.0.7-moose            	       0        1        0        0        1
45377 linux-image-6.0.9-surface          	       0        1        0        1        0
45378 linux-image-6.1.0-0.deb11.11-amd64 	       0        4        0        4        0
45379 linux-image-6.1.0-0.deb11.13-amd64 	       0        6        0        6        0
45380 linux-image-6.1.0-0.deb11.13-rt-amd64	       0        1        0        1        0
45381 linux-image-6.1.0-0.deb11.17-amd64 	       0        9        1        8        0
45382 linux-image-6.1.0-0.deb11.17-amd64-unsigned	       0        1        0        1        0
45383 linux-image-6.1.0-0.deb11.18-amd64 	       0        1        0        1        0
45384 linux-image-6.1.0-0.deb11.21-686-pae	       0        1        0        1        0
45385 linux-image-6.1.0-0.deb11.21-amd64 	       0       12        2       10        0
45386 linux-image-6.1.0-0.deb11.21-rt-amd64	       0        1        0        1        0
45387 linux-image-6.1.0-0.deb11.26-amd64-unsigned	       0        1        0        1        0
45388 linux-image-6.1.0-0.deb11.28-amd64 	       0        1        0        1        0
45389 linux-image-6.1.0-0.deb11.5-amd64  	       0        4        0        4        0
45390 linux-image-6.1.0-0.deb11.6-amd64  	       0        1        0        1        0
45391 linux-image-6.1.0-0.deb11.7-amd64  	       0        6        0        6        0
45392 linux-image-6.1.0-0.deb11.7-amd64-unsigned	       0        2        0        2        0
45393 linux-image-6.1.0-0.deb11.9-amd64  	       0        2        0        2        0
45394 linux-image-6.1.0-1-amd64          	       0        1        0        1        0
45395 linux-image-6.1.0-10-686-pae       	       0        4        0        4        0
45396 linux-image-6.1.0-10-rt-amd64      	       0        1        0        1        0
45397 linux-image-6.1.0-11-686           	       0        1        0        1        0
45398 linux-image-6.1.0-11-amd64         	       0       48        1       47        0
45399 linux-image-6.1.0-11-amd64-dbg     	       0        1        0        1        0
45400 linux-image-6.1.0-12-686           	       0        2        0        2        0
45401 linux-image-6.1.0-12-686-pae       	       0        2        0        2        0
45402 linux-image-6.1.0-12-amd64         	       0       44        2       42        0
45403 linux-image-6.1.0-12-amd64-dbg     	       0        1        0        1        0
45404 linux-image-6.1.0-12-cloud-amd64   	       0        1        0        1        0
45405 linux-image-6.1.0-12-cloud-amd64-dbg	       0        1        0        1        0
45406 linux-image-6.1.0-12-rt-amd64      	       0        1        0        1        0
45407 linux-image-6.1.0-12-rt-amd64-dbg  	       0        1        0        1        0
45408 linux-image-6.1.0-13-686           	       0        5        0        5        0
45409 linux-image-6.1.0-13-amd64         	       0      113        1      111        1
45410 linux-image-6.1.0-13-amd64-dbg     	       0        1        0        1        0
45411 linux-image-6.1.0-13-cloud-amd64   	       0        1        0        1        0
45412 linux-image-6.1.0-13-cloud-amd64-dbg	       0        1        0        1        0
45413 linux-image-6.1.0-13-rt-amd64      	       0        2        0        2        0
45414 linux-image-6.1.0-13-rt-amd64-dbg  	       0        1        0        1        0
45415 linux-image-6.1.0-14-amd64         	       0        6        0        6        0
45416 linux-image-6.1.0-15-686-pae       	       0        1        0        1        0
45417 linux-image-6.1.0-15-amd64         	       0       34        1       33        0
45418 linux-image-6.1.0-15-arm64         	       0        1        0        1        0
45419 linux-image-6.1.0-16-amd64         	       0       70        1       68        1
45420 linux-image-6.1.0-17-686           	       0        2        0        2        0
45421 linux-image-6.1.0-17-686-pae       	       0        1        0        1        0
45422 linux-image-6.1.0-17-amd64         	       0      130        4      125        1
45423 linux-image-6.1.0-17-amd64-dbg     	       0        1        0        1        0
45424 linux-image-6.1.0-17-amd64-unsigned	       0        1        0        1        0
45425 linux-image-6.1.0-17-rt-amd64      	       0        1        0        1        0
45426 linux-image-6.1.0-18-686           	       0        5        0        5        0
45427 linux-image-6.1.0-18-686-pae       	       0        5        0        4        1
45428 linux-image-6.1.0-18-amd64         	       0      184        2      181        1
45429 linux-image-6.1.0-18-amd64-unsigned	       0        5        0        5        0
45430 linux-image-6.1.0-18-arm64         	       0        1        0        1        0
45431 linux-image-6.1.0-18-cloud-amd64   	       0        1        0        1        0
45432 linux-image-6.1.0-18-cloud-amd64-unsigned	       0        5        0        5        0
45433 linux-image-6.1.0-18-powerpc64le   	       0        1        1        0        0
45434 linux-image-6.1.0-18-rpi           	       0        1        0        1        0
45435 linux-image-6.1.0-18-rt-amd64      	       0        1        0        1        0
45436 linux-image-6.1.0-18-rt-amd64-unsigned	       0        5        0        5        0
45437 linux-image-6.1.0-19-amd64         	       0        4        0        4        0
45438 linux-image-6.1.0-2-amd64          	       0        2        0        2        0
45439 linux-image-6.1.0-2.frea-amd64-unsigned	       0        1        0        1        0
45440 linux-image-6.1.0-20-686           	       0        3        0        3        0
45441 linux-image-6.1.0-20-686-pae       	       0        1        0        1        0
45442 linux-image-6.1.0-20-amd64         	       0      115        0      115        0
45443 linux-image-6.1.0-20-amd64-dbg     	       0        1        0        1        0
45444 linux-image-6.1.0-21-686           	       0        7        0        7        0
45445 linux-image-6.1.0-21-686-pae       	       0        2        0        2        0
45446 linux-image-6.1.0-21-amd64         	       0      254        2      251        1
45447 linux-image-6.1.0-21-amd64-unsigned	       0        1        0        1        0
45448 linux-image-6.1.0-21-arm64         	       0        1        0        1        0
45449 linux-image-6.1.0-21-powerpc64le   	       0        1        1        0        0
45450 linux-image-6.1.0-21-rt-amd64      	       0        2        0        2        0
45451 linux-image-6.1.0-21-rt-amd64-dbg  	       0        1        0        1        0
45452 linux-image-6.1.0-22-686           	       0        5        0        5        0
45453 linux-image-6.1.0-22-686-pae       	       0        2        0        2        0
45454 linux-image-6.1.0-22-amd64         	       0      193        1      192        0
45455 linux-image-6.1.0-22-cloud-amd64   	       0        2        0        2        0
45456 linux-image-6.1.0-22-rt-amd64      	       0        1        0        1        0
45457 linux-image-6.1.0-23-686           	       0       14        0       14        0
45458 linux-image-6.1.0-23-686-pae       	       0        4        0        3        1
45459 linux-image-6.1.0-23-amd64         	       0      395        3      392        0
45460 linux-image-6.1.0-23-arm64         	       0        2        0        2        0
45461 linux-image-6.1.0-23-rpi           	       0        1        0        1        0
45462 linux-image-6.1.0-23-rt-amd64      	       0        2        0        2        0
45463 linux-image-6.1.0-24-amd64         	       0        2        0        2        0
45464 linux-image-6.1.0-25-686           	       0       23        1       22        0
45465 linux-image-6.1.0-25-686-pae       	       0        4        1        1        2
45466 linux-image-6.1.0-25-amd64         	       0      539        5      534        0
45467 linux-image-6.1.0-25-amd64-dbg     	       0        1        0        1        0
45468 linux-image-6.1.0-25-amd64-unsigned	       0        1        0        1        0
45469 linux-image-6.1.0-25-armmp         	       0        1        0        1        0
45470 linux-image-6.1.0-25-cloud-amd64   	       0        1        0        1        0
45471 linux-image-6.1.0-25-cloud-amd64-unsigned	       0        1        0        1        0
45472 linux-image-6.1.0-25-powerpc64le   	       0        2        1        1        0
45473 linux-image-6.1.0-25-rpi           	       0        3        0        3        0
45474 linux-image-6.1.0-25-rt-amd64      	       0        5        0        4        1
45475 linux-image-6.1.0-25-rt-amd64-unsigned	       0        1        0        1        0
45476 linux-image-6.1.0-26-686           	       0       20        0       20        0
45477 linux-image-6.1.0-26-686-pae       	       0        4        0        4        0
45478 linux-image-6.1.0-26-amd64         	       0      455        5      450        0
45479 linux-image-6.1.0-26-amd64-unsigned	       0        1        0        1        0
45480 linux-image-6.1.0-26-armmp         	       0        1        0        1        0
45481 linux-image-6.1.0-26-armmp-lpae    	       0        1        0        1        0
45482 linux-image-6.1.0-26-rpi           	       0        2        0        2        0
45483 linux-image-6.1.0-26-rt-amd64      	       0        3        0        3        0
45484 linux-image-6.1.0-27-686           	       0       11        0       11        0
45485 linux-image-6.1.0-27-686-pae       	       0        5        0        5        0
45486 linux-image-6.1.0-27-amd64         	       0      336        4      331        1
45487 linux-image-6.1.0-27-cloud-amd64   	       0        1        0        1        0
45488 linux-image-6.1.0-27-rpi           	       0        2        0        2        0
45489 linux-image-6.1.0-27-rt-amd64      	       0        3        0        3        0
45490 linux-image-6.1.0-28-686           	       0       18        0       18        0
45491 linux-image-6.1.0-28-686-pae       	       0        6        0        6        0
45492 linux-image-6.1.0-28-amd64         	       0      601       11      588        2
45493 linux-image-6.1.0-28-arm64         	       0        2        1        1        0
45494 linux-image-6.1.0-28-armmp         	       0        2        0        2        0
45495 linux-image-6.1.0-28-armmp-lpae    	       0        1        0        1        0
45496 linux-image-6.1.0-28-cloud-amd64   	       0        2        0        2        0
45497 linux-image-6.1.0-28-powerpc64le   	       0        1        1        0        0
45498 linux-image-6.1.0-28-rpi           	       0        2        0        2        0
45499 linux-image-6.1.0-28-rt-amd64      	       0        5        0        5        0
45500 linux-image-6.1.0-29-686           	       0        4        0        4        0
45501 linux-image-6.1.0-29-powerpc64le   	       0        1        0        1        0
45502 linux-image-6.1.0-3-amd64          	       0        5        0        5        0
45503 linux-image-6.1.0-30-686-pae       	       0        3        0        3        0
45504 linux-image-6.1.0-30-amd64-unsigned	       0        1        0        1        0
45505 linux-image-6.1.0-30-armmp         	       0        1        0        1        0
45506 linux-image-6.1.0-30-armmp-lpae    	       0        1        0        1        0
45507 linux-image-6.1.0-30-cloud-amd64   	       0        2        1        1        0
45508 linux-image-6.1.0-30-rpi           	       0        1        0        1        0
45509 linux-image-6.1.0-30-rt-amd64      	       0        3        0        3        0
45510 linux-image-6.1.0-31-cloud-amd64   	       0        1        1        0        0
45511 linux-image-6.1.0-4-amd64          	       0        2        0        2        0
45512 linux-image-6.1.0-5-amd64          	       0        3        0        2        1
45513 linux-image-6.1.0-6-amd64          	       0        8        0        8        0
45514 linux-image-6.1.0-7-amd64          	       0       11        0       11        0
45515 linux-image-6.1.0-7-arm64          	       0        1        0        1        0
45516 linux-image-6.1.0-8-amd64          	       0        1        0        1        0
45517 linux-image-6.1.0-9-amd64          	       0       16        0       16        0
45518 linux-image-6.1.0-moose            	       0        1        0        0        1
45519 linux-image-6.1.0-odroid-arm64     	       0        1        0        1        0
45520 linux-image-6.1.115-326            	       0        1        0        1        0
45521 linux-image-6.1.119-327            	       0        1        1        0        0
45522 linux-image-6.1.20-x64v1-xanmod1   	       0        1        0        1        0
45523 linux-image-6.1.22-x64v1-xanmod1   	       0        1        0        1        0
45524 linux-image-6.1.47-x64v1-xanmod1   	       0        1        0        1        0
45525 linux-image-6.1.62-gnu             	       0        1        0        1        0
45526 linux-image-6.1.62-x64v1-xanmod1   	       0        1        0        1        0
45527 linux-image-6.1.63-x64v1-xanmod1   	       0        1        0        1        0
45528 linux-image-6.1.64-x64v1-xanmod1   	       0        1        0        1        0
45529 linux-image-6.1.69-1-custom-mm41   	       0        1        0        0        1
45530 linux-image-6.1.69-1-custom-ryzen9 	       0        1        0        1        0
45531 linux-image-6.1.8-pepper           	       0        1        0        1        0
45532 linux-image-6.1.96-319             	       0        1        0        1        0
45533 linux-image-6.10.0                 	       0        3        0        2        1
45534 linux-image-6.10.0-rc1             	       0        1        0        1        0
45535 linux-image-6.10.0-rc3             	       0        1        0        1        0
45536 linux-image-6.10.0-rc7             	       0        1        0        0        1
45537 linux-image-6.10.10-2-liquorix-amd64	       0        1        0        1        0
45538 linux-image-6.10.10-x64v1-xanmod1  	       0        1        0        1        0
45539 linux-image-6.10.11+bpo-amd64      	       0       25        1       24        0
45540 linux-image-6.10.11+bpo-amd64-dbg  	       0        1        0        1        0
45541 linux-image-6.10.11+bpo-rt-amd64   	       0        2        0        2        0
45542 linux-image-6.10.11-686            	       0        2        1        1        0
45543 linux-image-6.10.11-686-pae        	       0        2        0        2        0
45544 linux-image-6.10.11-amd64          	       0       22        0       22        0
45545 linux-image-6.10.11-kirkwood-tld-1 	       0        1        1        0        0
45546 linux-image-6.10.11-x64v1-xanmod1  	       0        1        0        1        0
45547 linux-image-6.10.12-686-pae        	       0        1        0        1        0
45548 linux-image-6.10.12-amd64          	       0        3        0        3        0
45549 linux-image-6.10.2                 	       0        1        0        0        1
45550 linux-image-6.10.3-amd64           	       0       10        0       10        0
45551 linux-image-6.10.4-686             	       0        1        0        1        0
45552 linux-image-6.10.4-amd64           	       0       13        0       13        0
45553 linux-image-6.10.4-amd64-unsigned  	       0        1        0        1        0
45554 linux-image-6.10.5                 	       0        1        0        0        1
45555 linux-image-6.10.6                 	       0        1        0        0        1
45556 linux-image-6.10.6+bpo-amd64       	       0       20        0       20        0
45557 linux-image-6.10.6+bpo-amd64-dbg   	       0        1        0        1        0
45558 linux-image-6.10.6+bpo-amd64-unsigned	       0        1        0        1        0
45559 linux-image-6.10.6-2-liquorix-amd64	       0        1        0        1        0
45560 linux-image-6.10.6-686             	       0        3        0        3        0
45561 linux-image-6.10.6-amd64           	       0       21        0       20        1
45562 linux-image-6.10.6-custom          	       0        1        0        1        0
45563 linux-image-6.10.6-x64v4-xanmod1   	       0        1        0        1        0
45564 linux-image-6.10.7                 	       0        1        0        0        1
45565 linux-image-6.10.7-amd64           	       0        9        0        9        0
45566 linux-image-6.10.9-686             	       0        1        0        1        0
45567 linux-image-6.10.9-686-pae         	       0        1        0        1        0
45568 linux-image-6.10.9-amd64           	       0       31        1       30        0
45569 linux-image-6.10.9-custom          	       0        1        0        1        0
45570 linux-image-6.11.0                 	       0       11        0       10        1
45571 linux-image-6.11.0-1013-oem        	       0        1        0        0        1
45572 linux-image-6.11.0-rc4             	       0        3        0        1        2
45573 linux-image-6.11.0-rc5             	       0        2        0        0        2
45574 linux-image-6.11.10+bpo-amd64      	       0       25        1       24        0
45575 linux-image-6.11.10+bpo-amd64-unsigned	       0        1        1        0        0
45576 linux-image-6.11.10+bpo-rt-amd64   	       0        3        0        3        0
45577 linux-image-6.11.10-1-liquorix-amd64	       0        1        0        1        0
45578 linux-image-6.11.10-amd64          	       0       35        1       34        0
45579 linux-image-6.11.4-amd64           	       0       17        0       17        0
45580 linux-image-6.11.5+bpo-amd64       	       0       21        1       20        0
45581 linux-image-6.11.5-1-liquorix-amd64	       0        1        0        1        0
45582 linux-image-6.11.5-amd64           	       0       22        0       22        0
45583 linux-image-6.11.6-amd64           	       0        4        0        4        0
45584 linux-image-6.11.7-2-liquorix-amd64	       0        1        0        1        0
45585 linux-image-6.11.7-amd64           	       0       11        0       11        0
45586 linux-image-6.11.9-amd64           	       0       13        0       13        0
45587 linux-image-6.12.0                 	       0        6        0        5        1
45588 linux-image-6.12.0-rc2             	       0        1        0        1        0
45589 linux-image-6.12.0-rc3             	       0        3        0        1        2
45590 linux-image-6.12.0-rc4             	       0        1        0        1        0
45591 linux-image-6.12.0-rc5             	       0        1        0        1        0
45592 linux-image-6.12.0-rc6             	       0        2        0        1        1
45593 linux-image-6.12.0-rc7             	       0        1        0        1        0
45594 linux-image-6.12.10-amd64          	       0       17        6       11        0
45595 linux-image-6.12.10-amd64-unsigned 	       0        1        0        1        0
45596 linux-image-6.12.11-2-liquorix-amd64	       0        1        0        1        0
45597 linux-image-6.12.11-rt-amd64       	       0        1        0        1        0
45598 linux-image-6.12.12-rt-amd64       	       0        1        0        1        0
45599 linux-image-6.12.15-amd64          	       0        2        2        0        0
45600 linux-image-6.12.3-amd64           	       0        5        1        4        0
45601 linux-image-6.12.5-amd64           	       0       17        0       17        0
45602 linux-image-6.12.6                 	       0        1        0        1        0
45603 linux-image-6.12.6-1-liquorix-amd64	       0        1        0        1        0
45604 linux-image-6.12.6-amd64           	       0       35        2       33        0
45605 linux-image-6.12.6-amd64-unsigned  	       0        1        0        0        1
45606 linux-image-6.12.6-cloud-amd64     	       0        1        0        1        0
45607 linux-image-6.12.6-rt-amd64        	       0        1        0        1        0
45608 linux-image-6.12.7-2-liquorix-amd64	       0        1        0        1        0
45609 linux-image-6.12.7-x64v3-xanmod1   	       0        1        0        1        0
45610 linux-image-6.12.8-1-liquorix-amd64	       0        1        0        1        0
45611 linux-image-6.12.8-amd64           	       0        5        1        4        0
45612 linux-image-6.12.8-gnu             	       0        1        0        1        0
45613 linux-image-6.12.8-x64v3-xanmod1   	       0        1        0        1        0
45614 linux-image-6.12.9+bpo-amd64-unsigned	       0        1        1        0        0
45615 linux-image-6.12.9+bpo-rt-amd64    	       0        1        0        1        0
45616 linux-image-6.12.9-1-liquorix-amd64	       0        1        0        1        0
45617 linux-image-6.12.9-amd64           	       0       17        1       16        0
45618 linux-image-6.13-rc7-amd64-unsigned	       0        1        0        1        0
45619 linux-image-6.13.0                 	       0        2        1        1        0
45620 linux-image-6.13.0+                	       0        1        0        1        0
45621 linux-image-6.13.0-dirty           	       0        1        0        1        0
45622 linux-image-6.13.0-rc6             	       0        1        0        0        1
45623 linux-image-6.13.1-x64v3-xanmod1   	       0        1        0        1        0
45624 linux-image-6.2.0-rc3-moose        	       0        1        0        0        1
45625 linux-image-6.2.0-rc3-pepper       	       0        1        0        1        0
45626 linux-image-6.2.12                 	       0        4        0        3        1
45627 linux-image-6.2.12-8821cu          	       0        1        0        0        1
45628 linux-image-6.2.12-snd             	       0        1        0        0        1
45629 linux-image-6.2.12-snd2            	       0        1        0        0        1
45630 linux-image-6.2.2                  	       0        1        0        1        0
45631 linux-image-6.2.2-moose            	       0        1        0        0        1
45632 linux-image-6.2.2-pepper           	       0        1        0        1        0
45633 linux-image-6.3.0-1-amd64          	       0        2        0        2        0
45634 linux-image-6.3.0-2-amd64          	       0        2        0        2        0
45635 linux-image-6.3.0-2-amd64-unsigned 	       0        1        0        1        0
45636 linux-image-6.3.1                  	       0        1        0        0        1
45637 linux-image-6.3.5                  	       0        1        0        0        1
45638 linux-image-6.3.5-new              	       0        1        0        0        1
45639 linux-image-6.3.5-new-3            	       0        1        0        0        1
45640 linux-image-6.3.5new2              	       0        1        0        0        1
45641 linux-image-6.3.6                  	       0        1        0        0        1
45642 linux-image-6.3.6-snd              	       0        1        0        0        1
45643 linux-image-6.3.8                  	       0        1        0        0        1
45644 linux-image-6.3.8-snd              	       0        1        0        0        1
45645 linux-image-6.3.8snd               	       0        1        0        0        1
45646 linux-image-6.4.0                  	       0        1        0        0        1
45647 linux-image-6.4.0-0.deb12.2-amd64  	       0        2        0        2        0
45648 linux-image-6.4.0-1-amd64          	       0        3        0        3        0
45649 linux-image-6.4.0-2-amd64          	       0        1        0        1        0
45650 linux-image-6.4.0-3-amd64          	       0        1        0        1        0
45651 linux-image-6.4.0-3-rt-amd64       	       0        1        0        1        0
45652 linux-image-6.4.0-4-amd64          	       0        4        0        4        0
45653 linux-image-6.4.0-new              	       0        1        0        0        1
45654 linux-image-6.4.0-rc5              	       0        1        0        0        1
45655 linux-image-6.4.0-rc5-bt           	       0        1        0        0        1
45656 linux-image-6.4.0-rc5-new          	       0        1        0        0        1
45657 linux-image-6.4.0-rc7              	       0        1        0        0        1
45658 linux-image-6.4.0-rc7-new          	       0        1        0        0        1
45659 linux-image-6.4.1                  	       0        1        0        1        0
45660 linux-image-6.4.10                 	       0        1        0        0        1
45661 linux-image-6.4.12                 	       0        1        0        0        1
45662 linux-image-6.4.2                  	       0        1        0        0        1
45663 linux-image-6.4.2-crc32            	       0        1        0        0        1
45664 linux-image-6.4.2-kbd              	       0        1        0        0        1
45665 linux-image-6.4.4                  	       0        1        0        1        0
45666 linux-image-6.5.0-0.deb12.1-amd64  	       0        4        0        4        0
45667 linux-image-6.5.0-0.deb12.1-rt-amd64	       0        1        0        1        0
45668 linux-image-6.5.0-0.deb12.4-amd64  	       0        5        1        4        0
45669 linux-image-6.5.0-1-amd64          	       0        5        0        5        0
45670 linux-image-6.5.0-2-amd64          	       0        3        0        3        0
45671 linux-image-6.5.0-3-amd64          	       0        1        0        1        0
45672 linux-image-6.5.0-4-amd64          	       0        3        0        3        0
45673 linux-image-6.5.0-5-amd64          	       0        5        0        5        0
45674 linux-image-6.5.0-rc5              	       0        1        0        1        0
45675 linux-image-6.5.3                  	       0        1        0        0        1
45676 linux-image-6.5.3-w1               	       0        1        0        0        1
45677 linux-image-6.5.3-w2               	       0        1        0        0        1
45678 linux-image-6.5.5                  	       0        1        0        0        1
45679 linux-image-6.6.0                  	       0        2        1        1        0
45680 linux-image-6.6.0-dbg              	       0        1        0        1        0
45681 linux-image-6.6.0-odroid-arm64     	       0        1        0        1        0
45682 linux-image-6.6.0-rc2              	       0        2        0        0        2
45683 linux-image-6.6.0-rc5              	       0        1        0        0        1
45684 linux-image-6.6.1                  	       0        1        0        0        1
45685 linux-image-6.6.11-amd64           	       0        1        0        1        0
45686 linux-image-6.6.13+bpo-amd64       	       0        7        1        6        0
45687 linux-image-6.6.13+bpo-amd64-unsigned	       0        2        0        2        0
45688 linux-image-6.6.13-amd64           	       0        3        0        3        0
45689 linux-image-6.6.15-amd64           	       0        9        1        8        0
45690 linux-image-6.6.3                  	       0        1        0        0        1
45691 linux-image-6.6.30-rt30-x64v3-xanmod1	       0        1        0        1        0
45692 linux-image-6.6.30-x64v3-xanmod1   	       0        1        0        1        0
45693 linux-image-6.6.31-rt31-x64v3-xanmod1	       0        1        0        0        1
45694 linux-image-6.6.34-gnu             	       0        1        0        1        0
45695 linux-image-6.6.4                  	       0        1        0        0        1
45696 linux-image-6.6.40-x64v3-xanmod1   	       0        1        1        0        0
45697 linux-image-6.6.44-x64v1-xanmod1   	       0        1        0        1        0
45698 linux-image-6.6.46-x64v1-xanmod1   	       0        1        0        1        0
45699 linux-image-6.6.49-gnu             	       0        1        0        1        0
45700 linux-image-6.6.52-rt43-x64v3-xanmod1	       0        1        0        1        0
45701 linux-image-6.6.58                 	       0        1        1        0        0
45702 linux-image-6.6.58-x64v1-xanmod1   	       0        1        0        1        0
45703 linux-image-6.6.6                  	       0        1        0        0        1
45704 linux-image-6.6.62-x64v1-xanmod1   	       0        1        0        1        0
45705 linux-image-6.6.63-x64v1-xanmod1   	       0        2        0        2        0
45706 linux-image-6.6.64-x64v1-xanmod1   	       0        1        0        1        0
45707 linux-image-6.6.65-x64v1-xanmod1   	       0        1        0        1        0
45708 linux-image-6.6.66-x64v1-xanmod1   	       0        1        0        1        0
45709 linux-image-6.6.70-x64v1-xanmod1   	       0        1        0        1        0
45710 linux-image-6.6.8                  	       0        1        0        0        1
45711 linux-image-6.6.8-amd64            	       0        1        0        1        0
45712 linux-image-6.6.8-yogabook1        	       0        1        0        1        0
45713 linux-image-6.6.9-amd64            	       0        1        0        1        0
45714 linux-image-6.6.9-amd64-unsigned   	       0        1        0        1        0
45715 linux-image-6.7.0                  	       0        3        0        1        2
45716 linux-image-6.7.0-rc3              	       0        1        0        0        1
45717 linux-image-6.7.10                 	       0        2        0        0        2
45718 linux-image-6.7.11                 	       0        2        0        1        1
45719 linux-image-6.7.12+bpo-amd64       	       0        5        0        5        0
45720 linux-image-6.7.12-1-liquorix-amd64	       0        1        0        1        0
45721 linux-image-6.7.12-amd64           	       0       16        2       14        0
45722 linux-image-6.7.7-amd64            	       0        8        0        8        0
45723 linux-image-6.7.9-amd64            	       0       24        1       23        0
45724 linux-image-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45725 linux-image-6.8.11-amd64           	       0        1        0        1        0
45726 linux-image-6.8.12-amd64           	       0       11        1       10        0
45727 linux-image-6.8.9-amd64            	       0        1        0        1        0
45728 linux-image-6.8.9-x64v3-xanmod1    	       0        1        0        1        0
45729 linux-image-6.9.0                  	       0        3        0        2        1
45730 linux-image-6.9.0-rc2              	       0        1        0        0        1
45731 linux-image-6.9.0-rc3              	       0        4        0        1        3
45732 linux-image-6.9.0-rc4              	       0        1        0        0        1
45733 linux-image-6.9.0-rc5              	       0        1        0        0        1
45734 linux-image-6.9.0-rc6              	       0        2        0        2        0
45735 linux-image-6.9.0-rc7              	       0        1        0        0        1
45736 linux-image-6.9.1                  	       0        1        0        1        0
45737 linux-image-6.9.10+bpo-amd64       	       0       10        0       10        0
45738 linux-image-6.9.10-amd64           	       0        9        0        9        0
45739 linux-image-6.9.10-x64v3-xanmod1   	       0        2        0        2        0
45740 linux-image-6.9.11-1-liquorix-amd64	       0        1        0        1        0
45741 linux-image-6.9.11-amd64           	       0        2        0        2        0
45742 linux-image-6.9.12-2-liquorix-amd64	       0        1        0        1        0
45743 linux-image-6.9.12-amd64           	       0       11        2        9        0
45744 linux-image-6.9.6-kirkwood-tld-1   	       0        1        0        0        1
45745 linux-image-6.9.7+bpo-amd64        	       0       13        0       13        0
45746 linux-image-6.9.7+bpo-amd64-dbg    	       0        1        0        1        0
45747 linux-image-6.9.7+bpo-amd64-unsigned	       0        1        0        1        0
45748 linux-image-6.9.7+bpo-rt-amd64     	       0        2        0        2        0
45749 linux-image-6.9.7-amd64            	       0        8        0        8        0
45750 linux-image-6.9.8-amd64            	       0        7        0        7        0
45751 linux-image-6.9.9-amd64            	       0        3        0        3        0
45752 linux-image-6.9.9-x64v3-xanmod1    	       0        1        0        1        0
45753 linux-image-686                    	       0      161        0        0      161
45754 linux-image-686-pae                	       0       60        0        0       60
45755 linux-image-amd64                  	       0     3562        0        0     3562
45756 linux-image-amd64-dbg              	       0        2        0        0        2
45757 linux-image-amd64-signed-template  	       0        4        0        0        4
45758 linux-image-arm64                  	       0        9        0        0        9
45759 linux-image-armmp                  	       0        2        0        0        2
45760 linux-image-armmp-lpae             	       0        1        0        0        1
45761 linux-image-bcm2709-rpi-2+3        	       0        1        0        1        0
45762 linux-image-cloud-amd64            	       0       10        0        0       10
45763 linux-image-current-sunxi64        	       0        2        0        2        0
45764 linux-image-i386-signed-template   	       0        1        0        0        1
45765 linux-image-liquorix-amd64         	       0        4        0        0        4
45766 linux-image-marvell                	       0        1        0        0        1
45767 linux-image-odroidc4               	       0        1        0        0        1
45768 linux-image-olimex                 	       0        1        0        0        1
45769 linux-image-powerpc64le            	       0        3        0        0        3
45770 linux-image-rpi                    	       0        4        0        0        4
45771 linux-image-rt-amd64               	       0       15        0        0       15
45772 linux-image-uc-6.11.0-1013-oem     	       0        1        0        0        1
45773 linux-image-uc-6.8.0-1021-nvidia-lowlatency	       0        1        0        0        1
45774 linux-image-vserver-3.18-beng      	       0        3        0        0        3
45775 linux-image-vserver-4.4-beng       	       0       10        0        0       10
45776 linux-image-vserver-4.9-beng       	       0       11        0        0       11
45777 linux-kbuild-2.6.18                	       0        1        0        0        1
45778 linux-kbuild-2.6.25                	       0        1        0        0        1
45779 linux-kbuild-2.6.26                	       0        1        0        0        1
45780 linux-kbuild-2.6.32                	       0        4        0        0        4
45781 linux-kbuild-3.0.0                 	       0        1        0        0        1
45782 linux-kbuild-3.10                  	       0        1        0        0        1
45783 linux-kbuild-3.11                  	       0        1        0        0        1
45784 linux-kbuild-3.14                  	       0        1        0        0        1
45785 linux-kbuild-3.16                  	       0       37        0        0       37
45786 linux-kbuild-3.18                  	       0        1        0        0        1
45787 linux-kbuild-3.2                   	       0       22        0        0       22
45788 linux-kbuild-4.14                  	       0        2        0        0        2
45789 linux-kbuild-4.16                  	       0        1        0        0        1
45790 linux-kbuild-4.19                  	       0       78        0        0       78
45791 linux-kbuild-4.2                   	       0        1        0        0        1
45792 linux-kbuild-4.5                   	       0        1        0        0        1
45793 linux-kbuild-4.6                   	       0        1        0        0        1
45794 linux-kbuild-4.7                   	       0        2        0        0        2
45795 linux-kbuild-4.8                   	       0        3        0        0        3
45796 linux-kbuild-4.9                   	       0       84        0        0       84
45797 linux-kbuild-5.10                  	       0      273        0        0      273
45798 linux-kbuild-5.14                  	       0        4        0        0        4
45799 linux-kbuild-5.15                  	       0        5        0        0        5
45800 linux-kbuild-5.16                  	       0       10        0        0       10
45801 linux-kbuild-5.17                  	       0        3        0        0        3
45802 linux-kbuild-5.18                  	       0       10        0        0       10
45803 linux-kbuild-5.19                  	       0        3        0        0        3
45804 linux-kbuild-5.2                   	       0        2        0        0        2
45805 linux-kbuild-5.4                   	       0        5        0        0        5
45806 linux-kbuild-5.6                   	       0        2        0        0        2
45807 linux-kbuild-5.7                   	       0        3        0        0        3
45808 linux-kbuild-5.8                   	       0        6        0        0        6
45809 linux-kbuild-5.9                   	       0        5        0        0        5
45810 linux-kbuild-6.0                   	       0        7        0        0        7
45811 linux-kbuild-6.1                   	       0      683        0        0      683
45812 linux-kbuild-6.10.11               	       0        7        0        0        7
45813 linux-kbuild-6.10.11+bpo           	       0       13        0        0       13
45814 linux-kbuild-6.10.12               	       0        1        0        0        1
45815 linux-kbuild-6.10.3                	       0        3        0        0        3
45816 linux-kbuild-6.10.4                	       0        3        0        0        3
45817 linux-kbuild-6.10.6                	       0        5        0        0        5
45818 linux-kbuild-6.10.6+bpo            	       0       10        0        0       10
45819 linux-kbuild-6.10.7                	       0        1        0        0        1
45820 linux-kbuild-6.10.9                	       0        9        0        0        9
45821 linux-kbuild-6.11.10               	       0       11        0        0       11
45822 linux-kbuild-6.11.10+bpo           	       0       12        0        0       12
45823 linux-kbuild-6.11.2                	       0        7        0        0        7
45824 linux-kbuild-6.11.4                	       0        6        0        0        6
45825 linux-kbuild-6.11.5                	       0        4        0        0        4
45826 linux-kbuild-6.11.5+bpo            	       0       10        0        0       10
45827 linux-kbuild-6.11.7                	       0        3        0        0        3
45828 linux-kbuild-6.11.9                	       0        3        0        0        3
45829 linux-kbuild-6.12.10               	       0        6        0        0        6
45830 linux-kbuild-6.12.11               	       0        8        0        0        8
45831 linux-kbuild-6.12.12               	       0        9        0        0        9
45832 linux-kbuild-6.12.12+bpo           	       0        2        0        0        2
45833 linux-kbuild-6.12.13               	       0        2        0        0        2
45834 linux-kbuild-6.12.15               	       0        1        0        0        1
45835 linux-kbuild-6.12.16               	       0        1        0        0        1
45836 linux-kbuild-6.12.17               	       0        1        0        0        1
45837 linux-kbuild-6.12.3                	       0        2        0        0        2
45838 linux-kbuild-6.12.5                	       0        4        0        0        4
45839 linux-kbuild-6.12.6                	       0       11        0        0       11
45840 linux-kbuild-6.12.8                	       0        2        0        0        2
45841 linux-kbuild-6.12.9                	       0        8        0        0        8
45842 linux-kbuild-6.12.9+bpo            	       0       17        0        0       17
45843 linux-kbuild-6.3                   	       0        3        0        0        3
45844 linux-kbuild-6.4.0-0.deb12.2       	       0        2        0        0        2
45845 linux-kbuild-6.4.0-1               	       0        1        0        0        1
45846 linux-kbuild-6.4.0-2               	       0        1        0        0        1
45847 linux-kbuild-6.4.0-3               	       0        1        0        0        1
45848 linux-kbuild-6.4.0-4               	       0        1        0        0        1
45849 linux-kbuild-6.5.0-0.deb12.1       	       0        2        0        0        2
45850 linux-kbuild-6.5.0-0.deb12.4       	       0        7        0        0        7
45851 linux-kbuild-6.5.0-1               	       0        5        0        0        5
45852 linux-kbuild-6.5.0-2               	       0        1        0        0        1
45853 linux-kbuild-6.5.0-4               	       0        1        0        0        1
45854 linux-kbuild-6.5.0-5               	       0        2        0        0        2
45855 linux-kbuild-6.6                   	       0        1        0        0        1
45856 linux-kbuild-6.6.11                	       0        1        0        0        1
45857 linux-kbuild-6.6.13                	       0        1        0        0        1
45858 linux-kbuild-6.6.13+bpo            	       0        7        0        0        7
45859 linux-kbuild-6.6.15                	       0        2        0        0        2
45860 linux-kbuild-6.6.8                 	       0        1        0        0        1
45861 linux-kbuild-6.6.9                 	       0        1        0        0        1
45862 linux-kbuild-6.7.12                	       0        1        0        0        1
45863 linux-kbuild-6.7.12+bpo            	       0        3        0        0        3
45864 linux-kbuild-6.7.9                 	       0        2        0        0        2
45865 linux-kbuild-6.8.12                	       0        4        0        0        4
45866 linux-kbuild-6.8.9                 	       0        1        0        0        1
45867 linux-kbuild-6.9.10                	       0        1        0        0        1
45868 linux-kbuild-6.9.10+bpo            	       0        5        0        0        5
45869 linux-kbuild-6.9.12                	       0        1        0        0        1
45870 linux-kbuild-6.9.7                 	       0        2        0        0        2
45871 linux-kbuild-6.9.7+bpo             	       0        9        0        0        9
45872 linux-kbuild-6.9.8                 	       0        2        0        0        2
45873 linux-libc-dev-alpha-cross         	       0        1        0        1        0
45874 linux-libc-dev-amd64-cross         	       0        4        0        4        0
45875 linux-libc-dev-arm64-cross         	       0       36        1       35        0
45876 linux-libc-dev-armel-cross         	       0       23        0       23        0
45877 linux-libc-dev-armhf-cross         	       0       27        1       26        0
45878 linux-libc-dev-i386-cross          	       0       13        1       12        0
45879 linux-libc-dev-m68k-cross          	       0        1        0        1        0
45880 linux-libc-dev-mips-cross          	       0        5        0        5        0
45881 linux-libc-dev-mips64-cross        	       0        1        0        1        0
45882 linux-libc-dev-mips64el-cross      	       0        1        0        1        0
45883 linux-libc-dev-mips64r6el-cross    	       0        1        0        1        0
45884 linux-libc-dev-mipsel-cross        	       0        5        0        5        0
45885 linux-libc-dev-powerpc-cross       	       0        2        0        2        0
45886 linux-libc-dev-ppc64-cross         	       0        3        0        3        0
45887 linux-libc-dev-ppc64el-cross       	       0        1        0        1        0
45888 linux-libc-dev-riscv64-cross       	       0        6        1        5        0
45889 linux-libc-dev-s390x-cross         	       0        1        0        1        0
45890 linux-libc-dev-x32-cross           	       0        6        0        6        0
45891 linux-libre                        	       0        1        0        0        1
45892 linux-libre-5.15                   	       0        1        0        0        1
45893 linux-libre-5.15-headers           	       0        1        0        0        1
45894 linux-libre-lts                    	       0        3        0        0        3
45895 linux-libre-lts-headers            	       0        1        0        0        1
45896 linux-manual-2.6.32                	       0        1        0        0        1
45897 linux-manual-4.9                   	       0        2        0        0        2
45898 linux-mediatree                    	       0        1        0        0        1
45899 linux-modules-5.4.0-1862405280420-generic	       0        1        0        1        0
45900 linux-modules-6.11.0-1013-oem      	       0        1        1        0        0
45901 linux-modules-extra-5.4.0-1862405280420-generic	       0        1        0        0        1
45902 linux-modules-extra-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45903 linux-modules-ipu6-6.11.0-1013-oem 	       0        1        0        0        1
45904 linux-modules-ipu7-6.11.0-1013-oem 	       0        1        0        0        1
45905 linux-modules-iwlwifi-6.11.0-1013-oem	       0        1        0        0        1
45906 linux-modules-nvidia-fs-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45907 linux-modules-usbio-6.11.0-1013-oem	       0        1        0        0        1
45908 linux-modules-vision-6.11.0-1013-oem	       0        1        0        0        1
45909 linux-objects-nvidia-470-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45910 linux-objects-nvidia-470-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45911 linux-objects-nvidia-535-6.11.0-1013-oem	       0        1        0        0        1
45912 linux-objects-nvidia-535-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45913 linux-objects-nvidia-535-open-6.11.0-1013-oem	       0        1        0        0        1
45914 linux-objects-nvidia-535-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45915 linux-objects-nvidia-535-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45916 linux-objects-nvidia-535-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45917 linux-objects-nvidia-550-6.11.0-1013-oem	       0        1        0        0        1
45918 linux-objects-nvidia-550-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45919 linux-objects-nvidia-550-open-6.11.0-1013-oem	       0        1        0        0        1
45920 linux-objects-nvidia-550-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45921 linux-objects-nvidia-550-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45922 linux-objects-nvidia-550-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45923 linux-objects-nvidia-565-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45924 linux-objects-nvidia-565-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45925 linux-oem-6.11-headers-6.11.0-1013 	       0        1        0        1        0
45926 linux-patch-debian-3.0.0           	       0        1        0        0        1
45927 linux-patch-debianlogo             	       0        1        0        0        1
45928 linux-patch-nfs-ngroups            	       0        1        0        0        1
45929 linux-patch-tuxonice               	       0        1        0        0        1
45930 linux-perf-4.19                    	       0        5        0        5        0
45931 linux-perf-4.9                     	       0        5        0        5        0
45932 linux-perf-5.10                    	       0       11        0       11        0
45933 linux-perf-5.15                    	       0        1        0        1        0
45934 linux-show-player                  	       0        2        0        2        0
45935 linux-signatures-nvidia-6.11.0-1013-oem	       0        1        0        0        1
45936 linux-signatures-nvidia-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45937 linux-source                       	       0       65        0        0       65
45938 linux-source-2.6.18                	       0        1        0        0        1
45939 linux-source-2.6.32                	       0        1        0        0        1
45940 linux-source-3.0.0                 	       0        1        0        0        1
45941 linux-source-3.10                  	       0        1        0        0        1
45942 linux-source-3.11                  	       0        1        0        0        1
45943 linux-source-3.12                  	       0        1        0        0        1
45944 linux-source-3.13                  	       0        1        0        0        1
45945 linux-source-3.16                  	       0        5        0        0        5
45946 linux-source-3.18.91-vs2.3.7.5-beng	       0        2        0        0        2
45947 linux-source-3.19                  	       0        1        0        0        1
45948 linux-source-3.2                   	       0        1        0        0        1
45949 linux-source-3.4                   	       0        1        0        0        1
45950 linux-source-3.7                   	       0        1        0        0        1
45951 linux-source-4.1                   	       0        1        0        0        1
45952 linux-source-4.16                  	       0        2        0        0        2
45953 linux-source-4.17                  	       0        1        0        0        1
45954 linux-source-4.19                  	       0        9        0        0        9
45955 linux-source-4.3                   	       0        1        0        0        1
45956 linux-source-4.4.202-vs2.3.9.8-beng	       0        1        0        0        1
45957 linux-source-4.8                   	       0        1        0        0        1
45958 linux-source-4.9                   	       0       11        0        0       11
45959 linux-source-5.10                  	       0       19        0        0       19
45960 linux-source-5.14                  	       0        1        0        0        1
45961 linux-source-5.15                  	       0        2        0        0        2
45962 linux-source-5.17                  	       0        1        0        0        1
45963 linux-source-5.18                  	       0        1        0        0        1
45964 linux-source-5.4                   	       0        1        0        0        1
45965 linux-source-5.6                   	       0        1        0        0        1
45966 linux-source-5.7                   	       0        1        0        0        1
45967 linux-source-6.0                   	       0        1        0        0        1
45968 linux-source-6.1                   	       0       45        0        0       45
45969 linux-source-6.10                  	       0        6        0        0        6
45970 linux-source-6.12                  	       0        4        0        0        4
45971 linux-source-6.3                   	       0        1        0        0        1
45972 linux-source-6.4                   	       0        1        0        0        1
45973 linux-source-6.5                   	       0        2        0        0        2
45974 linux-source-vserver-3.18-beng     	       0        2        0        0        2
45975 linux-source-vserver-4.4-beng      	       0        1        0        0        1
45976 linux-support-3.0.0-1              	       0        1        0        1        0
45977 linux-support-3.11-2               	       0        1        0        1        0
45978 linux-support-3.12-rc7             	       0        1        0        1        0
45979 linux-support-3.19.0-trunk         	       0        1        0        1        0
45980 linux-support-3.8-1                	       0        1        0        1        0
45981 linux-support-4.17.0-0.bpo.1       	       0        1        0        1        0
45982 linux-support-4.19.0-14            	       0        1        0        1        0
45983 linux-support-4.19.0-18            	       0        1        0        1        0
45984 linux-support-4.9.0-6              	       0        1        0        1        0
45985 linux-support-4.9.0-8              	       0        1        0        1        0
45986 linux-support-5.10.0-10            	       0        1        1        0        0
45987 linux-support-5.10.0-11            	       0        2        0        2        0
45988 linux-support-5.10.0-17            	       0        1        0        1        0
45989 linux-support-5.10.0-22            	       0        1        0        1        0
45990 linux-support-5.10.0-23            	       0        1        0        1        0
45991 linux-support-5.10.0-26            	       0        1        0        1        0
45992 linux-support-5.10.0-33            	       0        1        0        1        0
45993 linux-support-5.15.0-0.bpo.2       	       0        1        0        1        0
45994 linux-support-5.18.0-0.deb11.4     	       0        1        0        1        0
45995 linux-support-5.6.0-0.bpo.2        	       0        1        0        1        0
45996 linux-support-5.7.0-0.bpo.2        	       0        1        0        1        0
45997 linux-support-6.1.0-0.deb11.22     	       0        1        0        1        0
45998 linux-support-6.1.0-0.deb11.25     	       0        1        0        1        0
45999 linux-support-6.1.0-0.deb11.26     	       0        1        0        1        0
46000 linux-support-6.1.0-12             	       0        2        0        2        0
46001 linux-support-6.1.0-13             	       0        1        0        1        0
46002 linux-support-6.1.0-18             	       0        5        0        5        0
46003 linux-support-6.1.0-21             	       0        1        0        1        0
46004 linux-support-6.1.0-23             	       0        2        0        2        0
46005 linux-support-6.1.0-25             	       0        2        0        2        0
46006 linux-support-6.1.0-27             	       0        1        0        1        0
46007 linux-support-6.1.0-28             	       0        1        0        1        0
46008 linux-support-6.10.11+bpo          	       0        2        0        2        0
46009 linux-support-6.10.6+bpo           	       0        1        0        1        0
46010 linux-support-6.11.10+bpo          	       0        2        0        2        0
46011 linux-support-6.12.5               	       0        1        0        1        0
46012 linux-support-6.12.8               	       0        1        0        1        0
46013 linux-support-6.4.0-0.deb12.2      	       0        2        0        2        0
46014 linux-support-6.9.12               	       0        1        0        1        0
46015 linux-support-6.9.7                	       0        1        0        1        0
46016 linux-surface-secureboot-mok       	       0        1        0        0        1
46017 linux-tools                        	       0        3        0        0        3
46018 linux-tools-3.16                   	       0        2        0        2        0
46019 linux-u-boot-nanopineo2-current    	       0        1        0        1        0
46020 linux-u-boot-orangepizero2w-current	       0        1        0        1        0
46021 linux-user-chroot                  	       0        1        0        1        0
46022 linux-uvc-source                   	       0        1        0        0        1
46023 linux-uvc-tools                    	       0        2        0        2        0
46024 linux-wifi-hotspot                 	       0        1        0        1        0
46025 linux-xanmod                       	       0        1        0        0        1
46026 linux-xanmod-edge-x64v3            	       0        1        0        0        1
46027 linux-xanmod-edge-x64v4            	       0        1        0        0        1
46028 linux-xanmod-lts                   	       0        1        0        0        1
46029 linux-xanmod-lts-x64v1             	       0        2        0        0        2
46030 linux-xanmod-lts-x64v3             	       0        2        0        0        2
46031 linux-xanmod-rt-x64v3              	       0        2        0        0        2
46032 linux-xanmod-x64v1                 	       0        1        0        0        1
46033 linux-xanmod-x64v3                 	       0        3        0        0        3
46034 linux-zabbly                       	       0        1        0        0        1
46035 linuxbrew-wrapper                  	       0        2        0        2        0
46036 linuxcnc-doc-en                    	       0        2        0        0        2
46037 linuxcnc-uspace                    	       0        2        1        1        0
46038 linuxdcpp                          	       0        1        0        1        0
46039 linuxdoc-tools                     	       0       24        1       23        0
46040 linuxdoc-tools-dbgsym              	       0        1        0        1        0
46041 linuxdoc-tools-info                	       0        7        0        0        7
46042 linuxdoc-tools-latex               	       0        8        0        0        8
46043 linuxdoc-tools-text                	       0        8        0        0        8
46044 linuxfabrik-monitoring-plugins     	       0       15        1        1       13
46045 linuxfabrik-notification-plugins   	       0        1        0        0        1
46046 linuxmint-keyring                  	       0        5        0        0        5
46047 linuxptp                           	       0       15        0       15        0
46048 linuxqq                            	       0        3        0        0        3
46049 linuxsampler                       	       0        2        0        2        0
46050 linuxsampler-lv2                   	       0        2        0        2        0
46051 linuxvnc                           	       0        7        0        7        0
46052 liquidctl                          	       0        3        0        3        0
46053 liquidprompt                       	       0       10        0       10        0
46054 liquidsoap                         	       0        3        1        2        0
46055 liquidsoap-mode                    	       0        2        0        2        0
46056 liquidsoap-plugin-faad             	       0        1        0        1        0
46057 liquidsoap-plugin-flac             	       0        1        0        1        0
46058 liquidsoap-plugin-gstreamer        	       0        1        0        1        0
46059 liquidsoap-plugin-icecast          	       0        1        0        1        0
46060 liquidsoap-plugin-lame             	       0        1        0        1        0
46061 liquidsoap-plugin-mad              	       0        1        0        1        0
46062 liquidsoap-plugin-ogg              	       0        1        0        1        0
46063 liquidsoap-plugin-pulseaudio       	       0        1        0        1        0
46064 liquidsoap-plugin-taglib           	       0        1        0        1        0
46065 liquidsoap-plugin-voaacenc         	       0        1        0        1        0
46066 liquidsoap-plugin-vorbis           	       0        1        0        1        0
46067 liquidwar                          	       0       14        0       14        0
46068 liquidwar-data                     	       0       14        0        0       14
46069 liquidwar-server                   	       0       14        0       14        0
46070 liquorix-archive-keyring           	       0        1        0        0        1
46071 liquorix-keyring                   	       0        1        0        0        1
46072 liquorix-keyrings                  	       0        1        0        0        1
46073 lirc                               	       0       45        8       37        0
46074 lirc-compat-remotes                	       0       15        0        0       15
46075 lirc-doc                           	       0        8        0        0        8
46076 lirc-drv-irman                     	       0        8        0        0        8
46077 lirc-x                             	       0       10        0       10        0
46078 lire                               	       0        1        0        1        0
46079 lire-doc                           	       0        1        0        0        1
46080 lisaac                             	       0        1        0        1        0
46081 lisaac-common                      	       0        1        0        1        0
46082 lisaac-mode                        	       0        1        0        1        0
46083 listadmin                          	       0        1        1        0        0
46084 listaller                          	       0        1        0        1        0
46085 listserialportsc                   	       0        5        0        5        0
46086 litecoin-qt                        	       0        6        0        6        0
46087 litecoind                          	       0        5        0        5        0
46088 literki                            	       0        1        0        1        0
46089 littleinferno                      	       0        1        0        0        1
46090 littler                            	       0       44        0        0       44
46091 littlewizard                       	       0        2        0        2        0
46092 littlewizard-data                  	       0        2        0        0        2
46093 live-boot-doc                      	       0      175        0        0      175
46094 live-boot-initramfs-tools          	       0      165        0        0      165
46095 live-build                         	       0       12        3        9        0
46096 live-clone                         	       0        2        0        2        0
46097 live-config-doc                    	       0      166        0        0      166
46098 live-manual                        	       0        3        0        0        3
46099 live-manual-epub                   	       0        4        0        0        4
46100 live-manual-html                   	       0       17        0        0       17
46101 live-manual-odf                    	       0        4        0        0        4
46102 live-manual-pdf                    	       0        5        0        0        5
46103 live-manual-txt                    	       0        6        0        0        6
46104 live-task-base                     	       0        3        0        0        3
46105 live-task-localisation             	       0        3        0        0        3
46106 live-task-localisation-desktop     	       0        2        0        0        2
46107 live-task-mate                     	       0        1        0        0        1
46108 live-task-non-free-firmware-pc     	       0        5        0        0        5
46109 live-task-non-free-firmware-server 	       0        2        0        0        2
46110 live-task-recommended              	       0        4        0        0        4
46111 live-wrapper-doc                   	       0        1        0        0        1
46112 lives                              	       0        6        0        6        0
46113 lives-data                         	       0        6        0        0        6
46114 lives-plugins                      	       0        6        0        6        0
46115 livescript                         	       0        2        0        2        0
46116 livestreamer                       	       0        2        0        2        0
46117 liwc                               	       0        2        0        2        0
46118 lix                                	       0        5        0        5        0
46119 lix-data                           	       0        5        0        0        5
46120 lksctp-tools                       	       0       63        2       61        0
46121 ll-scope                           	       0        2        0        2        0
46122 lld-11                             	       0        7        0        6        1
46123 lld-12                             	       0        1        0        1        0
46124 lld-13                             	       0        6        0        6        0
46125 lld-15                             	       0        7        0        7        0
46126 lld-16                             	       0        9        1        8        0
46127 lld-17                             	       0        3        0        3        0
46128 lld-18                             	       0        2        0        2        0
46129 lld-20                             	       0        1        0        1        0
46130 lld-7                              	       0        1        0        1        0
46131 lldb                               	       0       14        1       13        0
46132 lldb-11                            	       0        3        0        3        0
46133 lldb-14                            	       0       10        1        9        0
46134 lldb-15                            	       0        1        0        1        0
46135 lldb-16                            	       0        4        0        4        0
46136 lldpd                              	       0       23        8       15        0
46137 lletters                           	       0        1        0        1        0
46138 lletters-media                     	       0        1        0        0        1
46139 llgal                              	       0        2        0        2        0
46140 llmnrd                             	       0        1        0        1        0
46141 lloconv                            	       0        4        0        4        0
46142 lltag                              	       0       12        0       12        0
46143 llvm-10                            	       0        5        0        5        0
46144 llvm-10-dev                        	       0        4        0        4        0
46145 llvm-10-runtime                    	       0        5        0        5        0
46146 llvm-10-tools                      	       0        4        0        4        0
46147 llvm-11-doc                        	       0        4        0        0        4
46148 llvm-11-examples                   	       0        1        0        1        0
46149 llvm-11-linker-tools               	       0        6        0        6        0
46150 llvm-13                            	       0       30        1       29        0
46151 llvm-13-dev                        	       0       29        2       27        0
46152 llvm-13-doc                        	       0        2        0        0        2
46153 llvm-13-examples                   	       0        1        0        1        0
46154 llvm-13-linker-tools               	       0       32        1       31        0
46155 llvm-13-runtime                    	       0       30        1       29        0
46156 llvm-13-tools                      	       0       29        1       28        0
46157 llvm-14-doc                        	       0        4        0        0        4
46158 llvm-15-dev                        	       0       54        6       48        0
46159 llvm-15-doc                        	       0        1        0        0        1
46160 llvm-16                            	       0       51        1       50        0
46161 llvm-16-dev                        	       0       50        1       49        0
46162 llvm-16-doc                        	       0        1        0        0        1
46163 llvm-16-examples                   	       0        1        0        1        0
46164 llvm-16-linker-tools               	       0       54        1       53        0
46165 llvm-16-runtime                    	       0       51        1       50        0
46166 llvm-16-tools                      	       0       50        1       49        0
46167 llvm-17-doc                        	       0        1        0        0        1
46168 llvm-17-examples                   	       0        1        0        1        0
46169 llvm-18-dev                        	       0       10        2        8        0
46170 llvm-18-doc                        	       0        1        0        0        1
46171 llvm-19-doc                        	       0        2        0        0        2
46172 llvm-19-examples                   	       0        1        0        1        0
46173 llvm-20                            	       0        2        0        2        0
46174 llvm-20-dev                        	       0        2        0        2        0
46175 llvm-20-linker-tools               	       0        2        0        2        0
46176 llvm-20-runtime                    	       0        2        0        2        0
46177 llvm-20-tools                      	       0        2        0        2        0
46178 llvm-3.0                           	       0        2        0        2        0
46179 llvm-3.0-dev                       	       0        2        0        2        0
46180 llvm-3.0-runtime                   	       0        2        0        2        0
46181 llvm-3.5                           	       0       10        0       10        0
46182 llvm-3.5-dev                       	       0       10        1        9        0
46183 llvm-3.5-doc                       	       0        2        0        2        0
46184 llvm-3.5-runtime                   	       0       10        0       10        0
46185 llvm-3.8                           	       0        6        0        6        0
46186 llvm-3.8-dev                       	       0        6        0        6        0
46187 llvm-3.8-doc                       	       0        2        0        0        2
46188 llvm-3.8-runtime                   	       0        6        0        6        0
46189 llvm-6.0                           	       0        6        0        6        0
46190 llvm-6.0-dev                       	       0        6        0        6        0
46191 llvm-6.0-runtime                   	       0        6        0        6        0
46192 llvm-7                             	       0       19        0       19        0
46193 llvm-7-dev                         	       0       19        0       19        0
46194 llvm-7-doc                         	       0        1        0        0        1
46195 llvm-7-runtime                     	       0       20        0       20        0
46196 llvm-8                             	       0        3        0        3        0
46197 llvm-8-dev                         	       0        2        0        2        0
46198 llvm-8-runtime                     	       0        3        0        3        0
46199 llvm-9                             	       0       46        1       45        0
46200 llvm-9-dev                         	       0       42        2       40        0
46201 llvm-9-doc                         	       0        2        0        0        2
46202 llvm-9-examples                    	       0        1        0        0        1
46203 llvm-9-runtime                     	       0       47        1       46        0
46204 llvm-9-tools                       	       0       45        1       44        0
46205 llvm-amdgpu                        	       0        1        0        1        0
46206 llvm-amdgpu-13.0.50002             	       0        1        0        1        0
46207 llvm-amdgpu-13.0.50002-dev         	       0        1        0        1        0
46208 llvm-amdgpu-13.0.50002-runtime     	       0        1        0        1        0
46209 llvm-amdgpu-18.1-runtime           	       0        1        0        1        0
46210 llvm-amdgpu-runtime                	       0        1        0        1        0
46211 llvm-dev                           	       0       28        0        0       28
46212 llvm-doc                           	       0        1        0        0        1
46213 llvm-spirv                         	       0        2        0        2        0
46214 llvm-spirv-15                      	       0        2        0        2        0
46215 llvm-spirv-17                      	       0        3        0        3        0
46216 llvm-spirv-19                      	       0        1        0        1        0
46217 llvmlite-doc                       	       0        4        0        0        4
46218 lmarbles                           	       0        9        0        9        0
46219 lmbench                            	       0        6        0        6        0
46220 lmbench-doc                        	       0        5        0        0        5
46221 lmdb-doc                           	       0       11        0        0       11
46222 lmdb-go-tools                      	       0        1        0        1        0
46223 lmdb-utils                         	       0        4        0        4        0
46224 lmemory                            	       0       20        2       18        0
46225 lmms-common                        	       0       53        0        0       53
46226 lmms-vst-server                    	       0       15        0        0       15
46227 lmod-doc                           	       0        1        0        0        1
46228 lmodern                            	       0      611        0        2      609
46229 lnav                               	       0       24        0       24        0
46230 load-dirs-common                   	       0        2        0        0        2
46231 loadwatch                          	       0        3        0        3        0
46232 loc-os-23-archive-keyring          	       0        1        0        0        1
46233 locale-en-bs                       	       0       15        0        0       15
46234 localehelper                       	       0        2        0        2        0
46235 localepurge                        	       0       70        6       64        0
46236 localsend                          	       0       12        1        0       11
46237 localslackirc                      	       0        1        0        1        0
46238 log2ram                            	       0        1        0        1        0
46239 logapp                             	       0        1        1        0        0
46240 logcheck-database                  	       0       63        0        0       63
46241 logdigest                          	       0        2        1        1        0
46242 loggedfs                           	       0        1        0        1        0
46243 logigsk                            	       0        1        0        1        0
46244 login.defs                         	       0      251        0        0      251
46245 logiops                            	       0        1        0        1        0
46246 logisim                            	       0        4        0        4        0
46247 logisim-evolution                  	       0        1        0        1        0
46248 logitechmediaserver                	       0        3        1        2        0
46249 logjam                             	       0        1        0        1        0
46250 logmein-hamachi                    	       0        6        0        6        0
46251 logstalgia                         	       0        2        0        2        0
46252 logtool                            	       0        1        0        1        0
46253 logtools                           	       0        4        0        4        0
46254 logtop                             	       0        7        0        7        0
46255 logwatch                           	       0       48       15       33        0
46256 lokalize                           	       0       40        0       40        0
46257 lokalize-data                      	       0        7        0        0        7
46258 lokalize-doc                       	       0        6        0        0        6
46259 loki                               	       0        1        0        1        0
46260 lolcat                             	       0       45        1       44        0
46261 lomiri-thumbnailer-service         	       0        1        0        1        0
46262 lomiri-ui-toolkit-doc              	       0        1        0        0        1
46263 lomiri-ui-toolkit-examples         	       0        1        0        0        1
46264 lomiri-ui-toolkit-theme            	       0        1        0        0        1
46265 lomiri-ui-toolkit-tools            	       0        1        0        1        0
46266 lomoco                             	       0        5        0        5        0
46267 londonlaw                          	       0        2        0        2        0
46268 lookatme                           	       0        1        0        1        0
46269 looktxt                            	       0        1        0        1        0
46270 lookup                             	       0        5        0        5        0
46271 loop-aes-source                    	       0        1        0        0        1
46272 loop-aes-testsuite                 	       0        1        0        1        0
46273 looptools                          	       0        2        0        2        0
46274 loqui                              	       0        2        0        2        0
46275 lordsawar                          	       0        5        0        5        0
46276 lordsawar-data                     	       0        5        0        0        5
46277 lossywav                           	       0        1        0        1        0
46278 lostirc                            	       0        2        0        2        0
46279 loudgain                           	       0       10        0       10        0
46280 loupe                              	       0        1        0        1        0
46281 lout                               	       0        1        0        1        0
46282 lout-common                        	       0        1        0        0        1
46283 love                               	       0       21        0       21        0
46284 lowdown                            	       0        4        0        4        0
46285 lp-solve-doc                       	       0        5        0        0        5
46286 lpc21isp                           	       0        3        0        3        0
46287 lpctools                           	       0        4        0        4        0
46288 lpe                                	       0        5        0        5        0
46289 lphdisk                            	       0        1        0        1        0
46290 lpkgbuild                          	       0        1        0        1        0
46291 lpr                                	       0       28        5       23        0
46292 lprint                             	       0        3        0        3        0
46293 lprng                              	       0        9        1        8        0
46294 lprng-doc                          	       0        7        0        0        7
46295 lr                                 	       0       10        0       10        0
46296 lrcalc                             	       0        1        0        1        0
46297 lrslib                             	       0        1        0        1        0
46298 lsat                               	       0        3        0        3        0
46299 lsb                                	       0        5        0        0        5
46300 lsb-appchk2                        	       0        1        0        1        0
46301 lsb-appchk3                        	       0        1        0        1        0
46302 lsb-build-base2                    	       0        1        0        1        0
46303 lsb-build-base3                    	       0        1        0        1        0
46304 lsb-build-cc3                      	       0        1        0        1        0
46305 lsb-compat                         	       0        7        0        7        0
46306 lsb-core                           	       0        9        0        9        0
46307 lsb-cprocsp-base                   	       0        1        0        1        0
46308 lsb-cprocsp-ca-certs               	       0        1        0        1        0
46309 lsb-cprocsp-capilite-64            	       0        1        0        1        0
46310 lsb-cprocsp-kc1-64                 	       0        1        0        1        0
46311 lsb-cprocsp-pkcs11-64              	       0        1        0        1        0
46312 lsb-cprocsp-rdr-64                 	       0        1        0        1        0
46313 lsb-cxx                            	       0        4        0        0        4
46314 lsb-desktop                        	       0        5        0        0        5
46315 lsb-graphics                       	       0        5        0        0        5
46316 lsb-invalid-mta                    	       0        4        0        2        2
46317 lsb-languages                      	       0        4        0        0        4
46318 lsb-multimedia                     	       0        5        0        0        5
46319 lsb-pkgchk3                        	       0        1        0        1        0
46320 lsb-printing                       	       0        5        0        0        5
46321 lsb-release-minimal                	       0        1        0        0        1
46322 lsb-rpm                            	       0        1        0        1        0
46323 lsb-security                       	       0       14        0        0       14
46324 lsbappchk                          	       0        1        0        1        0
46325 lsh                                	       0        1        0        1        0
46326 lsh-client                         	       0        2        0        2        0
46327 lsh-doc                            	       0        3        0        0        3
46328 lsh-server                         	       0        1        1        0        0
46329 lsh-utils                          	       0        2        0        2        0
46330 lsh-utils-doc                      	       0        1        0        0        1
46331 lshell                             	       0        1        0        1        0
46332 lshw-common                        	       0        1        0        0        1
46333 lsiutil                            	       0        2        0        2        0
46334 lsix                               	       0        2        0        2        0
46335 lskat-data                         	       0       52        0        0       52
46336 lskat-trinity                      	       0       22        0        0       22
46337 lslk                               	       0        1        0        1        0
46338 lsm                                	       0        1        0        1        0
46339 lsmount                            	       0        5        0        5        0
46340 lsp-plugins                        	       0       19        0        2       17
46341 lsp-plugins-clap                   	       0        1        0        1        0
46342 lsp-plugins-data                   	       0        2        0        0        2
46343 lsp-plugins-jack                   	       0       23        1       21        1
46344 lsp-plugins-lv2                    	       0       70        8       61        1
46345 lsp-plugins-r3d-glx                	       0       63        0        0       63
46346 lsp-plugins-vst                    	       0       18        0       14        4
46347 lspowertweak                       	       0        1        0        1        0
46348 lswm                               	       0        6        0        6        0
46349 lsyncd                             	       0        7        2        5        0
46350 ltpanel                            	       0        2        0        2        0
46351 ltrace                             	       0       65        1       64        0
46352 ltris                              	       0       20        0       20        0
46353 ltsp-docs                          	       0        1        0        0        1
46354 ltsp-server                        	       0        4        0        4        0
46355 ltsp-server-standalone             	       0        3        0        0        3
46356 ltspfs                             	       0        4        0        4        0
46357 ltspice                            	       0        1        0        1        0
46358 lttk-emacs-customization           	       0        1        0        0        1
46359 lttoolbox                          	       0        7        0        7        0
46360 lttoolbox-dev                      	       0        3        0        3        0
46361 ltunify                            	       0        5        0        5        0
46362 lua-ansicolors                     	       0        1        0        0        1
46363 lua-any                            	       0       22        0       22        0
46364 lua-argparse                       	       0        4        0        0        4
46365 lua-augeas                         	       0        1        0        0        1
46366 lua-basexx                         	       0       10        0        0       10
46367 lua-binaryheap                     	       0        6        0        0        6
46368 lua-bit32                          	       0       20        0        0       20
46369 lua-bit32-dev                      	       0        1        0        1        0
46370 lua-bitop                          	       0      246        6        8      232
46371 lua-bitop-dev                      	       0        1        0        1        0
46372 lua-busted                         	       0        2        0        2        0
46373 lua-cgi                            	       0        2        0        0        2
46374 lua-check                          	       0        4        0        4        0
46375 lua-cjson                          	       0       28        6        8       14
46376 lua-cjson-dev                      	       0        1        0        1        0
46377 lua-cliargs                        	       0        2        0        0        2
46378 lua-compat53                       	       0        7        0        0        7
46379 lua-copas                          	       0        2        0        0        2
46380 lua-coxpcall                       	       0        5        0        0        5
46381 lua-cqueues                        	       0        8        2        3        3
46382 lua-curl                           	       0        4        0        0        4
46383 lua-curl-dev                       	       0        4        0        4        0
46384 lua-curses                         	       0        4        0        0        4
46385 lua-curses-dev                     	       0        4        0        4        0
46386 lua-cyrussasl                      	       0        2        0        0        2
46387 lua-dbi-common                     	       0        5        0        0        5
46388 lua-dbi-mysql                      	       0        2        0        0        2
46389 lua-dbi-mysql-dev                  	       0        1        0        1        0
46390 lua-dbi-postgresql                 	       0        3        0        0        3
46391 lua-dbi-postgresql-dev             	       0        1        0        1        0
46392 lua-dbi-sqlite3                    	       0        4        0        1        3
46393 lua-dbi-sqlite3-dev                	       0        1        0        1        0
46394 lua-dkjson                         	       0        2        0        0        2
46395 lua-doc                            	       0        6        0        0        6
46396 lua-event                          	       0       15        0        1       14
46397 lua-expat                          	       0      232        3        8      221
46398 lua-expat-dev                      	       0        3        0        3        0
46399 lua-fifo                           	       0        6        0        0        6
46400 lua-filesystem                     	       0      111        3        8      100
46401 lua-filesystem-dev                 	       0        3        0        3        0
46402 lua-geoip                          	       0        1        0        0        1
46403 lua-geoip-dev                      	       0        1        0        1        0
46404 lua-http                           	       0        6        0        0        6
46405 lua-iconv                          	       0        1        0        0        1
46406 lua-iconv-dev                      	       0        1        0        1        0
46407 lua-inifile                        	       0        2        0        0        2
46408 lua-inotify                        	       0        1        0        0        1
46409 lua-inotify-dev                    	       0        1        0        1        0
46410 lua-inspect                        	       0        5        0        0        5
46411 lua-json                           	       0      210        0        0      210
46412 lua-ldoc                           	       0        2        0        2        0
46413 lua-lgi                            	       0       89        3       12       74
46414 lua-lgi-dev                        	       0        1        0        1        0
46415 lua-ljsyscall                      	       0        1        0        0        1
46416 lua-logging                        	       0        6        0        0        6
46417 lua-lpeg                           	       0      912        1        0      911
46418 lua-lpeg-dev                       	       0        2        0        2        0
46419 lua-lpeg-patterns                  	       0        7        0        0        7
46420 lua-lsqlite3                       	       0        1        0        0        1
46421 lua-lsqlite3-dev                   	       0        1        0        1        0
46422 lua-luacsnd6                       	       0        1        0        0        1
46423 lua-luaossl                        	       0        9        0        1        8
46424 lua-luassert                       	       0        2        0        0        2
46425 lua-luv                            	       0      131        2        5      124
46426 lua-luv-dev                        	       0        1        0        1        0
46427 lua-lxc                            	       0        1        0        0        1
46428 lua-markdown                       	       0        1        0        0        1
46429 lua-md5                            	       0        2        0        0        2
46430 lua-md5-dev                        	       0        1        0        1        0
46431 lua-mediator                       	       0        2        0        0        2
46432 lua-mmdb                           	       0        5        0        0        5
46433 lua-mode                           	       0        1        0        0        1
46434 lua-moses                          	       0        1        0        0        1
46435 lua-mpack                          	       0        3        0        0        3
46436 lua-nvim                           	       0        3        0        0        3
46437 lua-penlight                       	       0        4        0        0        4
46438 lua-penlight-dev                   	       0        1        0        0        1
46439 lua-posix                          	       0       15        0        0       15
46440 lua-readline                       	       0       11        0        0       11
46441 lua-readline-dev                   	       0        2        0        2        0
46442 lua-redis                          	       0        1        0        0        1
46443 lua-redis-dev                      	       0        1        0        0        1
46444 lua-resty-core                     	       0        3        0        0        3
46445 lua-resty-lrucache                 	       0        3        0        0        3
46446 lua-rex-doc                        	       0        1        0        0        1
46447 lua-rex-gnu                        	       0        1        0        0        1
46448 lua-rex-gnu-dev                    	       0        1        0        1        0
46449 lua-rex-pcre                       	       0        1        0        0        1
46450 lua-rex-pcre2                      	       0        1        0        0        1
46451 lua-rex-pcre2-dev                  	       0        1        0        1        0
46452 lua-rings                          	       0        1        0        0        1
46453 lua-say                            	       0        2        0        0        2
46454 lua-sec                            	       0       38        4        8       26
46455 lua-soap                           	       0        1        0        0        1
46456 lua-socket                         	       0      258        4       11      243
46457 lua-socket-dev                     	       0        1        0        1        0
46458 lua-sql-doc                        	       0        1        0        0        1
46459 lua-sql-mysql                      	       0        2        0        0        2
46460 lua-sql-mysql-dev                  	       0        2        0        2        0
46461 lua-sql-odbc                       	       0        1        0        0        1
46462 lua-sql-odbc-dev                   	       0        1        0        1        0
46463 lua-sql-postgres                   	       0        1        0        0        1
46464 lua-sql-postgres-dev               	       0        1        0        1        0
46465 lua-sql-sqlite3                    	       0        7        0        0        7
46466 lua-sql-sqlite3-dev                	       0        1        0        1        0
46467 lua-struct                         	       0        1        0        0        1
46468 lua-struct-dev                     	       0        1        0        1        0
46469 lua-svn                            	       0        5        0        0        5
46470 lua-svn-dev                        	       0        1        0        1        0
46471 lua-system                         	       0        2        0        0        2
46472 lua-systemd                        	       0        1        0        0        1
46473 lua-systemd-dev                    	       0        1        0        1        0
46474 lua-term                           	       0        2        0        0        2
46475 lua-term-dev                       	       0        1        0        1        0
46476 lua-torch-cwrap                    	       0        1        0        0        1
46477 lua-torch-dok                      	       0        1        0        0        1
46478 lua-torch-graph                    	       0        1        0        0        1
46479 lua-torch-image                    	       0        1        0        0        1
46480 lua-torch-nn                       	       0        1        0        0        1
46481 lua-torch-nngraph                  	       0        1        0        0        1
46482 lua-torch-optim                    	       0        1        0        0        1
46483 lua-torch-paths                    	       0        1        0        0        1
46484 lua-torch-sundown                  	       0        1        0        0        1
46485 lua-torch-sys                      	       0        1        0        0        1
46486 lua-torch-torch7                   	       0        1        0        0        1
46487 lua-torch-trepl                    	       0        1        0        0        1
46488 lua-torch-xlua                     	       0        1        0        0        1
46489 lua-unbound                        	       0       10        1        8        1
46490 lua-unit                           	       0        2        0        0        2
46491 lua-uri                            	       0        1        0        0        1
46492 lua-uri-dev                        	       0        1        0        0        1
46493 lua-wsapi                          	       0        1        0        1        0
46494 lua-xmlrpc                         	       0        1        0        0        1
46495 lua-yaml                           	       0        2        0        0        2
46496 lua-yaml-dev                       	       0        1        0        1        0
46497 lua-zip                            	       0        2        0        0        2
46498 lua-zip-dev                        	       0        1        0        1        0
46499 lua-zlib                           	       0        4        0        0        4
46500 lua-zlib-dev                       	       0        1        0        1        0
46501 lua40                              	       0        1        0        1        0
46502 lua5.2                             	       0       52        2       50        0
46503 lua5.2-doc                         	       0        3        0        0        3
46504 lua5.3                             	       0       48        1       47        0
46505 lua5.4                             	       0       48        3       45        0
46506 lua50                              	       0        6        0        6        0
46507 luadoc                             	       0        2        0        2        0
46508 luajit                             	       0       16        0       16        0
46509 luajit2                            	       0        1        0        1        0
46510 luakit                             	       0       11        0       11        0
46511 luametatex                         	       0       13        1       12        0
46512 luanti-data                        	       0        1        0        0        1
46513 luarocks                           	       0       20        0       20        0
46514 luasseq                            	       0        1        0        0        1
46515 luatex                             	       0        5        0        0        5
46516 luckybackup                        	       0       17        1       16        0
46517 luckybackup-data                   	       0       17        0        0       17
46518 luckyluks                          	       0        4        2        2        0
46519 luftikus-lv2                       	       0        1        0        1        0
46520 lugaru                             	       0        6        0        6        0
46521 lugaru-data                        	       0        7        0        0        7
46522 luksipc                            	       0        1        0        1        0
46523 luksmeta                           	       0        8        0        8        0
46524 luminance-hdr                      	       0        6        0        6        0
46525 lunar                              	       0        1        0        1        0
46526 lunarg-gfxreconstruct              	       0        2        0        2        0
46527 lunarg-via                         	       0        2        0        2        0
46528 lunarg-vkconfig                    	       0        2        0        2        0
46529 lunarg-vktrace                     	       0        1        0        1        0
46530 lunarg-vulkan-layers               	       0        2        0        0        2
46531 luniistore                         	       0        1        0        1        0
46532 luola                              	       0        3        0        3        0
46533 luola-data                         	       0        3        0        0        3
46534 luola-levels                       	       0        3        0        0        3
46535 luola-nostalgy                     	       0        1        0        0        1
46536 luppp                              	       0        2        0        2        0
46537 lur-command                        	       0        3        0        3        0
46538 lure-of-the-temptress              	       0       16        0       16        0
46539 lusernet.app                       	       0        1        0        1        0
46540 luvcview                           	       0        2        0        2        0
46541 luxman                             	       0        1        0        1        0
46542 lv2-c++-tools                      	       0        3        0        3        0
46543 lv2-dev                            	       0       34        0       34        0
46544 lv2-examples                       	       0        1        0        1        0
46545 lv2file                            	       0        6        0        6        0
46546 lv2proc                            	       0        6        0        6        0
46547 lv2vocoder                         	       0        7        0        7        0
46548 lv2vst                             	       0        1        0        0        1
46549 lvm2-dbusd                         	       0        3        1        2        0
46550 lvm2-lockd                         	       0        4        1        3        0
46551 lvsupport2023-vianalyzer           	       0        1        0        0        1
46552 lvtk-tools                         	       0        1        0        1        0
46553 lwatch                             	       0        6        1        5        0
46554 lwm                                	       0        8        0        8        0
46555 lwp                                	       0        1        0        1        0
46556 lwresd                             	       0        4        0        4        0
46557 lx-gdb                             	       0        1        0        1        0
46558 lx-gdb-dbgsym                      	       0        1        0        1        0
46559 lxappearance-dbg                   	       0        2        0        2        0
46560 lxappearance-obconf                	       0      190        0        0      190
46561 lxappearance-obconf-dbg            	       0        1        0        1        0
46562 lxc                                	       0       88       16       72        0
46563 lxc-dbgsym                         	       0        1        0        1        0
46564 lxc-dev                            	       0        2        0        2        0
46565 lxc-templates                      	       0       68        0        0       68
46566 lxc-tests                          	       0        1        0        1        0
46567 lxc-tests-dbgsym                   	       0        1        0        1        0
46568 lxcfs-dbgsym                       	       0        1        0        1        0
46569 lxctl                              	       0       17        0       17        0
46570 lxd                                	       0       10        2        8        0
46571 lxd-agent                          	       0       10        0       10        0
46572 lxd-client                         	       0       10        0       10        0
46573 lxd-migrate                        	       0        1        0        1        0
46574 lxd-tools                          	       0        3        0        3        0
46575 lxde                               	       0      149        0        0      149
46576 lxde-common                        	       0      201        0        4      197
46577 lxde-core                          	       0      175        0        0      175
46578 lxde-icon-theme                    	       0      236        0        0      236
46579 lxde-settings-daemon-dbgsym        	       0        2        0        2        0
46580 lxdm                               	       0       44        6       38        0
46581 lxdm-dbgsym                        	       0        1        0        1        0
46582 lxdm-gtk3                          	       0        1        0        1        0
46583 lxhotkey-core-dbgsym               	       0        1        0        1        0
46584 lxhotkey-data                      	       0      166        0        0      166
46585 lxhotkey-dev                       	       0        1        0        1        0
46586 lxhotkey-gtk                       	       0      175        0        0      175
46587 lxhotkey-gtk-dbgsym                	       0        1        0        1        0
46588 lxhotkey-plugin-openbox            	       0      166        0        0      166
46589 lxhotkey-plugin-openbox-dbgsym     	       0        1        0        1        0
46590 lxi-tools                          	       0        1        0        1        0
46591 lxi-tools-dbgsym                   	       0        1        0        1        0
46592 lximage-qt-dbgsym                  	       0        1        0        1        0
46593 lximage-qt-l10n                    	       0      275        0        0      275
46594 lxinput-dbg                        	       0        1        0        1        0
46595 lxlauncher-dbg                     	       0        2        0        2        0
46596 lxmenu-data                        	       0      566        0        0      566
46597 lxmms2                             	       0        1        0        1        0
46598 lxmms2-dbgsym                      	       0        1        0        1        0
46599 lxmusic                            	       0       64        0       64        0
46600 lxmusic-dbg                        	       0        1        0        1        0
46601 lxmusserv                          	       0        1        0        1        0
46602 lxpanel-dbgsym                     	       0        2        0        2        0
46603 lxpanel-dev                        	       0        2        0        2        0
46604 lxpanel-plugin-topmenu             	       0        1        0        0        1
46605 lxpolkit-dbgsym                    	       0        1        0        1        0
46606 lxqt                               	       0      233        0        0      233
46607 lxqt-about-dbgsym                  	       0        1        0        1        0
46608 lxqt-about-l10n                    	       0      256        0        0      256
46609 lxqt-admin-dbgsym                  	       0        1        0        1        0
46610 lxqt-admin-l10n                    	       0      247        0        0      247
46611 lxqt-archiver-dbgsym               	       0        1        0        1        0
46612 lxqt-archiver-l10n                 	       0       37        0        0       37
46613 lxqt-branding-debian               	       0      255        0        0      255
46614 lxqt-build-tools                   	       0        7        0        7        0
46615 lxqt-common                        	       0        3        0        3        0
46616 lxqt-config-dbgsym                 	       0        1        0        1        0
46617 lxqt-config-l10n                   	       0      274        0        3      271
46618 lxqt-core                          	       0      251        0        0      251
46619 lxqt-globalkeys-dbgsym             	       0        1        0        1        0
46620 lxqt-globalkeys-l10n               	       0      255        0        0      255
46621 lxqt-menu-data                     	       0       11        0        0       11
46622 lxqt-notificationd-dbgsym          	       0        1        0        1        0
46623 lxqt-openssh-askpass-dbgsym        	       0        1        0        1        0
46624 lxqt-openssh-askpass-l10n          	       0      256        0        0      256
46625 lxqt-qtplugin-dbgsym               	       0        1        0        1        0
46626 lxqt-runner-dbgsym                 	       0        1        0        1        0
46627 lxqt-session-dbgsym                	       0        1        0        1        0
46628 lxqt-sudo-dbgsym                   	       0        1        0        1        0
46629 lxqt-sudo-l10n                     	       0      275        0        0      275
46630 lxqt-system-theme                  	       0      282        0        0      282
46631 lxqt-theme-debian                  	       0      259        0        0      259
46632 lxqt-themes                        	       0      260        0        0      260
46633 lxrandr-dbg                        	       0        2        0        2        0
46634 lxsession-dbgsym                   	       0        1        0        1        0
46635 lxsession-default-apps-dbgsym      	       0        1        0        1        0
46636 lxsession-edit-dbgsym              	       0        2        0        2        0
46637 lxsession-logout-dbgsym            	       0        1        0        1        0
46638 lxshortcut                         	       0        8        0        8        0
46639 lxtask-dbgsym                      	       0        2        0        2        0
46640 lxterminal-dbgsym                  	       0        2        0        2        0
46641 lybniz                             	       0        1        0        1        0
46642 lycheeslicer                       	       0        3        0        2        1
46643 lynx-build-deps                    	       0        1        0        0        1
46644 lynx-common                        	       0     2595        2       17     2576
46645 lynx-cur                           	       0       52        0       13       39
46646 lynx-cur-wrapper                   	       0        2        0        0        2
46647 lynx-dbgsym                        	       0        1        0        1        0
46648 lyrionmusicserver                  	       0        2        1        1        0
46649 lysdr                              	       0        2        0        2        0
46650 lyx-common                         	       0      100        0        0      100
46651 lz4json                            	       0       11        0       11        0
46652 lzdoom                             	       0        1        0        1        0
46653 lzfse                              	       0        1        0        1        0
46654 lzma                               	       0       89        3       86        0
46655 lzma-alone                         	       0       16        0       16        0
46656 lzma-dev                           	       0       15        0       15        0
46657 m-tx                               	       0        2        0        1        1
46658 m16c-flash                         	       0        5        0        5        0
46659 m17-demod                          	       0        1        0        1        0
46660 m17n-contrib                       	       0        8        0        0        8
46661 m17n-docs                          	       0       11        0        0       11
46662 m2r                                	       0        4        0        4        0
46663 m2vrequantiser                     	       0        4        0        4        0
46664 m4-doc                             	       0       35        0        0       35
46665 maatkit                            	       0        1        0        1        0
46666 mac                                	       0        2        0        1        1
46667 mac-fdisk-cross                    	       0        1        0        1        0
46668 mac-robber                         	       0       25        0       25        0
46669 macaulay2-common                   	       0        2        0        0        2
46670 macchanger                         	       0       37        0       37        0
46671 macfanctld                         	       0        5        1        4        0
46672 macopix                            	       0        3        0        3        0
46673 macopix-gtk2                       	       0        1        0        1        0
46674 macs                               	       0        2        0        2        0
46675 mactelnet-client                   	       0        9        1        8        0
46676 mactelnet-locales                  	       0        1        0        0        1
46677 mactelnet-server                   	       0        3        0        3        0
46678 macutils                           	       0        7        0        7        0
46679 mad-json                           	       0        1        0        1        0
46680 mad-pearls                         	       0        1        0        1        0
46681 mad-racoon-conf                    	       0        1        0        1        0
46682 mad-tcp-tls                        	       0        1        0        1        0
46683 mad-vpn-config                     	       0        1        0        1        0
46684 madbomber                          	       0        6        0        6        0
46685 madbomber-data                     	       0        6        0        0        6
46686 madison-lite                       	       0        2        0        2        0
46687 madplay                            	       0        9        0        9        0
46688 madwifi-modules-2.6.26-486-voyage  	       0        1        0        0        1
46689 madwifi-tools                      	       0        1        0        1        0
46690 maelstrom                          	       0        4        0        4        0
46691 maffilter                          	       0        1        0        1        0
46692 mafft                              	       0        5        0        5        0
46693 magic                              	       0        7        0        7        0
46694 magic-wormhole                     	       0       15        0       15        0
46695 magicfilter                        	       0       13        0       13        0
46696 magicmaze                          	       0        5        0        5        0
46697 magicor                            	       0        3        0        3        0
46698 magicor-data                       	       0        3        0        0        3
46699 magicrescue                        	       0       38        0       38        0
46700 magics++                           	       0        2        0        2        0
46701 magit                              	       0        2        0        0        2
46702 magnetic                           	       0        1        0        1        0
46703 magnus                             	       0        5        0        5        0
46704 mah-jong                           	       0        5        0        5        0
46705 mahjongg                           	       0        4        0        0        4
46706 mail-expire                        	       0        4        0        4        0
46707 mail-notification                  	       0        1        0        1        0
46708 mailagent                          	       0        5        0        5        0
46709 mailcheck                          	       0        4        1        3        0
46710 mailcrypt                          	       0        2        0        2        0
46711 maildir-filter                     	       0        1        0        1        0
46712 maildirsync                        	       0        1        1        0        0
46713 maildrop                           	       0       17        2       15        0
46714 mailfilter                         	       0        4        0        4        0
46715 mailfront                          	       0        1        0        1        0
46716 mailgraph                          	       0        1        0        1        0
46717 mailman                            	       0        2        1        1        0
46718 mailman3                           	       0        2        0        2        0
46719 mailman3-full                      	       0        2        0        0        2
46720 mailman3-web                       	       0        2        0        2        0
46721 mailmaster                         	       0        1        0        0        1
46722 mailscripts                        	       0        4        0        4        0
46723 mailspring                         	       0        1        0        1        0
46724 mailsync                           	       0        2        0        2        0
46725 mailtextbody                       	       0        2        0        2        0
46726 mailutils-common                   	       0     1190        0        0     1190
46727 mailutils-comsatd                  	       0        1        0        1        0
46728 mailutils-doc                      	       0       15        0        0       15
46729 mailutils-guile                    	       0        1        0        1        0
46730 mailutils-imap4d                   	       0        1        0        1        0
46731 mailutils-mda                      	       0        2        1        1        0
46732 mailutils-mh                       	       0        9        1        8        0
46733 mailutils-pop3d                    	       0        1        0        1        0
46734 mailx                              	       0        7        0        0        7
46735 maint-guide                        	       0       14        0        0       14
46736 maint-guide-de                     	       0        2        0        0        2
46737 maint-guide-es                     	       0        3        0        0        3
46738 maint-guide-fr                     	       0        4        0        0        4
46739 maint-guide-it                     	       0      119        0        0      119
46740 maint-guide-ru                     	       0        1        0        0        1
46741 mairix                             	       0        7        1        6        0
46742 maitreya                           	       0        1        0        1        0
46743 maitreya8                          	       0        1        0        1        0
46744 make-dbgsym                        	       0        1        0        1        0
46745 make-dfsg-build-deps               	       0        1        0        0        1
46746 make-doc                           	       0       52        0        0       52
46747 makedepf90                         	       0        1        0        1        0
46748 makedev                            	       0       63        4       59        0
46749 makedic                            	       0        1        0        1        0
46750 makedumpfile                       	       0        9        1        8        0
46751 makefile2graph                     	       0        1        0        1        0
46752 makefs                             	       0        9        0        9        0
46753 makehrtf                           	       0        2        0        2        0
46754 makehuman                          	       0        2        0        2        0
46755 makehuman-data                     	       0        2        0        0        2
46756 makehuman-doc                      	       0        2        0        0        2
46757 makemkv-bin                        	       0        4        0        4        0
46758 makemkv-oss                        	       0        4        0        4        0
46759 makepasswd                         	       0       20        3       17        0
46760 makepatch                          	       0       13        1       12        0
46761 makepkg                            	       0        4        0        4        0
46762 makepp                             	       0        2        0        2        0
46763 makeself                           	       0       19        1       18        0
46764 makexvpics                         	       0        1        0        1        0
46765 maldet                             	       0        1        0        1        0
46766 maliit-framework                   	       0        1        0        1        0
46767 maliit-keyboard                    	       0        1        0        1        0
46768 mallard-rng                        	       0       10        0        0       10
46769 maltego                            	       0        1        0        1        0
46770 mame                               	       0       46        0       46        0
46771 mame-data                          	       0       46        0        0       46
46772 mame-doc                           	       0        5        0        0        5
46773 mame-extra                         	       0       12        0        0       12
46774 mame-tools                         	       0       25        0       25        0
46775 man-db-dbgsym                      	       0        1        0        1        0
46776 mana                               	       0        1        0        1        0
46777 mana-data                          	       0        1        0        0        1
46778 manaplus                           	       0       14        0       14        0
46779 manaplus-data                      	       0       14        0        0       14
46780 mancala                            	       0        6        0        6        0
46781 mandelbulber                       	       0        1        0        1        0
46782 mandelbulber2                      	       0        6        0        6        0
46783 mandelbulber2-data                 	       0        6        0        6        0
46784 mandos                             	       0        1        0        1        0
46785 mandos-client                      	       0        3        0        3        0
46786 mangal                             	       0        1        0        1        0
46787 mangler                            	       0        2        0        2        0
46788 mangohud                           	       0       23        0       23        0
46789 mangohudctl                        	       0        2        0        2        0
46790 manpages                           	       0     4035        0        0     4035
46791 manpages-da                        	       0        1        0        0        1
46792 manpages-de                        	       0      365        0        0      365
46793 manpages-de-dev                    	       0        9        0        0        9
46794 manpages-dev                       	       0     2822        0        0     2822
46795 manpages-es                        	       0      272        0        0      272
46796 manpages-es-dev                    	       0        4        0        0        4
46797 manpages-fr                        	       0      100        0        0      100
46798 manpages-fr-dev                    	       0        4        0        0        4
46799 manpages-fr-extra                  	       0        5        0        0        5
46800 manpages-hu                        	       0       19        0        0       19
46801 manpages-it                        	       0      121        0        0      121
46802 manpages-ja                        	       0       38        0        0       38
46803 manpages-ja-dev                    	       0       37        0        0       37
46804 manpages-mk                        	       0        1        0        0        1
46805 manpages-nl                        	       0       15        0        0       15
46806 manpages-opengl                    	       0        1        0        0        1
46807 manpages-pl                        	       0       69        0        0       69
46808 manpages-pl-dev                    	       0       69        0        0       69
46809 manpages-posix                     	       0       31        0        0       31
46810 manpages-posix-dev                 	       0       28        0        0       28
46811 manpages-pt                        	       0        9        0        0        9
46812 manpages-pt-br                     	       0       46        0        0       46
46813 manpages-pt-br-dev                 	       0        1        0        0        1
46814 manpages-pt-dev                    	       0        1        0        0        1
46815 manpages-ro                        	       0        4        0        0        4
46816 manpages-ru                        	       0        3        0        0        3
46817 manpages-ru-dev                    	       0        2        0        0        2
46818 manpages-tr                        	       0       10        0        0       10
46819 manpages-zh                        	       0       12        0        0       12
46820 manticore                          	       0        1        0        1        0
46821 manticore-columnar-lib             	       0        2        0        1        1
46822 manticore-repo                     	       0        2        0        2        0
46823 mantis                             	       0        1        1        0        0
46824 manuskript                         	       0        9        0        9        0
46825 mapcache-tools                     	       0        3        0        3        0
46826 mapcode                            	       0        6        0        6        0
46827 mapivi                             	       0        5        0        5        0
46828 mapnik-doc                         	       0        1        0        0        1
46829 mapnik-reference                   	       0        1        0        0        1
46830 mapnik-utils                       	       0        5        0        5        0
46831 mapproxy                           	       0        2        0        2        0
46832 mapserver-bin                      	       0        7        1        6        0
46833 maq                                	       0        4        0        4        0
46834 maqview                            	       0        1        0        1        0
46835 maradns                            	       0        2        0        2        0
46836 maradns-deadwood                   	       0        2        0        2        0
46837 maradns-docs                       	       0        1        0        0        1
46838 maradns-zoneserver                 	       0        2        0        2        0
46839 marble-data                        	       0       87        0        0       87
46840 marble-maps                        	       0        4        0        4        0
46841 marble-plugins                     	       0      138        1        1      136
46842 marble-qt-data                     	       0      137        0        2      135
46843 marco-build-deps                   	       0        1        0        0        1
46844 maria                              	       0        3        0        3        0
46845 maria-doc                          	       0        3        0        0        3
46846 mariadb-client-10.0                	       0        5        1        4        0
46847 mariadb-client-10.1                	       0       38        8       30        0
46848 mariadb-client-10.2                	       0        1        0        1        0
46849 mariadb-client-10.3                	       0       48        3       45        0
46850 mariadb-client-10.6                	       0        1        0        1        0
46851 mariadb-client-compat              	       0        7        2        5        0
46852 mariadb-client-core-10.0           	       0        5        0        5        0
46853 mariadb-client-core-10.1           	       0       46        5       41        0
46854 mariadb-client-core-10.2           	       0        1        0        1        0
46855 mariadb-client-core-10.3           	       0       58        2       56        0
46856 mariadb-client-core-10.6           	       0        1        0        1        0
46857 mariadb-common                     	       0     2255        0        0     2255
46858 mariadb-plugin-connect-jdbc        	       0        1        0        0        1
46859 mariadb-plugin-gssapi-client       	       0        1        0        0        1
46860 mariadb-plugin-gssapi-server       	       0        2        0        2        0
46861 mariadb-server-10.0                	       0        5        1        4        0
46862 mariadb-server-10.1                	       0       33        9       24        0
46863 mariadb-server-10.2                	       0        1        0        1        0
46864 mariadb-server-10.3                	       0       36        1       35        0
46865 mariadb-server-10.6                	       0        1        0        1        0
46866 mariadb-server-core-10.0           	       0        5        0        5        0
46867 mariadb-server-core-10.1           	       0       41        3       38        0
46868 mariadb-server-core-10.2           	       0        1        0        1        0
46869 mariadb-server-core-10.3           	       0       47        4       43        0
46870 mariadb-server-core-10.6           	       0        1        0        1        0
46871 markdent                           	       0        1        0        1        0
46872 markdown-unlit                     	       0        1        0        1        0
46873 markdownlint                       	       0        1        0        1        0
46874 markdownpart                       	       0        6        0        0        6
46875 marktext                           	       0        4        0        4        0
46876 marsshooter                        	       0       10        0       10        0
46877 marsshooter-data                   	       0       11        0        0       11
46878 maskprocessor                      	       0       23        0       23        0
46879 masmemoria                         	       0        4        0        0        4
46880 mason                              	       0        1        1        0        0
46881 masscan                            	       0       31        0       31        0
46882 massif-visualizer                  	       0        1        0        1        0
46883 master-pdf-editor                  	       0       24        0        1       23
46884 master-pdf-editor-5                	       0       14        0        3       11
46885 mat                                	       0        4        0        1        3
46886 mat2                               	       0       28        1       27        0
46887 matchbox                           	       0        2        0        0        2
46888 matchbox-common                    	       0        4        0        4        0
46889 matchbox-desktop                   	       0        2        0        2        0
46890 matchbox-keyboard                  	       0        6        0        6        0
46891 matchbox-keyboard-im               	       0        8        0        0        8
46892 matchbox-panel                     	       0        4        0        4        0
46893 matchbox-panel-manager             	       0        4        0        4        0
46894 matchbox-themes-extra              	       0        1        0        0        1
46895 matchbox-window-manager            	       0        9        1        8        0
46896 mate-applet-appmenu                	       0       22        0       22        0
46897 mate-archive-keyring               	       0        1        0        0        1
46898 mate-backgrounds                   	       0      510        0        0      510
46899 mate-common                        	       0       18        0       18        0
46900 mate-common-build-deps             	       0        1        0        0        1
46901 mate-control-center-common         	       0      518        0        8      510
46902 mate-core                          	       0       21        0        0       21
46903 mate-desktop-environment           	       0      504        0        0      504
46904 mate-desktop-environment-core      	       0      509        0        0      509
46905 mate-desktop-environment-extra     	       0       18        0        0       18
46906 mate-desktop-environment-extras    	       0       53        0        0       53
46907 mate-dock-applet                   	       0       55        0        0       55
46908 mate-equake-applet                 	       0        4        0        0        4
46909 mate-extras-login-sound            	       0        1        0        1        0
46910 mate-hud                           	       0        4        0        4        0
46911 mate-icon-theme                    	       0      779        0        0      779
46912 mate-icon-theme-faenza             	       0       84        0        0       84
46913 mate-indicator-applet              	       0      114        0        0      114
46914 mate-indicator-applet-common       	       0      116        0        0      116
46915 mate-media-pulse                   	       0        2        0        2        0
46916 mate-menus                         	       0      525        0        0      525
46917 mate-netbook-common                	       0        9        0        0        9
46918 mate-notification-daemon-common    	       0      527        0        0      527
46919 mate-optimus                       	       0        4        0        4        0
46920 mate-polkit-bin                    	       0        9        0        9        0
46921 mate-sensors-applet                	       0      106        2       21       83
46922 mate-sensors-applet-common         	       0      107        1       12       94
46923 mate-sensors-applet-nvidia         	       0       15        1        5        9
46924 mate-settings-daemon-dev           	       0        5        0        5        0
46925 mate-settings-daemon-pulse         	       0        2        0        2        0
46926 mate-submodules-source             	       0        1        0        0        1
46927 mate-system-monitor-common         	       0      515        0        5      510
46928 mate-system-tools                  	       0        1        0        1        0
46929 mate-system-tools-common           	       0        3        0        0        3
46930 mate-terminal-dbgsym               	       0        1        0        1        0
46931 mate-themes                        	       0      737        0        0      737
46932 mate-user-guide                    	       0      532        0        0      532
46933 mate-user-share-common             	       0       55        0        0       55
46934 mate-window-applets-common         	       0       17        0        0       17
46935 mate-window-buttons-applet         	       0       16        0        0       16
46936 mate-window-menu-applet            	       0       15        0        0       15
46937 mate-window-title-applet           	       0       16        0        0       16
46938 mate-xapp-status-applet            	       0        6        0        0        6
46939 materia-gtk-theme                  	       0       42        0        0       42
46940 materia-kde                        	       0       20        0        0       20
46941 mathemagics-trinity                	       0        1        0        1        0
46942 mathematica-fonts                  	       0        5        0        0        5
46943 mathgl                             	       0        4        0        4        0
46944 mathicgb                           	       0        1        0        1        0
46945 mathomatic                         	       0        7        1        6        0
46946 mathomatic-primes                  	       0        4        1        3        0
46947 mathopd                            	       0        1        0        1        0
46948 mathpiper                          	       0       33        0        0       33
46949 mathtex                            	       0        1        0        1        0
46950 mathwar                            	       0        1        0        1        0
46951 matlab-psychtoolbox-3              	       0        1        0        1        0
46952 matlab-psychtoolbox-3-nonfree      	       0        1        0        1        0
46953 matlab-support                     	       0        1        0        1        0
46954 matlab-support-dev                 	       0        1        0        0        1
46955 matrem                             	       0        1        0        1        0
46956 matrix-org-archive-keyring         	       0        1        0        0        1
46957 matrix-synapse                     	       0        4        1        3        0
46958 matrix-synapse-ldap3               	       0        4        0        4        0
46959 matrix-synapse-py3                 	       0        1        0        1        0
46960 matroxset                          	       0        2        0        2        0
46961 mattermost-desktop                 	       0       10        1        5        4
46962 maude                              	       0        1        0        1        0
46963 maven-ant-helper                   	       0        4        0        0        4
46964 maven-cache-cleanup                	       0        4        0        4        0
46965 maven-debian-helper                	       0        5        0        5        0
46966 maven-repo-helper                  	       0        9        0        9        0
46967 maxima                             	       0       50        2       48        0
46968 maxima-doc                         	       0       41        0        0       41
46969 maxima-emacs                       	       0        6        0        6        0
46970 maxima-sage                        	       0       14        0       14        0
46971 maxima-sage-doc                    	       0       13        0        0       13
46972 maxima-sage-share                  	       0       14        0        0       14
46973 maxima-share                       	       0       46        0        0       46
46974 maxima-src                         	       0       15        0        0       15
46975 maxima-test                        	       0       14        0        0       14
46976 mayavi2                            	       0        3        0        3        0
46977 maybe                              	       0        1        0        1        0
46978 mazeofgalious                      	       0        5        0        5        0
46979 mazeofgalious-data                 	       0        5        0        0        5
46980 mb2md                              	       0       18        2       16        0
46981 mbmon                              	       0        8        0        8        0
46982 mbpfan                             	       0        7        1        6        0
46983 mbpoll                             	       0        4        0        4        0
46984 mbr                                	       0       26        2       24        0
46985 mbrola                             	       0       16        1       15        0
46986 mbrola-ca1                         	       0        1        0        0        1
46987 mbrola-ca2                         	       0        1        0        0        1
46988 mbrola-de1                         	       0        2        0        0        2
46989 mbrola-de2                         	       0        2        0        0        2
46990 mbrola-de3                         	       0        2        0        0        2
46991 mbrola-de4                         	       0        2        0        0        2
46992 mbrola-de5                         	       0        2        0        0        2
46993 mbrola-de6                         	       0        2        0        0        2
46994 mbrola-de7                         	       0        2        0        0        2
46995 mbrola-de8                         	       0        2        0        0        2
46996 mbrola-en1                         	       0        7        0        0        7
46997 mbrola-es1                         	       0        4        0        0        4
46998 mbrola-es2                         	       0        3        0        0        3
46999 mbrola-es3                         	       0        2        0        0        2
47000 mbrola-es4                         	       0        2        0        0        2
47001 mbrola-fr1                         	       0        2        0        0        2
47002 mbrola-fr2                         	       0        3        0        0        3
47003 mbrola-fr3                         	       0        1        0        0        1
47004 mbrola-fr4                         	       0        3        0        0        3
47005 mbrola-fr5                         	       0        1        0        0        1
47006 mbrola-fr6                         	       0        2        0        0        2
47007 mbrola-fr7                         	       0        1        0        0        1
47008 mbrola-it1                         	       0        1        0        0        1
47009 mbrola-it2                         	       0        1        0        0        1
47010 mbrola-it3                         	       0        1        0        0        1
47011 mbrola-it4                         	       0        1        0        0        1
47012 mbrola-la1                         	       0        1        0        0        1
47013 mbrola-mx1                         	       0        2        0        0        2
47014 mbrola-mx2                         	       0        2        0        0        2
47015 mbrola-nl1                         	       0        1        0        0        1
47016 mbrola-nl2                         	       0        1        0        0        1
47017 mbrola-nl3                         	       0        1        0        0        1
47018 mbrola-us1                         	       0       11        0        0       11
47019 mbrola-us2                         	       0        7        0        0        7
47020 mbrola-us3                         	       0        7        0        0        7
47021 mbt                                	       0        2        0        2        0
47022 mbtserver                          	       0        2        0        2        0
47023 mbw                                	       0        4        0        4        0
47024 mccs                               	       0        3        0        3        0
47025 mcdp                               	       0        1        0        1        0
47026 mcds                               	       0        1        0        1        0
47027 mcelog                             	       0       16        2       14        0
47028 mcl                                	       0        2        0        2        0
47029 mcli                               	       0        2        0        2        0
47030 mcollective-common                 	       0        2        0        2        0
47031 mcollective-doc                    	       0        2        0        2        0
47032 mconfig                            	       0        1        0        1        0
47033 mcp-plugins                        	       0       36        4       32        0
47034 mcpelauncher-manifest              	       0        1        0        1        0
47035 mcpelauncher-ui-manifest           	       0        1        0        1        0
47036 mcpp                               	       0        2        0        2        0
47037 mcpp-doc                           	       0        1        0        0        1
47038 mcrcon                             	       0        1        0        1        0
47039 mcron                              	       0        2        0        2        0
47040 mcrypt                             	       0       46        2       44        0
47041 mcu8051ide                         	       0        8        0        8        0
47042 mcvert                             	       0        1        0        1        0
47043 md2term                            	       0        4        0        4        0
47044 md4c-build-deps                    	       0        1        0        0        1
47045 md5deep                            	       0        2        0        0        2
47046 mda-lv2                            	       0       18        4       13        1
47047 mdbook                             	       0        1        0        1        0
47048 mdbtools-doc                       	       0        8        0        0        8
47049 mdbtools-gmdb                      	       0        4        0        4        0
47050 mdc                                	       0        1        0        1        0
47051 mdetect                            	       0       15        1       14        0
47052 mdf2iso                            	       0       17        0       17        0
47053 mdfinder.app                       	       0        1        0        1        0
47054 mdformat                           	       0        1        0        1        0
47055 mdi                                	       0        1        0        1        0
47056 mdk                                	       0        1        0        1        0
47057 mdk-doc                            	       0        1        0        0        1
47058 mdk3                               	       0       22        0       22        0
47059 mdk4                               	       0       22        0       22        0
47060 mdnsd                              	       0        1        0        1        0
47061 mdp                                	       0        5        0        5        0
47062 mecab-ipadic                       	       0        8        0        0        8
47063 mecab-ipadic-utf8                  	       0        5        0        0        5
47064 mecab-jumandic                     	       0        6        0        0        6
47065 mecab-jumandic-utf8                	       0        6        0        0        6
47066 mecab-naist-jdic                   	       0        1        0        0        1
47067 mecab-naist-jdic-eucjp             	       0        1        0        0        1
47068 mechvibes                          	       0        1        0        0        1
47069 mecs-racoon                        	       0        1        0        1        0
47070 med-config                         	       0        6        0        6        0
47071 med-imaging                        	       0        2        0        2        0
47072 med-tasks                          	       0       12        0        0       12
47073 medcon                             	       0        9        0        9        0
47074 media-types                        	       0     3673        0        0     3673
47075 mediaconch                         	       0        2        0        2        0
47076 mediaconch-gui                     	       0        2        0        2        0
47077 mediaelch                          	       0        1        0        1        0
47078 mediainfo-gui                      	       0       77        1       76        0
47079 mediascanner2.0                    	       0        1        0        1        0
47080 mediastreamer2-plugin-msqogl       	       0        1        0        0        1
47081 mediastreamer2-plugin-openh264     	       0       21        1        0       20
47082 mediatomb                          	       0        1        0        0        1
47083 mediatomb-common                   	       0        1        0        1        0
47084 mediatomb-daemon                   	       0        1        0        0        1
47085 mediawiki                          	       0       12        1       11        0
47086 mediawiki-classes                  	       0       12        1       11        0
47087 mediawiki-extension-youtube        	       0        1        0        1        0
47088 mediawiki2latex                    	       0        2        0        2        0
47089 mediawiki2latexguipyqt             	       0        1        0        1        0
47090 medit                              	       0        4        0        4        0
47091 medusa                             	       0       26        0       26        0
47092 meep                               	       0        6        0        6        0
47093 meep-openmpi                       	       0        1        0        1        0
47094 megacli                            	       0        9        1        6        2
47095 megaclisas-status                  	       0        3        1        2        0
47096 megacmd                            	       0        5        0        5        0
47097 megactl                            	       0        1        0        1        0
47098 megaglest                          	       0       13        0       13        0
47099 megaglest-data                     	       0       15        0        0       15
47100 megamgr                            	       0        1        0        1        0
47101 megaraid-storage-manager           	       0        4        0        4        0
47102 megasync                           	       0       31        5       26        0
47103 megatools                          	       0       16        1       15        0
47104 megazeux                           	       0        1        0        1        0
47105 meilisearch                        	       0        1        1        0        0
47106 melt7                              	       0        6        1        5        0
47107 melting                            	       0        1        0        1        0
47108 members                            	       0       14        0       14        0
47109 memdump                            	       0       25        0       25        0
47110 memleax                            	       0        1        0        1        0
47111 memlockd                           	       0        1        0        1        0
47112 memo                               	       0        2        0        2        0
47113 memtest86                          	       0       34        0       34        0
47114 memtester                          	       0       84        4       80        0
47115 memtool                            	       0        5        0        5        0
47116 mencal                             	       0        4        0        4        0
47117 mendeleydesktop                    	       0        2        0        2        0
47118 mendexk                            	       0        1        0        1        0
47119 mensis                             	       0        1        0        1        0
47120 menu-l10n                          	       0       28        0        0       28
47121 menu-xdg                           	       0      377        0        0      377
47122 menumaker                          	       0        1        1        0        0
47123 mercurial-buildpackage             	       0        1        0        1        0
47124 mercurial-dbgsym                   	       0        1        0        1        0
47125 mercurial-extension-utils          	       0        1        0        1        0
47126 mercurial-keyring                  	       0        5        0        5        0
47127 mercury-browser                    	       0        4        0        4        0
47128 merecat                            	       0        2        0        2        0
47129 merge3                             	       0        2        0        2        0
47130 mergebox                           	       0        2        0        2        0
47131 meritous                           	       0        5        0        5        0
47132 meritous-data                      	       0        5        0        0        5
47133 merkaartor                         	       0        5        0        5        0
47134 meryl                              	       0        2        0        2        0
47135 mes                                	       0        1        0        1        0
47136 mesa-amber-build-deps              	       0        1        0        0        1
47137 mesa-amdgpu-common-dev             	       0        1        0        1        0
47138 mesa-amdgpu-multimedia             	       0        2        0        0        2
47139 mesa-amdgpu-va-drivers             	       0        8        2        3        3
47140 mesa-amdgpu-vdpau-drivers          	       0        8        1        0        7
47141 mesa-drm-shim                      	       0        2        0        0        2
47142 mesa-opencl-icd                    	       0       54        0        0       54
47143 mesa-utils-extra                   	       0       12        1       11        0
47144 mesa-vdpau-drivers                 	       0     3252        1        5     3246
47145 mesademos                          	       0        1        0        1        0
47146 mesaflash                          	       0        3        0        3        0
47147 mescc-tools                        	       0        2        0        2        0
47148 meshio-tools                       	       0        2        0        2        0
47149 meshlab                            	       0       28        1       27        0
47150 meshs3d                            	       0        1        0        1        0
47151 meson-build-deps                   	       0        1        0        0        1
47152 mess                               	       0        3        0        1        2
47153 mess-data                          	       0        1        0        0        1
47154 messagelib-data                    	       0        7        0        0        7
47155 metacam                            	       0       30        0       30        0
47156 metacity-bluecurve                 	       0        1        0        0        1
47157 metacity-common                    	       0      355        0        4      351
47158 metacity-themes                    	       0       13        0        0       13
47159 metadata-cleaner                   	       0       19        1       18        0
47160 metadsf                            	       0        1        0        1        0
47161 metainit                           	       0        1        0        1        0
47162 metamail                           	       0        3        0        3        0
47163 metapixel                          	       0        4        0        4        0
47164 metar                              	       0        7        0        7        0
47165 metasploit-framework               	       0        7        0        7        0
47166 metastore                          	       0        2        0        2        0
47167 meteo-qt-l10n                      	       0      237        0        1      236
47168 meterbridge                        	       0       37        0       37        0
47169 meterec                            	       0        1        0        1        0
47170 metis                              	       0        2        1        1        0
47171 metis-edf                          	       0        1        0        1        0
47172 metricbeat                         	       0        1        0        1        0
47173 metview                            	       0        1        0        1        0
47174 metview-data                       	       0        1        0        0        1
47175 mew                                	       0        3        0        3        0
47176 mew-bin                            	       0        5        0        5        0
47177 mfbdjvu                            	       0        1        0        1        0
47178 mfbpdf                             	       0        1        0        1        0
47179 mfc210clpr                         	       0        1        0        1        0
47180 mfc235ccupswrapper                 	       0        2        0        0        2
47181 mfc235clpr                         	       0        2        0        2        0
47182 mfc5490cncupswrapper               	       0        1        0        0        1
47183 mfc5490cnlpr                       	       0        1        0        1        0
47184 mfc5840cnlpr                       	       0        1        0        1        0
47185 mfc6890cdwcupswrapper              	       0        1        0        0        1
47186 mfc6890cdwlpr                      	       0        1        0        1        0
47187 mfc7360nlpr                        	       0        1        0        0        1
47188 mfc7860dwlpr                       	       0        4        0        0        4
47189 mfc795cwcupswrapper                	       0        1        0        0        1
47190 mfc795cwlpr                        	       0        1        0        1        0
47191 mfc8512dncupswrapper               	       0        1        0        0        1
47192 mfc9332cdwcupswrapper              	       0        2        0        0        2
47193 mfc9332cdwlpr                      	       0        2        1        1        0
47194 mfc9340cdwcupswrapper              	       0        1        0        0        1
47195 mfc9340cdwlpr                      	       0        1        0        1        0
47196 mfc9420cnlpr                       	       0        1        0        1        0
47197 mfc9970cdwcupswrapper              	       0        5        0        0        5
47198 mfc9970cdwlpr                      	       0        5        0        5        0
47199 mfcj410cupswrapper                 	       0        1        0        0        1
47200 mfcj410lpr                         	       0        1        0        1        0
47201 mfcj4540dwpdrv                     	       0        3        0        0        3
47202 mfcj480dwcupswrapper               	       0        1        0        0        1
47203 mfcj480dwlpr                       	       0        1        0        1        0
47204 mfcj485dwcupswrapper               	       0        1        0        0        1
47205 mfcj485dwlpr                       	       0        1        0        1        0
47206 mfcj5730dwcupswrapper              	       0        1        0        0        1
47207 mfcj5730dwlpr                      	       0        1        0        1        0
47208 mfcj5845dwpdrv                     	       0        1        0        1        0
47209 mfcj825dwcupswrapper               	       0        2        0        0        2
47210 mfcj825dwlpr                       	       0        2        0        2        0
47211 mfcj870dwcupswrapper               	       0        2        0        0        2
47212 mfcj870dwlpr                       	       0        2        0        2        0
47213 mfcj985dwcupswrapper               	       0        5        0        0        5
47214 mfcj985dwlpr                       	       0        5        0        5        0
47215 mfcl2700dncupswrapper              	       0        1        0        0        1
47216 mfcl2700dnlpr                      	       0        1        0        0        1
47217 mfcl2700dwcupswrapper              	       0        2        0        0        2
47218 mfcl2700dwlpr                      	       0        2        0        1        1
47219 mfcl2710dwpdrv                     	       0        1        0        0        1
47220 mfcl2720dwcupswrapper              	       0        1        0        0        1
47221 mfcl2720dwlpr                      	       0        1        0        0        1
47222 mfcl3770cdwpdrv                    	       0        2        0        2        0
47223 mfcl5900dwcupswrapper              	       0        1        0        0        1
47224 mfcl5900dwlpr                      	       0        1        0        0        1
47225 mfcl6900dwcupswrapper              	       0        1        0        0        1
47226 mfcl6900dwlpr                      	       0        1        0        0        1
47227 mfcl8905cdwcupswrapper             	       0        1        0        0        1
47228 mfcl8905cdwlpr                     	       0        1        0        0        1
47229 mfcl9570cdwcupswrapper             	       0        1        0        0        1
47230 mfcl9570cdwlpr                     	       0        1        0        1        0
47231 mfcuk                              	       0       23        0       23        0
47232 mffm-fftw1                         	       0        1        0        0        1
47233 mfoc                               	       0       24        0       24        0
47234 mft                                	       0        1        1        0        0
47235 mftrace                            	       0        4        0        4        0
47236 mg                                 	       0       17        3       14        0
47237 mgba-build                         	       0        1        0        0        1
47238 mgba-common                        	       0        7        0        0        7
47239 mgba-qt                            	       0        1        0        1        0
47240 mgba-sdl                           	       0        6        0        6        0
47241 mgdiff                             	       0       12        0       12        0
47242 mgen                               	       0        4        0        4        0
47243 mgen-doc                           	       0        3        0        0        3
47244 mgetty                             	       0       11        0       11        0
47245 mgetty-docs                        	       0        2        0        2        0
47246 mgetty-fax                         	       0        5        0        5        0
47247 mgetty-pvftools                    	       0        2        0        2        0
47248 mgetty-viewfax                     	       0        5        0        5        0
47249 mgetty-voice                       	       0        3        0        3        0
47250 mgitstatus                         	       0        4        0        4        0
47251 mgp                                	       0        3        0        3        0
47252 mgrant-obs-deb-keyring             	       0        4        0        0        4
47253 mgt                                	       0        2        0        2        0
47254 mh-book                            	       0        5        0        5        0
47255 mh-e                               	       0        2        0        2        0
47256 mhc                                	       0        3        0        3        0
47257 mhc-utils                          	       0        3        0        3        0
47258 mhonarc                            	       0       11        0       11        0
47259 mia-tools                          	       0        2        0        2        0
47260 mia-tools-doc                      	       0        2        0        0        2
47261 mia-viewit                         	       0        2        0        2        0
47262 mialmpick                          	       0        2        0        2        0
47263 miceamaze                          	       0        6        0        6        0
47264 micro-httpd                        	       0        1        1        0        0
47265 micro-inetd                        	       0        1        1        0        0
47266 microbiomeutil                     	       0        1        0        1        0
47267 microbiomeutil-data                	       0        1        0        0        1
47268 microcode.ctl                      	       0        7        0        0        7
47269 microcom                           	       0       18        0       18        0
47270 microdc2                           	       0        1        0        1        0
47271 microhope                          	       0        3        0        3        0
47272 micropolis                         	       0       16        1       15        0
47273 micropolis-data                    	       0       16        0        0       16
47274 microsocks                         	       0        4        0        4        0
47275 microsoft-edge-beta                	       0        3        0        3        0
47276 microsoft-edge-dev                 	       0        1        0        1        0
47277 midge                              	       0        1        0        1        0
47278 midicsv                            	       0        1        0        1        0
47279 midish                             	       0        6        0        6        0
47280 midisnoop                          	       0       12        0       12        0
47281 midisport-firmware                 	       0        6        0        6        0
47282 midori                             	       0       68        0       68        0
47283 mig                                	       0        1        0        1        0
47284 mig-i686-linux-gnu                 	       0        1        0        1        0
47285 mighttpd2                          	       0        1        0        1        0
47286 migraphx                           	       0        2        0        2        0
47287 migraphx-dev                       	       0        2        0        2        0
47288 migrationtools                     	       0        4        0        0        4
47289 mii-diag                           	       0        6        0        6        0
47290 miio-tools                         	       0        2        0        2        0
47291 mikmod                             	       0       28        0       28        0
47292 milkytracker                       	       0       28        0       28        0
47293 miller                             	       0        5        0        5        0
47294 mime-types-editor                  	       0        2        0        2        0
47295 mimedecode                         	       0        1        0        1        0
47296 mimefilter                         	       0        1        0        1        0
47297 mimetex                            	       0        6        0        6        0
47298 mimms                              	       0        2        0        2        0
47299 min12xxw                           	       0        3        0        0        3
47300 minc-tools                         	       0        2        0        2        0
47301 mindforger                         	       0        1        0        1        0
47302 mindi-busybox                      	       0        2        0        2        0
47303 mindi-kernel                       	       0        1        0        1        0
47304 mindi-partimagehack                	       0        1        0        1        0
47305 mindolph                           	       0        1        1        0        0
47306 minecraft-launcher                 	       0       42        3       39        0
47307 mines-of-titan                     	       0        1        0        1        0
47308 minetest-data                      	       0       59        0        7       52
47309 minetest-dbgsym                    	       0        1        0        1        0
47310 minetest-mod-3d-armor              	       0        4        0        0        4
47311 minetest-mod-advmarkers-csm        	       0        3        0        0        3
47312 minetest-mod-advspawning           	       0        1        0        0        1
47313 minetest-mod-animalmaterials       	       0        1        0        0        1
47314 minetest-mod-animals               	       0        1        0        0        1
47315 minetest-mod-basic-materials       	       0       11        0        0       11
47316 minetest-mod-basic-robot-csm       	       0        2        0        0        2
47317 minetest-mod-character-creator     	       0        6        0        0        6
47318 minetest-mod-colour-chat-56-csm    	       0        1        0        0        1
47319 minetest-mod-craftguide            	       0        5        0        0        5
47320 minetest-mod-currency              	       0        5        0        0        5
47321 minetest-mod-ethereal              	       0        6        0        0        6
47322 minetest-mod-homedecor             	       0        5        0        0        5
47323 minetest-mod-infinite-chest        	       0        3        0        0        3
47324 minetest-mod-intllib               	       0        6        0        0        6
47325 minetest-mod-ltool                 	       0        1        0        0        1
47326 minetest-mod-lucky-block           	       0        4        0        0        4
47327 minetest-mod-maidroid              	       0        4        0        0        4
47328 minetest-mod-mesecons              	       0        9        0        0        9
47329 minetest-mod-meshport              	       0        2        0        0        2
47330 minetest-mod-mobf                  	       0        1        0        0        1
47331 minetest-mod-mobf-core             	       0        1        0        0        1
47332 minetest-mod-mobs-redo             	       0        3        0        0        3
47333 minetest-mod-moreblocks            	       0       11        0        0       11
47334 minetest-mod-moreores              	       0       10        0        0       10
47335 minetest-mod-nether                	       0        6        0        0        6
47336 minetest-mod-pipeworks             	       0       10        0        0       10
47337 minetest-mod-player-3d-armor       	       0        2        0        0        2
47338 minetest-mod-protector             	       0        5        0        0        5
47339 minetest-mod-pycraft               	       0        3        0        0        3
47340 minetest-mod-quartz                	       0        6        0        0        6
47341 minetest-mod-skyblock              	       0        4        0        0        4
47342 minetest-mod-throwing              	       0        5        0        0        5
47343 minetest-mod-throwing-arrows       	       0        5        0        0        5
47344 minetest-mod-torches               	       0        1        0        0        1
47345 minetest-mod-unified-inventory     	       0        6        0        0        6
47346 minetest-mod-unifieddyes           	       0        5        0        0        5
47347 minetest-mod-worldedit             	       0        5        0        0        5
47348 minetest-mod-xdecor                	       0        4        0        0        4
47349 minetest-server                    	       0       11        1       10        0
47350 minetestmapper                     	       0        7        0        7        0
47351 ming-fonts-dejavu                  	       0        2        0        0        2
47352 ming-fonts-opensymbol              	       0        2        0        0        2
47353 mingetty                           	       0        4        0        4        0
47354 mingw-w64                          	       0       30        0        0       30
47355 mingw-w64-common                   	       0       49        1       48        0
47356 mingw-w64-i686-dev                 	       0       42        1       41        0
47357 mingw-w64-tools                    	       0       10        0       10        0
47358 mingw-w64-x86-64-dev               	       0       46        1       45        0
47359 mingw32                            	       0        2        0        2        0
47360 mingw32-binutils                   	       0        2        0        2        0
47361 mingw32-runtime                    	       0        2        0        2        0
47362 mini-dinstall                      	       0        2        0        2        0
47363 mini-httpd                         	       0        2        0        2        0
47364 minia                              	       0        1        0        1        0
47365 miniasm                            	       0        1        0        1        0
47366 minica                             	       0        1        0        1        0
47367 minicondor                         	       0        1        0        0        1
47368 minicoredumper                     	       0        1        0        1        0
47369 minicoredumper-utils               	       0        1        0        1        0
47370 minidjvu                           	       0        8        0        8        0
47371 minidjvu-mod                       	       0        1        0        1        0
47372 minidlna                           	       0       46       11       35        0
47373 miniflux                           	       0        1        0        1        0
47374 minify                             	       0        1        0        1        0
47375 minihtcondor                       	       0        1        0        0        1
47376 minikube                           	       0        2        0        2        0
47377 minimap2                           	       0        1        0        1        0
47378 minimodem                          	       0       10        0       10        0
47379 minimuf                            	       0        1        0        1        0
47380 mininet                            	       0        2        0        2        0
47381 minio                              	       0        1        0        1        0
47382 minisat+                           	       0        3        0        3        0
47383 minisign                           	       0       10        0       10        0
47384 minissdpd                          	       0       78       10       68        0
47385 ministat                           	       0        1        0        1        0
47386 minitube                           	       0       15        1       14        0
47387 miniupnpc                          	       0       19        0       19        0
47388 miniupnpd                          	       0        1        0        1        0
47389 miniupnpd-iptables                 	       0        1        0        1        0
47390 miniupnpd-nftables                 	       0        1        0        1        0
47391 minizinc                           	       0        2        0        2        0
47392 minizinc-ide                       	       0        1        0        1        0
47393 minpack-dev                        	       0        3        0        3        0
47394 mint-artwork                       	       0        1        0        1        0
47395 mint-backgrounds-tina              	       0        1        0        0        1
47396 mint-backgrounds-tricia            	       0        2        0        0        2
47397 mint-backgrounds-ulyana            	       0        1        0        0        1
47398 mint-backgrounds-ulyssa            	       0        1        0        0        1
47399 mint-backgrounds-vanessa           	       0        2        0        0        2
47400 mint-backgrounds-vera              	       0        1        0        0        1
47401 mint-backgrounds-victoria          	       0        1        0        0        1
47402 mint-backgrounds-wilma             	       0        2        0        0        2
47403 mint-backgrounds-xia               	       0        1        0        0        1
47404 mint-common                        	       0        2        0        2        0
47405 mint-cursor-themes                 	       0        2        0        0        2
47406 mint-info-cinnamon                 	       0        1        0        0        1
47407 mint-l-icons                       	       0        2        0        0        2
47408 mint-l-theme                       	       0        2        0        0        2
47409 mint-mirrors                       	       0        1        0        0        1
47410 mint-themes                        	       0        7        0        0        7
47411 mint-themes-legacy                 	       0        2        0        0        2
47412 mint-translations                  	       0        2        0        0        2
47413 mint-x-icons                       	       0       10        0        0       10
47414 mint-y-icons                       	       0       17        0        0       17
47415 mint-y-icons-legacy                	       0        1        0        0        1
47416 mintpy                             	       0        2        0        2        0
47417 mintsources                        	       0        2        0        2        0
47418 minuet-data                        	       0       51        0        0       51
47419 miopen-hip                         	       0        3        0        3        0
47420 miopen-hip-dev                     	       0        2        0        2        0
47421 mipe                               	       0        1        0        1        0
47422 mir-demos                          	       0        1        0        1        0
47423 mir-doc                            	       0        1        0        1        0
47424 mir-graphics-drivers-desktop       	       0        1        0        0        1
47425 mir-graphics-drivers-nvidia        	       0        1        0        0        1
47426 mir-platform-graphics-eglstream-kms	       0        1        0        0        1
47427 mir-platform-graphics-eglstream-kms20	       0        1        0        0        1
47428 mir-platform-graphics-gbm-kms      	       0        1        0        0        1
47429 mir-platform-graphics-gbm-kms20    	       0        1        0        0        1
47430 mir-platform-graphics-wayland      	       0        1        0        0        1
47431 mir-platform-graphics-wayland20    	       0        1        0        0        1
47432 mir-platform-graphics-x            	       0        2        0        0        2
47433 mir-platform-graphics-x20          	       0        2        0        0        2
47434 mir-platform-input-evdev8          	       0        2        0        0        2
47435 mir-test-tools                     	       0        1        0        1        0
47436 mir-wlcs-integration               	       0        1        0        0        1
47437 mira-assembler                     	       0        1        0        1        0
47438 mira-rfam-12s-rrna                 	       0        1        0        0        1
47439 miredo                             	       0        2        0        2        0
47440 miri-sdr                           	       0        5        0        5        0
47441 mirror                             	       0        1        0        1        0
47442 mirrordir                          	       0        3        0        3        0
47443 mirrormagic                        	       0       11        0       11        0
47444 mirrormagic-data                   	       0       11        0        0       11
47445 misery                             	       0        1        0        1        0
47446 missfits                           	       0        2        0        2        0
47447 missidentify                       	       0       23        0       23        0
47448 mist                               	       0        1        0        1        0
47449 mit-scheme                         	       0        7        0        7        0
47450 mit-scheme-doc                     	       0        6        0        0        6
47451 mitaka-jessie-archive-keyring      	       0        2        0        0        2
47452 mitmproxy                          	       0       12        1       11        0
47453 mitools                            	       0        2        0        2        0
47454 mivisionx                          	       0        2        0        2        0
47455 mivisionx-dev                      	       0        1        0        1        0
47456 miwm                               	       0        6        0        6        0
47457 mixer.app                          	       0        2        0        2        0
47458 mixmaster                          	       0        4        1        3        0
47459 mixxx                              	       0       38        1       37        0
47460 mixxx-data                         	       0       38        0        0       38
47461 mjpegtools                         	       0       56        2       54        0
47462 mjpegtools-gtk                     	       0       25        0       25        0
47463 mk-configure                       	       0        3        0        3        0
47464 mk4tcl2.4.9.7                      	       0        1        0        1        0
47465 mkalias                            	       0        2        0        2        0
47466 mkbootimg                          	       0        5        0        5        0
47467 mkcert                             	       0        8        0        8        0
47468 mkchromecast                       	       0       11        1       10        0
47469 mkchromecast-alsa                  	       0        5        0        0        5
47470 mkchromecast-gstreamer             	       0        3        0        0        3
47471 mkchromecast-pulseaudio            	       0        6        0        0        6
47472 mkcue                              	       0       12        0       12        0
47473 mkdepend                           	       0        2        0        2        0
47474 mkdocs-autorefs                    	       0        1        0        1        0
47475 mkdocs-bootstrap                   	       0        1        0        0        1
47476 mkdocs-click                       	       0        1        0        1        0
47477 mkdocs-doc                         	       0        7        0        0        7
47478 mkdocs-literate-nav                	       0        2        0        2        0
47479 mkdocs-literate-nav-doc            	       0        1        0        0        1
47480 mkdocs-material                    	       0        3        0        0        3
47481 mkdocs-material-extensions         	       0        3        0        3        0
47482 mkdocs-nature                      	       0        7        0        0        7
47483 mkdocs-redirects                   	       0        1        0        1        0
47484 mkdocstrings                       	       0        1        0        1        0
47485 mkdocstrings-python-legacy         	       0        1        0        1        0
47486 mkelfimage                         	       0        1        0        1        0
47487 mkgmap                             	       0        8        1        7        0
47488 mkgmap-splitter                    	       0        6        0        6        0
47489 mkgmapgui                          	       0        7        0        7        0
47490 mkisofs                            	       0        2        0        2        0
47491 mklibs                             	       0        1        0        1        0
47492 mklibs-copy                        	       0        2        0        2        0
47493 mknfonts.tool                      	       0        8        0        8        0
47494 mkrboot                            	       0        2        0        2        0
47495 mktemp                             	       0       20        0        0       20
47496 mktorrent                          	       0       17        0       17        0
47497 mktrayicon                         	       0        7        0        7        0
47498 mkusb                              	       0        2        0        2        0
47499 mkusb-common                       	       0        2        0        2        0
47500 mkusb-nox                          	       0        2        0        2        0
47501 mkusb-plug                         	       0        1        0        1        0
47502 ml-yacc                            	       0        1        0        1        0
47503 mldonkey-gui                       	       0        5        0        5        0
47504 mldonkey-server                    	       0        4        0        4        0
47505 mle                                	       0        2        0        2        0
47506 mlink                              	       0        2        0        2        0
47507 mlpost                             	       0        3        0        3        0
47508 mlterm                             	       0       19        1       18        0
47509 mlterm-common                      	       0       19        0        0       19
47510 mlterm-im-fcitx                    	       0        1        0        0        1
47511 mlterm-im-m17nlib                  	       0        2        0        0        2
47512 mlterm-im-scim                     	       0        3        0        0        3
47513 mlterm-im-uim                      	       0        2        0        0        2
47514 mlterm-tools                       	       0       18        1       17        0
47515 mlv-smile                          	       0        2        0        2        0
47516 mlview                             	       0        1        0        1        0
47517 mm-common                          	       0        1        0        1        0
47518 mm3d                               	       0        6        0        6        0
47519 mma                                	       0        3        0        3        0
47520 mmake                              	       0        1        0        1        0
47521 mmark                              	       0        2        0        2        0
47522 mmass-modules                      	       0        1        0        1        0
47523 mmc-utils                          	       0       11        0       11        0
47524 mmdb-bin                           	       0       12        0       12        0
47525 mmex                               	       0        1        0        1        0
47526 mmix                               	       0        1        0        1        0
47527 mmllib-tools                       	       0        1        0        1        0
47528 mmm-mode                           	       0        8        1        7        0
47529 mmmulti                            	       0        1        0        1        0
47530 mmorph                             	       0        1        0        1        0
47531 mmpongd                            	       0        1        0        1        0
47532 mmv                                	       0       34        1       33        0
47533 mnemosyne                          	       0        7        0        7        0
47534 moagg                              	       0        1        0        1        0
47535 moagg-data                         	       0        1        0        0        1
47536 moarvm                             	       0       10        0       10        0
47537 moarvm-dev                         	       0        1        0        1        0
47538 mobazha-desktop                    	       0        2        0        1        1
47539 mobian-archive-keyring             	       0        2        0        0        2
47540 mobile-broadband-provider-info     	       0     2334        0        0     2334
47541 mobirise                           	       0        1        0        0        1
47542 moblin-cursor-theme                	       0        4        0        0        4
47543 moblin-icon-theme                  	       0        1        0        0        1
47544 moblin-sound-theme                 	       0        1        0        0        1
47545 moc-ffmpeg-plugin                  	       0       16        0        0       16
47546 mocassin                           	       0        1        0        1        0
47547 mocassin-data                      	       0        1        0        0        1
47548 mocha                              	       0       30        0       30        0
47549 mod-distortion                     	       0        1        0        1        0
47550 mod-host                           	       0        1        0        1        0
47551 mod-mda-lv2                        	       0        1        0        0        1
47552 mod-musicindex-common              	       0        1        0        0        1
47553 mod-pagespeed-beta                 	       0        1        0        1        0
47554 mod-pitchshifter                   	       0        1        0        1        0
47555 mod-sdk                            	       0        1        0        1        0
47556 mod-sdk-lv2                        	       0        4        0        4        0
47557 mod-utilities                      	       0        1        0        1        0
47558 modconf                            	       0        1        0        1        0
47559 modeline                           	       0        1        0        1        0
47560 modelio-open-source4.1             	       0        1        0        0        1
47561 modelio-open-source5.3             	       0        1        0        0        1
47562 modelio-open-source5.4             	       0        2        0        1        1
47563 modeller                           	       0        1        0        1        0
47564 modem-cmd                          	       0        1        0        1        0
47565 modem-manager-gui-help             	       0        9        0        0        9
47566 modemmanager-dev                   	       0        5        0        5        0
47567 modemmanager-doc                   	       0        8        0        0        8
47568 modemmanager-qt-dev                	       0        1        0        1        0
47569 modemu                             	       0        2        0        2        0
47570 modernize                          	       0        3        0        3        0
47571 modplug-tools                      	       0        6        0        6        0
47572 modrinth-app                       	       0        1        0        1        0
47573 modsecurity-crs                    	       0       16        1       15        0
47574 module-init-tools                  	       0       11        0        0       11
47575 moebinv-doc                        	       0        2        0        0        2
47576 moka-icon-theme                    	       0       26        0        0       26
47577 mokomaze                           	       0        6        0        6        0
47578 mold                               	       0        5        0        5        0
47579 molequeue                          	       0       12        1       11        0
47580 molly-brown                        	       0        2        0        2        0
47581 molly-guard                        	       0       38        9       29        0
47582 mom                                	       0        1        0        1        0
47583 momentum-prod                      	       0        1        0        0        1
47584 mommy                              	       0        1        0        1        0
47585 mon                                	       0        4        0        4        0
47586 mon-client                         	       0        4        0        4        0
47587 mon-contrib                        	       0        2        0        2        0
47588 mona                               	       0        1        0        1        0
47589 monero                             	       0       14        2       11        1
47590 monero-gui                         	       0        2        0        2        0
47591 monero-tests                       	       0        3        0        3        0
47592 moneydance                         	       0        1        0        1        0
47593 moneyplex                          	       0        1        0        0        1
47594 mongo-tools                        	       0       12        0       12        0
47595 mongocli                           	       0        1        0        1        0
47596 mongodb                            	       0        4        0        0        4
47597 mongodb-10gen                      	       0        1        0        1        0
47598 mongodb-clients                    	       0       13        0       13        0
47599 mongodb-compass                    	       0        2        0        2        0
47600 mongodb-database-tools             	       0       15        0       15        0
47601 mongodb-dev                        	       0        1        0        0        1
47602 mongodb-org                        	       0       15        0        0       15
47603 mongodb-org-database               	       0        6        0        0        6
47604 mongodb-org-database-tools-extra   	       0       15        0       15        0
47605 mongodb-org-mongos                 	       0       17        0       17        0
47606 mongodb-org-server                 	       0       27        5       22        0
47607 mongodb-org-shell                  	       0       21        0       16        5
47608 mongodb-org-tools                  	       0       18        0        3       15
47609 mongodb-server                     	       0       12        1       11        0
47610 mongodb-server-core                	       0        1        0        1        0
47611 monit                              	       0       27        9       18        0
47612 monitoring-plugins                 	       0       51        0        0       51
47613 monitoring-plugins-btrfs           	       0        2        0        2        0
47614 monitoring-plugins-standard        	       0       57       11       46        0
47615 monitorix                          	       0       10        0       10        0
47616 monkeys-audio                      	       0        6        0        6        0
47617 monkeysign                         	       0        1        0        1        0
47618 mono-2.0-gac                       	       0        2        0        2        0
47619 mono-2.0-service                   	       0        2        0        2        0
47620 mono-addins-utils                  	       0        1        0        1        0
47621 mono-apache-server                 	       0        1        0        1        0
47622 mono-apache-server4                	       0        3        0        3        0
47623 mono-common                        	       0        1        0        1        0
47624 mono-complete                      	       0       51        0        0       51
47625 mono-dbg                           	       0        4        1        3        0
47626 mono-debugger                      	       0        1        0        1        0
47627 mono-dmcs                          	       0        2        0        0        2
47628 mono-gmcs                          	       0        1        0        0        1
47629 mono-jay                           	       0        5        0        5        0
47630 mono-jit                           	       0        1        0        1        0
47631 mono-llvm-support                  	       0       22        0        0       22
47632 mono-llvm-tools                    	       0       22        0       22        0
47633 mono-roslyn                        	       0       21        0       21        0
47634 mono-runtime-boehm                 	       0        1        0        1        0
47635 mono-runtime-dbg                   	       0        1        0        1        0
47636 mono-source                        	       0        2        0        0        2
47637 mono-tools-devel                   	       0        3        0        3        0
47638 mono-tools-gui                     	       0        2        0        2        0
47639 mono-upnp-bin                      	       0        2        0        2        0
47640 mono-vbnc                          	       0        2        0        2        0
47641 monodevelop                        	       0        9        0        9        0
47642 monodevelop-nunit                  	       0        1        0        1        0
47643 monodoc-browser                    	       0        9        0        9        0
47644 monodoc-gmime2.6-manual            	       0        1        0        1        0
47645 monodoc-gnome-keyring-manual       	       0        1        0        1        0
47646 monodoc-gtk2.0-manual              	       0       12        0       12        0
47647 monodoc-gtk3.0-manual              	       0       12        0       12        0
47648 monodoc-mono-upnp-manual           	       0        2        0        2        0
47649 monodoc-nunit-manual               	       0        2        0        2        0
47650 monodoc-opentk-manual              	       0        1        0        1        0
47651 monopd                             	       0        8        1        7        0
47652 monosim-gtk                        	       0        1        0        1        0
47653 monotone                           	       0        3        0        3        0
47654 monotone-doc                       	       0        1        0        0        1
47655 monotone-extras                    	       0        2        0        2        0
47656 monotone-viz                       	       0        1        0        1        0
47657 monster-masher                     	       0        4        0        4        0
47658 monsterz                           	       0       11        0       11        0
47659 monsterz-data                      	       0       11        0        0       11
47660 montage                            	       0        2        0        2        0
47661 monteverdi                         	       0        2        0        2        0
47662 moodbar                            	       0        1        0        1        0
47663 moon-lander                        	       0       12        0       12        0
47664 moon-lander-data                   	       0       12        0        0       12
47665 moonlight-plugin-core              	       0        1        0        1        0
47666 moonlight-plugin-mozilla           	       0        1        0        1        0
47667 moony.lv2                          	       0        1        0        1        0
47668 moosefs-cgi                        	       0        1        0        0        1
47669 moosefs-cli                        	       0        1        0        1        0
47670 moosefs-client                     	       0        1        0        1        0
47671 moosefs-common                     	       0        1        0        0        1
47672 mopac7-bin                         	       0        1        0        1        0
47673 mopidy-alsamixer                   	       0        4        0        4        0
47674 mopidy-doc                         	       0        2        0        0        2
47675 mopidy-internetarchive             	       0        3        0        3        0
47676 mopidy-local                       	       0        2        0        2        0
47677 mopidy-local-sqlite                	       0        1        0        1        0
47678 mopidy-mpd                         	       0        3        0        3        0
47679 mopidy-mpris                       	       0        1        0        1        0
47680 mopidy-podcast                     	       0        3        0        3        0
47681 mopidy-podcast-itunes              	       0        3        0        3        0
47682 mopidy-somafm                      	       0        4        0        4        0
47683 mopidy-soundcloud                  	       0        1        0        1        0
47684 mopidy-spotify                     	       0        1        0        1        0
47685 mopidy-tunein                      	       0        1        0        1        0
47686 morgen                             	       0        1        0        0        1
47687 morph027-keyring                   	       0        1        0        0        1
47688 morris                             	       0        3        0        3        0
47689 morrowind-en-data                  	       0        1        0        0        1
47690 morrowind-en-plugins               	       0        1        0        0        1
47691 morse-simulator-data               	       0        1        0        0        1
47692 morse2ascii                        	       0       14        0       14        0
47693 morsegen                           	       0        5        0        5        0
47694 mosquitto                          	       0       29        6       23        0
47695 motif-clients                      	       0        1        0        0        1
47696 motion                             	       0       29        2       27        0
47697 motion-effect                      	       0        1        0        1        0
47698 motionplus                         	       0        1        0        1        0
47699 motivewave                         	       0        5        0        5        0
47700 motrix                             	       0        1        0        0        1
47701 motv                               	       0        1        0        1        0
47702 mount-dbgsym                       	       0        2        0        2        0
47703 mountall                           	       0        2        0        2        0
47704 mountiso                           	       0        1        0        1        0
47705 mousai                             	       0        1        0        1        0
47706 mousetrap                          	       0        2        1        1        0
47707 movixmaker-2                       	       0        3        0        3        0
47708 mozc-data                          	       0       57        0        0       57
47709 mozilla                            	       0        1        0        0        1
47710 mozilla-browser                    	       0        1        0        1        0
47711 mozilla-devscripts                 	       0        1        0        1        0
47712 mozilla-mailnews                   	       0        1        0        0        1
47713 mozilla-mplayer                    	       0        1        0        1        0
47714 mozilla-noscript                   	       0        1        0        0        1
47715 mozilla-plugin-gnash               	       0        2        0        0        2
47716 mozilla-psm                        	       0        1        0        0        1
47717 mozillavpn                         	       0        1        0        1        0
47718 mozjpeg                            	       0        1        0        1        0
47719 mozldap-tools                      	       0        1        0        1        0
47720 mozplugger                         	       0        8        1        7        0
47721 mp                                 	       0        1        0        1        0
47722 mp3blaster                         	       0       16        1       15        0
47723 mp3burn                            	       0        3        0        3        0
47724 mp3check                           	       0       21        0       21        0
47725 mp3diags                           	       0       22        0       22        0
47726 mp3fs                              	       0       11        1       10        0
47727 mp3guessenc                        	       0        3        0        3        0
47728 mp3info-gtk                        	       0       15        0       15        0
47729 mp3report                          	       0        7        0        7        0
47730 mp3roaster                         	       0        1        0        1        0
47731 mp3splt                            	       0       25        0       25        0
47732 mp3splt-dbg                        	       0        1        0        1        0
47733 mp3val                             	       0       17        0       17        0
47734 mp3wrap                            	       0       12        0       12        0
47735 mp4fpsmod                          	       0        1        0        1        0
47736 mp4tools                           	       0        2        0        2        0
47737 mp4v2-tools                        	       0        2        0        2        0
47738 mp4v2-utils                        	       0        5        0        5        0
47739 mpage                              	       0        4        0        4        0
47740 mpb-mpi                            	       0        1        0        1        0
47741 mpb-scm                            	       0        1        0        0        1
47742 mpc-ace                            	       0        1        0        1        0
47743 mpc123                             	       0        3        0        3        0
47744 mpd                                	       0       47       13       33        1
47745 mpd-sima                           	       0        1        0        1        0
47746 mpdas                              	       0        4        1        3        0
47747 mpdcon.app                         	       0        1        0        1        0
47748 mpdcron                            	       0        1        0        1        0
47749 mpdris2                            	       0        3        0        3        0
47750 mpdscribble                        	       0        2        0        2        0
47751 mpdtoys                            	       0        4        0        4        0
47752 mpeg2dec                           	       0       19        1       18        0
47753 mpeg3-utils                        	       0        9        0        9        0
47754 mpegdemux                          	       0        5        0        5        0
47755 mpg123-alsa                        	       0        1        0        0        1
47756 mpg123-el                          	       0        1        0        1        0
47757 mpglen                             	       0        1        0        1        0
47758 mpgrafic                           	       0        1        0        1        0
47759 mpgtx                              	       0       19        0       19        0
47760 mpi-default-bin                    	       0      150        0        0      150
47761 mpi-default-dev                    	       0       94        0        0       94
47762 mpi-doc                            	       0        1        0        0        1
47763 mpich                              	       0       14        0       14        0
47764 mpich-bin                          	       0        1        0        1        0
47765 mpich-doc                          	       0        5        0        0        5
47766 mplayer-doc                        	       0       33        0        0       33
47767 mplayer-nogui                      	       0        1        0        0        1
47768 mplayer-skin-blue                  	       0       57        0        0       57
47769 mplayer2                           	       0       29        0       29        0
47770 mplayerthumbs                      	       0        2        0        2        0
47771 mplayerthumbs-trinity              	       0        2        0        2        0
47772 mpollux-digisign-client-for-dvv    	       0        2        0        2        0
47773 mpollux-digisign-client-for-vrk    	       0        1        0        1        0
47774 mpop                               	       0        5        1        4        0
47775 mppenc                             	       0       24        0       24        0
47776 mpqc                               	       0        3        0        3        0
47777 mpqc-support                       	       0        1        0        1        0
47778 mpt-status                         	       0        5        2        3        0
47779 mpv-mpris                          	       0       10        2        8        0
47780 mqtt-cli                           	       0        1        0        1        0
47781 mrbayes                            	       0        5        0        5        0
47782 mrbayes-doc                        	       0        1        0        0        1
47783 mrbayes-mpi                        	       0        1        0        1        0
47784 mrboom                             	       0        5        0        5        0
47785 mrc                                	       0        1        0        1        0
47786 mrename                            	       0        9        2        7        0
47787 mriconvert                         	       0        3        0        3        0
47788 mricron                            	       0        4        0        4        0
47789 mricron-data                       	       0        4        0        0        4
47790 mrouted                            	       0        1        0        1        0
47791 mrpt-doc                           	       0        1        0        0        1
47792 mrrescue                           	       0        6        0        6        0
47793 mrtg                               	       0        7        2        5        0
47794 mrtg-contrib                       	       0        3        1        2        0
47795 mrtg-ping-probe                    	       0        1        0        1        0
47796 mrtgutils                          	       0        5        0        5        0
47797 mrtgutils-sensors                  	       0        4        0        4        0
47798 mrtrix3                            	       0        2        0        2        0
47799 mrwtoppm                           	       0        1        0        1        0
47800 mrwtoppm-data                      	       0        1        0        0        1
47801 mrwtoppm-gimp                      	       0        1        0        1        0
47802 mrwtoppm-utils                     	       0        1        0        1        0
47803 mrxvt                              	       0        7        1        6        0
47804 mrxvt-common                       	       0        7        0        0        7
47805 ms-365-electron                    	       0        1        0        0        1
47806 ms-sys                             	       0        2        0        2        0
47807 msa-manifest                       	       0        1        0        1        0
47808 msbuild                            	       0       23        0       23        0
47809 msbuild-libhostfxr                 	       0       23        0       23        0
47810 msbuild-sdkresolver                	       0       23        0       23        0
47811 mscgen                             	       0        4        0        4        0
47812 msi-keyboard                       	       0        1        0        1        0
47813 msitools                           	       0       19        0       19        0
47814 msktutil                           	       0        3        0        3        0
47815 msmtp-mta                          	       0       63        8       55        0
47816 msn-pecan                          	       0        1        0        1        0
47817 msodbcsql17                        	       0        7        0        7        0
47818 msodbcsql18                        	       0        3        0        3        0
47819 msopenjdk-11                       	       0        1        0        1        0
47820 msopenjdk-21                       	       0        1        0        1        0
47821 msort                              	       0        6        0        6        0
47822 msort-gui                          	       0        4        0        4        0
47823 msp430-libc                        	       0        2        0        2        0
47824 msp430mcu                          	       0        5        0        5        0
47825 mspdebug                           	       0        6        0        6        0
47826 msrtool                            	       0        3        0        3        0
47827 mssh                               	       0        9        0        9        0
47828 mssql-tools                        	       0        4        0        4        0
47829 mssql-tools18                      	       0        3        0        3        0
47830 mstflint                           	       0        6        0        6        0
47831 msttcorefonts                      	       0        2        0        0        2
47832 msty                               	       0        1        0        1        0
47833 mt-st                              	       0       10        0       10        0
47834 mt32emu-qt                         	       0        1        0        1        0
47835 mtail                              	       0        2        1        1        0
47836 mtasc                              	       0        1        0        1        0
47837 mtdev-tools                        	       0        1        0        1        0
47838 mtink                              	       0        8        1        7        0
47839 mtink-doc                          	       0        1        0        0        1
47840 mtkbabel                           	       0        6        1        5        0
47841 mtoolsfm                           	       0        1        0        1        0
47842 mtop                               	       0        1        0        1        0
47843 mtp-tools                          	       0      148        2      146        0
47844 mtpfs                              	       0        2        0        2        0
47845 mtpolicyd                          	       0        1        1        0        0
47846 mtr-tiny-dbgsym                    	       0        1        0        1        0
47847 mtree-netbsd                       	       0        3        0        3        0
47848 mtx                                	       0        6        0        6        0
47849 mu-cade                            	       0        1        0        1        0
47850 mu-cade-data                       	       0        1        0        0        1
47851 mu-cite                            	       0        2        0        2        0
47852 mu-editor                          	       0        1        0        1        0
47853 mu-editor-doc                      	       0        1        0        0        1
47854 mu4e                               	       0       12        0       12        0
47855 muc                                	       0        1        0        1        0
47856 mudita24                           	       0        6        0        6        0
47857 mudlet                             	       0        1        0        1        0
47858 mueller7-dict                      	       0      160        0        0      160
47859 mueller7accent-dict                	       0        2        0        0        2
47860 muffin-doc                         	       0        1        0        0        1
47861 mujs                               	       0        2        0        2        0
47862 mullvad-vpn                        	       0        3        0        3        0
47863 multex-base                        	       0        1        0        0        1
47864 multi-aterm                        	       0        1        0        1        0
47865 multiarch-support                  	       0      809        0        0      809
47866 multiboot                          	       0       16        1       15        0
47867 multiboot-doc                      	       0        4        0        0        4
47868 multicat                           	       0        4        0        4        0
47869 multicd                            	       0        1        0        1        0
47870 multiload                          	       0        1        0        1        0
47871 multiload-ng                       	       0        2        0        2        0
47872 multiload-ng-common                	       0        1        0        0        1
47873 multilogcheck                      	       0        1        0        1        0
47874 multimc                            	       0        7        0        0        7
47875 multimedia-animation               	       0        2        0        0        2
47876 multimedia-audio-plugins           	       0        4        0        0        4
47877 multimedia-audio-utilities         	       0        4        0        0        4
47878 multimedia-broadcasting            	       0        3        0        0        3
47879 multimedia-csound                  	       0        2        0        0        2
47880 multimedia-devel                   	       0        1        0        0        1
47881 multimedia-drums                   	       0        1        0        0        1
47882 multimedia-firewire                	       0        2        0        0        2
47883 multimedia-guitar                  	       0        1        0        0        1
47884 multimedia-jack                    	       0        8        0        0        8
47885 multimedia-midi                    	       0        4        0        0        4
47886 multimedia-musiciantools           	       0        2        0        0        2
47887 multimedia-photography             	       0        3        0        0        3
47888 multimedia-players                 	       0        2        0        0        2
47889 multimedia-puredata                	       0        3        0        0        3
47890 multimedia-samplers                	       0        1        0        0        1
47891 multimedia-soundsynthesis          	       0        1        0        0        1
47892 multimedia-supercollider           	       0        1        0        0        1
47893 multimedia-tasks                   	       0       28        0        0       28
47894 multimon                           	       0        8        0        8        0
47895 multimon-ng                        	       0        9        0        9        0
47896 multipath-tools                    	       0        5        1        4        0
47897 multistrap                         	       0       13        1       12        0
47898 multisync                          	       0        1        0        1        0
47899 multisync-tools                    	       0        2        0        2        0
47900 multisystem                        	       0        2        0        2        0
47901 multitee                           	       0        4        0        4        0
47902 multitime                          	       0        2        0        2        0
47903 multiwatch                         	       0        2        0        2        0
47904 mumble                             	       0       57        2       55        0
47905 mumble-server                      	       0       15        3       12        0
47906 mummer                             	       0        5        0        5        0
47907 mumps-test                         	       0        1        0        1        0
47908 mumudvb                            	       0        1        0        1        0
47909 mundus                             	       0        1        0        1        0
47910 munge                              	       0        4        0        4        0
47911 munin                              	       0       24        6       18        0
47912 munin-common                       	       0       75       15       60        0
47913 munin-doc                          	       0       21        0        0       21
47914 munin-libvirt-plugins              	       0        7        0        7        0
47915 munin-plugins-btrfs                	       0        4        0        0        4
47916 munin-plugins-c                    	       0        1        1        0        0
47917 munin-plugins-extra                	       0       69        0        0       69
47918 munin-plugins-java                 	       0        1        0        0        1
47919 munipack                           	       0        2        0        0        2
47920 munipack-cli                       	       0        2        0        2        0
47921 munipack-core                      	       0        2        0        0        2
47922 munipack-doc                       	       0        2        0        0        2
47923 munipack-gui                       	       0        2        0        2        0
47924 muon                               	       0       19        0       19        0
47925 muon-meson                         	       0        1        0        1        0
47926 mup                                	       0        1        0        1        0
47927 mupen64plus                        	       0        1        0        0        1
47928 mupen64plus-audio-all              	       0       14        0        0       14
47929 mupen64plus-audio-sdl              	       0       15        0        0       15
47930 mupen64plus-data                   	       0       15        0        0       15
47931 mupen64plus-input-all              	       0       14        0        0       14
47932 mupen64plus-input-sdl              	       0       15        0        0       15
47933 mupen64plus-qt                     	       0        8        0        8        0
47934 mupen64plus-rsp-all                	       0       14        0        0       14
47935 mupen64plus-rsp-hle                	       0       14        0        0       14
47936 mupen64plus-rsp-z64                	       0       13        0        0       13
47937 mupen64plus-ui-console             	       0       14        0       14        0
47938 mupen64plus-video-all              	       0       14        0        0       14
47939 mupen64plus-video-arachnoid        	       0       13        0        0       13
47940 mupen64plus-video-glide64          	       0       13        0        0       13
47941 mupen64plus-video-glide64mk2       	       0       14        0        0       14
47942 mupen64plus-video-rice             	       0       14        0        0       14
47943 mupen64plus-video-z64              	       0       13        0        0       13
47944 murano-agent                       	       0        1        0        1        0
47945 murano-api                         	       0        1        0        1        0
47946 murano-cfapi                       	       0        1        0        1        0
47947 murano-common                      	       0        1        0        1        0
47948 murano-engine                      	       0        1        0        1        0
47949 muroar-bin                         	       0        1        0        1        0
47950 muroard                            	       0        1        0        1        0
47951 murrine-themes                     	       0      136        0        0      136
47952 muscle                             	       0        5        0        5        0
47953 muse-hub                           	       0        4        0        4        0
47954 muse-sounds-manager                	       0        4        0        4        0
47955 musescore-common                   	       0       49        0        0       49
47956 musescore-general-soundfont        	       0       27        0        0       27
47957 musescore-general-soundfont-lossless	       0        7        0        0        7
47958 musescore-general-soundfont-small  	       0       43        0        0       43
47959 musescore-soundfont-gm             	       0       22        0        0       22
47960 musescore3-common                  	       0       30        0        1       29
47961 music-bin                          	       0        1        0        1        0
47962 music123                           	       0        8        0        8        0
47963 musikcube                          	       0        1        0        1        0
47964 musique                            	       0        1        0        1        0
47965 musixtex                           	       0        2        0        1        1
47966 muspnp                             	       0        1        0        1        0
47967 mussh                              	       0        2        0        2        0
47968 mussort                            	       0        1        0        1        0
47969 mustang                            	       0        4        0        4        0
47970 mustang-plug                       	       0        1        0        1        0
47971 mutt-vc-query                      	       0        1        0        1        0
47972 mutt-wizard                        	       0        1        1        0        0
47973 muttdown                           	       0        1        0        1        0
47974 muttprint                          	       0       15        1       14        0
47975 muttprint-manual                   	       0        6        0        0        6
47976 muttprofile                        	       0        3        0        3        0
47977 mwaw2epub                          	       0        2        0        2        0
47978 mwaw2odf                           	       0        1        0        1        0
47979 mwc                                	       0        2        0        2        0
47980 mwm                                	       0        9        1        8        0
47981 mwrap                              	       0        1        0        1        0
47982 mx-archive-keyring                 	       0        1        0        0        1
47983 mx-bootrepair                      	       0        1        0        1        0
47984 mx-comfort-themes                  	       0        1        0        1        0
47985 mx19-archive-keyring               	       0        2        0        0        2
47986 my-x200                            	       0        1        0        0        1
47987 myautomount                        	       0        6        0        6        0
47988 mycroft-mimic3-tts                 	       0        1        0        1        0
47989 mydumper                           	       0        8        0        8        0
47990 myhdl-cosimulation                 	       0        2        0        0        2
47991 mylvmbackup                        	       0        1        0        1        0
47992 mynotex                            	       0        2        0        0        2
47993 mypager                            	       0        1        0        1        0
47994 mypaint-brushes                    	       0       36        0        0       36
47995 mypaint-data                       	       0       34        0        0       34
47996 mypaint-data-extras                	       0       34        0        0       34
47997 mypy-doc                           	       0        2        0        0        2
47998 myrepos                            	       0       16        0       16        0
47999 myrescue                           	       0       40        2       38        0
48000 mysecureshell                      	       0        1        0        1        0
48001 myspell-cs                         	       0        4        0        0        4
48002 myspell-da                         	       0        5        0        0        5
48003 myspell-de-at                      	       0        2        0        0        2
48004 myspell-de-ch                      	       0        4        0        0        4
48005 myspell-de-de                      	       0        4        0        0        4
48006 myspell-de-de-1901                 	       0        6        0        6        0
48007 myspell-de-de-oldspell             	       0        2        0        0        2
48008 myspell-el-gr                      	       0        4        0        0        4
48009 myspell-en-au                      	       0        4        0        4        0
48010 myspell-en-gb                      	       0       16        0        0       16
48011 myspell-en-nz                      	       0        1        0        0        1
48012 myspell-en-us                      	       0       12        0        0       12
48013 myspell-en-za                      	       0        1        0        0        1
48014 myspell-eo                         	       0       13        0       12        1
48015 myspell-et                         	       0       10        0       10        0
48016 myspell-fa                         	       0        6        0        0        6
48017 myspell-fo                         	       0        2        0        2        0
48018 myspell-fr                         	       0        8        0        8        0
48019 myspell-fr-gut                     	       0        2        0        0        2
48020 myspell-ga                         	       0        7        0        0        7
48021 myspell-gd                         	       0        1        0        0        1
48022 myspell-gv                         	       0        2        0        0        2
48023 myspell-he                         	       0        4        0        0        4
48024 myspell-hr                         	       0        1        0        0        1
48025 myspell-hu                         	       0        1        0        0        1
48026 myspell-hy                         	       0        6        0        0        6
48027 myspell-it                         	       0        4        0        0        4
48028 myspell-lv                         	       0        2        0        0        2
48029 myspell-mi-nz                      	       0        1        0        0        1
48030 myspell-nb                         	       0       42        0        0       42
48031 myspell-nl                         	       0        2        0        0        2
48032 myspell-nn                         	       0       42        0        0       42
48033 myspell-pl                         	       0       11        0        0       11
48034 myspell-pt                         	       0        1        0        0        1
48035 myspell-pt-br                      	       0        3        0        0        3
48036 myspell-pt-pt                      	       0        2        0        0        2
48037 myspell-ru                         	       0       13        0        4        9
48038 myspell-sk                         	       0        6        0        0        6
48039 myspell-sq                         	       0        5        0        0        5
48040 myspell-tl                         	       0       19        1       18        0
48041 myspell-tools                      	       0        1        0        1        0
48042 myspell-uk                         	       0       21        0        0       21
48043 mysql                              	       0        1        0        1        0
48044 mysql-admin                        	       0        1        0        1        0
48045 mysql-apt-config                   	       0        7        0        0        7
48046 mysql-client                       	       0       36        0        0       36
48047 mysql-client-5.5                   	       0        4        0        4        0
48048 mysql-client-5.6                   	       0        1        0        1        0
48049 mysql-client-5.7                   	       0        1        0        1        0
48050 mysql-client-8.0                   	       0        3        0        3        0
48051 mysql-client-compat                	       0        1        0        0        1
48052 mysql-client-core-5.6              	       0        1        0        1        0
48053 mysql-client-core-5.7              	       0        1        0        1        0
48054 mysql-client-core-8.0              	       0        3        0        3        0
48055 mysql-common                       	       0     2336        0        0     2336
48056 mysql-community-client             	       0        3        0        3        0
48057 mysql-community-server             	       0        3        0        3        0
48058 mysql-connector-j                  	       0        2        0        0        2
48059 mysql-connector-java               	       0        1        0        0        1
48060 mysql-gui-tools-common             	       0        1        0        0        1
48061 mysql-navigator                    	       0        2        0        2        0
48062 mysql-proxy                        	       0        1        0        1        0
48063 mysql-query-browser                	       0        1        0        1        0
48064 mysql-sandbox                      	       0        2        0        2        0
48065 mysql-server                       	       0       31        0        0       31
48066 mysql-server-5.5                   	       0        3        0        3        0
48067 mysql-server-5.6                   	       0        1        0        1        0
48068 mysql-server-5.7                   	       0        1        0        1        0
48069 mysql-server-8.0                   	       0        3        0        3        0
48070 mysql-server-core-5.5              	       0        8        1        7        0
48071 mysql-server-core-5.6              	       0        2        0        2        0
48072 mysql-server-core-5.7              	       0        1        0        1        0
48073 mysql-server-core-8.0              	       0        3        0        3        0
48074 mysql-utilities                    	       0        3        0        3        0
48075 mysql-workbench                    	       0        3        0        3        0
48076 mysql-workbench-community          	       0        2        0        2        0
48077 mysql-workbench-data               	       0        5        0        0        5
48078 mysql-workbench-dbgsym             	       0        1        0        1        0
48079 mysqltcl                           	       0        1        0        0        1
48080 mysqltuner                         	       0       12        2       10        0
48081 mysqmail                           	       0        1        0        0        1
48082 mysqmail-dovecot-logger            	       0        1        0        1        0
48083 mysterium-vpn-desktop              	       0        1        0        1        0
48084 mystiq                             	       0        6        0        6        0
48085 mytetra                            	       0        1        0        1        0
48086 mytharchive                        	       0        2        0        2        0
48087 mytharchive-data                   	       0        2        0        2        0
48088 mythbrowser                        	       0        1        0        0        1
48089 mythes-bg                          	       0        4        0        0        4
48090 mythes-cs                          	       0       26        0        0       26
48091 mythes-de                          	       0      260        0        0      260
48092 mythes-de-ch                       	       0      249        0        0      249
48093 mythes-en-au                       	       0        4        0        0        4
48094 mythes-en-us                       	       0     2440        0        0     2440
48095 mythes-es                          	       0       29        0        0       29
48096 mythes-fr                          	       0       92        0        0       92
48097 mythes-gug                         	       0        1        0        0        1
48098 mythes-hu                          	       0        1        0        0        1
48099 mythes-it                          	       0       86        0        0       86
48100 mythes-ne                          	       0        2        0        0        2
48101 mythes-pl                          	       0       45        0        0       45
48102 mythes-pt-br                       	       0        1        0        0        1
48103 mythes-pt-pt                       	       0        2        0        0        2
48104 mythes-ro                          	       0        7        0        0        7
48105 mythes-ru                          	       0      138        0        0      138
48106 mythes-sk                          	       0        4        0        0        4
48107 mythes-sv                          	       0        1        0        0        1
48108 mythes-uk                          	       0        7        0        0        7
48109 mythffmpeg                         	       0        4        0        4        0
48110 mythgame                           	       0        1        0        0        1
48111 mythmusic                          	       0        1        0        0        1
48112 mythnetvision-data                 	       0        1        0        0        1
48113 mythnews                           	       0        1        0        0        1
48114 mythplugins                        	       0        1        0        0        1
48115 mythtv                             	       0        1        0        0        1
48116 mythtv-backend                     	       0        5        1        4        0
48117 mythtv-common                      	       0        6        1        3        2
48118 mythtv-database                    	       0        5        0        0        5
48119 mythtv-doc                         	       0        6        0        0        6
48120 mythtv-frontend                    	       0        3        0        3        0
48121 mythtv-transcode                   	       0        5        1        4        0
48122 mythweather                        	       0        1        0        1        0
48123 mythweb                            	       0        2        1        1        0
48124 mythzoneminder                     	       0        1        0        1        0
48125 mytop                              	       0        4        0        4        0
48126 mz                                 	       0        1        0        1        0
48127 mzclient                           	       0        2        0        2        0
48128 n2n                                	       0        2        0        2        0
48129 naev                               	       0        4        0        4        0
48130 naev-data                          	       0        5        0        0        5
48131 nagi                               	       0        1        0        1        0
48132 nagios-check-xmppng                	       0        1        0        1        0
48133 nagios-images                      	       0       10        0        0       10
48134 nagios-nrpe-plugin                 	       0       12        3        9        0
48135 nagios-nrpe-plugins-2pir           	       0        1        0        1        0
48136 nagios-nrpe-server                 	       0       37        8       29        0
48137 nagios-plugin-check-multi          	       0        1        0        1        0
48138 nagios-plugin-check-scsi-smart     	       0        1        0        1        0
48139 nagios-plugins                     	       0        7        0        0        7
48140 nagios-plugins-basic               	       0       11        0        0       11
48141 nagios-plugins-common              	       0        4        0        0        4
48142 nagios-plugins-contrib             	       0       14        1        4        9
48143 nagios-plugins-standard            	       0        4        0        0        4
48144 nagios-snmp-plugins                	       0        4        0        4        0
48145 nagios3-cgi                        	       0        3        1        2        0
48146 nagios3-common                     	       0        3        0        0        3
48147 nagios3-doc                        	       0        2        0        0        2
48148 nagios4                            	       0        2        0        0        2
48149 nagios4-cgi                        	       0        2        1        1        0
48150 nagios4-common                     	       0        2        0        2        0
48151 nagios4-core                       	       0        2        2        0        0
48152 nagstamon                          	       0        4        0        4        0
48153 nagvis                             	       0        1        0        1        0
48154 nailgun                            	       0        2        0        2        0
48155 nala-legacy                        	       0        1        0        1        0
48156 nam                                	       0        1        0        1        0
48157 nama                               	       0        2        0        2        0
48158 namazu2                            	       0        2        0        2        0
48159 namazu2-common                     	       0        2        0        0        2
48160 namazu2-index-tools                	       0        2        0        2        0
48161 namebench                          	       0        1        0        1        0
48162 nano-build-deps                    	       0        1        0        0        1
48163 nano-tiny                          	       0        1        0        1        0
48164 nanoedgeaistudio                   	       0        1        0        1        0
48165 nanook                             	       0        1        0        1        0
48166 nanook-examples                    	       0        1        0        0        1
48167 nanopb                             	       0        1        0        1        0
48168 nanopb-build-deps                  	       0        1        0        0        1
48169 nanovna-saver                      	       0        1        0        1        0
48170 naps2                              	       0        5        0        5        0
48171 nas                                	       0        5        0        5        0
48172 nas-bin                            	       0        3        0        3        0
48173 nas-doc                            	       0        1        0        0        1
48174 nasm-mozilla                       	       0        1        0        1        0
48175 naspro-bridges                     	       0        4        0        1        3
48176 nast-ier                           	       0        1        0        1        0
48177 nastran                            	       0        3        0        3        0
48178 nasty                              	       0       25        0       25        0
48179 nat-rtsp-dkms                      	       0        1        0        1        0
48180 nat-traverse                       	       0        1        0        1        0
48181 native-architecture                	       0       65        0        0       65
48182 native-architecture-is             	       0        1        0        0        1
48183 nativecam                          	       0        1        0        1        0
48184 natlog                             	       0        2        1        1        0
48185 natpmp-utils                       	       0        2        0        0        2
48186 natron                             	       0        1        0        1        0
48187 natspec-bin                        	       0        1        0        1        0
48188 naturaldocs                        	       0        1        0        1        0
48189 nautic                             	       0        2        0        2        0
48190 nautilus-admin                     	       0        5        0        0        5
48191 nautilus-dropbox                   	       0        7        0        7        0
48192 nautilus-extension-brasero         	       0       79        0        3       76
48193 nautilus-extension-burner          	       0        1        0        0        1
48194 nautilus-extension-fma             	       0        1        0        0        1
48195 nautilus-hide                      	       0        3        0        0        3
48196 nautilus-image-converter           	       0       12        0        0       12
48197 nautilus-kdeconnect                	       0        3        0        0        3
48198 nautilus-megasync                  	       0        1        0        0        1
48199 nautilus-nextcloud                 	       0        4        0        0        4
48200 nautilus-open-terminal             	       0        1        0        1        0
48201 nautilus-scripts-manager           	       0        3        0        3        0
48202 nautilus-sendto                    	       0       31        0       31        0
48203 nautilus-share                     	       0        6        0        0        6
48204 nauty                              	       0       12        1       11        0
48205 navit-data                         	       0       14        0        0       14
48206 navit-graphics-qt-qpainter         	       0        1        0        1        0
48207 nbc                                	       0        2        1        1        0
48208 nbd-client                         	       0       11        0       11        0
48209 nbd-server                         	       0       13        2       11        0
48210 nbdfuse                            	       0        1        0        1        0
48211 nbdkit                             	       0        4        0        4        0
48212 nbibtex                            	       0        1        0        1        0
48213 nbibtex-doc                        	       0        1        0        0        1
48214 nbsdgames                          	       0        6        0        6        0
48215 nbteditor                          	       0        1        0        1        0
48216 nbtscan                            	       0       31        0       31        0
48217 ncaptool                           	       0        5        0        5        0
48218 ncbi-blast+                        	       0        9        0        9        0
48219 ncbi-blast+-legacy                 	       0        6        0        6        0
48220 ncbi-cn3d                          	       0        1        0        1        0
48221 ncbi-data                          	       0       10        0       10        0
48222 ncbi-entrez-direct                 	       0        1        0        1        0
48223 ncbi-epcr                          	       0        1        0        1        0
48224 ncbi-seg                           	       0        1        0        1        0
48225 ncbi-tools-bin                     	       0        2        0        2        0
48226 ncbi-tools-x11                     	       0        1        0        1        0
48227 ncbi-vdb-data                      	       0        6        0        6        0
48228 ncc                                	       0        1        0        1        0
48229 ncdc                               	       0        1        0        1        0
48230 ncdt                               	       0        6        0        6        0
48231 ncf                                	       0        1        0        1        0
48232 ncmpc-lyrics                       	       0        3        0        0        3
48233 nco                                	       0        4        0        4        0
48234 ncoils                             	       0        3        0        3        0
48235 ncpa                               	       0        1        0        1        0
48236 ncrack                             	       0       30        0       30        0
48237 nct6687d-dkms                      	       0        1        0        0        1
48238 ncurses-build-deps                 	       0        1        0        0        1
48239 ncurses-doc                        	       0       54        0        0       54
48240 ncurses-examples                   	       0       14        0        5        9
48241 ncurses-hexedit                    	       0       21        0       21        0
48242 ncurses-term                       	       0     4031        0        0     4031
48243 ncview                             	       0        6        0        6        0
48244 ndctl                              	       0        1        0        1        0
48245 ndisc6                             	       0       39        3       36        0
48246 ndiswrapper                        	       0        3        0        3        0
48247 ndiswrapper-dkms                   	       0        3        0        3        0
48248 ndiswrapper-utils-1.9              	       0        2        0        0        2
48249 ndpi                               	       0        1        0        1        0
48250 ndppd                              	       0        4        0        4        0
48251 ne                                 	       0       12        0       12        0
48252 ne-doc                             	       0       11        0        0       11
48253 neard                              	       0        1        0        1        0
48254 neat                               	       0        2        0        2        0
48255 nebula                             	       0        2        0        2        0
48256 nec                                	       0        1        0        1        0
48257 nec2c                              	       0        6        0        6        0
48258 needrestart-axis                   	       0        1        0        0        1
48259 needrestart-session                	       0       14        2       12        0
48260 neko                               	       0        5        0        5        0
48261 nekobee                            	       0        4        0        4        0
48262 nekoray                            	       0        4        0        3        1
48263 nemiver                            	       0        7        0        7        0
48264 nemo-data                          	       0      307        0        0      307
48265 nemo-extension-fma                 	       0        1        0        0        1
48266 nemo-font-manager                  	       0        4        0        0        4
48267 nemo-gtkhash                       	       0        4        0        0        4
48268 nemo-nextcloud                     	       0        1        0        0        1
48269 nemo-python                        	       0        8        0        2        6
48270 neo4j                              	       0        2        0        2        0
48271 neochat                            	       0        6        0        6        0
48272 neopi                              	       0        1        0        1        0
48273 neovim-qt                          	       0       15        1       14        0
48274 neovim-runtime                     	       0      132        0        2      130
48275 neowofetch                         	       0        5        0        5        0
48276 nepomuk-core-data                  	       0       14        0        0       14
48277 nerd-fonts-fira-code               	       0        1        1        0        0
48278 nerd-fonts-jetbrains-mono          	       0        1        0        0        1
48279 nescc                              	       0        2        0        2        0
48280 nessus                             	       0        1        0        1        0
48281 nessusagent                        	       0       10        0       10        0
48282 nestopia                           	       0       17        0       17        0
48283 net-acct                           	       0        1        0        1        0
48284 net-diag-tools                     	       0        1        0        1        0
48285 net-tools-dbgsym                   	       0        1        0        1        0
48286 net.downloadhelper.coapp           	       0      105        1       56       48
48287 net.downloadhelper.coapp.noffmpeg  	       0        1        0        0        1
48288 netaid-monitor                     	       0        1        0        1        0
48289 netapp-oncommand-sysmgr            	       0        1        0        0        1
48290 netapplet                          	       0        1        0        1        0
48291 netatalk                           	       0        8        1        7        0
48292 netback                            	       0        1        0        1        0
48293 netbase                            	       0     4156        0        0     4156
48294 netbird                            	       0        2        1        1        0
48295 netcat                             	       0       83        0        0       83
48296 netcat6                            	       0        9        0        9        0
48297 netcdf-doc                         	       0        8        0        0        8
48298 netcf                              	       0        1        0        1        0
48299 netdata                            	       0        4        0        0        4
48300 netdata-core                       	       0        4        1        3        0
48301 netdata-plugins-bash               	       0        4        1        3        0
48302 netdata-plugins-python             	       0        4        0        4        0
48303 netdata-web                        	       0        4        0        0        4
48304 netgen-headers                     	       0        1        0        1        0
48305 netgen-lvs                         	       0        5        0        5        0
48306 nethack-lisp                       	       0        1        0        1        0
48307 nethack-qt                         	       0        4        0        4        0
48308 nethack-spoilers                   	       0        7        0        0        7
48309 nethack-x11                        	       0       15        0       15        0
48310 nether                             	       0        1        0        1        0
48311 nethogs                            	       0      102        3       99        0
48312 netkit-ping                        	       0        1        0        0        1
48313 netlogger                          	       0        1        0        1        0
48314 netmask                            	       0        7        0        7        0
48315 netmate                            	       0        2        0        2        0
48316 netmaze                            	       0        1        0        1        0
48317 netpanzer                          	       0        3        0        3        0
48318 netpanzer-data                     	       0        3        0        0        3
48319 netperf                            	       0       10        1        9        0
48320 netperfmeter                       	       0        2        0        2        0
48321 netperfmeter-plotting              	       0        2        0        2        0
48322 netpipe-openmpi                    	       0        1        0        1        0
48323 netpipe-tcp                        	       0        1        0        1        0
48324 netpipes                           	       0        1        0        1        0
48325 netplan                            	       0        4        1        3        0
48326 netplug                            	       0        4        0        4        0
48327 netproc                            	       0        1        0        1        0
48328 netrek-client-cow                  	       0        2        0        2        0
48329 netrik                             	       0        4        0        4        0
48330 netris                             	       0       17        0       17        0
48331 netrw                              	       0        4        0        4        0
48332 netscript-ipfilter                 	       0        2        0        2        0
48333 netsed                             	       0        3        0        3        0
48334 netsend                            	       0        3        0        3        0
48335 netsniff-ng                        	       0       11        0       11        0
48336 netspeed                           	       0        1        0        0        1
48337 netstandard-targeting-pack-2.1     	       0       29        0        0       29
48338 netstat-nat                        	       0       19        1       18        0
48339 netstress                          	       0        3        0        3        0
48340 netsurf                            	       0        3        0        0        3
48341 netsurf-common                     	       0       56        0        0       56
48342 netsurf-fb                         	       0       10        0       10        0
48343 nettoe                             	       0       13        0       13        0
48344 netwag                             	       0        6        0        6        0
48345 netwag-doc                         	       0        2        0        0        2
48346 network-manager-dev                	       0       31        0        1       30
48347 network-manager-l10n               	       0        1        1        0        0
48348 network-manager-tde                	       0        6        1        5        0
48349 networkd-dispatcher                	       0        2        0        2        0
48350 netwox                             	       0        9        0        9        0
48351 netwox-doc                         	       0        7        0        0        7
48352 neurodebian                        	       0        1        0        1        0
48353 neurodebian-archive-keyring        	       0       10        0        0       10
48354 neurodebian-popularity-contest     	       0        2        0        0        2
48355 neutron-common                     	       0        1        0        1        0
48356 neutron-dhcp-agent                 	       0        1        0        1        0
48357 neutron-l3-agent                   	       0        1        0        1        0
48358 neutron-metadata-agent             	       0        1        0        1        0
48359 neutron-openvswitch-agent          	       0        1        0        1        0
48360 neutron-plugin-openvswitch-agent   	       0        1        0        0        1
48361 neutron-server                     	       0        1        0        1        0
48362 neutron-vpnaas-common              	       0        1        0        0        1
48363 neverball-common                   	       0       28        0        0       28
48364 neverball-data                     	       0       27        0        0       27
48365 neverputt-data                     	       0       17        0        0       17
48366 new-session-manager                	       0        2        0        2        0
48367 newbiedoc                          	       0        2        0        2        0
48368 newlib-source                      	       0        1        0        0        1
48369 newlisp                            	       0        9        0        9        0
48370 newmoon                            	       0        1        0        1        0
48371 newrelic-daemon                    	       0        1        0        1        0
48372 newrelic-php5                      	       0        1        0        1        0
48373 newrelic-php5-common               	       0        1        0        1        0
48374 newrelic-sysmond                   	       0        1        0        1        0
48375 newsbeuter                         	       0        7        0        7        0
48376 newsx                              	       0        1        0        1        0
48377 nextcloud-client                   	       0        1        0        0        1
48378 nextcloud-desktop                  	       0       86       12       74        0
48379 nextcloud-desktop-cmd              	       0       22        1       21        0
48380 nextcloud-desktop-common           	       0       96        0        0       96
48381 nextcloud-desktop-doc              	       0       84        0        0       84
48382 nextcloud-desktop-l10n             	       0       96       12       39       45
48383 nextcloud-files                    	       0        1        0        1        0
48384 nextcloud-server                   	       0        1        0        1        0
48385 nextpnr-ecp5                       	       0        1        0        1        0
48386 nextpnr-ecp5-chipdb                	       0        1        0        0        1
48387 nextpnr-gowin                      	       0        1        0        1        0
48388 nextpnr-gowin-chipdb               	       0        2        0        0        2
48389 nextpnr-gowin-qt                   	       0        1        0        1        0
48390 nextpnr-ice40-chipdb               	       0        1        0        0        1
48391 nextpnr-ice40-qt                   	       0        1        0        1        0
48392 nexuiz                             	       0       15        0       15        0
48393 nexuiz-data                        	       0       15        0        0       15
48394 nexuiz-music                       	       0       15        0        0       15
48395 nexuiz-textures                    	       0       15        0        0       15
48396 nfdump                             	       0        1        0        1        0
48397 nfoview                            	       0        8        0        8        0
48398 nfstrace-doc                       	       0       12        0        0       12
48399 nftlb                              	       0        1        0        1        0
48400 ng-common                          	       0        1        0        1        0
48401 ng-latin                           	       0        1        0        1        0
48402 ng-utils                           	       0        9        2        7        0
48403 ngetty                             	       0        3        0        3        0
48404 nghttp2-client                     	       0        1        0        1        0
48405 nginx-confgen                      	       0        3        0        3        0
48406 nginx-core                         	       0       29        6       18        5
48407 nginx-doc                          	       0        7        0        0        7
48408 nginx-extras                       	       0        6        0        3        3
48409 nginx-full                         	       0       50        3       15       32
48410 nginx-light                        	       0       25        1        9       15
48411 nginx-module-perl                  	       0        1        0        1        0
48412 ngircd                             	       0        1        1        0        0
48413 ngraph-gtk                         	       0        3        0        3        0
48414 ngraph-gtk-addin-import-ps         	       0        1        0        0        1
48415 ngraph-gtk-addin-tex-equation      	       0        1        0        0        1
48416 ngraph-gtk-addins                  	       0        3        0        0        3
48417 ngraph-gtk-addins-base             	       0        3        0        0        3
48418 ngraph-gtk-doc                     	       0        3        0        0        3
48419 ngrok                              	       0        1        0        1        0
48420 ngspice                            	       0       41        1       40        0
48421 ngspice-dev                        	       0        8        0        0        8
48422 ngspice-doc                        	       0       14        0        0       14
48423 nhos-flash-tool                    	       0        1        0        0        1
48424 ni-avahi-client                    	       0        1        0        0        1
48425 ni-ceip                            	       0        1        0        0        1
48426 ni-euladepot                       	       0        1        0        0        1
48427 ni-help-launcher                   	       0        1        0        0        1
48428 ni-labview-2023-core               	       0        1        0        1        0
48429 ni-labview-2023-help               	       0        1        0        0        1
48430 ni-labview-2023-jammy-community    	       0        1        0        0        1
48431 ni-networkdiscoverysvc             	       0        1        0        0        1
48432 ni-python-interface                	       0        1        0        1        0
48433 ni-service-locator                 	       0        1        0        1        0
48434 ni-software-action-services        	       0        1        0        0        1
48435 ni-ssl-bin                         	       0        1        0        0        1
48436 ni-sysapi                          	       0        1        0        1        0
48437 ni-syscfg-runtime                  	       0        1        0        0        1
48438 ni-targetcfg                       	       0        1        0        0        1
48439 ni-tdms-bin                        	       0        1        0        0        1
48440 ni-wine                            	       0        1        0        0        1
48441 ni-wine-dotnet-runtime-60          	       0        1        0        0        1
48442 ni-wine-platform-support           	       0        1        0        0        1
48443 niceshaper                         	       0        1        0        1        0
48444 nickle                             	       0        7        0        7        0
48445 nicstat                            	       0        7        0        7        0
48446 nictools-nopci                     	       0        1        0        1        0
48447 nictools-pci                       	       0       10        0       10        0
48448 nicurli                            	       0        1        0        0        1
48449 nifti-bin                          	       0        3        0        3        0
48450 nifti2dicom-data                   	       0        1        0        0        1
48451 nighthawk                          	       0        1        0        1        0
48452 nijsonmapi                         	       0        1        0        0        1
48453 nik4                               	       0        3        1        2        0
48454 nikto                              	       0        5        0        5        0
48455 nikwi                              	       0        2        0        2        0
48456 nikwi-data                         	       0        2        0        0        2
48457 nim                                	       0        4        0        4        0
48458 nim-doc                            	       0        2        0        0        2
48459 nimbus-beacon-node                 	       0        1        0        1        0
48460 nimbus-validator-client            	       0        1        0        1        0
48461 ninix-aya                          	       0        3        0        3        0
48462 nip2                               	       0        8        0        8        0
48463 nisslcerts                         	       0        1        0        0        1
48464 nissli                             	       0        1        0        0        1
48465 nitdmsi                            	       0        1        0        0        1
48466 nitrocli                           	       0        2        0        2        0
48467 nitrokey-authenticator             	       0        1        0        1        0
48468 nix-bin                            	       0        3        0        3        0
48469 nix-setup-systemd                  	       0        3        0        3        0
48470 nixieclock                         	       0        1        0        1        0
48471 nixnote2                           	       0        3        0        3        0
48472 njam                               	       0        5        0        5        0
48473 njam-data                          	       0        5        0        0        5
48474 njplot                             	       0        1        0        1        0
48475 njs                                	       0        1        0        1        0
48476 nlkt                               	       0        6        0        6        0
48477 nload                              	       0       66        0       66        0
48478 nlohmann-json-dev                  	       0        1        0        1        0
48479 nlohmann-json3-dev                 	       0       21        1        0       20
48480 nm-tray                            	       0       37        6       31        0
48481 nm-tray-l10n                       	       0       35        2        7       26
48482 nmap-common                        	       0      900        0        0      900
48483 nmapfe                             	       0        1        0        1        0
48484 nmapsi4                            	       0       34        1       33        0
48485 nmrpflash                          	       0        1        0        1        0
48486 nmux                               	       0        1        0        1        0
48487 nn                                 	       0        6        0        6        0
48488 nncp                               	       0        2        0        2        0
48489 nnedi3-weights.bin                 	       0        1        0        0        1
48490 nntp                               	       0        1        0        1        0
48491 noaa-apt                           	       0        1        0        1        0
48492 noblenote                          	       0        5        0        5        0
48493 nocache                            	       0       25        2       23        0
48494 node-abab                          	       0        8        0        0        8
48495 node-abbrev                        	       0      216        1        5      210
48496 node-abstract-leveldown            	       0        2        0        0        2
48497 node-accepts                       	       0        4        0        0        4
48498 node-agent-base                    	       0      192        0        0      192
48499 node-ajv-keywords                  	       0      169        0        0      169
48500 node-ampproject-remapping          	       0      180        0        0      180
48501 node-ansi                          	       0       39        1        5       33
48502 node-ansi-align                    	       0       13        1        5        7
48503 node-ansi-color-table              	       0        2        0        0        2
48504 node-ansi-colors                   	       0       30        0        0       30
48505 node-ansi-escapes                  	       0      181        0        0      181
48506 node-ansi-regex                    	       0      216        1        5      210
48507 node-ansi-styles                   	       0      216        1        5      210
48508 node-ansistyles                    	       0       37        1        5       31
48509 node-anymatch                      	       0      185        0        0      185
48510 node-aproba                        	       0      198        1        5      192
48511 node-archy                         	       0      210        1        5      204
48512 node-are-we-there-yet              	       0      198        0        0      198
48513 node-arg                           	       0        2        0        0        2
48514 node-argparse                      	       0      185        0        0      185
48515 node-arr-diff                      	       0        1        0        0        1
48516 node-arr-flatten                   	       0        1        0        0        1
48517 node-arr-union                     	       0        1        0        0        1
48518 node-array-find-index              	       0       26        0        0       26
48519 node-array-flatten                 	       0        4        0        0        4
48520 node-array-from                    	       0        3        0        0        3
48521 node-array-union                   	       0        1        0        0        1
48522 node-array-uniq                    	       0        1        0        0        1
48523 node-arrify                        	       0      184        0        0      184
48524 node-asap                          	       0       55        0        0       55
48525 node-asn1                          	       0       65        2       63        0
48526 node-assert                        	       0      180        0        0      180
48527 node-assert-plus                   	       0       68        1        5       62
48528 node-assertion-error               	       0        2        0        0        2
48529 node-async                         	       0      183        0        1      182
48530 node-async-each                    	       0      182        0        0      182
48531 node-asynckit                      	       0       72        1        5       66
48532 node-auto-bind                     	       0      154        0        0      154
48533 node-aws-sign2                     	       0       64        1        6       57
48534 node-aws4                          	       0       64        1        6       57
48535 node-axios                         	       0        2        0        2        0
48536 node-babel-plugin-add-module-exports	       0      180        0        0      180
48537 node-babel-plugin-lodash           	       0      170        0        0      170
48538 node-babel-plugin-polyfill-corejs2 	       0      180        0        0      180
48539 node-babel-plugin-polyfill-corejs3 	       0      180        0        0      180
48540 node-babel-plugin-polyfill-es-shims	       0        1        0        0        1
48541 node-babel-plugin-polyfill-regenerator	       0      180        0        0      180
48542 node-babel7-debug                  	       0        1        0        1        0
48543 node-babel7-runtime                	       0      182        0        0      182
48544 node-balanced-match                	       0      237        1        6      230
48545 node-base                          	       0      153        0        0      153
48546 node-base64-js                     	       0      162        0        0      162
48547 node-bcrypt-pbkdf                  	       0       65        1        5       59
48548 node-beeper                        	       0        1        0        0        1
48549 node-big.js                        	       0       27        0        1       26
48550 node-bignumber                     	       0        4        0        1        3
48551 node-binary-extensions             	       0      182        0        0      182
48552 node-bindings                      	       0        5        0        0        5
48553 node-bl                            	       0       29        0        0       29
48554 node-block-stream                  	       0        3        0        0        3
48555 node-bluebird                      	       0       12        1        5        6
48556 node-blueimp-md5                   	       0        3        0        0        3
48557 node-body-parser                   	       0        3        0        3        0
48558 node-boolbase                      	       0        1        0        0        1
48559 node-bootstrap-sass                	       0       37        0        0       37
48560 node-boxen                         	       0       12        1        5        6
48561 node-brace-expansion               	       0      237        1        6      230
48562 node-braces                        	       0      185        0        0      185
48563 node-browser-stdout                	       0       30        0        0       30
48564 node-buffer-crc32                  	       0        2        0        0        2
48565 node-builtin-modules               	       0       40        1        5       34
48566 node-builtins                      	       0      192        1        5      186
48567 node-bytes                         	       0       30        0        0       30
48568 node-cache-base                    	       0      153        0        0      153
48569 node-call-limit                    	       0       12        1        5        6
48570 node-camelcase                     	       0      191        1        5      185
48571 node-caniuse-lite                  	       0      182        0        0      182
48572 node-carto                         	       0        1        0        1        0
48573 node-caseless                      	       0       64        1        6       57
48574 node-cbor                          	       0        3        0        3        0
48575 node-chalk                         	       0      212        1        5      206
48576 node-change-case                   	       0        1        0        0        1
48577 node-check-error                   	       0        2        0        0        2
48578 node-cheerio                       	       0        1        0        1        0
48579 node-chokidar                      	       0      182        0        0      182
48580 node-chownr                        	       0      211        1        5      205
48581 node-chroma-js                     	       0        1        0        0        1
48582 node-chrome-trace-event            	       0      169        0        0      169
48583 node-ci-info                       	       0      182        0        0      182
48584 node-cjs-module-lexer              	       0      296        0        0      296
48585 node-clarinet                      	       0        2        0        0        2
48586 node-clean-css                     	       0        8        0        8        0
48587 node-clean-yaml-object             	       0        2        0        0        2
48588 node-cli-boxes                     	       0      162        1        5      156
48589 node-cli-cursor                    	       0      171        0        0      171
48590 node-cli-spinners                  	       0       27        0        0       27
48591 node-cli-table                     	       0      179        0        0      179
48592 node-cli-truncate                  	       0      154        0        0      154
48593 node-cli-width                     	       0       29        0        0       29
48594 node-clipanion                     	       0        1        0        1        0
48595 node-clipboard                     	       0     1960        0        0     1960
48596 node-cliui                         	       0      189        1        5      183
48597 node-clone                         	       0      216        1        5      210
48598 node-clone-buffer                  	       0        1        0        0        1
48599 node-clone-deep                    	       0      180        0        0      180
48600 node-clone-stats                   	       0        1        0        0        1
48601 node-cloneable-readable            	       0        1        0        0        1
48602 node-co                            	       0       17        1        6       10
48603 node-collection-visit              	       0      153        0        0      153
48604 node-color                         	       0        1        0        0        1
48605 node-color-convert                 	       0      216        1        5      210
48606 node-color-name                    	       0      216        1        5      210
48607 node-color-string                  	       0        1        0        0        1
48608 node-colorspace                    	       0        1        0        0        1
48609 node-columnify                     	       0      185        0        0      185
48610 node-combined-stream               	       0       72        1        5       66
48611 node-commander                     	       0      193        0        1      192
48612 node-commondir                     	       0      180        0        0      180
48613 node-component-emitter             	       0        1        0        0        1
48614 node-concat-map                    	       0       37        1        6       30
48615 node-concat-stream                 	       0      178        1        5      172
48616 node-concat-with-sourcemaps        	       0        1        0        0        1
48617 node-concordance                   	       0        3        0        3        0
48618 node-config-chain                  	       0       16        1        5       10
48619 node-configurable-http-proxy       	       0        1        0        1        0
48620 node-console-control-strings       	       0      198        0        0      198
48621 node-content-disposition           	       0        4        0        0        4
48622 node-content-type                  	       0        4        0        0        4
48623 node-convert-source-map            	       0      181        0        0      181
48624 node-cookie                        	       0        4        0        0        4
48625 node-cookie-jar                    	       0        4        0        1        3
48626 node-cookie-signature              	       0        4        0        0        4
48627 node-cookies                       	       0        2        0        0        2
48628 node-copy-concurrently             	       0      191        1        5      185
48629 node-core-js                       	       0      181        0        0      181
48630 node-core-js-compat                	       0      180        0        0      180
48631 node-core-js-pure                  	       0      180        0        0      180
48632 node-core-util-is                  	       0      217        0        0      217
48633 node-cosmiconfig                   	       0        3        0        0        3
48634 node-crc                           	       0        2        0        1        1
48635 node-create-require                	       0        2        0        0        2
48636 node-cross-spawn                   	       0       11        1       10        0
48637 node-cross-spawn-async             	       0        1        0        0        1
48638 node-css                           	       0        4        0        4        0
48639 node-css-loader                    	       0      162        0        0      162
48640 node-css-select                    	       0        1        0        1        0
48641 node-css-what                      	       0        1        0        1        0
48642 node-cssom                         	       0        8        0        0        8
48643 node-cssstyle                      	       0        8        0        8        0
48644 node-csstype                       	       0        1        0        0        1
48645 node-currently-unhandled           	       0       26        0        0       26
48646 node-cycle                         	       0        1        0        0        1
48647 node-cyclist                       	       0       12        1        5        6
48648 node-d                             	       0        3        0        0        3
48649 node-d3                            	       0        3        0        0        3
48650 node-d3-array                      	       0        3        0        0        3
48651 node-d3-axis                       	       0        3        0        0        3
48652 node-d3-brush                      	       0        3        0        0        3
48653 node-d3-chord                      	       0        3        0        0        3
48654 node-d3-collection                 	       0        3        0        0        3
48655 node-d3-color                      	       0        3        0        0        3
48656 node-d3-contour                    	       0        3        0        0        3
48657 node-d3-dispatch                   	       0        3        0        0        3
48658 node-d3-drag                       	       0        3        0        0        3
48659 node-d3-dsv                        	       0        4        0        0        4
48660 node-d3-ease                       	       0        3        0        0        3
48661 node-d3-fetch                      	       0        3        0        0        3
48662 node-d3-force                      	       0        3        0        0        3
48663 node-d3-format                     	       0        3        0        0        3
48664 node-d3-geo                        	       0        3        0        0        3
48665 node-d3-hierarchy                  	       0        3        0        0        3
48666 node-d3-interpolate                	       0        3        0        0        3
48667 node-d3-path                       	       0        3        0        0        3
48668 node-d3-polygon                    	       0        3        0        0        3
48669 node-d3-quadtree                   	       0        3        0        0        3
48670 node-d3-queue                      	       0        8        0        0        8
48671 node-d3-random                     	       0        3        0        0        3
48672 node-d3-scale                      	       0        3        0        0        3
48673 node-d3-scale-chromatic            	       0        3        0        0        3
48674 node-d3-selection                  	       0        3        0        0        3
48675 node-d3-shape                      	       0        3        0        0        3
48676 node-d3-time                       	       0        3        0        0        3
48677 node-d3-time-format                	       0        3        0        0        3
48678 node-d3-timer                      	       0        3        0        0        3
48679 node-d3-transition                 	       0        3        0        0        3
48680 node-d3-voronoi                    	       0        3        0        0        3
48681 node-d3-zoom                       	       0        3        0        0        3
48682 node-dabh-diagnostics              	       0        1        0        0        1
48683 node-daemon                        	       0        1        0        0        1
48684 node-dagre-d3-renderer             	       0        1        0        1        0
48685 node-dagre-layout                  	       0        1        0        1        0
48686 node-dashdash                      	       0       65        1        5       59
48687 node-data-uri-to-buffer            	       0      166        0        0      166
48688 node-date-time                     	       0        3        0        0        3
48689 node-dateformat                    	       0        3        0        0        3
48690 node-death                         	       0       26        0        0       26
48691 node-debbundle-acorn               	       0        5        0        3        2
48692 node-debbundle-es-to-primitive     	       0      181        0        0      181
48693 node-debug                         	       0      210        0        0      210
48694 node-debug-fabulous                	       0        1        0        0        1
48695 node-decamelize                    	       0      189        1        5      183
48696 node-decompress-response           	       0      171        1        5      165
48697 node-deep-eql                      	       0        2        0        0        2
48698 node-deep-equal                    	       0      182        0        0      182
48699 node-deep-extend                   	       0       13        1        5        7
48700 node-deep-is                       	       0      181        0        0      181
48701 node-deepmerge                     	       0        3        0        0        3
48702 node-defaults                      	       0      216        1        5      210
48703 node-define-properties             	       0      181        0        0      181
48704 node-define-property               	       0      156        0        0      156
48705 node-defined                       	       0      180        0        0      180
48706 node-del                           	       0      180        0        0      180
48707 node-delayed-stream                	       0       72        1        5       66
48708 node-delegates                     	       0      198        1        5      192
48709 node-detect-file                   	       0        3        0        0        3
48710 node-detect-indent                 	       0       38        1        5       32
48711 node-detect-newline                	       0       16        1        5       10
48712 node-diacritics                    	       0        2        0        0        2
48713 node-doctrine                      	       0      170        0        0      170
48714 node-dom-serializer                	       0        1        0        0        1
48715 node-domelementtype                	       0        7        0        7        0
48716 node-domhandler                    	       0        7        0        7        0
48717 node-dompurify                     	       0        5        0        0        5
48718 node-domutils                      	       0        1        0        1        0
48719 node-duplexer                      	       0        2        0        1        1
48720 node-duplexer3                     	       0       13        1        6        6
48721 node-duplexify                     	       0       39        1        6       32
48722 node-ecc-jsbn                      	       0       65        1        5       59
48723 node-editor                        	       0       12        1        5        6
48724 node-electron-to-chromium          	       0      183        0        0      183
48725 node-emittery                      	       0        4        0        0        4
48726 node-emoji                         	       0       27        0        0       27
48727 node-emojis-list                   	       0       27        0        0       27
48728 node-enabled                       	       0        1        0        0        1
48729 node-encodeurl                     	       0        4        0        0        4
48730 node-encoding                      	       0      210        1        5      204
48731 node-end-of-stream                 	       0      172        0        0      172
48732 node-enquirer                      	       0        1        0        1        0
48733 node-entities                      	       0        8        0        8        0
48734 node-envinfo                       	       0       21        0        0       21
48735 node-err-code                      	       0      185        0        0      185
48736 node-errno                         	       0      178        1        5      172
48737 node-error-ex                      	       0      180        0        0      180
48738 node-es-abstract                   	       0      181        0        0      181
48739 node-es-module-lexer               	       0      169        0        0      169
48740 node-es5-ext                       	       0        3        0        0        3
48741 node-es6-error                     	       0      180        0        0      180
48742 node-es6-iterator                  	       0        3        0        0        3
48743 node-es6-symbol                    	       0        3        0        3        0
48744 node-escape-html                   	       0        4        0        2        2
48745 node-escape-string-regexp          	       0      212        0        0      212
48746 node-eslint-plugin-flowtype        	       0        1        0        1        0
48747 node-eslint-scope                  	       0      170        0        0      170
48748 node-eslint-utils                  	       0      170        0        0      170
48749 node-eslint-visitor-keys           	       0      170        0        0      170
48750 node-espree                        	       0      170        0        0      170
48751 node-esprima-fb                    	       0        1        0        1        0
48752 node-esquery                       	       0      170        0        0      170
48753 node-esrecurse                     	       0      170        0        0      170
48754 node-estraverse                    	       0      181        0        0      181
48755 node-estree-walker                 	       0        1        0        0        1
48756 node-esutils                       	       0      181        0        0      181
48757 node-etag                          	       0        4        0        0        4
48758 node-event-emitter                 	       0        3        0        0        3
48759 node-eventemitter2                 	       0        3        0        0        3
48760 node-eventemitter3                 	       0        1        0        0        1
48761 node-events                        	       0      173        0        0      173
48762 node-execa                         	       0       37        1       14       22
48763 node-exit                          	       0        6        0        0        6
48764 node-exit-hook                     	       0        1        0        0        1
48765 node-expand-tilde                  	       0        3        0        0        3
48766 node-express                       	       0        3        0        3        0
48767 node-express-generator             	       0        1        0        1        0
48768 node-extend                        	       0       67        1       29       37
48769 node-extend-shallow                	       0        2        0        0        2
48770 node-external-editor               	       0       29        0        0       29
48771 node-extsprintf                    	       0       69        1        6       62
48772 node-fancy-log                     	       0      170        0        0      170
48773 node-fast-deep-equal               	       0      205        0        0      205
48774 node-fast-levenshtein              	       0      183        0        0      183
48775 node-fast-safe-stringify           	       0        1        0        0        1
48776 node-fd-slicer                     	       0        2        0        1        1
48777 node-fecha                         	       0        1        0        0        1
48778 node-fetch                         	       0      167        0        0      167
48779 node-file-entry-cache              	       0      170        0        0      170
48780 node-filesize                      	       0        1        0        0        1
48781 node-fill-range                    	       0      185        0        0      185
48782 node-finalhandler                  	       0        4        0        0        4
48783 node-find-cache-dir                	       0      180        0        0      180
48784 node-find-up                       	       0      189        1        5      183
48785 node-findit2                       	       0        2        0        0        2
48786 node-findup-sync                   	       0        3        0        0        3
48787 node-fined                         	       0        3        0        0        3
48788 node-flagged-respawn               	       0        3        0        0        3
48789 node-flat-cache                    	       0      170        0        0      170
48790 node-flatted                       	       0      170        0        0      170
48791 node-flush-write-stream            	       0       13        0        0       13
48792 node-fn.name                       	       0        1        0        0        1
48793 node-follow-redirects              	       0        3        0        0        3
48794 node-for-in                        	       0      181        0        0      181
48795 node-for-own                       	       0      181        0        0      181
48796 node-foreground-child              	       0      180        0        0      180
48797 node-forever-agent                 	       0       64        1        5       58
48798 node-form-data                     	       0       72        1        5       66
48799 node-formidable                    	       0        1        0        0        1
48800 node-fortawesome-fontawesome-free  	       0        3        0        0        3
48801 node-fresh                         	       0        4        0        0        4
48802 node-from2                         	       0       12        1        7        4
48803 node-fs-exists-sync                	       0        3        0        0        3
48804 node-fs-extra                      	       0        2        0        2        0
48805 node-fs-readdir-recursive          	       0      180        0        0      180
48806 node-fs-vacuum                     	       0       11        1        5        5
48807 node-fs-write-stream-atomic        	       0      191        0        0      191
48808 node-fs.realpath                   	       0      222        1       31      190
48809 node-fstream                       	       0        2        0        1        1
48810 node-fstream-ignore                	       0        2        0        0        2
48811 node-function-bind                 	       0      207        0        0      207
48812 node-functional-red-black-tree     	       0      170        0        0      170
48813 node-gauge                         	       0      198        1       29      168
48814 node-get-caller-file               	       0      189        0        0      189
48815 node-get-func-name                 	       0        2        0        0        2
48816 node-get-stream                    	       0      172        1        5      166
48817 node-get-value                     	       0      154        0        1      153
48818 node-getobject                     	       0        3        0        0        3
48819 node-getpass                       	       0       65        1       30       34
48820 node-github-url-from-git           	       0        3        0        0        3
48821 node-glob                          	       0      222        1        6      215
48822 node-glob-parent                   	       0      183        0        0      183
48823 node-global-modules                	       0        4        0        0        4
48824 node-global-prefix                 	       0        4        0        0        4
48825 node-globals                       	       0      181        0        0      181
48826 node-globby                        	       0      180        0        0      180
48827 node-glogg                         	       0        1        0        0        1
48828 node-googlediff                    	       0        1        0        1        0
48829 node-got                           	       0      171        1        5      165
48830 node-graceful-fs                   	       0      218        1        5      212
48831 node-graphlibrary                  	       0        2        0        2        0
48832 node-growl                         	       0      171        0        0      171
48833 node-grunt-cli                     	       0        3        0        3        0
48834 node-grunt-known-options           	       0        3        0        0        3
48835 node-grunt-legacy-log              	       0        3        0        0        3
48836 node-grunt-legacy-log-utils        	       0        3        0        0        3
48837 node-grunt-legacy-util             	       0        3        0        0        3
48838 node-gulp-plumber                  	       0        1        0        0        1
48839 node-gulp-sourcemaps               	       0        1        0        0        1
48840 node-gulp-tsb                      	       0        1        0        1        0
48841 node-gulp-util                     	       0        1        0        0        1
48842 node-gulplog                       	       0        1        0        0        1
48843 node-har-schema                    	       0       64        1        6       57
48844 node-har-validator                 	       0       64        1        6       57
48845 node-has-ansi                      	       0        1        0        0        1
48846 node-has-flag                      	       0      212        1        5      206
48847 node-has-gulplog                   	       0        1        0        0        1
48848 node-has-symbol-support-x          	       0       13        0        0       13
48849 node-has-to-string-tag-x           	       0       12        0        0       12
48850 node-has-unicode                   	       0      198        1       28      169
48851 node-has-value                     	       0      153        0        0      153
48852 node-has-values                    	       0      153        0        0      153
48853 node-has-yarn                      	       0        1        0        0        1
48854 node-he                            	       0       30        0       30        0
48855 node-hooker                        	       0        3        0        0        3
48856 node-hosted-git-info               	       0      211        0        0      211
48857 node-hsluv                         	       0        1        0        0        1
48858 node-html5shiv                     	       0      131        0        2      129
48859 node-htmlparser2                   	       0        1        0        1        0
48860 node-http-errors                   	       0        4        0        0        4
48861 node-http-proxy                    	       0        1        0        1        0
48862 node-http-proxy-agent              	       0       21        0        0       21
48863 node-http-signature                	       0       64        1        5       58
48864 node-https-proxy-agent             	       0      191        0        0      191
48865 node-i18next                       	       0        1        0        0        1
48866 node-iconv                         	       0        2        0        0        2
48867 node-iconv-lite                    	       0      216        1        5      210
48868 node-icss-utils                    	       0      162        0        0      162
48869 node-ieee754                       	       0      162        0        0      162
48870 node-iferr                         	       0      191        1       28      162
48871 node-ignore                        	       0      181        0        0      181
48872 node-ignore-by-default             	       0        1        0        0        1
48873 node-immediate                     	       0        6        0        0        6
48874 node-import-lazy                   	       0       12        1        6        5
48875 node-imports-loader                	       0       26        0        0       26
48876 node-imurmurhash                   	       0      210        1       28      181
48877 node-indent-string                 	       0      203        0        0      203
48878 node-inflight                      	       0      222        1       31      190
48879 node-inherits                      	       0      224        1        7      216
48880 node-ini                           	       0      210        1        6      203
48881 node-inquirer                      	       0       29        0       29        0
48882 node-interpret                     	       0      170        0        0      170
48883 node-invariant                     	       0       26        0        0       26
48884 node-invert-kv                     	       0       13        1        5        7
48885 node-ip                            	       0      185        0        0      185
48886 node-ip-regex                      	       0      186        0        0      186
48887 node-ipaddr.js                     	       0        4        0        0        4
48888 node-irregular-plurals             	       0        1        0        0        1
48889 node-is-arrayish                   	       0      180        0        0      180
48890 node-is-binary-path                	       0      182        0        0      182
48891 node-is-buffer                     	       0      189        0        0      189
48892 node-is-builtin-module             	       0       38        0        0       38
48893 node-is-descriptor                 	       0      155        0        0      155
48894 node-is-extendable                 	       0      182        0        1      181
48895 node-is-extglob                    	       0      184        0        0      184
48896 node-is-generator-fn               	       0        3        0        0        3
48897 node-is-glob                       	       0      184        0        0      184
48898 node-is-npm                        	       0       12        1        6        5
48899 node-is-number                     	       0      185        0        1      184
48900 node-is-object                     	       0       16        1        6        9
48901 node-is-path-cwd                   	       0      180        0        0      180
48902 node-is-path-inside                	       0      180        0        0      180
48903 node-is-plain-obj                  	       0      191        0        0      191
48904 node-is-plain-object               	       0      183        0        0      183
48905 node-is-primitive                  	       0      153        0        0      153
48906 node-is-promise                    	       0        4        0        0        4
48907 node-is-retry-allowed              	       0       12        0        0       12
48908 node-is-stream                     	       0      188        1        5      182
48909 node-is-typedarray                 	       0      211        1        6      204
48910 node-is-windows                    	       0      180        0        0      180
48911 node-isarray                       	       0      216        1        5      210
48912 node-isexe                         	       0      215        1        6      208
48913 node-isobject                      	       0      183        0        0      183
48914 node-isstream                      	       0       64        1       29       34
48915 node-istextorbinary                	       0        1        0        0        1
48916 node-isurl                         	       0       12        1        5        6
48917 node-jed                           	       0       54        0        0       54
48918 node-jest-debbundle                	       0      170        0        0      170
48919 node-jest-worker                   	       0      169        0        0      169
48920 node-jju                           	       0        4        0        0        4
48921 node-jquery                        	       0      313        0        1      312
48922 node-jquery-ui                     	       0        8        0        0        8
48923 node-js-beautify                   	       0        4        0        4        0
48924 node-js-cookie                     	       0        6        0        0        6
48925 node-js-sdsl                       	       0        2        0        0        2
48926 node-js-tokens                     	       0      183        0        0      183
48927 node-jsbn                          	       0       68        1       28       39
48928 node-jschardet                     	       0       34        0       34        0
48929 node-jsdom                         	       0        8        0        8        0
48930 node-json-buffer                   	       0      166        0        0      166
48931 node-json-loader                   	       0        4        0        0        4
48932 node-json-localizer                	       0        4        0        0        4
48933 node-json-parse-better-errors      	       0      212        0        0      212
48934 node-json-parse-helpfulerror       	       0        4        0        0        4
48935 node-json-schema                   	       0      212        1        6      205
48936 node-json-schema-traverse          	       0      205        0        0      205
48937 node-json-stable-stringify         	       0      212        0        0      212
48938 node-json-stringify-safe           	       0       67        0        0       67
48939 node-json2module                   	       0        4        0        4        0
48940 node-jsonfile                      	       0        6        0        0        6
48941 node-jsonify                       	       0      212        1       29      182
48942 node-jsonminify                    	       0        4        0        0        4
48943 node-jsonparse                     	       0      211        1        5      205
48944 node-jsonselect                    	       0        4        0        0        4
48945 node-jsonstream                    	       0       41        2       39        0
48946 node-jsprim                        	       0       67        1        5       61
48947 node-jstimezonedetect              	       0        4        0        0        4
48948 node-jszip                         	       0        4        0        4        0
48949 node-jszip-utils                   	       0        4        0        0        4
48950 node-keese                         	       0        2        0        0        2
48951 node-keygrip                       	       0        2        0        0        2
48952 node-kind-of                       	       0      189        0        0      189
48953 node-klaw                          	       0        2        0        0        2
48954 node-kuler                         	       0        1        0        0        1
48955 node-lastfm                        	       0        2        0        2        0
48956 node-latest-version                	       0       12        1        5        6
48957 node-lazy-cache                    	       0        1        0        0        1
48958 node-lazy-property                 	       0       12        1        6        5
48959 node-lcid                          	       0       13        1        5        7
48960 node-leven                         	       0       32        0        0       32
48961 node-levn                          	       0      181        0        0      181
48962 node-libnpx                        	       0       11        1        9        1
48963 node-libpq                         	       0        1        0        0        1
48964 node-lie                           	       0        4        0        0        4
48965 node-liftoff                       	       0        3        0        0        3
48966 node-load-json-file                	       0        2        0        0        2
48967 node-loader-runner                 	       0      169        0        0      169
48968 node-loader-utils                  	       0       26        0       25        1
48969 node-locate-path                   	       0      189        1        5      183
48970 node-lockfile                      	       0       39        1        5       33
48971 node-lodash-packages               	       0      185        0        0      185
48972 node-log-driver                    	       0      153        0        0      153
48973 node-log4js                        	       0        1        0        1        0
48974 node-logform                       	       0        1        0        0        1
48975 node-loose-envify                  	       0       29        0       29        0
48976 node-loud-rejection                	       0       26        0        0       26
48977 node-lowercase-keys                	       0      171        1        5      165
48978 node-lru-cache                     	       0      217        1        5      211
48979 node-lynx                          	       0        1        0        0        1
48980 node-macaddress                    	       0        1        0        1        0
48981 node-make-dir                      	       0      181        0        0      181
48982 node-make-error                    	       0        2        0        0        2
48983 node-map-cache                     	       0        4        0        0        4
48984 node-map-visit                     	       0      153        0        0      153
48985 node-match-at                      	       0        1        0        1        0
48986 node-matcher                       	       0        1        0        0        1
48987 node-md5-hex                       	       0        3        0        0        3
48988 node-md5-o-matic                   	       0        3        0        0        3
48989 node-media-typer                   	       0        4        0        0        4
48990 node-mem                           	       0       14        1        7        6
48991 node-memory-fs                     	       0      169        0        0      169
48992 node-merge-descriptors             	       0        7        0        2        5
48993 node-merge-stream                  	       0      171        0        0      171
48994 node-mermaid                       	       0        2        0        0        2
48995 node-mersenne                      	       0        1        0        0        1
48996 node-mess                          	       0        2        0        1        1
48997 node-methods                       	       0        4        0        0        4
48998 node-micromatch                    	       0      183        0        0      183
48999 node-mime-types                    	       0      211        1        5      205
49000 node-mimic-fn                      	       0       38        1        5       32
49001 node-mimic-response                	       0      172        1        5      166
49002 node-minimatch                     	       0      237        1        6      230
49003 node-minimist                      	       0      191        1        5      185
49004 node-minipass                      	       0      183        0        0      183
49005 node-mississippi                   	       0       12        1        7        4
49006 node-mixin-deep                    	       0      153        0        0      153
49007 node-mj-context-menu               	       0        2        0        0        2
49008 node-mkdirp                        	       0      216        2      195       19
49009 node-move-concurrently             	       0      191        1       28      162
49010 node-ms                            	       0      210        0        0      210
49011 node-multiparty                    	       0        2        0        0        2
49012 node-music-library-index           	       0        2        0        0        2
49013 node-mute-stream                   	       0      209        1        5      203
49014 node-n3                            	       0      180        0        0      180
49015 node-nan                           	       0        2        0        2        0
49016 node-ncp                           	       0        2        0        2        0
49017 node-negotiator                    	       0      164        0        0      164
49018 node-neo-async                     	       0      180        0        0      180
49019 node-node-uuid                     	       0       12        0        1       11
49020 node-normalize-package-data        	       0      211        0        0      211
49021 node-normalize-path                	       0      186        0        0      186
49022 node-npm-bundled                   	       0      185        0        0      185
49023 node-npm-package-arg               	       0      191        0        0      191
49024 node-npm-run-path                  	       0      189        0        0      189
49025 node-npmlog                        	       0      198        1        5      192
49026 node-nth-check                     	       0        1        0        0        1
49027 node-number-is-nan                 	       0       28        0        0       28
49028 node-oauth-sign                    	       0       64        1        5       58
49029 node-object-assign                 	       0      212        1        5      206
49030 node-object-inspect                	       0      181        0        0      181
49031 node-object-path                   	       0       26        0        0       26
49032 node-object-visit                  	       0      153        0        0      153
49033 node-on-finished                   	       0        4        0        2        2
49034 node-once                          	       0      223        1       31      191
49035 node-one-time                      	       0        1        0        0        1
49036 node-optimist                      	       0      180        0        0      180
49037 node-optionator                    	       0      181        0        0      181
49038 node-os-locale                     	       0       12        1        5        6
49039 node-osenv                         	       0      197        1       28      168
49040 node-p-cancelable                  	       0      172        1        5      166
49041 node-p-finally                     	       0       15        1        6        8
49042 node-p-limit                       	       0      189        1        5      183
49043 node-p-locate                      	       0      189        1        5      183
49044 node-p-map                         	       0      203        0        0      203
49045 node-p-timeout                     	       0       14        1        6        7
49046 node-package-json                  	       0       12        1        7        4
49047 node-pako                          	       0        4        0        4        0
49048 node-parallel-transform            	       0       12        1        6        5
49049 node-parse-filepath                	       0        3        0        0        3
49050 node-parse-json                    	       0      180        0        0      180
49051 node-parse-ms                      	       0        1        0        0        1
49052 node-parse5                        	       0        8        0        0        8
49053 node-parse5-htmlparser2-tree-adapter	       0        1        0        0        1
49054 node-parseurl                      	       0        4        0        0        4
49055 node-pascalcase                    	       0      153        0        0      153
49056 node-path-dirname                  	       0      183        0        0      183
49057 node-path-exists                   	       0      189        1        5      183
49058 node-path-is-absolute              	       0      216        0        0      216
49059 node-path-is-inside                	       0      188        0        0      188
49060 node-path-root                     	       0       29        0        1       28
49061 node-path-root-regex               	       0       29        0        0       29
49062 node-path-to-regexp                	       0        8        0        0        8
49063 node-path-type                     	       0      180        0        0      180
49064 node-pathval                       	       0        2        0        0        2
49065 node-pend                          	       0        2        0        0        2
49066 node-performance-now               	       0       64        1       29       34
49067 node-pg                            	       0        2        0        2        0
49068 node-picocolors                    	       0      182        0        0      182
49069 node-pify                          	       0      180        0        0      180
49070 node-pkg-dir                       	       0      181        0        0      181
49071 node-plugin-error                  	       0        1        0        0        1
49072 node-plur                          	       0        1        0        0        1
49073 node-popper2                       	       0        6        0        6        0
49074 node-postcss-modules-extract-imports	       0      162        0        0      162
49075 node-postcss-modules-values        	       0      162        0        0      162
49076 node-postcss-value-parser          	       0      162        0        0      162
49077 node-pre-gyp                       	       0        3        0        3        0
49078 node-prelude-ls                    	       0      181        0        0      181
49079 node-prepend-http                  	       0       38        1        5       32
49080 node-pretty-ms                     	       0        1        0        0        1
49081 node-prismjs                       	       0     1960        0        0     1960
49082 node-process-nextick-args          	       0      216        0        0      216
49083 node-progress                      	       0      170        0        0      170
49084 node-promise                       	       0        4        0        0        4
49085 node-promise-inflight              	       0      192        1       28      163
49086 node-promise-retry                 	       0      185        0        0      185
49087 node-prompts                       	       0        3        0        3        0
49088 node-promzard                      	       0      191        1       28      162
49089 node-proper-lockfile               	       0       26        0        0       26
49090 node-proto-list                    	       0       17        1        7        9
49091 node-proxy-addr                    	       0        4        0        0        4
49092 node-proxy-from-env                	       0        2        0        0        2
49093 node-prr                           	       0      178        1        7      170
49094 node-pseudomap                     	       0        1        0        0        1
49095 node-psl                           	       0       64        0        0       64
49096 node-puka                          	       0       54        0        0       54
49097 node-pump                          	       0      171        1        5      165
49098 node-pumpify                       	       0       38        1        5       32
49099 node-punycode                      	       0      213        1        6      206
49100 node-qrcode-generator              	       0       13        0        0       13
49101 node-qs                            	       0       67        1        5       61
49102 node-querystringify                	       0        3        0        0        3
49103 node-quick-lru                     	       0      180        0        0      180
49104 node-qw                            	       0       12        1        7        4
49105 node-random-bytes                  	       0        2        0        1        1
49106 node-randombytes                   	       0      180        0        0      180
49107 node-range-parser                  	       0        5        0        0        5
49108 node-raw-body                      	       0        4        0        0        4
49109 node-rc                            	       0       13        1        5        7
49110 node-re2                           	       0       21        0        0       21
49111 node-react                         	       0        4        0        0        4
49112 node-react-dom                     	       0        3        0        0        3
49113 node-react-is                      	       0        4        0        0        4
49114 node-react-reconciler              	       0        1        0        0        1
49115 node-react-shallow-renderer        	       0        3        0        0        3
49116 node-react-test-renderer           	       0        3        0        0        3
49117 node-read                          	       0      209        1        5      203
49118 node-read-package-json             	       0      193        0        0      193
49119 node-read-pkg                      	       0      180        0        0      180
49120 node-read-pkg-up                   	       0        1        0        0        1
49121 node-readdirp                      	       0      182        0        0      182
49122 node-rechoir                       	       0      170        0        0      170
49123 node-regenerate                    	       0      181        0        0      181
49124 node-regenerate-unicode-properties 	       0      181        0        0      181
49125 node-regenerator-runtime           	       0      182        0        0      182
49126 node-regenerator-transform         	       0      180        0        0      180
49127 node-regexpp                       	       0      170        0        0      170
49128 node-regexpu-core                  	       0      180        0        0      180
49129 node-registry-auth-token           	       0       12        0        0       12
49130 node-registry-url                  	       0       12        1        6        5
49131 node-regjsgen                      	       0      180        0        0      180
49132 node-remark-slide                  	       0        1        0        0        1
49133 node-remove-trailing-separator     	       0        1        0        0        1
49134 node-repeat-string                 	       0      185        0        1      184
49135 node-replace-ext                   	       0        1        0        0        1
49136 node-request                       	       0       61        1        6       54
49137 node-request-capture-har           	       0       26        0        0       26
49138 node-require-directory             	       0      189        1        7      181
49139 node-require-from-string           	       0       22        0        0       22
49140 node-require-main-filename         	       0       12        0        0       12
49141 node-requires-port                 	       0        4        0        0        4
49142 node-resolve                       	       0      206        0        0      206
49143 node-resolve-cwd                   	       0      170        0        0      170
49144 node-resolve-dir                   	       0        3        0        0        3
49145 node-resolve-from                  	       0      210        1        6      203
49146 node-restore-cursor                	       0      171        0        0      171
49147 node-resumer                       	       0      180        0        0      180
49148 node-retry                         	       0      207        1        5      201
49149 node-rollup-plugin-typescript2     	       0        1        0        0        1
49150 node-rollup-pluginutils            	       0        1        0        0        1
49151 node-run-async                     	       0       29        0        0       29
49152 node-run-queue                     	       0      191        1        5      185
49153 node-rw                            	       0        8        0        8        0
49154 node-rx                            	       0        2        0        1        1
49155 node-safe-buffer                   	       0      220        1        6      213
49156 node-sane                          	       0        3        0        0        3
49157 node-sax                           	       0        2        0        0        2
49158 node-scheduler                     	       0        4        0        0        4
49159 node-schema-utils                  	       0      169        0        0      169
49160 node-sellside-emitter              	       0      153        0        0      153
49161 node-semver-diff                   	       0       12        1        5        6
49162 node-send                          	       0        3        0        0        3
49163 node-serialize-javascript          	       0      180        0        0      180
49164 node-serve-static                  	       0        3        0        0        3
49165 node-set-blocking                  	       0      199        1       28      170
49166 node-set-getter                    	       0        1        0        1        0
49167 node-set-immediate-shim            	       0      182        0        0      182
49168 node-set-value                     	       0      153        0        0      153
49169 node-setimmediate                  	       0        4        0        0        4
49170 node-setprototypeof                	       0        4        0        0        4
49171 node-sha                           	       0       13        1        5        7
49172 node-shebang-command               	       0      187        1        6      180
49173 node-shebang-regex                 	       0      187        1        4      182
49174 node-shell-quote                   	       0      153        0        0      153
49175 node-sigmund                       	       0        6        0        0        6
49176 node-signal-exit                   	       0      215        1        5      209
49177 node-simple-swizzle                	       0        1        0        0        1
49178 node-sinclair-typebox              	       0        3        0        0        3
49179 node-sinon                         	       0        3        0        3        0
49180 node-slash                         	       0      211        1        5      205
49181 node-slice-ansi                    	       0      188        0        0      188
49182 node-slide                         	       0       14        1        7        6
49183 node-snapdragon                    	       0        1        0        0        1
49184 node-snapdragon-node               	       0        1        0        0        1
49185 node-snapdragon-util               	       0        1        0        0        1
49186 node-sort-keys                     	       0       26        0        1       25
49187 node-sorted-object                 	       0       12        1        7        4
49188 node-source-list-map               	       0      169        0        0      169
49189 node-source-map                    	       0      196        0        3      193
49190 node-source-map-resolve            	       0        4        0        4        0
49191 node-source-map-support            	       0      180        0        0      180
49192 node-sparkles                      	       0        1        0        0        1
49193 node-spdx-correct                  	       0      211        1        5      205
49194 node-spdx-exceptions               	       0      205        0        0      205
49195 node-spdx-expression-parse         	       0      211        0        0      211
49196 node-spdx-license-ids              	       0      211        0        0      211
49197 node-split                         	       0        2        0        0        2
49198 node-split2                        	       0        1        0        0        1
49199 node-sprintf-js                    	       0      191        0        2      189
49200 node-sqlite3                       	       0        2        0        0        2
49201 node-sshpk                         	       0       65        2       63        0
49202 node-ssri                          	       0      206        1        5      200
49203 node-stack-trace                   	       0        1        0        0        1
49204 node-stack-utils                   	       0      155        0        0      155
49205 node-statsd-parser                 	       0        1        0        0        1
49206 node-statuses                      	       0        4        0        0        4
49207 node-std-mocks                     	       0        1        0        0        1
49208 node-stealthy-require              	       0        2        0        0        2
49209 node-stream-each                   	       0       12        1        5        6
49210 node-stream-iterate                	       0       12        1        7        4
49211 node-stream-shift                  	       0       39        1        6       32
49212 node-strftime                      	       0        1        0        0        1
49213 node-strict-uri-encode             	       0       26        0        0       26
49214 node-string-decoder                	       0      216        1        5      210
49215 node-string-width                  	       0      216        1        5      210
49216 node-strip-ansi                    	       0      216        1        5      210
49217 node-strip-bom                     	       0      183        0        0      183
49218 node-strip-eof                     	       0       37        1        7       29
49219 node-strip-json-comments           	       0      189        0        0      189
49220 node-supports-color                	       0      212        1        5      206
49221 node-tapable                       	       0      169        0        0      169
49222 node-tar                           	       0      196        1        6      189
49223 node-tar-stream                    	       0       26        0        0       26
49224 node-term-size                     	       0       12        1        7        4
49225 node-text-hex                      	       0        1        0        0        1
49226 node-text-table                    	       0      198        1       28      169
49227 node-through                       	       0      210        1        6      203
49228 node-through2                      	       0       40        1        5       34
49229 node-time-stamp                    	       0      170        0        0      170
49230 node-time-zone                     	       0        3        0        0        3
49231 node-timed-out                     	       0       12        1        5        6
49232 node-tinycolor                     	       0        3        0        0        3
49233 node-tmatch                        	       0        1        0        0        1
49234 node-tmp                           	       0       29        0        0       29
49235 node-to-fast-properties            	       0      180        0        0      180
49236 node-to-object-path                	       0        1        0        0        1
49237 node-to-regex-range                	       0      185        0        0      185
49238 node-toidentifier                  	       0        4        0        2        2
49239 node-tough-cookie                  	       0       68        1        6       61
49240 node-transformers                  	       0        2        0        0        2
49241 node-triple-beam                   	       0        1        0        0        1
49242 node-ts-loader                     	       0        1        0        0        1
49243 node-tslib                         	       0      188        0        0      188
49244 node-tunein                        	       0        1        0        0        1
49245 node-tunnel-agent                  	       0       64        1        5       58
49246 node-turbolinks                    	       0        7        0        0        7
49247 node-tweetnacl                     	       0       65        1        5       59
49248 node-typanion                      	       0        1        0        1        0
49249 node-type-check                    	       0      181        0        0      181
49250 node-type-detect                   	       0        3        0        0        3
49251 node-type-is                       	       0        4        0        0        4
49252 node-typedarray                    	       0      178        1        7      170
49253 node-typedarray-to-buffer          	       0      203        0        0      203
49254 node-typescript-types              	       0        1        0        0        1
49255 node-ua-parser-js                  	       0        2        0        0        2
49256 node-uglify                        	       0        2        0        2        0
49257 node-uglify-js                     	       0        4        0        0        4
49258 node-uid-number                    	       0       12        1        5        6
49259 node-uid-safe                      	       0        2        0        1        1
49260 node-underscore                    	       0        4        0        1        3
49261 node-underscore.string             	       0        3        0        0        3
49262 node-unicode-15.0.0                	       0        1        0        0        1
49263 node-unicode-canonical-property-names-ecmascript	       0      180        0        0      180
49264 node-unicode-match-property-ecmascript	       0      180        0        0      180
49265 node-unicode-match-property-value-ecmascript	       0      180        0        0      180
49266 node-unicode-property-aliases-ecmascript	       0      180        0        0      180
49267 node-union-value                   	       0      153        0        0      153
49268 node-unique-filename               	       0      191        1        5      185
49269 node-universalify                  	       0       63        0        0       63
49270 node-unpipe                        	       0       16        1        9        6
49271 node-unset-value                   	       0      153        0        0      153
49272 node-uri-js                        	       0      205        0        0      205
49273 node-url-parse                     	       0        3        0        0        3
49274 node-url-parse-lax                 	       0       12        0        0       12
49275 node-url-to-options                	       0       13        0        0       13
49276 node-use                           	       0        1        0        0        1
49277 node-util                          	       0      181        0        0      181
49278 node-util-deprecate                	       0      217        1       29      187
49279 node-utils-merge                   	       0        4        0        0        4
49280 node-uuid                          	       0      210        1        6      203
49281 node-v8-compile-cache              	       0      170        0        0      170
49282 node-v8flags                       	       0      181        0        0      181
49283 node-validate-npm-package-license  	       0      211        0        0      211
49284 node-validate-npm-package-name     	       0      191        0        0      191
49285 node-vary                          	       0        4        0        0        4
49286 node-verror                        	       0       68        1       30       37
49287 node-vinyl                         	       0        1        0        0        1
49288 node-watchpack                     	       0      169        0        0      169
49289 node-wcwidth.js                    	       0      216        1       28      187
49290 node-webidl-conversions            	       0        8        0        0        8
49291 node-whatwg-fetch                  	       0        1        0        0        1
49292 node-which-module                  	       0       12        1        7        4
49293 node-wide-align                    	       0      214        1        5      208
49294 node-widest-line                   	       0      162        1        5      156
49295 node-winston                       	       0        1        0        1        0
49296 node-winston-compat                	       0        1        0        0        1
49297 node-winston-transport             	       0        1        0        0        1
49298 node-wordwrap                      	       0      180        0        0      180
49299 node-wrap-ansi                     	       0      189        1        5      183
49300 node-wrappy                        	       0      223        1       32      190
49301 node-write                         	       0      170        0        0      170
49302 node-write-file-atomic             	       0      209        0        0      209
49303 node-ws-iconv                      	       0        1        0        1        0
49304 node-xdg-basedir                   	       0       12        1        7        4
49305 node-xmlhttprequest                	       0        1        0        0        1
49306 node-xtend                         	       0      305        1        5      299
49307 node-yallist                       	       0      217        1        5      211
49308 node-yaml                          	       0      155        0        0      155
49309 node-yargs-parser                  	       0      189        1        5      183
49310 node-yarn-tool-resolve-package     	       0        1        0        0        1
49311 node-yauzl                         	       0        2        0        0        2
49312 node-yazl                          	       0        2        0        0        2
49313 node-yn                            	       0       28        0        0       28
49314 node-ytdl-core                     	       0        2        0        0        2
49315 node-zkochan-cmd-shim              	       0        1        0        0        1
49316 nodeenv                            	       0        7        0        7        0
49317 nodejs-doc                         	       0      354        0        0      354
49318 noderig                            	       0        2        0        2        0
49319 nodm                               	       0       13        2       11        0
49320 nody-greeter                       	       0        1        0        0        1
49321 noflushd                           	       0        1        0        1        0
49322 nohang                             	       0        1        0        1        0
49323 noi                                	       0        1        0        1        0
49324 noip2                              	       0        1        0        1        0
49325 noiz2sa                            	       0        2        0        2        0
49326 noiz2sa-data                       	       0        2        0        2        0
49327 nomachine                          	       0       22        0        0       22
49328 nomacs                             	       0       13        0       13        0
49329 nomacs-l10n                        	       0       14        0        0       14
49330 nomad                              	       0        1        0        1        0
49331 nomad-driver-podman                	       0        1        0        1        0
49332 nomnom                             	       0        2        0        2        0
49333 non-mixer                          	       0        2        0        2        0
49334 non-sequencer                      	       0        2        0        2        0
49335 non-session-manager                	       0        2        0        0        2
49336 non-timeline                       	       0        1        0        1        0
49337 nordic                             	       0        1        0        0        1
49338 nordvpn                            	       0       14        3       11        0
49339 nordvpn-release                    	       0       18        0        0       18
49340 normaliz                           	       0       12        0        0       12
49341 normaliz-bin                       	       0       12        1       11        0
49342 normaliz-doc                       	       0        2        0        0        2
49343 norsnet                            	       0        1        0        1        0
49344 nosexcover-build-deps              	       0        1        0        0        1
49345 nosh-service-management            	       0        1        0        1        0
49346 nosh-terminal-extras               	       0        1        0        1        0
49347 nosh-terminal-management           	       0        1        0        1        0
49348 note                               	       0        3        0        3        0
49349 notepadpp-bin32                    	       0        1        0        1        0
49350 notepadpp-common                   	       0        1        0        1        0
49351 notepadqq-common                   	       0        2        0        2        0
49352 notepadqq-gtk                      	       0        1        0        1        0
49353 notes                              	       0        1        0        1        0
49354 notesalexp-keyring                 	       0        2        0        0        2
49355 noteshrink                         	       0        1        0        1        0
49356 notify-osd                         	       0       11        1        2        8
49357 notmuch                            	       0       33        4       29        0
49358 notmuch-doc                        	       0        1        0        0        1
49359 notmuch-emacs                      	       0        1        0        0        1
49360 notmuch-mutt                       	       0       14        0       14        0
49361 notmuch-vim                        	       0        4        0        0        4
49362 notus-scanner                      	       0        1        0        1        0
49363 nova-api                           	       0        1        0        1        0
49364 nova-cert                          	       0        1        0        1        0
49365 nova-common                        	       0        2        0        2        0
49366 nova-compute                       	       0        1        0        1        0
49367 nova-compute-kvm                   	       0        1        0        0        1
49368 nova-conductor                     	       0        1        0        1        0
49369 nova-console                       	       0        1        0        1        0
49370 nova-consoleauth                   	       0        1        0        1        0
49371 nova-consoleproxy                  	       0        2        0        2        0
49372 nova-scheduler                     	       0        1        0        1        0
49373 novena-eeprom                      	       0        1        0        1        0
49374 novnc                              	       0        6        0        5        1
49375 noweb                              	       0       13        2       11        0
49376 nowebm                             	       0        1        0        0        1
49377 nox                                	       0        2        0        2        0
49378 npapi-sdk-dev                      	       0        1        0        1        0
49379 npfctl                             	       0        1        0        1        0
49380 npm2deb                            	       0        2        0        2        0
49381 nps                                	       0        1        0        1        0
49382 nq                                 	       0        2        0        2        0
49383 nqp                                	       0       10        0       10        0
49384 nqp-data                           	       0       10        0        0       10
49385 nrg2iso                            	       0       19        0       19        0
49386 nrss                               	       0       92        1       91        0
49387 ns2                                	       0        1        0        1        0
49388 nsca                               	       0        3        0        3        0
49389 nsca-client                        	       0        5        1        4        0
49390 nscde                              	       0        1        0        1        0
49391 nsd                                	       0        6        1        5        0
49392 nsight-compute                     	       0       17        0       17        0
49393 nsight-compute-2022.2.1            	       0        1        0        0        1
49394 nsight-compute-2022.3.0            	       0        1        0        0        1
49395 nsight-compute-2024.1.0            	       0        1        0        1        0
49396 nsight-compute-2024.1.1            	       0        1        0        1        0
49397 nsight-compute-2024.3.1            	       0        1        0        1        0
49398 nsight-compute-2025.1.0            	       0        1        0        1        0
49399 nsight-compute-target              	       0       17        0        0       17
49400 nsight-graphics-for-l4t            	       0        1        0        1        0
49401 nsight-systems                     	       0       17        0       17        0
49402 nsight-systems-2019.6.2            	       0        1        0        1        0
49403 nsight-systems-2022.1.3            	       0        1        0        1        0
49404 nsight-systems-2023.4.4            	       0        2        0        2        0
49405 nsight-systems-2024.4.1            	       0        1        0        1        0
49406 nsight-systems-2024.4.2            	       0        1        0        1        0
49407 nsight-systems-2024.6.2            	       0        1        0        1        0
49408 nsight-systems-cli-2023.1.1        	       0        1        0        1        0
49409 nsight-systems-target              	       0       17        0        0       17
49410 nsis                               	       0       11        1       10        0
49411 nsis-common                        	       0       11        0        0       11
49412 nsis-doc                           	       0        3        0        2        1
49413 nsis-pluginapi                     	       0        3        0        3        0
49414 nslcd                              	       0       34       13       21        0
49415 nslint                             	       0        6        0        6        0
49416 nsnake                             	       0        9        0        9        0
49417 nsntrace                           	       0        2        0        2        0
49418 nss-passwords                      	       0        5        1        4        0
49419 nss-plugin-pem                     	       0      323        0        0      323
49420 nss-updatedb                       	       0        2        0        2        0
49421 nted-doc                           	       0       15        0        0       15
49422 ntfs-3g-dbg                        	       0        2        0        2        0
49423 ntfs-3g-dev                        	       0       10        0       10        0
49424 ntfs-config                        	       0        6        0        6        0
49425 ntfs2btrfs                         	       0        7        0        7        0
49426 ntfsdoc                            	       0        2        0        0        2
49427 ntfsprogs                          	       0       10        0        0       10
49428 ntldd                              	       0        2        0        2        0
49429 ntop                               	       0        2        0        2        0
49430 ntop-data                          	       0        4        0        0        4
49431 ntopng                             	       0        4        0        4        0
49432 ntopng-data                        	       0        4        0        0        4
49433 ntp                                	       0     1065       63      345      657
49434 ntp-doc                            	       0       70        0        0       70
49435 ntpsec-doc                         	       0       66        0        0       66
49436 ntpsec-ntpviz                      	       0        8        5        3        0
49437 ntrack-module-libnl-0              	       0       62        1       61        0
49438 ntrack-module-rtnetlink-0          	       0        2        0        2        0
49439 nttcp                              	       0        1        0        1        0
49440 nuclear                            	       0        1        0        1        0
49441 nudoku                             	       0        9        0        9        0
49442 nuget                              	       0        6        0        6        0
49443 nuitka                             	       0        6        0        6        0
49444 nullidentd                         	       0        6        2        4        0
49445 nullmailer                         	       0       26        7       19        0
49446 num-utils                          	       0        3        0        3        0
49447 numatop                            	       0        3        1        2        0
49448 numba-doc                          	       0       75        0        0       75
49449 numbers2ods                        	       0        3        0        3        0
49450 numconv                            	       0        3        0        3        0
49451 numdiff                            	       0        2        0        2        0
49452 numix-gtk-theme                    	       0       45        3        4       38
49453 numix-icon-theme                   	       0       49        0        0       49
49454 numix-icon-theme-circle            	       0       29        0        0       29
49455 numptyphysics                      	       0       20        1       19        0
49456 numpy-stl                          	       0        4        0        4        0
49457 nunit                              	       0        1        0        0        1
49458 nunit-console                      	       0        1        0        1        0
49459 nunit-gui                          	       0        1        0        1        0
49460 nuntius                            	       0        2        0        2        0
49461 nupkg-newtonsoft.json.6.0.8        	       0        1        0        0        1
49462 nupkg-nunit.2.6.4                  	       0        1        0        0        1
49463 nupkg-nunit.mocks.2.6.4            	       0        1        0        0        1
49464 nupkg-nunit.runners.2.6.4          	       0        1        0        0        1
49465 nuspell                            	       0        2        0        2        0
49466 nut                                	       0       56        0        0       56
49467 nut-doc                            	       0       14        0        0       14
49468 nut-ipmi                           	       0        5        1        4        0
49469 nut-monitor                        	       0       10        1        9        0
49470 nut-snmp                           	       0        6        0        6        0
49471 nut-xml                            	       0        4        0        4        0
49472 nutsqlite                          	       0        1        0        1        0
49473 nuttcp                             	       0        2        0        2        0
49474 nuvola-icon-theme                  	       0       10        0        0       10
49475 nv-codec-headers                   	       0        4        0        4        0
49476 nvclock                            	       0        5        0        5        0
49477 nvclock-gtk                        	       0        1        0        1        0
49478 nvclock-qt                         	       0        1        0        1        0
49479 nvi-doc                            	       0       47        0        0       47
49480 nvidia-alternative                 	       0      189        0        0      189
49481 nvidia-cg-dev                      	       0        4        0        4        0
49482 nvidia-cg-toolkit                  	       0        3        0        3        0
49483 nvidia-container-toolkit           	       0        4        0        4        0
49484 nvidia-container-toolkit-base      	       0        4        0        4        0
49485 nvidia-cuda-dev                    	       0       18        1       17        0
49486 nvidia-cuda-gdb                    	       0       17        0       17        0
49487 nvidia-cuda-samples                	       0        1        0        1        0
49488 nvidia-cuda-toolkit                	       0       18        1       17        0
49489 nvidia-cuda-toolkit-doc            	       0       17        0       17        0
49490 nvidia-cuda-toolkit-gcc            	       0        1        0        1        0
49491 nvidia-cudnn                       	       0        1        0        1        0
49492 nvidia-driver                      	       0      162        0        6      156
49493 nvidia-driver-cuda                 	       0        4        0        4        0
49494 nvidia-driver-full                 	       0        3        0        0        3
49495 nvidia-driver-libs                 	       0      168        0        0      168
49496 nvidia-driver-libs-i386            	       0        4        0        0        4
49497 nvidia-driver-libs-nonglvnd        	       0        1        0        0        1
49498 nvidia-driver-libs-nonglvnd-i386   	       0        1        0        0        1
49499 nvidia-driver-local-repo-debian12-570.86.15	       0        1        0        0        1
49500 nvidia-egl-common                  	       0      190        0        0      190
49501 nvidia-egl-icd                     	       0      169        0        0      169
49502 nvidia-egl-wayland-common          	       0        1        0        0        1
49503 nvidia-egl-wayland-icd             	       0        1        0        0        1
49504 nvidia-kernel-4.19.0-9-amd64       	       0        1        0        0        1
49505 nvidia-kernel-5.10.0-26-amd64      	       0        1        0        0        1
49506 nvidia-kernel-open                 	       0        4        0        0        4
49507 nvidia-kernel-open-dkms            	       0        7        0        6        1
49508 nvidia-kernel-source               	       0        6        0        0        6
49509 nvidia-kernel-support              	       0      181        0       26      155
49510 nvidia-legacy-304xx-alternative    	       0        1        0        0        1
49511 nvidia-legacy-304xx-kernel-2.6.32-5-amd64	       0        1        0        0        1
49512 nvidia-legacy-340xx-alternative    	       0       10        0        0       10
49513 nvidia-legacy-340xx-driver         	       0        8        0        0        8
49514 nvidia-legacy-340xx-driver-bin     	       0        9        0        9        0
49515 nvidia-legacy-340xx-driver-libs    	       0        8        0        0        8
49516 nvidia-legacy-340xx-driver-libs-i386	       0        2        0        0        2
49517 nvidia-legacy-340xx-kernel-dkms    	       0        8        0        8        0
49518 nvidia-legacy-340xx-kernel-source  	       0        1        0        0        1
49519 nvidia-legacy-340xx-kernel-support 	       0        8        0        4        4
49520 nvidia-legacy-340xx-smi            	       0        5        0        5        0
49521 nvidia-legacy-340xx-vdpau-driver   	       0       10        0        1        9
49522 nvidia-legacy-390xx-alternative    	       0       15        0        0       15
49523 nvidia-legacy-390xx-driver         	       0       13        0        0       13
49524 nvidia-legacy-390xx-driver-bin     	       0       13        0       13        0
49525 nvidia-legacy-390xx-driver-libs    	       0       12        0        0       12
49526 nvidia-legacy-390xx-driver-libs-i386	       0        3        0        0        3
49527 nvidia-legacy-390xx-driver-libs-nonglvnd	       0        2        0        0        2
49528 nvidia-legacy-390xx-driver-libs-nonglvnd-i386	       0        1        0        0        1
49529 nvidia-legacy-390xx-egl-icd        	       0       12        0        0       12
49530 nvidia-legacy-390xx-kernel-dkms    	       0       14        0       14        0
49531 nvidia-legacy-390xx-kernel-source  	       0        2        0        0        2
49532 nvidia-legacy-390xx-kernel-support 	       0       15        0        0       15
49533 nvidia-legacy-390xx-nonglvnd-vulkan-icd	       0        2        0        0        2
49534 nvidia-legacy-390xx-opencl-icd     	       0        1        0        0        1
49535 nvidia-legacy-390xx-smi            	       0       12        1       11        0
49536 nvidia-legacy-390xx-vdpau-driver   	       0       14        0        1       13
49537 nvidia-legacy-390xx-vulkan-icd     	       0       12        0        0       12
49538 nvidia-legacy-check                	       0      185        0        0      185
49539 nvidia-libopencl1                  	       0        7        0        2        5
49540 nvidia-nonglvnd-vulkan-common      	       0        2        0        0        2
49541 nvidia-nonglvnd-vulkan-icd         	       0        1        0        0        1
49542 nvidia-open                        	       0        4        0        0        4
49543 nvidia-open-560                    	       0        2        0        0        2
49544 nvidia-open-570                    	       0        1        0        0        1
49545 nvidia-open-kernel-dkms            	       0        3        0        3        0
49546 nvidia-open-kernel-source          	       0        1        0        0        1
49547 nvidia-open-kernel-support         	       0        3        0        0        3
49548 nvidia-opencl-common               	       0       37        0        0       37
49549 nvidia-opencl-dev                  	       0       17        0        0       17
49550 nvidia-opencl-icd                  	       0       38        0        0       38
49551 nvidia-openjdk-8-jre               	       0       23        0       23        0
49552 nvidia-persistenced                	       0       77       11       65        1
49553 nvidia-powerd                      	       0        3        0        3        0
49554 nvidia-prime                       	       0        2        0        2        0
49555 nvidia-prime-applet                	       0        2        0        2        0
49556 nvidia-primus-vk-common            	       0        6        0        0        6
49557 nvidia-primus-vk-wrapper           	       0        6        0        0        6
49558 nvidia-profiler                    	       0       20        0       20        0
49559 nvidia-settings-legacy-304xx       	       0        1        1        0        0
49560 nvidia-settings-legacy-340xx       	       0        5        1        4        0
49561 nvidia-settings-legacy-390xx       	       0       14        1       13        0
49562 nvidia-settings-tesla-450          	       0        2        0        2        0
49563 nvidia-settings-tesla-470          	       0       14        1       13        0
49564 nvidia-tesla-450-alternative       	       0        2        0        0        2
49565 nvidia-tesla-450-driver            	       0        2        0        0        2
49566 nvidia-tesla-450-driver-bin        	       0        2        0        2        0
49567 nvidia-tesla-450-driver-libs       	       0        2        0        0        2
49568 nvidia-tesla-450-egl-icd           	       0        2        0        0        2
49569 nvidia-tesla-450-kernel-dkms       	       0        2        0        2        0
49570 nvidia-tesla-450-kernel-source     	       0        1        0        0        1
49571 nvidia-tesla-450-kernel-support    	       0        2        0        0        2
49572 nvidia-tesla-450-opencl-icd        	       0        1        0        0        1
49573 nvidia-tesla-450-smi               	       0        2        0        2        0
49574 nvidia-tesla-450-vdpau-driver      	       0        2        0        0        2
49575 nvidia-tesla-450-vulkan-icd        	       0        2        0        0        2
49576 nvidia-tesla-470-alternative       	       0       18        0        0       18
49577 nvidia-tesla-470-driver            	       0       14        0        0       14
49578 nvidia-tesla-470-driver-bin        	       0       14        0       14        0
49579 nvidia-tesla-470-driver-libs       	       0       14        0        0       14
49580 nvidia-tesla-470-egl-icd           	       0       14        0        0       14
49581 nvidia-tesla-470-kernel-dkms       	       0       15        0       15        0
49582 nvidia-tesla-470-kernel-source     	       0        1        0        0        1
49583 nvidia-tesla-470-kernel-support    	       0       15        0       15        0
49584 nvidia-tesla-470-smi               	       0       14        1       13        0
49585 nvidia-tesla-470-vdpau-driver      	       0       15        1        0       14
49586 nvidia-tesla-470-vulkan-icd        	       0       14        0        0       14
49587 nvidia-tesla-535-alternative       	       0        1        0        0        1
49588 nvidia-tesla-alternative           	       0        2        0        0        2
49589 nvidia-tesla-driver                	       0        1        0        0        1
49590 nvidia-tesla-kernel-dkms           	       0        1        1        0        0
49591 nvidia-tesla-kernel-support        	       0        1        0        0        1
49592 nvidia-tesla-legacy-check          	       0        2        0        0        2
49593 nvidia-tesla-vdpau-driver          	       0        1        0        0        1
49594 nvidia-vaapi-driver                	       0        8        1        0        7
49595 nvidia-vdpau-driver                	       0      177        1        4      172
49596 nvidia-visual-profiler             	       0       19        0       19        0
49597 nvidia-vulkan-common               	       0      185        0        0      185
49598 nvidia-vulkan-icd                  	       0      167        0        0      167
49599 nvidia-xconfig                     	       0       31        0       31        0
49600 nvitop                             	       0        1        1        0        0
49601 nvptx-tools                        	       0        5        1        4        0
49602 nvram-wakeup                       	       0        3        0        3        0
49603 nvramtool                          	       0        7        0        7        0
49604 nvtop                              	       0       39        5       34        0
49605 nvtv                               	       0        2        0        2        0
49606 nvu                                	       0        1        0        1        0
49607 nwatch                             	       0        1        0        1        0
49608 nwjs-downloader                    	       0        1        0        0        1
49609 nwqt4                              	       0        1        0        1        0
49610 nx-x11-common                      	       0       71        0        0       71
49611 nx-x11proto-composite-dev          	       0        1        0        1        0
49612 nx-x11proto-core-dev               	       0        1        0        1        0
49613 nx-x11proto-damage-dev             	       0        1        0        1        0
49614 nx-x11proto-randr-dev              	       0        1        0        1        0
49615 nx-x11proto-render-dev             	       0        1        0        1        0
49616 nx-x11proto-scrnsaver-dev          	       0        1        0        1        0
49617 nx-x11proto-xext-dev               	       0        1        0        1        0
49618 nx-x11proto-xfixes-dev             	       0        1        0        1        0
49619 nx-x11proto-xinerama-dev           	       0        1        0        1        0
49620 nxclient                           	       0        2        0        2        0
49621 nxdialog                           	       0        1        0        1        0
49622 nxlibs                             	       0        1        0        0        1
49623 nxnode                             	       0        1        0        1        0
49624 nxserver                           	       0        1        0        1        0
49625 nyacc                              	       0        1        0        0        1
49626 nyancat                            	       0        4        1        3        0
49627 nyxt                               	       0        1        0        1        0
49628 nzbget                             	       0        4        0        4        0
49629 o-saft                             	       0       23        0       23        0
49630 o3read                             	       0        1        0        1        0
49631 oaklisp                            	       0        1        0        1        0
49632 oaklisp-doc                        	       0        1        0        0        1
49633 oar-common                         	       0        1        1        0        0
49634 oar-node                           	       0        1        1        0        0
49635 oar-restful-api                    	       0        1        1        0        0
49636 oar-server                         	       0        1        1        0        0
49637 oar-server-pgsql                   	       0        1        0        0        1
49638 oar-user                           	       0        1        1        0        0
49639 oar-user-pgsql                     	       0        1        0        0        1
49640 oar-web-status                     	       0        1        1        0        0
49641 oasis                              	       0        1        0        1        0
49642 oathtool                           	       0       72        1       71        0
49643 obconf-qt                          	       0        6        0        6        0
49644 obconf-qt-l10n                     	       0        4        0        0        4
49645 obdautodoctor                      	       0        1        0        1        0
49646 obdgpslogger                       	       0        1        0        1        0
49647 obex-data-server                   	       0       18        0       18        0
49648 obexd-client                       	       0        3        0        3        0
49649 obexfs                             	       0        8        0        8        0
49650 obexftp                            	       0       17        0       17        0
49651 obexpushd                          	       0        7        0        7        0
49652 obfsproxy                          	       0        2        0        2        0
49653 obfuscate                          	       0        2        0        2        0
49654 obmenu                             	       0        5        0        5        0
49655 obs-3d-effect                      	       0        1        0        0        1
49656 obs-advanced-scene-switcher        	       0        9        1        0        8
49657 obs-advanced-scene-switcher-data   	       0        9        0        0        9
49658 obs-ashmanix-blur-filter           	       0        1        0        0        1
49659 obs-ashmanix-countdown             	       0        4        0        0        4
49660 obs-backgroundremoval              	       0        1        0        0        1
49661 obs-cli                            	       0        4        0        4        0
49662 obs-color-monitor                  	       0        1        0        0        1
49663 obs-command-source                 	       0        1        0        0        1
49664 obs-detect                         	       0        1        0        0        1
49665 obs-downstream-keyer               	       0        3        0        0        3
49666 obs-gradient-source                	       0        6        0        0        6
49667 obs-localvocal                     	       0        1        0        0        1
49668 obs-midi-mg                        	       0        1        0        1        0
49669 obs-move-transition                	       0        6        0        0        6
49670 obs-ndi                            	       0        2        0        1        1
49671 obs-pipewire-audio-capture         	       0        1        1        0        0
49672 obs-plugin-looking-glass           	       0        3        0        0        3
49673 obs-productconverter               	       0        2        1        1        0
49674 obs-scene-as-transition            	       0        2        0        0        2
49675 obs-scene-collection-manager       	       0        7        0        0        7
49676 obs-scene-notes-dock               	       0        5        0        0        5
49677 obs-scene-tree-view                	       0        1        0        0        1
49678 obs-source-clone                   	       0        6        0        0        6
49679 obs-source-copy                    	       0        5        0        0        5
49680 obs-time-source                    	       0        1        0        0        1
49681 obs-transition-table               	       0        7        0        0        7
49682 obs-utils                          	       0        5        1        4        0
49683 obs-vintage-filter                 	       0        2        0        0        2
49684 obs-websocket                      	       0        1        0        1        0
49685 obsession                          	       0       61        2       59        0
49686 obsidian                           	       0       19        1        3       15
49687 obsidian-icon-theme                	       0       25        0        0       25
49688 obxd-lv2                           	       0        1        0        1        0
49689 ocaml-book-en                      	       0        2        0        0        2
49690 ocaml-doc                          	       0        5        0        0        5
49691 ocaml-dune                         	       0        3        0        3        0
49692 ocaml-man                          	       0       63        0        0       63
49693 ocaml-mode                         	       0        2        0        0        2
49694 ocaml-tools                        	       0        1        0        1        0
49695 ocamlbuild                         	       0        1        0        1        0
49696 ocamlviz                           	       0        1        0        1        0
49697 occt-draw                          	       0        4        0        4        0
49698 occt-misc                          	       0      161        0        0      161
49699 oce-draw                           	       0        3        0        3        0
49700 ocean-sound-theme                  	       0       27        0        0       27
49701 ocenaudio                          	       0        2        0        2        0
49702 ocfs2-tools                        	       0        3        2        1        0
49703 ocl-icd-dev                        	       0        4        0        4        0
49704 ocl-icd-libopencl1-amdgpu-pro      	       0        2        0        0        2
49705 ocl-icd-opencl-dev                 	       0       57        0        0       57
49706 oclgrind                           	       0        1        0        1        0
49707 ocproxy                            	       0        3        0        3        0
49708 ocqt5152-libqt5core5a              	       0        2        0        2        0
49709 ocqt5152-libqt5dbus5               	       0        2        0        2        0
49710 ocqt5152-libqt5gui5                	       0        2        0        2        0
49711 ocqt5152-libqt5keychain1           	       0        2        0        2        0
49712 ocqt5152-libqt5network5            	       0        2        0        2        0
49713 ocqt5152-libqt5sql5                	       0        2        0        0        2
49714 ocqt5152-libqt5sql5-sqlite         	       0        2        0        0        2
49715 ocqt5152-libqt5widgets5            	       0        2        0        2        0
49716 ocqt5152-libqt5xml5                	       0        1        0        0        1
49717 ocqt5152-qtsvg5                    	       0        2        0        2        0
49718 ocqt5152-qttranslations5           	       0        2        0        2        0
49719 ocqt5152-qtwayland5                	       0        2        0        0        2
49720 ocrfeeder                          	       0       26        0       26        0
49721 ocrmypdf-doc                       	       0       20        0        0       20
49722 ocs-url                            	       0        6        0        6        0
49723 ocserv                             	       0        1        0        1        0
49724 ocsinventory-agent                 	       0        1        0        1        0
49725 ocsinventory-server                	       0        1        0        1        0
49726 octave-astra-toolbox               	       0        1        0        1        0
49727 octave-audio                       	       0        2        0        0        2
49728 octave-bim                         	       0        1        0        0        1
49729 octave-bsltl                       	       0        1        0        0        1
49730 octave-cgi                         	       0        1        0        0        1
49731 octave-common                      	       0       88        0        0       88
49732 octave-control                     	       0        7        0        0        7
49733 octave-data-smoothing              	       0        1        0        0        1
49734 octave-dataframe                   	       0        1        0        0        1
49735 octave-dicom                       	       0        1        0        0        1
49736 octave-divand                      	       0        1        0        0        1
49737 octave-doc                         	       0       79        0        0       79
49738 octave-doctest                     	       0        1        0        0        1
49739 octave-econometrics                	       0        1        0        0        1
49740 octave-fpl                         	       0        1        0        0        1
49741 octave-ga                          	       0        1        0        0        1
49742 octave-general                     	       0        3        0        0        3
49743 octave-geometry                    	       0        2        0        0        2
49744 octave-gsl                         	       0        2        0        0        2
49745 octave-image                       	       0        4        0        0        4
49746 octave-info                        	       0        3        0        0        3
49747 octave-instrument-control          	       0        1        0        0        1
49748 octave-io                          	       0        6        0        0        6
49749 octave-jsonlab                     	       0        1        0        0        1
49750 octave-linear-algebra              	       0        3        0        0        3
49751 octave-lssa                        	       0        1        0        0        1
49752 octave-mapping                     	       0        1        0        0        1
49753 octave-matgeom                     	       0        3        0        0        3
49754 octave-miscellaneous               	       0        4        0        0        4
49755 octave-missing-functions           	       0        1        0        0        1
49756 octave-msh                         	       0        1        0        0        1
49757 octave-openems                     	       0        4        0        0        4
49758 octave-optics                      	       0        1        0        0        1
49759 octave-optim                       	       0        3        0        0        3
49760 octave-pfstools                    	       0        1        0        0        1
49761 octave-psychtoolbox-3              	       0        1        0        1        0
49762 octave-quaternion                  	       0        1        0        0        1
49763 octave-signal                      	       0        6        0        0        6
49764 octave-sockets                     	       0        1        0        0        1
49765 octave-sparsersb                   	       0        1        0        0        1
49766 octave-splines                     	       0        2        0        0        2
49767 octave-statistics                  	       0        9        0        0        9
49768 octave-strings                     	       0        1        0        0        1
49769 octave-struct                      	       0        5        0        0        5
49770 octave-symbolic                    	       0        1        0        0        1
49771 octave-vlfeat                      	       0        1        0        0        1
49772 octave-zmat                        	       0        2        0        0        2
49773 octave3.0-doc                      	       0        1        0        0        1
49774 octave3.0-htmldoc                  	       0        1        0        0        1
49775 octave3.2-common                   	       0        2        0        0        2
49776 octicons                           	       0        2        0        0        2
49777 octomap-tools                      	       0        2        0        2        0
49778 octovis                            	       0        2        0        2        0
49779 odadrawingsexplorer                	       0        2        0        2        0
49780 odafileconverter                   	       0        4        0        4        0
49781 odamex                             	       0        1        0        1        0
49782 odaviewer                          	       0        1        0        1        0
49783 odb                                	       0        1        0        1        0
49784 odbc-mariadb                       	       0        9        0        2        7
49785 odbc-mdbtools                      	       0        2        0        0        2
49786 odbc-postgresql                    	       0       16        0        0       16
49787 odbcinst1debian2                   	       0      229        0        2      227
49788 odc                                	       0        1        0        1        0
49789 oddjob-mkhomedir                   	       0       14        0        0       14
49790 odil                               	       0        2        0        2        0
49791 odin                               	       0        2        0        2        0
49792 odin2                              	       0        1        0        1        0
49793 odin2-synth                        	       0        1        0        1        0
49794 odoo                               	       0        4        0        4        0
49795 odr-dabmod                         	       0        2        0        2        0
49796 odr-dabmux                         	       0        2        0        2        0
49797 odr-padenc                         	       0        2        0        2        0
49798 odroid-base                        	       0        2        0        2        0
49799 oem-pulseaudio-alsamixer-control-files	       0        1        0        1        0
49800 office2003-schemas                 	       0        3        0        0        3
49801 officebib                          	       0        1        0        1        0
49802 offlineimap                        	       0       15        0        1       14
49803 offlineimap3                       	       0       26        1       25        0
49804 offpunk                            	       0        1        0        1        0
49805 ofono-dev                          	       0        1        0        1        0
49806 ofono-scripts                      	       0        2        0        0        2
49807 ofr                                	       0        1        0        1        0
49808 ofx                                	       0        3        0        3        0
49809 ofxstatement                       	       0        3        0        3        0
49810 ofxstatement-plugins               	       0        3        0        3        0
49811 ogamesim                           	       0        7        0        7        0
49812 oggz-tools                         	       0       10        0       10        0
49813 ogmrip                             	       0       11        0       11        0
49814 ogmrip-dirac                       	       0        1        0        0        1
49815 ogmrip-doc                         	       0       13        0        0       13
49816 ogmrip-flac                        	       0        1        0        0        1
49817 ogmrip-mpeg                        	       0        1        0        1        0
49818 ogmrip-oggz                        	       0        1        0        0        1
49819 ogmrip-plugins                     	       0       10        0        0       10
49820 ogmrip-webm                        	       0        1        0        0        1
49821 ogmtools                           	       0       27        0       27        0
49822 ognibuild                          	       0        1        0        1        0
49823 ogre-1.9-doc                       	       0        1        0        0        1
49824 ogre-1.9-tools                     	       0        1        0        1        0
49825 ohcount                            	       0        2        0        2        0
49826 oidc-agent-cli                     	       0        1        0        1        0
49827 oidentd                            	       0       15        5       10        0
49828 oidua                              	       0        1        0        1        0
49829 oinkmaster                         	       0       12        1       11        0
49830 okteta-dev                         	       0        1        0        0        1
49831 okular-backend-odp                 	       0       16        0        0       16
49832 okular-backend-odt                 	       0       17        0        1       16
49833 okular-csp                         	       0        1        0        1        0
49834 okular-csp-cryptopro               	       0        1        0        0        1
49835 okular-csp-extra-backends          	       0        1        0        0        1
49836 okular-data                        	       0       23        1        1       21
49837 okular-doc                         	       0       22        0        0       22
49838 okular-extra-backends              	       0       83        0        4       79
49839 ola                                	       0        4        0        4        0
49840 ola-python                         	       0        1        0        1        0
49841 olinuxino-overlays                 	       0        1        0        1        0
49842 olinuxino-rtk-hciattach            	       0        1        0        1        0
49843 olinuxino-tools                    	       0        1        0        1        0
49844 olive                              	       0        1        0        1        0
49845 olive-editor                       	       0       13        0       13        0
49846 ollama                             	       0        1        0        1        0
49847 olsrd                              	       0        1        0        1        0
49848 olsrd-plugins                      	       0        1        0        1        0
49849 olvwm                              	       0        2        0        2        0
49850 olwm                               	       0        1        0        1        0
49851 omadac                             	       0        1        0        1        0
49852 omake                              	       0        2        0        2        0
49853 omake-doc                          	       0        2        0        0        2
49854 omaque                             	       0        1        0        1        0
49855 omc                                	       0        1        0        1        0
49856 omc-common                         	       0        1        0        1        0
49857 omc-doc                            	       0        1        0        0        1
49858 omedit                             	       0        1        0        1        0
49859 omegat                             	       0        4        0        4        0
49860 omins                              	       0       15        0        0       15
49861 omlibrary                          	       0        1        0        0        1
49862 omniidl                            	       0        3        1        2        0
49863 omniorb                            	       0        1        0        1        0
49864 omniorb-idl                        	       0        1        0        0        1
49865 omnotebook                         	       0        1        0        1        0
49866 omodscan                           	       0        1        0        1        0
49867 omplapp-dev                        	       0        1        0        0        1
49868 omplot                             	       0        1        0        1        0
49869 omshell                            	       0        1        0        1        0
49870 omshell-terminal                   	       0        1        0        1        0
49871 omsimulator                        	       0        1        0        1        0
49872 onboard-common                     	       0       49        3        9       37
49873 onboard-data                       	       0       46        0        0       46
49874 ondir                              	       0        1        0        1        0
49875 onedrive                           	       0        7        0        7        0
49876 oneisenough                        	       0        1        0        1        0
49877 oneko                              	       0       25        0       25        0
49878 onesixtyone                        	       0        3        0        3        0
49879 onetime                            	       0        1        0        1        0
49880 onevpl-tools                       	       0        1        0        1        0
49881 onionbalance                       	       0        2        0        2        0
49882 onioncircuits                      	       0        4        0        4        0
49883 onionprobe                         	       0        2        0        2        0
49884 onionshare                         	       0        9        0        9        0
49885 onionshare-cli                     	       0        7        0        7        0
49886 onlykey                            	       0        1        0        1        0
49887 onnxruntime-tools                  	       0        1        0        1        0
49888 onscripter                         	       0        4        0        4        0
49889 ontospy                            	       0        1        0        1        0
49890 onvif-device-tool                  	       0        1        0        1        0
49891 onvif-tools                        	       0        6        0        6        0
49892 oofem                              	       0        1        0        1        0
49893 oofem-tests                        	       0        1        0        0        1
49894 oolite                             	       0        2        0        2        0
49895 oolite-build-deps                  	       0        1        0        0        1
49896 oolite-data                        	       0        3        0        0        3
49897 oolite-data-sounds                 	       0        3        0        0        3
49898 oolite-dbgsym                      	       0        1        0        1        0
49899 oolite-doc                         	       0        3        0        0        3
49900 oolitestarter                      	       0        2        0        2        0
49901 oomd                               	       0        1        0        1        0
49902 ooniprobe-cli                      	       0        1        0        1        0
49903 oonsoo                             	       0        1        0        1        0
49904 ooo-thumbnailer                    	       0       13        2       11        0
49905 ooo2dbk                            	       0        3        0        3        0
49906 ooobasis3.0-base                   	       0        1        0        0        1
49907 ooobasis3.0-binfilter              	       0        1        0        0        1
49908 ooobasis3.0-calc                   	       0        1        0        0        1
49909 ooobasis3.0-core01                 	       0        1        0        0        1
49910 ooobasis3.0-core02                 	       0        1        0        0        1
49911 ooobasis3.0-core03                 	       0        1        0        0        1
49912 ooobasis3.0-core04                 	       0        1        0        0        1
49913 ooobasis3.0-core05                 	       0        1        0        0        1
49914 ooobasis3.0-core06                 	       0        1        0        0        1
49915 ooobasis3.0-core07                 	       0        1        0        0        1
49916 ooobasis3.0-draw                   	       0        1        0        0        1
49917 ooobasis3.0-extension-pdf-import   	       0        1        0        0        1
49918 ooobasis3.0-extension-presentation-minimizer	       0        1        0        0        1
49919 ooobasis3.0-gnome-integration      	       0        1        0        0        1
49920 ooobasis3.0-graphicfilter          	       0        1        0        0        1
49921 ooobasis3.0-images                 	       0        1        0        0        1
49922 ooobasis3.0-impress                	       0        1        0        0        1
49923 ooobasis3.0-javafilter             	       0        1        0        0        1
49924 ooobasis3.0-kde-integration        	       0        1        0        0        1
49925 ooobasis3.0-math                   	       0        1        0        0        1
49926 ooobasis3.0-onlineupdate           	       0        1        0        0        1
49927 ooobasis3.0-ooofonts               	       0        1        0        0        1
49928 ooobasis3.0-ooolinguistic          	       0        1        0        0        1
49929 ooobasis3.0-pyuno                  	       0        1        0        0        1
49930 ooobasis3.0-ru                     	       0        1        0        0        1
49931 ooobasis3.0-ru-base                	       0        1        0        0        1
49932 ooobasis3.0-ru-binfilter           	       0        1        0        0        1
49933 ooobasis3.0-ru-calc                	       0        1        0        0        1
49934 ooobasis3.0-ru-draw                	       0        1        0        0        1
49935 ooobasis3.0-ru-help                	       0        1        0        0        1
49936 ooobasis3.0-ru-impress             	       0        1        0        0        1
49937 ooobasis3.0-ru-math                	       0        1        0        0        1
49938 ooobasis3.0-ru-res                 	       0        1        0        0        1
49939 ooobasis3.0-ru-writer              	       0        1        0        0        1
49940 ooobasis3.0-testtool               	       0        1        0        0        1
49941 ooobasis3.0-writer                 	       0        1        0        0        1
49942 ooobasis3.0-xsltfilter             	       0        1        0        0        1
49943 ooohg                              	       0        8        0        0        8
49944 oops                               	       0        1        1        0        0
49945 opal-prd                           	       0        1        1        0        0
49946 opal-utils                         	       0        1        0        1        0
49947 opalmod                            	       0        6        0        6        0
49948 opam                               	       0       16        0       16        0
49949 opam-doc                           	       0        8        0        0        8
49950 opam-installer                     	       0       16        0       16        0
49951 opari2                             	       0        1        0        1        0
49952 open-adventure                     	       0        7        0        7        0
49953 open-ecard-app                     	       0        1        0        1        0
49954 open-eid                           	       0        1        0        0        1
49955 open-font-design-toolkit           	       0        2        0        0        2
49956 open-invaders                      	       0       16        0       16        0
49957 open-invaders-data                 	       0       16        0        0       16
49958 open-jtalk                         	       0        3        0        3        0
49959 open-jtalk-mecab-naist-jdic        	       0        3        0        0        3
49960 open-roms                          	       0        2        0        0        2
49961 open-vm-dkms                       	       0        1        0        1        0
49962 open-vm-tools-containerinfo        	       0        1        0        0        1
49963 open-vm-tools-dev                  	       0        1        0        1        0
49964 open-vm-tools-dkms                 	       0        2        0        2        0
49965 openafs-client                     	       0        6        2        4        0
49966 openafs-dbserver                   	       0        1        0        1        0
49967 openafs-doc                        	       0        1        0        0        1
49968 openafs-fileserver                 	       0        1        0        1        0
49969 openafs-fuse                       	       0        1        0        1        0
49970 openafs-krb5                       	       0        5        1        4        0
49971 openafs-modules-dkms               	       0        6        0        6        0
49972 openais                            	       0        2        0        2        0
49973 openal-info                        	       0        7        0        7        0
49974 openal-tools                       	       0        1        0        1        0
49975 openapi-specification              	       0        1        0        0        1
49976 openaptx                           	       0        1        0        1        0
49977 openaptx-utils                     	       0        1        0        1        0
49978 openarena-081-maps                 	       0       33        0        0       33
49979 openarena-081-misc                 	       0       33        0        0       33
49980 openarena-081-players              	       0       33        0        0       33
49981 openarena-081-players-mature       	       0       33        0        0       33
49982 openarena-081-textures             	       0       33        0        0       33
49983 openarena-085-data                 	       0       33        0        0       33
49984 openarena-088-data                 	       0       33        0        0       33
49985 openarena-data                     	       0       33        0        0       33
49986 openarena-server                   	       0        1        0        1        0
49987 openaudible                        	       0        1        0        1        0
49988 openbabel                          	       0       16        2       14        0
49989 openbabel-gui                      	       0        2        0        2        0
49990 openbazaar2                        	       0        1        0        1        0
49991 openbazaarclient                   	       0        1        0        1        0
49992 openbios-ppc                       	       0       25        0        0       25
49993 openbios-sparc                     	       0       24        0        0       24
49994 openbmap-logger                    	       0        1        0        1        0
49995 openboard                          	       0        5        0        5        0
49996 openboard-common                   	       0        5        0        0        5
49997 openboard-fonts-nonfree            	       0        2        0        0        2
49998 openboardview                      	       0        2        0        2        0
49999 openbox-dev                        	       0        1        0        1        0
50000 openbox-gnome-session              	       0        3        0        3        0
50001 openbox-menu                       	       0       20        1       19        0
50002 openbox-themes                     	       0        2        0        0        2
50003 openbve                            	       0        3        0        3        0
50004 openbve-data                       	       0        1        0        1        0
50005 opencachemanager                   	       0        1        1        0        0
50006 opencfu                            	       0        1        0        1        0
50007 openchrome-tool                    	       0        2        0        2        0
50008 opencity-data                      	       0        9        0        0        9
50009 opencl-1.2-html-doc                	       0        1        0        0        1
50010 opencl-1.2-man-doc                 	       0        1        0        0        1
50011 opencl-c-headers                   	       0       71        1       70        0
50012 opencl-clhpp-headers               	       0       69        0        0       69
50013 opencl-clhpp-headers-doc           	       0        2        0        0        2
50014 opencl-headers                     	       0       29        0        0       29
50015 opencl-orca-amdgpu-pro-icd         	       0        1        0        0        1
50016 openclipart                        	       0       22        0        0       22
50017 openclipart-png                    	       0       54        0        0       54
50018 openclipart-svg                    	       0       30        0        0       30
50019 openclipart2                       	       0        2        0        0        2
50020 openclipart2-libreoffice           	       0        5        0        5        0
50021 openclipart2-png                   	       0        5        0        0        5
50022 openclipart2-svg                   	       0        2        0        0        2
50023 openclonk                          	       0       10        0       10        0
50024 openclonk-data                     	       0       10        0        0       10
50025 opencollada-dev                    	       0        5        0        5        0
50026 opencollada-tools                  	       0        4        0        4        0
50027 opencolorio-doc                    	       0        4        0        0        4
50028 opencolorio-tools                  	       0        3        0        3        0
50029 openconcerto                       	       0        1        0        0        1
50030 opencpn                            	       0        6        0        6        0
50031 opencpn-data                       	       0        6        0        0        6
50032 opencryptoki                       	       0        5        1        4        0
50033 openctm-tools                      	       0        2        0        2        0
50034 opencu                             	       0        3        0        3        0
50035 opencubicplayer-doc                	       0       27        0        0       27
50036 opencv-data                        	       0      130        0        0      130
50037 opencv-dev-2.4.6.1                 	       0        1        0        1        0
50038 opencv-doc                         	       0        5        0        0        5
50039 opendbx-utils                      	       0        2        0        2        0
50040 opendkim                           	       0       36       11       25        0
50041 opendkim-tools                     	       0       39        3       36        0
50042 opendmarc                          	       0        3        0        3        0
50043 opendnssec                         	       0        4        0        0        4
50044 opendnssec-common                  	       0        4        0        4        0
50045 opendnssec-doc                     	       0        1        0        0        1
50046 opendnssec-enforcer                	       0        4        0        4        0
50047 opendnssec-enforcer-sqlite3        	       0        4        0        4        0
50048 opendnssec-signer                  	       0        4        0        4        0
50049 openems                            	       0        6        0        6        0
50050 openexr                            	       0       11        0       11        0
50051 openexr-viewers                    	       0        4        0        4        0
50052 openfire                           	       0        6        0        0        6
50053 openfoam                           	       0        2        0        2        0
50054 openfoam-examples                  	       0        2        0        0        2
50055 openfortigui                       	       0        4        1        3        0
50056 openfpgaloader                     	       0        1        0        1        0
50057 opengl-4-man-doc                   	       0        1        0        0        1
50058 openglad                           	       0        1        0        1        0
50059 openhab                            	       0        3        0        3        0
50060 openhab-addons                     	       0        2        0        0        2
50061 openhackware                       	       0       31        0        0       31
50062 openhantek                         	       0        7        0        7        0
50063 openhpid                           	       0        8        0        8        0
50064 openimageio-tools                  	       0        5        0        5        0
50065 openipmi                           	       0       93        3       90        0
50066 openjazz                           	       0        1        0        1        0
50067 openjdk-10-jdk-headless            	       0        1        0        0        1
50068 openjdk-10-jre                     	       0        3        0        0        3
50069 openjdk-10-jre-headless            	       0        6        0        6        0
50070 openjdk-11-demo                    	       0        9        0        0        9
50071 openjdk-11-doc                     	       0       17        0        0       17
50072 openjdk-11-jdk-headless            	       0      158        0        0      158
50073 openjdk-11-jre                     	       0      673        1        4      668
50074 openjdk-11-jre-dcevm               	       0        2        0        0        2
50075 openjdk-11-jre-zero                	       0        2        0        0        2
50076 openjdk-14-jre                     	       0        1        0        0        1
50077 openjdk-14-jre-headless            	       0        1        0        1        0
50078 openjdk-15-jdk                     	       0        2        0        0        2
50079 openjdk-15-jdk-headless            	       0        3        0        0        3
50080 openjdk-15-jre                     	       0        2        0        0        2
50081 openjdk-15-jre-headless            	       0        4        0        4        0
50082 openjdk-16-jre                     	       0        2        0        0        2
50083 openjdk-16-jre-headless            	       0        2        0        2        0
50084 openjdk-17-demo                    	       0        7        0        0        7
50085 openjdk-17-doc                     	       0       35        0        0       35
50086 openjdk-17-jdk-headless            	       0      268        0        0      268
50087 openjdk-17-jre-zero                	       0        4        0        0        4
50088 openjdk-18-jdk                     	       0        1        0        0        1
50089 openjdk-18-jdk-headless            	       0        1        0        0        1
50090 openjdk-18-jre                     	       0        2        0        0        2
50091 openjdk-18-jre-headless            	       0        2        0        2        0
50092 openjdk-19-jre                     	       0        1        0        0        1
50093 openjdk-19-jre-headless            	       0        1        0        1        0
50094 openjdk-21-doc                     	       0        7        0        0        7
50095 openjdk-21-jdk                     	       0       17        0        2       15
50096 openjdk-21-jdk-headless            	       0       19        0        0       19
50097 openjdk-21-jre                     	       0       87        1        1       85
50098 openjdk-21-source                  	       0        2        0        2        0
50099 openjdk-22-jdk                     	       0        2        0        0        2
50100 openjdk-22-jdk-headless            	       0        3        0        0        3
50101 openjdk-22-jre                     	       0        3        0        0        3
50102 openjdk-22-jre-headless            	       0        3        0        3        0
50103 openjdk-23-demo                    	       0        1        0        0        1
50104 openjdk-23-jdk                     	       0        3        0        1        2
50105 openjdk-23-jdk-headless            	       0        3        0        0        3
50106 openjdk-23-jre                     	       0        3        0        0        3
50107 openjdk-23-jre-headless            	       0        3        0        3        0
50108 openjdk-23-source                  	       0        1        0        1        0
50109 openjdk-24-demo                    	       0        1        0        0        1
50110 openjdk-24-doc                     	       0        2        0        0        2
50111 openjdk-24-jdk-headless            	       0        3        0        0        3
50112 openjdk-24-jre                     	       0        4        0        0        4
50113 openjdk-24-jre-zero                	       0        1        0        0        1
50114 openjdk-25-jdk                     	       0        1        0        0        1
50115 openjdk-25-jdk-headless            	       0        1        0        0        1
50116 openjdk-25-jre                     	       0        2        0        0        2
50117 openjdk-7-doc                      	       0        3        0        0        3
50118 openjdk-7-jdk                      	       0        1        0        0        1
50119 openjdk-7-jre                      	       0       16        0        0       16
50120 openjdk-7-jre-lib                  	       0        5        0        0        5
50121 openjdk-8-demo                     	       0        2        0        0        2
50122 openjdk-8-doc                      	       0        5        0        0        5
50123 openjdk-8-jdk                      	       0       42        0        0       42
50124 openjdk-8-jdk-headless             	       0       45        1        0       44
50125 openjdk-8-jre                      	       0      171        0        0      171
50126 openjdk-8-jre-zero                 	       0        1        0        0        1
50127 openjdk-8-source                   	       0        2        0        2        0
50128 openjdk-9-jdk                      	       0        1        0        0        1
50129 openjdk-9-jdk-headless             	       0        1        0        0        1
50130 openjdk-9-jre                      	       0        2        0        0        2
50131 openjdk-9-jre-headless             	       0        5        0        5        0
50132 openjfx                            	       0      105        0        0      105
50133 openjfx-source                     	       0       88        0        0       88
50134 openjpeg-doc                       	       0        1        0        0        1
50135 openlogic-openjdk-8-hotspot        	       0        1        0        1        0
50136 openlogic-openjdk-8-hotspot-jre    	       0        1        0        1        0
50137 openlugaru                         	       0        1        0        1        0
50138 openlugaru-data                    	       0        1        0        0        1
50139 openmodelica                       	       0        1        0        0        1
50140 openmotif                          	       0        1        1        0        0
50141 openmotor                          	       0        1        0        1        0
50142 openmp-extras-dev                  	       0        3        0        3        0
50143 openmpi-common                     	       0      197        0        0      197
50144 openmpi-doc                        	       0        7        0        0        7
50145 openmpt123                         	       0        3        0        3        0
50146 openmsx                            	       0       13        0       13        0
50147 openmsx-catapult                   	       0        7        0        7        0
50148 openmsx-data                       	       0       13        0        0       13
50149 openmsx-debugger                   	       0        4        0        4        0
50150 openmw                             	       0       13        0       13        0
50151 openmw-cs                          	       0        7        0        7        0
50152 openmw-cs-dbgsym                   	       0        1        0        1        0
50153 openmw-data                        	       0       14        0        0       14
50154 openmw-dbgsym                      	       0        1        0        1        0
50155 openmw-launcher                    	       0       13        0       13        0
50156 openmw-launcher-dbgsym             	       0        1        0        1        0
50157 openmx                             	       0        1        0        1        0
50158 openmx-data                        	       0        1        0        0        1
50159 opennebula                         	       0        1        0        1        0
50160 opennebula-common                  	       0        1        0        1        0
50161 opennebula-common-onescape         	       0        1        0        0        1
50162 opennebula-flow                    	       0        1        0        1        0
50163 opennebula-gate                    	       0        1        0        1        0
50164 opennebula-migration               	       0        1        0        1        0
50165 opennebula-rubygems                	       0        1        0        1        0
50166 opennebula-sunstone                	       0        1        0        1        0
50167 opennebula-tools                   	       0        1        0        1        0
50168 openni-doc                         	       0        1        0        0        1
50169 openni-utils                       	       0        5        0        5        0
50170 openni2-doc                        	       0        2        0        0        2
50171 openni2-utils                      	       0        4        0        4        0
50172 opennlp                            	       0        1        0        1        0
50173 openobex-apps                      	       0       13        0       13        0
50174 openocd                            	       0       38        0       38        0
50175 openoffice                         	       0       15        0        3       12
50176 openoffice-base                    	       0       14        0        0       14
50177 openoffice-brand-base              	       0       14        0        0       14
50178 openoffice-brand-calc              	       0       14        0        0       14
50179 openoffice-brand-de                	       0        1        0        0        1
50180 openoffice-brand-draw              	       0       14        0        0       14
50181 openoffice-brand-en-gb             	       0        3        0        0        3
50182 openoffice-brand-en-us             	       0        7        0        0        7
50183 openoffice-brand-es                	       0        2        0        0        2
50184 openoffice-brand-impress           	       0       14        0        0       14
50185 openoffice-brand-math              	       0       12        0        0       12
50186 openoffice-brand-writer            	       0       14        0        0       14
50187 openoffice-calc                    	       0       14        0        2       12
50188 openoffice-core01                  	       0       15        0        3       12
50189 openoffice-core02                  	       0       15        0        3       12
50190 openoffice-core03                  	       0       15        0        3       12
50191 openoffice-core04                  	       0       15        0        3       12
50192 openoffice-core05                  	       0       15        0        3       12
50193 openoffice-core06                  	       0       15        0        0       15
50194 openoffice-core07                  	       0       15        0        0       15
50195 openoffice-de                      	       0        1        0        0        1
50196 openoffice-de-base                 	       0        1        0        0        1
50197 openoffice-de-calc                 	       0        1        0        0        1
50198 openoffice-de-draw                 	       0        1        0        0        1
50199 openoffice-de-help                 	       0        1        0        0        1
50200 openoffice-de-impress              	       0        1        0        0        1
50201 openoffice-de-math                 	       0        1        0        0        1
50202 openoffice-de-res                  	       0        1        0        0        1
50203 openoffice-de-writer               	       0        1        0        0        1
50204 openoffice-debian-menus            	       0       12        0       12        0
50205 openoffice-draw                    	       0       14        0        0       14
50206 openoffice-en-gb                   	       0        3        0        0        3
50207 openoffice-en-gb-base              	       0        3        0        0        3
50208 openoffice-en-gb-calc              	       0        3        0        0        3
50209 openoffice-en-gb-draw              	       0        3        0        0        3
50210 openoffice-en-gb-help              	       0        3        0        0        3
50211 openoffice-en-gb-impress           	       0        3        0        0        3
50212 openoffice-en-gb-math              	       0        3        0        0        3
50213 openoffice-en-gb-res               	       0        3        0        0        3
50214 openoffice-en-gb-writer            	       0        3        0        0        3
50215 openoffice-en-us                   	       0        8        0        0        8
50216 openoffice-en-us-base              	       0        8        0        0        8
50217 openoffice-en-us-calc              	       0        8        0        0        8
50218 openoffice-en-us-draw              	       0        8        0        0        8
50219 openoffice-en-us-help              	       0        8        0        0        8
50220 openoffice-en-us-impress           	       0        8        0        0        8
50221 openoffice-en-us-math              	       0        8        0        0        8
50222 openoffice-en-us-res               	       0        8        0        0        8
50223 openoffice-en-us-writer            	       0        8        0        0        8
50224 openoffice-es                      	       0        2        0        0        2
50225 openoffice-es-base                 	       0        2        0        0        2
50226 openoffice-es-calc                 	       0        2        0        0        2
50227 openoffice-es-draw                 	       0        2        0        0        2
50228 openoffice-es-help                 	       0        2        0        0        2
50229 openoffice-es-impress              	       0        2        0        0        2
50230 openoffice-es-math                 	       0        2        0        0        2
50231 openoffice-es-res                  	       0        2        0        0        2
50232 openoffice-es-writer               	       0        2        0        0        2
50233 openoffice-fr                      	       0        1        0        0        1
50234 openoffice-fr-writer               	       0        1        0        0        1
50235 openoffice-gnome-integration       	       0       11        0        0       11
50236 openoffice-graphicfilter           	       0       14        0        0       14
50237 openoffice-images                  	       0       15        0        0       15
50238 openoffice-impress                 	       0       14        0        0       14
50239 openoffice-javafilter              	       0       12        0        0       12
50240 openoffice-math                    	       0       12        0        0       12
50241 openoffice-ogltrans                	       0       12        0        0       12
50242 openoffice-onlineupdate            	       0       12        0        3        9
50243 openoffice-ooofonts                	       0       14        0        0       14
50244 openoffice-ooolinguistic           	       0       13        0        2       11
50245 openoffice-pyuno                   	       0       14        0       14        0
50246 openoffice-ure                     	       0       15        0        3       12
50247 openoffice-writer                  	       0       14        0        2       12
50248 openoffice-xsltfilter              	       0       14        0        0       14
50249 openoffice.org                     	       0        3        0        0        3
50250 openoffice.org-base                	       0        1        0        1        0
50251 openoffice.org-base-core           	       0        1        0        1        0
50252 openoffice.org-calc                	       0        3        0        3        0
50253 openoffice.org-common              	       0        5        1        4        0
50254 openoffice.org-core                	       0        1        0        1        0
50255 openoffice.org-draw                	       0        4        0        4        0
50256 openoffice.org-dtd-officedocument1.0	       0        3        0        3        0
50257 openoffice.org-emailmerge          	       0        1        0        0        1
50258 openoffice.org-evolution           	       0        1        0        0        1
50259 openoffice.org-filter-binfilter    	       0        1        0        1        0
50260 openoffice.org-filter-mobiledev    	       0        1        0        1        0
50261 openoffice.org-gcj                 	       0        1        0        1        0
50262 openoffice.org-gnome               	       0        1        0        0        1
50263 openoffice.org-gtk                 	       0        1        0        1        0
50264 openoffice.org-headless            	       0        1        0        1        0
50265 openoffice.org-help-en-gb          	       0        2        0        1        1
50266 openoffice.org-help-en-us          	       0        4        0        1        3
50267 openoffice.org-help-ru             	       0        1        0        0        1
50268 openoffice.org-hyphenation-de      	       0        1        0        0        1
50269 openoffice.org-hyphenation-en-us   	       0        2        0        0        2
50270 openoffice.org-hyphenation-fr      	       0        1        0        0        1
50271 openoffice.org-impress             	       0        4        0        4        0
50272 openoffice.org-java-common         	       0        5        0        1        4
50273 openoffice.org-kde                 	       0        1        0        1        0
50274 openoffice.org-l10n-de             	       0        2        0        0        2
50275 openoffice.org-l10n-en-gb          	       0        1        0        1        0
50276 openoffice.org-l10n-ru             	       0        1        0        0        1
50277 openoffice.org-l10n-sv             	       0        1        0        0        1
50278 openoffice.org-math                	       0        3        0        3        0
50279 openoffice.org-officebean          	       0        1        0        1        0
50280 openoffice.org-report-builder-bin  	       0        1        0        1        0
50281 openoffice.org-style-andromeda     	       0        1        0        1        0
50282 openoffice.org-style-crystal       	       0        1        0        1        0
50283 openoffice.org-style-tango         	       0        1        0        1        0
50284 openoffice.org-thesaurus-en-us     	       0        3        0        0        3
50285 openoffice.org-ure                 	       0        1        0        0        1
50286 openoffice.org-writer              	       0        5        0        5        0
50287 openoffice.org-writer2latex        	       0        1        0        1        0
50288 openoffice.org3                    	       0        1        0        0        1
50289 openoffice.org3-base               	       0        1        0        0        1
50290 openoffice.org3-calc               	       0        1        0        0        1
50291 openoffice.org3-dict-de            	       0        1        0        0        1
50292 openoffice.org3-dict-en            	       0        1        0        0        1
50293 openoffice.org3-dict-ru            	       0        1        0        0        1
50294 openoffice.org3-dict-uk            	       0        1        0        0        1
50295 openoffice.org3-draw               	       0        1        0        0        1
50296 openoffice.org3-impress            	       0        1        0        0        1
50297 openoffice.org3-math               	       0        1        0        0        1
50298 openoffice.org3-ru                 	       0        1        0        0        1
50299 openoffice.org3-writer             	       0        1        0        0        1
50300 openorienteering-mapper            	       0        1        0        1        0
50301 openpaperwork-core-doc             	       0        1        0        0        1
50302 openpaperwork-gtk-doc              	       0        1        0        0        1
50303 openpgp-applet                     	       0        1        0        1        0
50304 openpref                           	       0       10        0       10        0
50305 openprinting-ppds-postscript-epson 	       0        1        0        0        1
50306 openprinting-ppds-postscript-kyocera	       0        1        0        0        1
50307 openprinting-ppds-postscript-ricoh 	       0        1        0        0        1
50308 openprinting-ppds-postscript-xerox 	       0        1        0        0        1
50309 openprinting-ppds-pxlcolor-ricoh   	       0        1        0        0        1
50310 openprinting-ppds-pxlmono-samsung  	       0        1        0        0        1
50311 openproject                        	       0        3        0        3        0
50312 openra                             	       0        3        0        3        0
50313 openrazer-daemon                   	       0        2        1        1        0
50314 openrazer-driver-dkms              	       0        7        1        6        0
50315 openrazer-meta                     	       0        1        0        0        1
50316 openrct2-data                      	       0        4        0        0        4
50317 openrct2-objects                   	       0        4        0        0        4
50318 openrct2-openmsx                   	       0        1        0        0        1
50319 openrct2-opensfx                   	       0        1        0        0        1
50320 openrct2-title-sequences           	       0        4        0        0        4
50321 openrefine                         	       0        2        0        2        0
50322 openresty                          	       0        1        0        1        0
50323 openresty-openssl3                 	       0        1        0        1        0
50324 openresty-opm                      	       0        1        0        1        0
50325 openresty-pcre2                    	       0        1        0        1        0
50326 openresty-resty                    	       0        1        0        1        0
50327 openresty-zlib                     	       0        1        0        1        0
50328 openrgb                            	       0       14        1       13        0
50329 openrocket                         	       0        1        0        1        0
50330 opensaml-tools                     	       0        1        0        1        0
50331 opensbi                            	       0       26        0        0       26
50332 openscad                           	       0       78        2       76        0
50333 openscad-dbgsym                    	       0        1        0        1        0
50334 openscad-mcad                      	       0       74        0        0       74
50335 openscad-nightly                   	       0        3        0        3        0
50336 openscad-testing                   	       0        3        0        3        0
50337 openscad-testing-data              	       0        6        0        0        6
50338 openscap-common                    	       0        1        0        0        1
50339 openscap-scanner                   	       0        1        0        1        0
50340 openscap-utils                     	       0        1        0        1        0
50341 openscenegraph                     	       0        7        0        7        0
50342 opense-basic                       	       0       10        0        0       10
50343 openseachest                       	       0        4        0        4        0
50344 openshift-imagebuilder             	       0        1        0        1        0
50345 openshot                           	       0       57        0        3       54
50346 openshot-doc                       	       0       10        0        0       10
50347 openshot-qt-doc                    	       0       24        0        0       24
50348 openslide-tools                    	       0        3        0        3        0
50349 opensm                             	       0        1        1        0        0
50350 opensmtpd                          	       0        6        1        5        0
50351 opensmtpd-extras                   	       0        3        0        0        3
50352 opensmtpd-filter-dkimsign          	       0        1        0        1        0
50353 opensmtpd-filter-rspamd            	       0        1        0        1        0
50354 opensnitch                         	       0        5        0        5        0
50355 opensong                           	       0        1        0        0        1
50356 openspades                         	       0        1        0        0        1
50357 openssh-blacklist                  	       0       31        0        0       31
50358 openssh-blacklist-extra            	       0       26        0        0       26
50359 openssh-client-gssapi              	       0       11        0        0       11
50360 openssh-client-ssh1                	       0        5        0        5        0
50361 openssh-known-hosts                	       0        3        1        2        0
50362 openssh-server-gssapi              	       0       11        0        0       11
50363 openssl-blacklist                  	       0       15        1       14        0
50364 openssl-blacklist-extra            	       0        6        0        0        6
50365 openssl-build-deps                 	       0        1        0        0        1
50366 openssl-dbgsym                     	       0        1        0        1        0
50367 openssn                            	       0        2        0        2        0
50368 openssn-data                       	       0        2        0        0        2
50369 opensta                            	       0        4        0        4        0
50370 openstack-dashboard                	       0        1        0        0        1
50371 openstack-dashboard-apache         	       0        1        0        0        1
50372 openstack-debian-images            	       0        1        0        1        0
50373 openstack-deploy                   	       0        1        0        1        0
50374 openstack-pkg-tools                	       0        2        0        2        0
50375 openstereogram                     	       0        1        0        1        0
50376 openstreetmap-map-icons-classic    	       0        2        0        0        2
50377 openstreetmap-map-icons-scalable   	       0        1        0        0        1
50378 openstreetmap-map-icons-square     	       0        2        0        0        2
50379 openswan                           	       0        1        0        1        0
50380 opensync-plugin-irmc               	       0        1        0        1        0
50381 opentabletdriver                   	       0        1        0        1        0
50382 openthesaurus-de-text              	       0        1        0        0        1
50383 opentmpfiles                       	       0        1        0        1        0
50384 opentracker                        	       0        1        0        1        0
50385 openttd-data                       	       0       47        0        0       47
50386 openttd-opengfx                    	       0       45        0        0       45
50387 openttd-openmsx                    	       0       45        0        0       45
50388 openttd-opensfx                    	       0       42        0        0       42
50389 openturns-common                   	       0        3        0        0        3
50390 opentyrian                         	       0        2        0        2        0
50391 openuniverse                       	       0        9        2        7        0
50392 openuniverse-common                	       0       10        0        0       10
50393 openvas                            	       0        2        1        0        1
50394 openvas-cli                        	       0        1        0        1        0
50395 openvas-manager                    	       0        1        0        1        0
50396 openvas-manager-common             	       0        1        0        1        0
50397 openvas-scanner                    	       0        2        0        2        0
50398 openvpn-blacklist                  	       0        3        0        3        0
50399 openvpn-dbgsym                     	       0        2        0        2        0
50400 openvpn-nl                         	       0        1        0        1        0
50401 openvswitch-common                 	       0        4        0        4        0
50402 openvswitch-datapath-source        	       0        1        0        0        1
50403 openvswitch-ipsec                  	       0        1        0        1        0
50404 openvswitch-switch                 	       0        4        0        4        0
50405 openwebrx                          	       0        1        0        1        0
50406 openwince-include                  	       0        1        0        1        0
50407 openwince-jtag                     	       0        2        0        2        0
50408 openwsman                          	       0        1        0        1        0
50409 openyahtzee                        	       0        4        0        4        0
50410 opera                              	       0        2        0        2        0
50411 opera-developer                    	       0        1        0        1        0
50412 opera-legacy                       	       0        1        0        1        0
50413 opgpcard                           	       0        3        0        3        0
50414 ophcrack                           	       0       12        0       12        0
50415 ophcrack-cli                       	       0       24        0       24        0
50416 opie-client                        	       0        1        0        1        0
50417 opie-server                        	       0        1        0        1        0
50418 oping                              	       0       10        0       10        0
50419 opl3-soundfont                     	       0       15        0        0       15
50420 oprofile                           	       0        1        0        1        0
50421 opt                                	       0        4        0        4        0
50422 opticalraytracer                   	       0        3        0        3        0
50423 opuscomment                        	       0        1        0        1        0
50424 opusfile-build-deps-depends        	       0        1        0        0        1
50425 opustags                           	       0        1        0        1        0
50426 ora2pg                             	       0        4        0        4        0
50427 oracle-instantclient11.2-basic     	       0        1        0        1        0
50428 oracle-instantclient11.2-devel     	       0        1        0        1        0
50429 oracle-instantclient11.2-sqlplus   	       0        1        0        1        0
50430 oracle-j2re1.7                     	       0        3        0        3        0
50431 oracle-j2sdk1.7                    	       0        2        0        2        0
50432 oracle-java6-jdk                   	       0        1        0        1        0
50433 oracle-java7-installer             	       0        1        0        0        1
50434 oracle-java7-jdk                   	       0        1        0        1        0
50435 oracle-java7-jre                   	       0        1        0        1        0
50436 oracle-java8-doc                   	       0        1        0        0        1
50437 oracle-java8-installer             	       0        3        0        0        3
50438 oracle-java8-jdk                   	       0        3        0        3        0
50439 oracle-java8-jre                   	       0        3        0        3        0
50440 oracle-java8-set-default           	       0        3        0        0        3
50441 orage-data                         	       0       44        1        4       39
50442 orange                             	       0        2        0        2        0
50443 orbit2                             	       0        7        0        7        0
50444 orbital-eunuchs-sniper             	       0        2        0        2        0
50445 orbital-eunuchs-sniper-data        	       0        2        0        0        2
50446 orca-sops                          	       0        1        0        1        0
50447 orchis-gtk-theme                   	       0       15        0        0       15
50448 oregano                            	       0       16        0       16        0
50449 org-mode                           	       0       13        0        0       13
50450 org-mode-doc                       	       0       10        0        0       10
50451 org-roam-doc                       	       0        2        0        0        2
50452 organize                           	       0        1        0        1        0
50453 origami-pdf                        	       0        4        0        4        0
50454 original-awk                       	       0       13        1       12        0
50455 oroborus                           	       0        5        0        5        0
50456 orosound-link                      	       0        1        0        1        0
50457 orpie                              	       0       10        0       10        0
50458 orthanc                            	       0        2        1        1        0
50459 orthanc-imagej                     	       0        1        0        0        1
50460 orthanc-wsi                        	       0        2        0        2        0
50461 os-brick-common                    	       0        2        0        0        2
50462 os-uninstaller                     	       0        1        0        1        0
50463 os8                                	       0        1        0        1        0
50464 oscar                              	       0        1        0        1        0
50465 osdclock                           	       0        8        0        8        0
50466 osdsh                              	       0        6        0        6        0
50467 oselas.toolchain-2016.06.1-i586-unknown-linux-gnu-gcc-5.4.0-glibc-2.23-binutils-2.26-kernel-4.6-sanitized	       0        1        0        1        0
50468 osgearth-data                      	       0        1        0        0        1
50469 osinfo-db                          	       0      626        0        0      626
50470 osinfo-db-tools                    	       0        6        0        6        0
50471 osk-sdl                            	       0        1        0        1        0
50472 osmcoastline                       	       0        4        1        3        0
50473 osmctools                          	       0        8        1        7        0
50474 osmium-tool                        	       0        6        1        5        0
50475 osmo                               	       0       17        1       16        0
50476 osmo-fl2k                          	       0        1        0        1        0
50477 osmo-sdr                           	       0        7        0        7        0
50478 osmos                              	       0        2        0        2        0
50479 osmose-emulator                    	       0        3        0        3        0
50480 osmosis                            	       0        5        0        5        0
50481 osmpbf-bin                         	       0        4        0        4        0
50482 ospd-openvas                       	       0        1        0        1        0
50483 ospics                             	       0        2        0        0        2
50484 osquery                            	       0        2        0        2        0
50485 oss-compat                         	       0      179        2      177        0
50486 oss-preserve                       	       0        1        0        1        0
50487 oss4-dev                           	       0        2        0        2        0
50488 ossec-hids-agent                   	       0        1        0        0        1
50489 ossec-hids-server                  	       0        1        0        0        1
50490 ossim-core                         	       0        1        0        1        0
50491 osslsigncode                       	       0        4        0        4        0
50492 osspd                              	       0       31        7       24        0
50493 ostinato                           	       0        3        0        3        0
50494 ostree                             	       0       18        0       18        0
50495 otb-bin                            	       0        2        0        2        0
50496 otb-bin-qt                         	       0        2        0        2        0
50497 otb-i18n                           	       0        2        0        0        2
50498 otb-qgis                           	       0        2        0        2        0
50499 otb-testdriver                     	       0        3        0        3        0
50500 otf-freefont                       	       0        4        0        0        4
50501 otf-ipaexfont                      	       0        1        0        0        1
50502 otf-ipaexfont-gothic               	       0        1        0        0        1
50503 otf-ipaexfont-mincho               	       0        1        0        0        1
50504 otf-ipafont                        	       0        1        0        0        1
50505 otf-ipafont-gothic                 	       0        1        0        0        1
50506 otf-ipafont-mincho                 	       0        1        0        0        1
50507 otf-stix                           	       0        2        0        0        2
50508 otf-symbols-circos                 	       0        1        0        0        1
50509 otf-thai-tlwg                      	       0        1        0        1        0
50510 otf2bdf                            	       0        3        0        3        0
50511 othman                             	       0        1        0        1        0
50512 otp                                	       0        6        0        6        0
50513 otpclient                          	       0       15        0       15        0
50514 otpclient-cli                      	       0        7        0        7        0
50515 otpw-bin                           	       0        1        0        1        0
50516 ots                                	       0        1        0        1        0
50517 otter-browser                      	       0        5        1        4        0
50518 out-of-order                       	       0        4        0        4        0
50519 outguess                           	       0       31        0       31        0
50520 overgod                            	       0        3        0        3        0
50521 overgod-data                       	       0        3        0        0        3
50522 overlay-boot                       	       0        5        0        5        0
50523 ovftool                            	       0        1        0        1        0
50524 ovh-rtm-binaries                   	       0        2        0        2        0
50525 ovh-rtm-metrics-toolkit            	       0        2        0        0        2
50526 ovmf                               	       0      550        0        0      550
50527 ovmf-ia32                          	       0        3        0        0        3
50528 ovtr                               	       0        1        0        0        1
50529 ow-shell                           	       0        1        0        1        0
50530 owfs                               	       0        3        0        0        3
50531 owfs-common                        	       0       13        0        0       13
50532 owfs-fuse                          	       0        5        0        5        0
50533 owftpd                             	       0        3        0        3        0
50534 owhttpd                            	       0        3        0        3        0
50535 owncloud                           	       0        1        0        0        1
50536 owncloud-client                    	       0       21        2       19        0
50537 owncloud-client-cmd                	       0        2        0        2        0
50538 owncloud-client-data               	       0        1        0        0        1
50539 owncloud-client-doc                	       0        1        0        0        1
50540 owncloud-client-dolphin            	       0        3        0        1        2
50541 owncloud-client-l10n               	       0        2        0        0        2
50542 owncloud-client-overlays-icons     	       0        3        0        0        3
50543 owncloud-complete-files            	       0        1        0        1        0
50544 owncloud-deps-php5                 	       0        1        0        0        1
50545 owncloud-files                     	       0        2        0        1        1
50546 owrx-connector                     	       0        1        0        0        1
50547 owserver                           	       0        6        0        6        0
50548 oxefmsynth                         	       0        1        0        1        0
50549 oxen-electron-wallet               	       0        1        0        1        0
50550 oxref                              	       0        2        0        2        0
50551 oxygen-icon-theme                  	       0      489        0        0      489
50552 oxygen-sounds                      	       0      571        0        0      571
50553 oxygencursors                      	       0       35        0        0       35
50554 oz                                 	       0        2        0        2        0
50555 p0f                                	       0       12        0       12        0
50556 p11-kit-doc                        	       0        8        0        0        8
50557 pacemaker                          	       0        6        1        5        0
50558 pacemaker-cli-utils                	       0        6        1        5        0
50559 pacemaker-common                   	       0        6        0        0        6
50560 pacemaker-resource-agents          	       0        6        0        6        0
50561 pachi                              	       0        2        0        2        0
50562 pachi-data                         	       0        2        0        0        2
50563 pack-cli                           	       0        1        0        1        0
50564 packagekit-backend-aptcc           	       0        1        0        0        1
50565 packagekit-command-not-found       	       0        3        0        0        3
50566 packagekit-docs                    	       0        1        0        0        1
50567 packagekit-gtk3-module             	       0        6        0        0        6
50568 packagekit-gtk3-module-dbgsym      	       0        3        0        3        0
50569 packages-microsoft-prod            	       0       36        0        0       36
50570 packaging-dev                      	       0        9        0        0        9
50571 packaging-tutorial                 	       0        9        0        0        9
50572 packer                             	       0        6        0        6        0
50573 packeth                            	       0        9        0        9        0
50574 packetsender                       	       0        8        0        8        0
50575 packettracer                       	       0       11        0       10        1
50576 pacman.c                           	       0        1        0        1        0
50577 pacpl                              	       0       13        0       13        0
50578 pacvim                             	       0        4        0        4        0
50579 padevchooser                       	       0        1        0        1        0
50580 padre                              	       0        1        0        1        0
50581 pads                               	       0        3        0        3        0
50582 padthv1-common                     	       0        1        0        0        1
50583 padthv1-lv2                        	       0        1        0        1        0
50584 paexec                             	       0        1        0        1        0
50585 pagein                             	       0        1        0        1        0
50586 pagekite                           	       0        1        0        1        0
50587 pagemon                            	       0        2        0        2        0
50588 pages2epub                         	       0        1        0        1        0
50589 pages2odt                          	       0        1        0        1        0
50590 pagetools                          	       0        4        0        4        0
50591 pairs                              	       0        1        0        1        0
50592 pajackconnect                      	       0        1        0        1        0
50593 pakcs                              	       0        2        0        2        0
50594 paketto                            	       0        1        0        1        0
50595 pal                                	       0        5        0        5        0
50596 pal2nal                            	       0        2        0        2        0
50597 palapeli-data                      	       0       63        0        0       63
50598 palbart                            	       0        1        0        1        0
50599 palemoon-nonsse2                   	       0        1        0        1        0
50600 palp                               	       0       12        0       12        0
50601 pam-dbus-notify                    	       0        1        0        0        1
50602 pam-mkhomedir                      	       0        5        0        0        5
50603 pam-zfs-key                        	       0        1        0        1        0
50604 paman                              	       0       16        1       15        0
50605 pamix                              	       0       16        1       15        0
50606 paml                               	       0        2        0        2        0
50607 pampi                              	       0        2        0        2        0
50608 pamtester                          	       0        7        0        7        0
50609 pan                                	       0       20        0       20        0
50610 pandoc-citeproc                    	       0        8        0        8        0
50611 pandoc-citeproc-preamble           	       0        5        0        5        0
50612 pandoc-data                        	       0      303        0        0      303
50613 pandoc-filter-diagram              	       0        1        0        0        1
50614 pandoc-plantuml-filter             	       0        7        0        7        0
50615 pandoc-sidenote                    	       0        6        0        6        0
50616 pango1.0-tests                     	       0        2        0        1        1
50617 pangoterm                          	       0        2        0        2        0
50618 pangzero                           	       0        3        0        3        0
50619 pantum                             	       0        2        0        2        0
50620 papaya                             	       0        1        0        1        0
50621 paper-icon-theme                   	       0       33        0        0       33
50622 paperkey                           	       0       77        0       77        0
50623 papers                             	       0        1        1        0        0
50624 papers-common                      	       0        1        0        0        1
50625 paperwork-backend-doc              	       0        3        0        0        3
50626 paperwork-gtk-l10n-de              	       0        4        0        0        4
50627 paperwork-gtk-l10n-en              	       0        3        0        0        3
50628 paperwork-gtk-l10n-es              	       0        2        0        0        2
50629 paperwork-gtk-l10n-fr              	       0        2        0        0        2
50630 paperwork-gtk-l10n-uk              	       0        1        0        0        1
50631 paperwork-shell                    	       0        2        0        2        0
50632 papirus-icon-theme                 	       0      356        0        0      356
50633 paps                               	       0        9        0        9        0
50634 paq8                               	       0        1        0        1        0
50635 paq9                               	       0        1        0        1        0
50636 par                                	       0       13        0       13        0
50637 paraclu                            	       0        2        0        2        0
50638 parafly                            	       0        1        0        1        0
50639 paranoia                           	       0        1        0        1        0
50640 paratext-resources-8.0             	       0        1        0        1        0
50641 paraview                           	       0        3        0        3        0
50642 paraview-doc                       	       0        5        0        0        5
50643 parchive                           	       0       12        0       12        0
50644 parchives                          	       0        6        0        0        6
50645 parcimonie                         	       0        5        1        4        0
50646 pari-doc                           	       0       17        1       16        0
50647 pari-elldata                       	       0       16        0        0       16
50648 pari-galdata                       	       0       17        0        0       17
50649 pari-galpol                        	       0       13        0        0       13
50650 pari-gp                            	       0       19        2       17        0
50651 pari-gp2c                          	       0       12        2       10        0
50652 pari-seadata                       	       0       17        0        0       17
50653 paris-traceroute                   	       0        4        1        3        0
50654 parlatype                          	       0        3        0        3        0
50655 parlatype-common                   	       0        1        0        0        1
50656 parley-data                        	       0       51        0        0       51
50657 parmetis-doc                       	       0        2        0        0        2
50658 parmetis-test                      	       0        1        0        1        0
50659 parole-dev                         	       0        1        0        1        0
50660 parolottero                        	       0        4        0        4        0
50661 parolottero-data-sv                	       0        3        0        0        3
50662 parolottero-data-us                	       0        1        0        0        1
50663 parprouted                         	       0        3        0        3        0
50664 parsec                             	       0        2        0        2        0
50665 parsec47                           	       0        5        0        5        0
50666 parsec47-data                      	       0        5        0        0        5
50667 parsero                            	       0        1        0        1        0
50668 parsinsert                         	       0        1        0        1        0
50669 partclone-utils                    	       0        1        0        1        0
50670 parted-doc                         	       0       30        0        0       30
50671 partimage-doc                      	       0        7        0        0        7
50672 partimage-server                   	       0        3        0        3        0
50673 partlibrary                        	       0        1        0        0        1
50674 pasco                              	       0       23        0       23        0
50675 pasdoc                             	       0        2        0        2        0
50676 pasmo                              	       0        5        0        5        0
50677 pass                               	       0       94        6       88        0
50678 pass-extension-otp                 	       0       15        0        2       13
50679 pass-extension-tail                	       0        2        0        2        0
50680 pass-extension-tomb                	       0        2        0        2        0
50681 pass-extension-update              	       0        1        0        1        0
50682 pass-git-helper                    	       0        1        0        1        0
50683 pass-otp                           	       0       24        1       23        0
50684 passage                            	       0        1        0        1        0
50685 passenger                          	       0       22        2       20        0
50686 passenger-doc                      	       0        3        0        0        3
50687 passepartout                       	       0        1        0        1        0
50688 passwin                            	       0        4        0        0        4
50689 password-gorilla                   	       0        8        0        8        0
50690 passwordgenerator-stt              	       0        1        0        1        0
50691 passwordmaker-cli                  	       0        3        0        3        0
50692 passwordsafe                       	       0       23        0       23        0
50693 passwordsafe-common                	       0       22        0        0       22
50694 pasystray                          	       0       33        3       30        0
50695 pat                                	       0        3        1        2        0
50696 patat                              	       0        2        0        2        0
50697 patator                            	       0       21        0       21        0
50698 patchage                           	       0       10        0       10        0
50699 patchelf                           	       0       32        0       32        0
50700 pathogen                           	       0        9        0        9        0
50701 pathological                       	       0       10        0       10        0
50702 patman                             	       0        1        0        1        0
50703 patool                             	       0       19        0       19        0
50704 patroneo                           	       0        1        0        1        0
50705 paul                               	       0        1        0        1        0
50706 paulstretch                        	       0        2        0        2        0
50707 pavucontrol-qt-l10n                	       0      191        0        0      191
50708 pavuk                              	       0        2        0        2        0
50709 paw++                              	       0        1        0        1        0
50710 paw-common                         	       0        1        0        1        0
50711 paw-demos                          	       0        1        0        1        0
50712 pax-britannica                     	       0        8        0        8        0
50713 pax-britannica-data                	       0        8        0        0        8
50714 pax-utils                          	       0        9        0        9        0
50715 paxctl                             	       0        1        0        1        0
50716 pbbamtools                         	       0        1        0        1        0
50717 pbis-open                          	       0        1        0        1        0
50718 pbis-open-upgrade                  	       0        1        0        0        1
50719 pbskids-dl                         	       0        1        0        1        0
50720 pbskids-dl-keyring                 	       0        1        0        0        1
50721 pcal                               	       0       12        0       12        0
50722 pcalendar                          	       0        1        0        1        0
50723 pcb                                	       0       22        0        0       22
50724 pcb-common                         	       0       23        0        0       23
50725 pcb-gtk                            	       0       23        0       23        0
50726 pcb-lesstif                        	       0        3        0        3        0
50727 pcb-rnd                            	       0       16        0        1       15
50728 pcb-rnd-auto                       	       0       15        0       15        0
50729 pcb-rnd-cloud                      	       0       15        0       15        0
50730 pcb-rnd-core                       	       0       15        0       15        0
50731 pcb-rnd-doc                        	       0       16        0       10        6
50732 pcb-rnd-export                     	       0       15        0       15        0
50733 pcb-rnd-export-extra               	       0       15        0       15        0
50734 pcb-rnd-export-sim                 	       0       15        0       15        0
50735 pcb-rnd-extra                      	       0       15        0       15        0
50736 pcb-rnd-hid-gtk2-gdk               	       0        3        0        3        0
50737 pcb-rnd-hid-gtk2-gl                	       0        3        0        3        0
50738 pcb-rnd-import-geo                 	       0        2        0        2        0
50739 pcb-rnd-import-net                 	       0       15        0       15        0
50740 pcb-rnd-io-alien                   	       0       15        0       15        0
50741 pcb-rnd-io-standard                	       0       15        0       15        0
50742 pcb-rnd-lib-gl                     	       0        3        0        3        0
50743 pcb-rnd-lib-gtk                    	       0        3        0        3        0
50744 pcb-rnd-lib-gui                    	       0       15        0       15        0
50745 pcb-rnd-lib-io                     	       0       15        0       15        0
50746 pcb2gcode                          	       0        4        0        4        0
50747 pcc                                	       0        5        1        4        0
50748 pccts                              	       0        1        0        1        0
50749 pcf2bdf                            	       0       30        1       29        0
50750 pchar                              	       0        4        0        4        0
50751 pci.ids                            	       0     3647        0        0     3647
50752 pcm                                	       0        3        0        3        0
50753 pcmanfm-dbg                        	       0        1        0        0        1
50754 pcmanfm-dbgsym                     	       0        1        0        1        0
50755 pconf-detect                       	       0        3        0        3        0
50756 pconsole                           	       0        4        0        4        0
50757 pcp                                	       0        6        0        6        0
50758 pcp-conf                           	       0        7        0        6        1
50759 pcp-doc                            	       0        1        0        0        1
50760 pcp-gui                            	       0        2        0        2        0
50761 pcre2-utils                        	       0        6        1        5        0
50762 pcredz                             	       0        1        0        1        0
50763 pcregrep                           	       0       11        0       11        0
50764 pcs                                	       0        3        0        3        0
50765 pcsc-lite                          	       0        1        0        1        0
50766 pcsx2                              	       0        2        0        2        0
50767 pct-scanner-scripts                	       0        3        0        3        0
50768 pcvatfreebridge                    	       0        1        0        1        0
50769 pd                                 	       0        2        1        1        0
50770 pd-3dp                             	       0        2        0        2        0
50771 pd-ableton-link                    	       0        5        0        5        0
50772 pd-ambix                           	       0        3        0        3        0
50773 pd-arraysize                       	       0        2        0        2        0
50774 pd-autopreset                      	       0        3        0        3        0
50775 pd-bassemu                         	       0        3        0        3        0
50776 pd-beatpipe                        	       0        3        0        3        0
50777 pd-boids                           	       0        3        0        3        0
50778 pd-bsaylor                         	       0        2        0        2        0
50779 pd-chaos                           	       0        2        0        2        0
50780 pd-cmos                            	       0        2        0        2        0
50781 pd-comport                         	       0        5        0        5        0
50782 pd-creb                            	       0        2        0        2        0
50783 pd-csound                          	       0        3        0        3        0
50784 pd-cxc                             	       0        2        0        2        0
50785 pd-cyclone                         	       0        7        0        7        0
50786 pd-deken                           	       0        3        0        3        0
50787 pd-deken-apt                       	       0        3        0        0        3
50788 pd-earplug                         	       0        2        0        2        0
50789 pd-ekext                           	       0        2        0        2        0
50790 pd-ext13                           	       0        2        0        2        0
50791 pd-extendedview                    	       0        4        0        4        0
50792 pd-fftease                         	       0        2        0        2        0
50793 pd-flext-dev                       	       0        4        0        4        0
50794 pd-flext-doc                       	       0        5        0        0        5
50795 pd-flite                           	       0        2        0        2        0
50796 pd-freeverb                        	       0        3        0        3        0
50797 pd-ggee                            	       0        7        0        7        0
50798 pd-gil                             	       0        4        0        4        0
50799 pd-hcs                             	       0        3        0        3        0
50800 pd-hexloader                       	       0        2        0        2        0
50801 pd-hid                             	       0        3        0        3        0
50802 pd-iem                             	       0        3        0        3        0
50803 pd-iemambi                         	       0        2        0        2        0
50804 pd-iemguts                         	       0        2        0        2        0
50805 pd-iemlib                          	       0        3        0        3        0
50806 pd-iemmatrix                       	       0        2        0        2        0
50807 pd-iemnet                          	       0        7        0        7        0
50808 pd-iemutils                        	       0        3        0        3        0
50809 pd-jmmmp                           	       0        3        0        3        0
50810 pd-jsusfx                          	       0        2        0        2        0
50811 pd-kollabs                         	       0        5        0        5        0
50812 pd-lib-builder                     	       0        5        0        0        5
50813 pd-libdir                          	       0       12        0       12        0
50814 pd-list-abs                        	       0        7        0        7        0
50815 pd-log                             	       0        2        0        2        0
50816 pd-lua                             	       0        2        0        2        0
50817 pd-lyonpotpourri                   	       0        2        0        2        0
50818 pd-mapping                         	       0        4        0        4        0
50819 pd-markex                          	       0        2        0        2        0
50820 pd-maxlib                          	       0        4        0        4        0
50821 pd-mediasettings                   	       0        2        0        2        0
50822 pd-mjlib                           	       0        2        0        2        0
50823 pd-moonlib                         	       0        3        0        3        0
50824 pd-motex                           	       0        2        0        2        0
50825 pd-mrpeach                         	       0        3        0        3        0
50826 pd-mrpeach-net                     	       0        2        0        2        0
50827 pd-nusmuk                          	       0        2        0        2        0
50828 pd-osc                             	       0        6        0        6        0
50829 pd-pan                             	       0        3        0        3        0
50830 pd-pddp                            	       0        7        0        7        0
50831 pd-pdogg                           	       0        2        0        2        0
50832 pd-pdp                             	       0        2        0        2        0
50833 pd-pdstring                        	       0        2        0        2        0
50834 pd-pduino                          	       0        4        0        4        0
50835 pd-plugin                          	       0        2        0        2        0
50836 pd-pmpd                            	       0        2        0        2        0
50837 pd-pool                            	       0        2        0        2        0
50838 pd-puremapping                     	       0        3        0        3        0
50839 pd-purepd                          	       0        5        0        5        0
50840 pd-purest-json                     	       0        2        0        2        0
50841 pd-readanysf                       	       0        2        0        2        0
50842 pd-rtclib                          	       0        4        0        4        0
50843 pd-scaf                            	       0        2        0        2        0
50844 pd-sigpack                         	       0        2        0        2        0
50845 pd-slip                            	       0        2        0        2        0
50846 pd-smlib                           	       0        2        0        2        0
50847 pd-syslog                          	       0        3        0        3        0
50848 pd-tclpd                           	       0        2        0        2        0
50849 pd-testtools                       	       0        3        0        3        0
50850 pd-unauthorized                    	       0        2        0        2        0
50851 pd-upp                             	       0        3        0        3        0
50852 pd-vbap                            	       0        2        0        2        0
50853 pd-wiimote                         	       0        2        0        2        0
50854 pd-windowing                       	       0        2        0        2        0
50855 pd-xbee                            	       0        2        0        2        0
50856 pd-xsample                         	       0        2        0        2        0
50857 pd-zexy                            	       0        7        0        7        0
50858 pdebuild-cross                     	       0        1        1        0        0
50859 pdf-presenter-console              	       0        6        0        6        0
50860 pdf-redact-tools                   	       0        3        0        3        0
50861 pdf.js-common                      	       0        8        0        0        8
50862 pdf2djvu                           	       0       91        3       88        0
50863 pdf2htmlex                         	       0        1        0        1        0
50864 pdf2svg                            	       0       27        0       27        0
50865 pdfbooklet                         	       0        1        0        1        0
50866 pdfcook                            	       0        1        0        1        0
50867 pdfcrack-dbgsym                    	       0        1        0        1        0
50868 pdfcube                            	       0       15        0       15        0
50869 pdfedit                            	       0        3        0        3        0
50870 pdfgrep                            	       0       65        1       64        0
50871 pdfjam                             	       0        4        0        0        4
50872 pdfminer-data                      	       0        8        0        0        8
50873 pdfmixtool                         	       0        1        0        1        0
50874 pdfmod                             	       0       21        0       21        0
50875 pdfmod-dbg                         	       0        1        0        1        0
50876 pdfproctools                       	       0        9        0        9        0
50877 pdfresurrect                       	       0       14        1       13        0
50878 pdfsam-basic                       	       0        6        1        5        0
50879 pdfsam-visual                      	       0        1        0        1        0
50880 pdfsandwich                        	       0       15        0       15        0
50881 pdfshuffler                        	       0       16        0        1       15
50882 pdfstudioviewer                    	       0        1        0        1        0
50883 pdftk                              	       0      253        0        8      245
50884 pdftoipe                           	       0        5        0        5        0
50885 pdk                                	       0        1        0        1        0
50886 pdksh                              	       0        5        0        5        0
50887 pdl                                	       0        7        1        6        0
50888 pdmenu                             	       0        4        0        4        0
50889 pdns-backend-bind                  	       0        5        1        3        1
50890 pdns-backend-lua2                  	       0        1        0        0        1
50891 pdns-backend-odbc                  	       0        1        0        0        1
50892 pdns-backend-pgsql                 	       0        2        1        0        1
50893 pdns-backend-pipe                  	       0        1        0        0        1
50894 pdns-backend-sqlite3               	       0        2        1        0        1
50895 pdns-backend-tinydns               	       0        2        0        0        2
50896 pdns-recursor                      	       0        5        1        4        0
50897 pdns-server                        	       0        7        2        5        0
50898 pdns-tools                         	       0        5        0        5        0
50899 pdnsd                              	       0        4        0        4        0
50900 pdsh                               	       0        4        0        4        0
50901 peazip                             	       0       11        0       10        1
50902 pebble                             	       0        2        0        2        0
50903 peco                               	       0        2        0        2        0
50904 peek                               	       0       13        0       13        0
50905 peercast-handlers                  	       0        1        0        1        0
50906 peercoin-qt                        	       0        1        0        1        0
50907 peercoin-tx                        	       0        1        0        1        0
50908 peercoind                          	       0        1        0        1        0
50909 peerunity                          	       0        1        0        1        0
50910 peg                                	       0        2        0        2        0
50911 peg-e                              	       0        3        0        3        0
50912 peg-solitaire                      	       0        3        0        3        0
50913 pegasus-frontend                   	       0        1        0        1        0
50914 pegsolitaire                       	       0        2        0        2        0
50915 pekka-kana-2                       	       0        3        0        3        0
50916 pekka-kana-2-data                  	       0        3        0        0        3
50917 pekwm                              	       0       11        0       11        0
50918 pekwm-themes                       	       0        3        0        0        3
50919 pelican                            	       0        7        0        7        0
50920 pelican-doc                        	       0        3        0        0        3
50921 pem                                	       0        1        0        1        0
50922 pencil                             	       0        3        0        0        3
50923 pencil2d                           	       0       15        0       15        0
50924 penguin-command                    	       0        3        0        3        0
50925 penguin-subtitle-player            	       0        1        0        1        0
50926 pengupop                           	       0        1        0        1        0
50927 pentobi                            	       0        2        0        2        0
50928 peony                              	       0        5        0        5        0
50929 peony-admin                        	       0        1        0        0        1
50930 peony-common                       	       0        6        0        0        6
50931 peony-extension-computer-view      	       0        5        0        0        5
50932 peony-extensions                   	       0        5        0        0        5
50933 peony-extensions-common            	       0        1        0        0        1
50934 peony-open-terminal                	       0        5        0        0        5
50935 peony-set-wallpaper                	       0        5        0        0        5
50936 peony-share                        	       0        4        0        0        4
50937 pep8                               	       0        6        0        6        0
50938 pepper                             	       0        3        0        3        0
50939 pepperflashplugin-nonfree          	       0       26        1       25        0
50940 perccli                            	       0        2        0        0        2
50941 perceptualdiff                     	       0        2        0        2        0
50942 percol                             	       0        1        0        1        0
50943 percona-release                    	       0        2        0        2        0
50944 percona-server-client-5.7          	       0        3        0        3        0
50945 percona-server-common-5.7          	       0        3        0        3        0
50946 percona-server-rocksdb-5.7         	       0        1        0        1        0
50947 percona-server-server-5.7          	       0        3        0        3        0
50948 percona-server-tokudb-5.7          	       0        1        0        1        0
50949 percona-toolkit                    	       0        5        0        5        0
50950 percona-xtrabackup-24              	       0        1        1        0        0
50951 percona-zabbix-templates           	       0        1        0        1        0
50952 perf-tools-unstable                	       0        5        0        5        0
50953 perforate                          	       0        6        0        6        0
50954 performous                         	       0       10        0       10        0
50955 performous-composer                	       0        2        0        2        0
50956 performous-tools                   	       0        4        0        4        0
50957 perl-depends                       	       0        2        0        2        0
50958 perl-doc-html                      	       0        2        0        0        2
50959 perl-modules-5.24                  	       0      256       45      211        0
50960 perl-modules-5.26                  	       0        3        0        3        0
50961 perl-modules-5.30                  	       0        9        0        9        0
50962 perl-modules-5.34                  	       0       19        0       19        0
50963 perl-modules-5.38                  	       0      117        3      114        0
50964 perl6                              	       0        1        0        0        1
50965 perl6-readline                     	       0        1        0        1        0
50966 perl6-zef                          	       0        1        0        1        0
50967 perlbrew                           	       0        5        0        5        0
50968 perlconsole                        	       0        4        0        4        0
50969 perlindex                          	       0        2        2        0        0
50970 perlmagick                         	       0       54        0        0       54
50971 perlprimer                         	       0        3        0        3        0
50972 perlprimer-doc                     	       0        4        0        0        4
50973 perlrdf                            	       0        2        0        2        0
50974 perlsgml                           	       0        1        0        1        0
50975 perltidier                         	       0        1        0        1        0
50976 perm                               	       0        1        0        1        0
50977 persepolis                         	       0        3        0        3        0
50978 peruse                             	       0        6        0        6        0
50979 peruse-common                      	       0        7        0        0        7
50980 pescetti                           	       0        9        0        9        0
50981 pesign                             	       0        2        0        2        0
50982 pet                                	       0        1        0        1        0
50983 petit                              	       0        1        0        1        0
50984 petri-foo                          	       0        2        0        2        0
50985 petris                             	       0       17        0       17        0
50986 pex                                	       0        1        0        1        0
50987 pexec                              	       0        2        0        2        0
50988 pff-tools                          	       0       28        0       28        0
50989 pflask                             	       0        1        0        1        0
50990 pflogsumm                          	       0       11        1       10        0
50991 pfm                                	       0        5        0        5        0
50992 pforth                             	       0        3        0        3        0
50993 pfring                             	       0        1        0        1        0
50994 pfring-dkms                        	       0        1        0        1        0
50995 pfsglview                          	       0        3        0        3        0
50996 pfstmo                             	       0        3        0        3        0
50997 pfstools                           	       0        3        0        3        0
50998 pfsview                            	       0        3        0        3        0
50999 pftools                            	       0        2        0        2        0
51000 pg-activity                        	       0        6        1        5        0
51001 pg-auto-failover-cli               	       0        1        0        1        0
51002 pg-bsd-indent                      	       0        1        0        1        0
51003 pg-gvm                             	       0        1        0        1        0
51004 pg-top                             	       0        1        0        1        0
51005 pgaccess                           	       0        1        0        1        0
51006 pgadmin3                           	       0       32        1       31        0
51007 pgadmin3-data                      	       0       34        0        0       34
51008 pgadmin4                           	       0        2        0        0        2
51009 pgadmin4-server                    	       0       12        1       11        0
51010 pgadmin4-web                       	       0        3        0        3        0
51011 pgagent                            	       0       26        0       26        0
51012 pgbadger                           	       0        5        0        5        0
51013 pgbouncer                          	       0        5        3        2        0
51014 pgcharts                           	       0        1        0        1        0
51015 pgdesigner                         	       0        1        0        1        0
51016 pgdg-keyring                       	       0        5        0        0        5
51017 pgdocs-pdf-a4                      	       0        1        0        0        1
51018 pgf                                	       0        6        0        0        6
51019 pgformatter                        	       0        8        0        8        0
51020 pgloader                           	       0        8        0        8        0
51021 pgmfindclip                        	       0        1        0        1        0
51022 pgmodeler                          	       0        8        0        8        0
51023 pgmodeler-common                   	       0        8        0        0        8
51024 pgn-extract                        	       0        5        0        5        0
51025 pgn2web                            	       0        4        0        4        0
51026 pgpgpg                             	       0       13        0       13        0
51027 pgplot5                            	       0        3        0        3        0
51028 pgpool2                            	       0        2        1        1        0
51029 pgreplay                           	       0        4        0        4        0
51030 pgsnap                             	       0        1        0        1        0
51031 pgstaging                          	       0        1        0        1        0
51032 pgstaging-client                   	       0        1        0        0        1
51033 pgstat                             	       0        3        0        3        0
51034 pgtap-doc                          	       0        1        0        0        1
51035 pgtop                              	       0       12        1       11        0
51036 pgtune                             	       0        1        0        1        0
51037 pgxnclient                         	       0        1        0        1        0
51038 phalanx                            	       0        9        0        9        0
51039 phantomjs                          	       0      133        0      133        0
51040 pharo6-64                          	       0        1        0        1        0
51041 pharo6-64-dbgsym                   	       0        1        0        1        0
51042 pharo6-64-ui                       	       0        1        0        1        0
51043 pharo6-64-ui-dbgsym                	       0        1        0        1        0
51044 pharo6-sources-files               	       0        1        0        0        1
51045 pharo6-ui-common                   	       0        1        0        0        1
51046 phasex                             	       0        3        0        3        0
51047 phat-utils                         	       0        1        0        1        0
51048 phatch                             	       0        1        0        1        0
51049 phatch-cli                         	       0        1        0        1        0
51050 phatch-doc                         	       0        1        0        0        1
51051 phidutil2                          	       0        1        0        1        0
51052 phipack                            	       0        1        0        1        0
51053 phlipple                           	       0       11        0       11        0
51054 phlipple-data                      	       0       11        0        0       11
51055 phlipple-dbg                       	       0        1        0        1        0
51056 phnxdeco                           	       0        3        0        3        0
51057 pho                                	       0        1        0        1        0
51058 phoenixtsi-cloud                   	       0        2        0        2        0
51059 phonon                             	       0       48        0        0       48
51060 phonon-backend-gstreamer           	       0       41        0        1       40
51061 phonon-backend-gstreamer-common    	       0       87        0        0       87
51062 phonon-backend-vlc                 	       0       10        0        0       10
51063 phonon-backend-vlc-common          	       0       70        0        0       70
51064 phonon4qt5                         	       0      970        0        0      970
51065 phonon4qt5-backend-gstreamer       	       0       28        0        1       27
51066 phonon4qt5-backend-null            	       0        9        0        0        9
51067 phonon4qt5-backend-vlc             	       0      946        3       16      927
51068 phonon4qt5settings                 	       0        9        0        9        0
51069 phonon4qt6                         	       0       37        0        0       37
51070 phonon4qt6-backend-vlc             	       0       37        0        0       37
51071 phoronix-test-suite                	       0        5        0        5        0
51072 phosh-doc                          	       0        1        0        0        1
51073 photocollage                       	       0        7        0        7        0
51074 photofilmstrip                     	       0       10        0       10        0
51075 photoflare                         	       0        6        0        6        0
51076 photoflow                          	       0        2        0        2        0
51077 photopc                            	       0        6        0        6        0
51078 photoquick                         	       0        1        0        1        0
51079 photoquick-plugins                 	       0        1        0        0        1
51080 phototonic                         	       0       15        0       15        0
51081 php                                	       0      206        0        0      206
51082 php-all-dev                        	       0        4        0        0        4
51083 php-apc                            	       0        4        0        0        4
51084 php-apcu                           	       0       70        6       12       52
51085 php-apcu-bc                        	       0       23        8       11        4
51086 php-auth                           	       0        3        1        2        0
51087 php-auth-http                      	       0        1        0        1        0
51088 php-auth-sasl                      	       0       30        3       27        0
51089 php-bacon-qr-code                  	       0        2        0        2        0
51090 php-bcmath                         	       0       59        0        0       59
51091 php-brick-math                     	       0        1        0        1        0
51092 php-bz2                            	       0       83        0        0       83
51093 php-cache                          	       0        1        1        0        0
51094 php-cache-tag-interop              	       0        1        0        1        0
51095 php-cas                            	       0        3        0        3        0
51096 php-christianriesen-base32         	       0        1        0        1        0
51097 php-christianriesen-otp            	       0        1        0        1        0
51098 php-cli                            	       0      170       32      115       23
51099 php-cli-prompt                     	       0        1        0        1        0
51100 php-code-lts-u2f-php-server        	       0        1        0        1        0
51101 php-codecoverage                   	       0        2        0        2        0
51102 php-codeigniter-framework-doc      	       0        1        0        0        1
51103 php-codesniffer                    	       0        6        0        6        0
51104 php-composer-metadata-minifier     	       0       38        3       35        0
51105 php-console-commandline            	       0        2        0        2        0
51106 php-console-table                  	       0        3        0        3        0
51107 php-crypt-blowfish                 	       0        1        0        1        0
51108 php-crypt-gpg                      	       0        1        0        1        0
51109 php-curl                           	       0      183        0        0      183
51110 php-dasprid-enum                   	       0        2        0        2        0
51111 php-deepcopy                       	       0        2        0        2        0
51112 php-dflydev-dot-access-data        	       0        1        0        1        0
51113 php-doc                            	       0        2        0        0        2
51114 php-doctrine-annotations           	       0        2        0        2        0
51115 php-doctrine-cache                 	       0        1        0        1        0
51116 php-doctrine-collections           	       0        1        0        1        0
51117 php-doctrine-common                	       0        1        0        1        0
51118 php-doctrine-dbal                  	       0        1        0        1        0
51119 php-doctrine-deprecations          	       0        5        0        5        0
51120 php-doctrine-inflector             	       0        2        0        2        0
51121 php-doctrine-instantiator          	       0        3        0        3        0
51122 php-dompdf                         	       0        4        0        4        0
51123 php-dompdf-svg-lib                 	       0        2        0        2        0
51124 php-dragonmantank-cron-expression  	       0        1        0        1        0
51125 php-ds                             	       0        2        1        0        1
51126 php-elisp                          	       0        2        0        1        1
51127 php-eluceo-ical                    	       0        1        0        1        0
51128 php-enchant                        	       0        3        0        0        3
51129 php-file                           	       0        1        1        0        0
51130 php-file-iterator                  	       0        4        0        4        0
51131 php-font-lib                       	       0        5        0        5        0
51132 php-fpdf                           	       0        9        2        7        0
51133 php-fpm                            	       0       72        0        0       72
51134 php-fxsl                           	       0        2        0        2        0
51135 php-gd                             	       0      198        0        0      198
51136 php-gearman                        	       0        1        0        1        0
51137 php-geoip                          	       0        4        3        0        1
51138 php-geshi                          	       0       10        0       10        0
51139 php-getid3                         	       0       15        0       15        0
51140 php-gettext                        	       0       20        1       19        0
51141 php-gmagick                        	       0        3        0        0        3
51142 php-gmp                            	       0       56        0        0       56
51143 php-gnupg                          	       0        3        0        0        3
51144 php-graham-campbell-result-type    	       0        1        0        1        0
51145 php-gregwar-captcha                	       0        1        0        1        0
51146 php-guzzlehttp-guzzle              	       0        7        0        7        0
51147 php-guzzlehttp-promises            	       0        7        0        7        0
51148 php-guzzlehttp-psr7                	       0        7        0        7        0
51149 php-horde-css-parser               	       0        2        0        2        0
51150 php-html-safe                      	       0        1        0        1        0
51151 php-http                           	       0        3        0        0        3
51152 php-http-request                   	       0        1        1        0        0
51153 php-http-request2                  	       0        1        0        1        0
51154 php-icinga                         	       0        6        0        6        0
51155 php-igbinary                       	       0       22        1        2       19
51156 php-illuminate-auth                	       0        1        0        1        0
51157 php-illuminate-broadcasting        	       0        1        0        1        0
51158 php-illuminate-bus                 	       0        1        0        1        0
51159 php-illuminate-cache               	       0        1        0        1        0
51160 php-illuminate-collections         	       0        1        0        1        0
51161 php-illuminate-config              	       0        1        0        1        0
51162 php-illuminate-console             	       0        1        0        1        0
51163 php-illuminate-container           	       0        1        0        1        0
51164 php-illuminate-contracts           	       0        1        0        1        0
51165 php-illuminate-cookie              	       0        1        0        1        0
51166 php-illuminate-database            	       0        1        0        1        0
51167 php-illuminate-encryption          	       0        1        0        1        0
51168 php-illuminate-events              	       0        1        0        1        0
51169 php-illuminate-filesystem          	       0        1        0        1        0
51170 php-illuminate-hashing             	       0        1        0        1        0
51171 php-illuminate-http                	       0        1        0        1        0
51172 php-illuminate-log                 	       0        1        0        1        0
51173 php-illuminate-macroable           	       0        1        0        1        0
51174 php-illuminate-mail                	       0        1        0        1        0
51175 php-illuminate-notifications       	       0        1        0        1        0
51176 php-illuminate-pagination          	       0        1        0        1        0
51177 php-illuminate-pipeline            	       0        1        0        1        0
51178 php-illuminate-queue               	       0        1        0        1        0
51179 php-illuminate-redis               	       0        1        0        1        0
51180 php-illuminate-routing             	       0        1        0        1        0
51181 php-illuminate-session             	       0        1        0        1        0
51182 php-illuminate-support             	       0        1        0        1        0
51183 php-illuminate-testing             	       0        1        0        1        0
51184 php-illuminate-translation         	       0        1        0        1        0
51185 php-illuminate-validation          	       0        1        0        1        0
51186 php-illuminate-view                	       0        1        0        1        0
51187 php-imagick                        	       0       91        7       17       67
51188 php-imap                           	       0       30        0        0       30
51189 php-intl                           	       0      150        0        0      150
51190 php-invoker                        	       0        2        0        2        0
51191 php-json                           	       0       61        0        0       61
51192 php-kolab                          	       0        1        1        0        0
51193 php-kolabformat                    	       0        1        1        0        0
51194 php-laravel-framework              	       0        1        0        1        0
51195 php-laravel-serializable-closure   	       0        1        0        1        0
51196 php-ldap                           	       0       59        0        0       59
51197 php-league-commonmark              	       0        1        0        1        0
51198 php-league-config                  	       0        1        0        1        0
51199 php-league-flysystem               	       0        1        0        1        0
51200 php-league-mime-type-detection     	       0        1        0        1        0
51201 php-letodms-core                   	       0        1        0        1        0
51202 php-letodms-lucene                 	       0        1        0        1        0
51203 php-log                            	       0        4        1        3        0
51204 php-luasandbox                     	       0        9        2        7        0
51205 php-lz4                            	       0        1        0        0        1
51206 php-mail-mimedecode                	       0        1        1        0        0
51207 php-mailparse                      	       0        1        0        0        1
51208 php-mailparse-all-dev              	       0        1        0        0        1
51209 php-mapscript-ng                   	       0        2        1        1        0
51210 php-markdown                       	       0        2        0        2        0
51211 php-matthiasmullie-minify          	       0        1        0        1        0
51212 php-matthiasmullie-path-converter  	       0        1        0        1        0
51213 php-mbstring                       	       0      226        0        0      226
51214 php-mcrypt                         	       0       20        0        0       20
51215 php-mdb2-driver-mysql              	       0        5        1        4        0
51216 php-mdb2-driver-pgsql              	       0        1        0        1        0
51217 php-mdb2-schema                    	       0        1        0        1        0
51218 php-memcached                      	       0       14        1        1       12
51219 php-mongodb                        	       0        1        0        1        0
51220 php-msgpack                        	       0       12        1        2        9
51221 php-mysql                          	       0      211        0        0      211
51222 php-mythtv                         	       0        3        1        2        0
51223 php-nesbot-carbon                  	       0        1        0        1        0
51224 php-net-dime                       	       0        2        1        1        0
51225 php-net-dns2                       	       0        2        0        2        0
51226 php-net-ftp                        	       0        4        1        3        0
51227 php-net-idna2                      	       0        2        0        2        0
51228 php-net-imap                       	       0        4        1        3        0
51229 php-net-ipv6                       	       0        1        0        1        0
51230 php-net-socket                     	       0       32        3       29        0
51231 php-net-url2                       	       0        8        1        7        0
51232 php-net-whois                      	       0        1        0        1        0
51233 php-nette-schema                   	       0        1        0        1        0
51234 php-nette-utils                    	       0        1        0        1        0
51235 php-nrk-predis                     	       0        1        0        1        0
51236 php-oauth                          	       0        1        0        0        1
51237 php-odbc                           	       0        3        0        0        3
51238 php-opis-closure                   	       0        1        0        1        0
51239 php-parsedown                      	       0        1        0        1        0
51240 php-parser                         	       0        4        0        4        0
51241 php-patchwork-utf8                 	       0        1        0        1        0
51242 php-pclzip                         	       0        6        0        6        0
51243 php-pgsql                          	       0       48        0        0       48
51244 php-phar-io-manifest               	       0        3        0        3        0
51245 php-phar-io-version                	       0        4        0        4        0
51246 php-php-gettext                    	       0       36        3       33        0
51247 php-phpdbg                         	       0        2        0        2        0
51248 php-phpdocumentor-reflection-common	       0        2        0        2        0
51249 php-phpdocumentor-reflection-docblock	       0        2        0        2        0
51250 php-phpdocumentor-type-resolver    	       0        2        0        2        0
51251 php-phpoption                      	       0        1        0        1        0
51252 php-phpseclib                      	       0       53        7       46        0
51253 php-phpspec-prophecy               	       0        2        0        2        0
51254 php-pimple                         	       0        2        0        2        0
51255 php-predis                         	       0        1        0        1        0
51256 php-proxy-manager                  	       0        1        0        1        0
51257 php-pspell                         	       0       14        0        0       14
51258 php-psr-http-client                	       0        7        0        7        0
51259 php-psr-simple-cache               	       0        9        2        7        0
51260 php-ramsey-collection              	       0        1        0        1        0
51261 php-ramsey-uuid                    	       0        1        0        1        0
51262 php-random-compat                  	       0        6        1        5        0
51263 php-raphf                          	       0        3        0        0        3
51264 php-react-promise                  	       0       42        3       39        0
51265 php-readline                       	       0       11        0        0       11
51266 php-recode                         	       0        2        0        0        2
51267 php-redis                          	       0       25        1        1       23
51268 php-sabre-dav                      	       0        2        0        2        0
51269 php-sabre-dav-2.1                  	       0        1        0        1        0
51270 php-sabre-event                    	       0        1        0        1        0
51271 php-sabre-http-3                   	       0        1        0        1        0
51272 php-sabre-vobject                  	       0        2        0        2        0
51273 php-sabre-vobject-3                	       0        1        0        1        0
51274 php-sabredav                       	       0        1        0        0        1
51275 php-sass                           	       0        1        1        0        0
51276 php-seclib                         	       0        9        0        9        0
51277 php-services-weather               	       0        1        1        0        0
51278 php-smbclient                      	       0        3        1        1        1
51279 php-smbclient-all-dev              	       0        1        0        0        1
51280 php-snmp                           	       0        5        0        0        5
51281 php-soap                           	       0       44        0        0       44
51282 php-sqlite3                        	       0       46        0        0       46
51283 php-ssh2                           	       0        7        1        2        4
51284 php-swiftmailer                    	       0        2        0        2        0
51285 php-symfony                        	       0        1        0        1        0
51286 php-symfony-amqp-messenger         	       0        1        0        1        0
51287 php-symfony-asset                  	       0        1        0        1        0
51288 php-symfony-browser-kit            	       0        1        0        1        0
51289 php-symfony-class-loader           	       0        3        0        3        0
51290 php-symfony-contracts              	       0        1        0        1        0
51291 php-symfony-debug                  	       0        5        0        5        0
51292 php-symfony-debug-bundle           	       0        1        0        1        0
51293 php-symfony-doctrine-bridge        	       0        1        0        1        0
51294 php-symfony-doctrine-messenger     	       0        1        0        1        0
51295 php-symfony-dom-crawler            	       0        3        0        3        0
51296 php-symfony-dotenv                 	       0        1        0        1        0
51297 php-symfony-error-handler          	       0        2        0        2        0
51298 php-symfony-event-dispatcher       	       0        4        0        4        0
51299 php-symfony-event-dispatcher-contracts	       0        4        0        4        0
51300 php-symfony-form                   	       0        1        0        1        0
51301 php-symfony-framework-bundle       	       0        1        0        1        0
51302 php-symfony-http-client            	       0        1        0        1        0
51303 php-symfony-http-client-contracts  	       0        1        0        1        0
51304 php-symfony-http-foundation        	       0        2        0        2        0
51305 php-symfony-http-kernel            	       0        2        0        2        0
51306 php-symfony-inflector              	       0        1        0        1        0
51307 php-symfony-intl                   	       0        1        0        1        0
51308 php-symfony-ldap                   	       0        1        0        1        0
51309 php-symfony-lock                   	       0        1        0        1        0
51310 php-symfony-mailer                 	       0        2        0        2        0
51311 php-symfony-messenger              	       0        1        0        1        0
51312 php-symfony-monolog-bridge         	       0        1        0        1        0
51313 php-symfony-notifier               	       0        1        0        1        0
51314 php-symfony-options-resolver       	       0        1        0        1        0
51315 php-symfony-password-hasher        	       0        1        0        1        0
51316 php-symfony-polyfill-mbstring      	       0        3        0        3        0
51317 php-symfony-polyfill-php55         	       0        1        0        1        0
51318 php-symfony-polyfill-php81         	       0        1        0        1        0
51319 php-symfony-property-access        	       0        2        0        2        0
51320 php-symfony-property-info          	       0        1        0        1        0
51321 php-symfony-proxy-manager-bridge   	       0        1        0        1        0
51322 php-symfony-rate-limiter           	       0        1        0        1        0
51323 php-symfony-redis-messenger        	       0        1        0        1        0
51324 php-symfony-routing                	       0        3        0        3        0
51325 php-symfony-security-bundle        	       0        1        0        1        0
51326 php-symfony-security-core          	       0        1        0        1        0
51327 php-symfony-security-csrf          	       0        1        0        1        0
51328 php-symfony-security-guard         	       0        1        0        1        0
51329 php-symfony-security-http          	       0        1        0        1        0
51330 php-symfony-semaphore              	       0        1        0        1        0
51331 php-symfony-serializer             	       0        2        0        2        0
51332 php-symfony-stopwatch              	       0        1        0        1        0
51333 php-symfony-templating             	       0        1        0        1        0
51334 php-symfony-translation            	       0        2        0        2        0
51335 php-symfony-translation-contracts  	       0        2        0        2        0
51336 php-symfony-twig-bridge            	       0        1        0        1        0
51337 php-symfony-twig-bundle            	       0        1        0        1        0
51338 php-symfony-uid                    	       0        1        0        1        0
51339 php-symfony-validator              	       0        1        0        1        0
51340 php-symfony-var-dumper             	       0        2        0        2        0
51341 php-symfony-web-link               	       0        1        0        1        0
51342 php-symfony-web-profiler-bundle    	       0        1        0        1        0
51343 php-symfony-workflow               	       0        1        0        1        0
51344 php-text-password                  	       0        1        0        1        0
51345 php-text-template                  	       0        3        0        3        0
51346 php-tideways                       	       0        2        1        0        1
51347 php-tidy                           	       0        8        0        0        8
51348 php-timer                          	       0        3        0        3        0
51349 php-token-stream                   	       0        2        0        2        0
51350 php-tokenizer                      	       0        4        0        4        0
51351 php-twig-doc                       	       0        2        0        0        2
51352 php-twig-extensions                	       0        2        0        2        0
51353 php-uploadprogress                 	       0        5        1        3        1
51354 php-uuid                           	       0        6        0        0        6
51355 php-validate                       	       0        1        0        1        0
51356 php-vlucas-phpdotenv               	       0        1        0        1        0
51357 php-voku-portable-ascii            	       0        1        0        1        0
51358 php-wikidiff2                      	       0       13        3       10        0
51359 php-wpcli                          	       0        1        0        1        0
51360 php-xdebug                         	       0       11        0        2        9
51361 php-xml                            	       0      256        0        0      256
51362 php-xml-dtd                        	       0        1        0        1        0
51363 php-xml-htmlsax3                   	       0        1        0        1        0
51364 php-xml-parser                     	       0        3        1        2        0
51365 php-xml-serializer                 	       0        2        1        1        0
51366 php-xmlrpc                         	       0        7        0        0        7
51367 php-xmlrpc-all-dev                 	       0        1        0        0        1
51368 php-yaml                           	       0       12        1        1       10
51369 php-zend-eventmanager              	       0        1        0        1        0
51370 php-zend-hydrator                  	       0        1        0        1        0
51371 php-zend-stdlib                    	       0        1        0        1        0
51372 php-zip                            	       0      137        0        0      137
51373 php-zmq                            	       0        1        0        0        1
51374 php5                               	       0       35        0        0       35
51375 php5-apcu                          	       0        8        0        8        0
51376 php5-cgi                           	       0       10        2        8        0
51377 php5-cli                           	       0       58       12       46        0
51378 php5-common                        	       0       62       12       50        0
51379 php5-curl                          	       0       14        5        9        0
51380 php5-dev                           	       0        4        0        4        0
51381 php5-fpm                           	       0        5        1        4        0
51382 php5-gd                            	       0       32        5       26        1
51383 php5-geoip                         	       0        1        1        0        0
51384 php5-imagick                       	       0        3        0        3        0
51385 php5-imap                          	       0        6        1        5        0
51386 php5-intl                          	       0       10        1        9        0
51387 php5-json                          	       0       59       12       46        1
51388 php5-ldap                          	       0        4        0        4        0
51389 php5-mcrypt                        	       0       15        2       12        1
51390 php5-memcache                      	       0        1        1        0        0
51391 php5-mysql                         	       0       37        6       30        1
51392 php5-mysqlnd                       	       0        2        0        2        0
51393 php5-pgsql                         	       0        8        0        8        0
51394 php5-pspell                        	       0        3        1        2        0
51395 php5-readline                      	       0       53       10       42        1
51396 php5-recode                        	       0        2        0        2        0
51397 php5-rrd                           	       0        1        1        0        0
51398 php5-sasl                          	       0        1        0        1        0
51399 php5-snmp                          	       0        1        0        1        0
51400 php5-sqlite                        	       0        7        0        7        0
51401 php5-ssh2                          	       0        1        0        1        0
51402 php5-tidy                          	       0        3        0        3        0
51403 php5-xcache                        	       0        2        0        2        0
51404 php5-xmlrpc                        	       0        2        0        2        0
51405 php5-xsl                           	       0        2        1        1        0
51406 php5.6                             	       0        7        0        0        7
51407 php5.6-apcu                        	       0        3        1        2        0
51408 php5.6-bcmath                      	       0        1        0        1        0
51409 php5.6-bz2                         	       0        2        1        1        0
51410 php5.6-cgi                         	       0        5        2        3        0
51411 php5.6-cli                         	       0       12        5        7        0
51412 php5.6-common                      	       0       13        5        8        0
51413 php5.6-curl                        	       0        9        5        4        0
51414 php5.6-dba                         	       0        1        0        1        0
51415 php5.6-dev                         	       0        1        1        0        0
51416 php5.6-enchant                     	       0        1        0        1        0
51417 php5.6-fpm                         	       0        5        1        4        0
51418 php5.6-gd                          	       0       10        4        6        0
51419 php5.6-gmp                         	       0        1        0        1        0
51420 php5.6-imagick                     	       0        1        0        1        0
51421 php5.6-imap                        	       0        4        1        3        0
51422 php5.6-intl                        	       0        6        3        3        0
51423 php5.6-json                        	       0       12        5        7        0
51424 php5.6-ldap                        	       0        3        0        3        0
51425 php5.6-mbstring                    	       0        9        3        6        0
51426 php5.6-mbstring-dbgsym             	       0        1        0        1        0
51427 php5.6-mcrypt                      	       0        4        2        2        0
51428 php5.6-mysql                       	       0        8        4        4        0
51429 php5.6-odbc                        	       0        1        0        1        0
51430 php5.6-opcache                     	       0       12        5        7        0
51431 php5.6-pgsql                       	       0        3        0        3        0
51432 php5.6-pspell                      	       0        3        2        1        0
51433 php5.6-readline                    	       0       12        5        7        0
51434 php5.6-recode                      	       0        1        0        1        0
51435 php5.6-snmp                        	       0        1        0        1        0
51436 php5.6-soap                        	       0        1        0        1        0
51437 php5.6-sqlite3                     	       0        1        0        1        0
51438 php5.6-sqlite3-dbgsym              	       0        1        0        1        0
51439 php5.6-sybase                      	       0        3        1        2        0
51440 php5.6-tidy                        	       0        1        0        1        0
51441 php5.6-xdebug                      	       0        2        1        1        0
51442 php5.6-xml                         	       0        8        4        4        0
51443 php5.6-xmlrpc                      	       0        5        3        2        0
51444 php5.6-xsl                         	       0        3        0        0        3
51445 php5.6-yaml                        	       0        1        0        1        0
51446 php5.6-zip                         	       0        6        4        2        0
51447 php7-mapi                          	       0        1        1        0        0
51448 php7.0                             	       0       21        0        0       21
51449 php7.0-apcu                        	       0        4        1        3        0
51450 php7.0-bcmath                      	       0        2        1        1        0
51451 php7.0-bz2                         	       0       14        5        9        0
51452 php7.0-cgi                         	       0        6        1        5        0
51453 php7.0-cli                         	       0       46       12       34        0
51454 php7.0-common                      	       0       51       12       39        0
51455 php7.0-curl                        	       0       14        5        9        0
51456 php7.0-dba                         	       0        1        1        0        0
51457 php7.0-dev                         	       0        2        0        2        0
51458 php7.0-fpm                         	       0       12        1       11        0
51459 php7.0-gd                          	       0       23        8       15        0
51460 php7.0-geoip                       	       0        1        1        0        0
51461 php7.0-gmp                         	       0        1        1        0        0
51462 php7.0-igbinary                    	       0        1        1        0        0
51463 php7.0-imap                        	       0        8        1        7        0
51464 php7.0-intl                        	       0       14        3       11        0
51465 php7.0-json                        	       0       46       12       34        0
51466 php7.0-ldap                        	       0        3        1        2        0
51467 php7.0-mbstring                    	       0       32        9       23        0
51468 php7.0-mcrypt                      	       0       12        4        8        0
51469 php7.0-memcached                   	       0        1        1        0        0
51470 php7.0-msgpack                     	       0        1        1        0        0
51471 php7.0-mysql                       	       0       21        8       13        0
51472 php7.0-odbc                        	       0        1        0        1        0
51473 php7.0-opcache                     	       0       46       12       34        0
51474 php7.0-pgsql                       	       0        6        1        5        0
51475 php7.0-phpdbg                      	       0        2        0        2        0
51476 php7.0-pspell                      	       0        6        2        4        0
51477 php7.0-readline                    	       0       46       12       34        0
51478 php7.0-recode                      	       0        4        0        4        0
51479 php7.0-snmp                        	       0        1        0        1        0
51480 php7.0-soap                        	       0        9        3        6        0
51481 php7.0-sqlite3                     	       0       11        3        8        0
51482 php7.0-tidy                        	       0        5        1        4        0
51483 php7.0-xml                         	       0       40       10       30        0
51484 php7.0-xmlrpc                      	       0        7        0        7        0
51485 php7.0-xsl                         	       0        4        0        0        4
51486 php7.0-zip                         	       0       17        6       11        0
51487 php7.1                             	       0        2        0        0        2
51488 php7.1-apcu                        	       0        2        1        1        0
51489 php7.1-bcmath                      	       0        1        0        1        0
51490 php7.1-cgi                         	       0        2        0        2        0
51491 php7.1-cli                         	       0        6        1        5        0
51492 php7.1-common                      	       0        9        1        8        0
51493 php7.1-curl                        	       0        2        0        2        0
51494 php7.1-fpm                         	       0        2        0        2        0
51495 php7.1-gd                          	       0        3        0        3        0
51496 php7.1-imagick                     	       0        1        0        1        0
51497 php7.1-imap                        	       0        1        0        1        0
51498 php7.1-intl                        	       0        1        0        1        0
51499 php7.1-json                        	       0        6        1        5        0
51500 php7.1-mbstring                    	       0        3        0        3        0
51501 php7.1-mysql                       	       0        4        0        4        0
51502 php7.1-opcache                     	       0        6        1        5        0
51503 php7.1-phpdbg                      	       0        2        0        2        0
51504 php7.1-readline                    	       0        6        1        5        0
51505 php7.1-soap                        	       0        1        0        1        0
51506 php7.1-xdebug                      	       0        1        0        1        0
51507 php7.1-xml                         	       0        4        0        4        0
51508 php7.1-xmlrpc                      	       0        1        0        1        0
51509 php7.1-xsl                         	       0        1        0        0        1
51510 php7.1-zip                         	       0        2        0        2        0
51511 php7.2                             	       0        2        0        0        2
51512 php7.2-apcu                        	       0        2        1        1        0
51513 php7.2-bcmath                      	       0        1        1        0        0
51514 php7.2-bz2                         	       0        1        1        0        0
51515 php7.2-cgi                         	       0        2        0        2        0
51516 php7.2-cli                         	       0        5        2        3        0
51517 php7.2-common                      	       0        6        2        4        0
51518 php7.2-curl                        	       0        1        1        0        0
51519 php7.2-fpm                         	       0        1        0        1        0
51520 php7.2-gd                          	       0        3        1        2        0
51521 php7.2-imap                        	       0        2        0        2        0
51522 php7.2-intl                        	       0        2        1        1        0
51523 php7.2-json                        	       0        5        2        3        0
51524 php7.2-mbstring                    	       0        4        1        3        0
51525 php7.2-mysql                       	       0        4        1        3        0
51526 php7.2-opcache                     	       0        5        2        3        0
51527 php7.2-phpdbg                      	       0        1        0        1        0
51528 php7.2-pspell                      	       0        2        1        1        0
51529 php7.2-readline                    	       0        5        2        3        0
51530 php7.2-recode                      	       0        2        1        1        0
51531 php7.2-soap                        	       0        1        0        1        0
51532 php7.2-sqlite3                     	       0        2        1        1        0
51533 php7.2-tidy                        	       0        1        0        1        0
51534 php7.2-xml                         	       0        4        1        3        0
51535 php7.2-xmlrpc                      	       0        2        1        1        0
51536 php7.2-xsl                         	       0        2        0        0        2
51537 php7.2-zip                         	       0        4        1        3        0
51538 php7.3                             	       0       31        0        0       31
51539 php7.3-apcu                        	       0        7        2        5        0
51540 php7.3-apcu-bc                     	       0        1        0        1        0
51541 php7.3-bcmath                      	       0        7        3        4        0
51542 php7.3-bz2                         	       0        8        3        5        0
51543 php7.3-cgi                         	       0       10        1        9        0
51544 php7.3-cli                         	       0       58        8       50        0
51545 php7.3-common                      	       0       59        8       51        0
51546 php7.3-curl                        	       0       30        4       26        0
51547 php7.3-dba                         	       0        1        0        1        0
51548 php7.3-dev                         	       0        1        0        1        0
51549 php7.3-enchant                     	       0        2        1        1        0
51550 php7.3-fpm                         	       0       12        2       10        0
51551 php7.3-gd                          	       0       32        5       27        0
51552 php7.3-gmp                         	       0        7        3        4        0
51553 php7.3-igbinary                    	       0        2        0        2        0
51554 php7.3-imagick                     	       0        1        0        1        0
51555 php7.3-imap                        	       0        9        2        7        0
51556 php7.3-intl                        	       0       25        4       21        0
51557 php7.3-json                        	       0       58        8       50        0
51558 php7.3-ldap                        	       0        3        1        2        0
51559 php7.3-mbstring                    	       0       33        4       29        0
51560 php7.3-memcache                    	       0        1        0        1        0
51561 php7.3-memcached                   	       0        1        0        1        0
51562 php7.3-msgpack                     	       0        1        0        1        0
51563 php7.3-mysql                       	       0       36        6       30        0
51564 php7.3-odbc                        	       0        1        0        1        0
51565 php7.3-opcache                     	       0       58        8       50        0
51566 php7.3-pgsql                       	       0       14        3       11        0
51567 php7.3-phpdbg                      	       0        4        1        3        0
51568 php7.3-pspell                      	       0        6        2        4        0
51569 php7.3-readline                    	       0       58        8       50        0
51570 php7.3-recode                      	       0        4        1        3        0
51571 php7.3-redis                       	       0        2        1        1        0
51572 php7.3-snmp                        	       0        1        0        1        0
51573 php7.3-soap                        	       0       11        4        7        0
51574 php7.3-sqlite3                     	       0       12        1       11        0
51575 php7.3-sqlite3-dbgsym              	       0        1        0        1        0
51576 php7.3-tidy                        	       0        4        0        4        0
51577 php7.3-xml                         	       0       39        6       33        0
51578 php7.3-xmlrpc                      	       0        7        1        6        0
51579 php7.3-xsl                         	       0        6        0        0        6
51580 php7.3-zip                         	       0       23        4       19        0
51581 php7.4                             	       0       58        0        0       58
51582 php7.4-apcu                        	       0       10        2        8        0
51583 php7.4-apcu-bc                     	       0        4        1        3        0
51584 php7.4-apcu-bc-dbgsym              	       0        1        0        1        0
51585 php7.4-apcu-dbgsym                 	       0        1        0        1        0
51586 php7.4-bcmath                      	       0       19        3       16        0
51587 php7.4-bz2                         	       0       24        5       19        0
51588 php7.4-cgi                         	       0       15        3       12        0
51589 php7.4-cli                         	       0      110       23       87        0
51590 php7.4-common                      	       0      114       27       87        0
51591 php7.4-curl                        	       0       56       10       46        0
51592 php7.4-dba                         	       0        1        0        1        0
51593 php7.4-dev                         	       0        7        1        6        0
51594 php7.4-enchant                     	       0        1        0        1        0
51595 php7.4-fpm                         	       0       33        4       29        0
51596 php7.4-gd                          	       0       65       13       52        0
51597 php7.4-geoip                       	       0        1        0        1        0
51598 php7.4-gmp                         	       0       15        2       13        0
51599 php7.4-igbinary                    	       0        5        1        4        0
51600 php7.4-imagick                     	       0        6        1        5        0
51601 php7.4-imap                        	       0       19        3       16        0
51602 php7.4-interbase                   	       0        1        0        1        0
51603 php7.4-intl                        	       0       44       11       33        0
51604 php7.4-json                        	       0      110       27       83        0
51605 php7.4-ldap                        	       0       15        3       12        0
51606 php7.4-mbstring                    	       0       66       13       53        0
51607 php7.4-memcache                    	       0        1        0        1        0
51608 php7.4-memcached                   	       0        2        0        2        0
51609 php7.4-msgpack                     	       0        2        0        2        0
51610 php7.4-mysql                       	       0       63       14       49        0
51611 php7.4-odbc                        	       0        3        1        2        0
51612 php7.4-opcache                     	       0      110       27       83        0
51613 php7.4-pgsql                       	       0       22        5       17        0
51614 php7.4-phpdbg                      	       0       10        1        9        0
51615 php7.4-pspell                      	       0       14        2       12        0
51616 php7.4-readline                    	       0      110       27       83        0
51617 php7.4-redis                       	       0        4        1        3        0
51618 php7.4-snmp                        	       0        1        0        1        0
51619 php7.4-soap                        	       0       25        5       20        0
51620 php7.4-sqlite3                     	       0       19        3       16        0
51621 php7.4-sybase                      	       0        1        0        1        0
51622 php7.4-tidy                        	       0       11        1       10        0
51623 php7.4-xdebug                      	       0        1        0        1        0
51624 php7.4-xml                         	       0       73       17       56        0
51625 php7.4-xmlrpc                      	       0       16        2       14        0
51626 php7.4-xsl                         	       0       12        0        0       12
51627 php7.4-yaml                        	       0        2        0        2        0
51628 php7.4-zip                         	       0       51        8       43        0
51629 php8.0                             	       0       11        0        0       11
51630 php8.0-apcu                        	       0        7        2        5        0
51631 php8.0-bcmath                      	       0        4        1        3        0
51632 php8.0-bz2                         	       0        7        1        6        0
51633 php8.0-cgi                         	       0        8        0        8        0
51634 php8.0-cli                         	       0       18        2       16        0
51635 php8.0-common                      	       0       18        2       16        0
51636 php8.0-curl                        	       0       10        2        8        0
51637 php8.0-dev                         	       0        2        0        2        0
51638 php8.0-fpm                         	       0       10        1        9        0
51639 php8.0-gd                          	       0       13        2       11        0
51640 php8.0-gmp                         	       0        4        1        3        0
51641 php8.0-igbinary                    	       0        4        1        3        0
51642 php8.0-imagick                     	       0        4        2        2        0
51643 php8.0-imap                        	       0        7        0        7        0
51644 php8.0-intl                        	       0       13        2       11        0
51645 php8.0-ldap                        	       0        1        1        0        0
51646 php8.0-mbstring                    	       0       13        2       11        0
51647 php8.0-memcache                    	       0        1        0        1        0
51648 php8.0-memcached                   	       0        1        0        1        0
51649 php8.0-msgpack                     	       0        1        0        1        0
51650 php8.0-mysql                       	       0       12        2       10        0
51651 php8.0-opcache                     	       0       18        2       16        0
51652 php8.0-pgsql                       	       0        2        1        1        0
51653 php8.0-pspell                      	       0        8        1        7        0
51654 php8.0-readline                    	       0       18        2       16        0
51655 php8.0-redis                       	       0        3        1        2        0
51656 php8.0-soap                        	       0       10        1        9        0
51657 php8.0-sqlite3                     	       0        8        1        7        0
51658 php8.0-tidy                        	       0        7        1        6        0
51659 php8.0-xml                         	       0       15        2       13        0
51660 php8.0-xsl                         	       0        7        0        0        7
51661 php8.0-zip                         	       0       11        2        9        0
51662 php8.1                             	       0       11        0        0       11
51663 php8.1-apcu                        	       0        7        1        6        0
51664 php8.1-apcu-dbgsym                 	       0        2        0        2        0
51665 php8.1-bcmath                      	       0        8        0        8        0
51666 php8.1-bcmath-dbgsym               	       0        1        0        1        0
51667 php8.1-bz2                         	       0        6        2        4        0
51668 php8.1-bz2-dbgsym                  	       0        1        0        1        0
51669 php8.1-cgi                         	       0        5        0        5        0
51670 php8.1-cgi-dbgsym                  	       0        1        0        1        0
51671 php8.1-cli                         	       0       15        2       13        0
51672 php8.1-cli-dbgsym                  	       0        1        0        1        0
51673 php8.1-common                      	       0       19        2       17        0
51674 php8.1-common-dbgsym               	       0        1        0        1        0
51675 php8.1-curl                        	       0       15        2       13        0
51676 php8.1-curl-dbgsym                 	       0        1        0        1        0
51677 php8.1-dba                         	       0        1        0        1        0
51678 php8.1-dba-dbgsym                  	       0        1        0        1        0
51679 php8.1-dev                         	       0        1        0        1        0
51680 php8.1-enchant                     	       0        1        0        1        0
51681 php8.1-enchant-dbgsym              	       0        1        0        1        0
51682 php8.1-fpm                         	       0        7        0        7        0
51683 php8.1-fpm-dbgsym                  	       0        1        0        1        0
51684 php8.1-gd                          	       0       15        2       13        0
51685 php8.1-gd-dbgsym                   	       0        1        0        1        0
51686 php8.1-gmp                         	       0        8        0        8        0
51687 php8.1-gmp-dbgsym                  	       0        1        0        1        0
51688 php8.1-igbinary                    	       0        5        0        5        0
51689 php8.1-igbinary-dbgsym             	       0        1        0        1        0
51690 php8.1-imagick                     	       0        7        2        5        0
51691 php8.1-imap                        	       0        8        0        8        0
51692 php8.1-imap-dbgsym                 	       0        1        0        1        0
51693 php8.1-interbase                   	       0        1        0        1        0
51694 php8.1-interbase-dbgsym            	       0        1        0        1        0
51695 php8.1-intl                        	       0       12        2       10        0
51696 php8.1-intl-dbgsym                 	       0        1        0        1        0
51697 php8.1-ldap                        	       0        2        0        2        0
51698 php8.1-ldap-dbgsym                 	       0        1        0        1        0
51699 php8.1-lz4                         	       0        1        0        1        0
51700 php8.1-maxminddb                   	       0        1        0        1        0
51701 php8.1-maxminddb-dbgsym            	       0        1        0        1        0
51702 php8.1-mbstring                    	       0       15        2       13        0
51703 php8.1-mbstring-dbgsym             	       0        1        0        1        0
51704 php8.1-mcrypt                      	       0        2        0        2        0
51705 php8.1-memcache                    	       0        2        0        2        0
51706 php8.1-memcached                   	       0        2        0        2        0
51707 php8.1-msgpack                     	       0        2        0        2        0
51708 php8.1-mysql                       	       0       13        2       11        0
51709 php8.1-mysql-dbgsym                	       0        1        0        1        0
51710 php8.1-oauth                       	       0        1        0        1        0
51711 php8.1-odbc                        	       0        1        0        1        0
51712 php8.1-odbc-dbgsym                 	       0        1        0        1        0
51713 php8.1-opcache                     	       0       15        2       13        0
51714 php8.1-opcache-dbgsym              	       0        1        0        1        0
51715 php8.1-pgsql                       	       0        6        1        5        0
51716 php8.1-pgsql-dbgsym                	       0        1        0        1        0
51717 php8.1-phpdbg                      	       0        1        0        1        0
51718 php8.1-phpdbg-dbgsym               	       0        1        0        1        0
51719 php8.1-pspell                      	       0        6        1        5        0
51720 php8.1-pspell-dbgsym               	       0        1        0        1        0
51721 php8.1-readline                    	       0       15        2       13        0
51722 php8.1-readline-dbgsym             	       0        1        0        1        0
51723 php8.1-redis                       	       0        3        0        3        0
51724 php8.1-redis-dbgsym                	       0        1        0        1        0
51725 php8.1-snmp                        	       0        3        0        3        0
51726 php8.1-snmp-dbgsym                 	       0        1        0        1        0
51727 php8.1-soap                        	       0        8        0        8        0
51728 php8.1-soap-dbgsym                 	       0        1        0        1        0
51729 php8.1-sqlite3                     	       0        8        0        8        0
51730 php8.1-sqlite3-dbgsym              	       0        1        0        1        0
51731 php8.1-sybase                      	       0        1        0        1        0
51732 php8.1-sybase-dbgsym               	       0        1        0        1        0
51733 php8.1-tidy                        	       0        5        0        5        0
51734 php8.1-tidy-dbgsym                 	       0        1        0        1        0
51735 php8.1-xdebug                      	       0        2        0        2        0
51736 php8.1-xml                         	       0       14        2       12        0
51737 php8.1-xml-dbgsym                  	       0        1        0        1        0
51738 php8.1-xsl                         	       0        5        0        0        5
51739 php8.1-yaml                        	       0        3        0        3        0
51740 php8.1-yaml-dbgsym                 	       0        1        0        1        0
51741 php8.1-zip                         	       0       13        2       11        0
51742 php8.1-zip-dbgsym                  	       0        1        0        1        0
51743 php8.2                             	       0      164        0        0      164
51744 php8.2-apcu                        	       0       57       15       42        0
51745 php8.2-apcu-dbgsym                 	       0        1        0        1        0
51746 php8.2-dba                         	       0        1        1        0        0
51747 php8.2-ds                          	       0        1        0        1        0
51748 php8.2-enchant                     	       0        3        1        2        0
51749 php8.2-fpm                         	       0       73       26       47        0
51750 php8.2-gmagick                     	       0        3        2        1        0
51751 php8.2-gmp                         	       0       55       15       40        0
51752 php8.2-gnupg                       	       0        4        3        1        0
51753 php8.2-gnupg-dbgsym                	       0        1        0        1        0
51754 php8.2-http                        	       0        6        0        6        0
51755 php8.2-igbinary                    	       0       36       12       24        0
51756 php8.2-igbinary-dbgsym             	       0        1        0        1        0
51757 php8.2-imagick                     	       0       74       19       55        0
51758 php8.2-imap                        	       0       35       11       24        0
51759 php8.2-interbase                   	       0        1        1        0        0
51760 php8.2-intl                        	       0      132       32      100        0
51761 php8.2-lz4                         	       0        1        0        1        0
51762 php8.2-maxminddb                   	       0        1        1        0        0
51763 php8.2-memcache                    	       0       15        5       10        0
51764 php8.2-memcached                   	       0       16        7        9        0
51765 php8.2-msgpack                     	       0       18        7       11        0
51766 php8.2-oauth                       	       0        1        1        0        0
51767 php8.2-odbc                        	       0        2        1        1        0
51768 php8.2-pgsql                       	       0       42       16       26        0
51769 php8.2-pspell                      	       0       20        8       12        0
51770 php8.2-raphf                       	       0        6        1        5        0
51771 php8.2-redis                       	       0       27        6       21        0
51772 php8.2-snmp                        	       0        4        1        3        0
51773 php8.2-sqlite3                     	       0       42        9       33        0
51774 php8.2-ssh2                        	       0        3        1        2        0
51775 php8.2-sybase                      	       0        1        1        0        0
51776 php8.2-tideways                    	       0        1        0        1        0
51777 php8.2-tidy                        	       0       15        5       10        0
51778 php8.2-uploadprogress              	       0        5        1        4        0
51779 php8.2-uuid                        	       0        6        2        4        0
51780 php8.2-xdebug                      	       0       10        3        7        0
51781 php8.2-xmlrpc                      	       0       10        6        4        0
51782 php8.2-xsl                         	       0       12        0        0       12
51783 php8.2-yaml                        	       0       11        2        9        0
51784 php8.2-yaml-dbgsym                 	       0        1        0        1        0
51785 php8.2-zmq                         	       0        1        0        1        0
51786 php8.3                             	       0        8        0        0        8
51787 php8.3-apcu                        	       0        3        1        2        0
51788 php8.3-bcmath                      	       0        7        2        5        0
51789 php8.3-bz2                         	       0        7        2        5        0
51790 php8.3-cgi                         	       0        1        0        1        0
51791 php8.3-cli                         	       0       11        3        8        0
51792 php8.3-common                      	       0       11        3        8        0
51793 php8.3-curl                        	       0       10        3        7        0
51794 php8.3-fpm                         	       0        4        1        3        0
51795 php8.3-gd                          	       0       11        3        8        0
51796 php8.3-gmp                         	       0        6        1        5        0
51797 php8.3-igbinary                    	       0        2        0        2        0
51798 php8.3-imagick                     	       0        9        3        6        0
51799 php8.3-imap                        	       0        5        1        4        0
51800 php8.3-intl                        	       0       10        3        7        0
51801 php8.3-ldap                        	       0        4        1        3        0
51802 php8.3-lz4                         	       0        1        0        1        0
51803 php8.3-mbstring                    	       0       11        3        8        0
51804 php8.3-memcache                    	       0        2        0        2        0
51805 php8.3-memcached                   	       0        1        0        1        0
51806 php8.3-msgpack                     	       0        1        0        1        0
51807 php8.3-mysql                       	       0        8        3        5        0
51808 php8.3-opcache                     	       0       11        3        8        0
51809 php8.3-pgsql                       	       0        6        2        4        0
51810 php8.3-phpdbg                      	       0        4        0        4        0
51811 php8.3-pspell                      	       0        1        1        0        0
51812 php8.3-readline                    	       0       11        3        8        0
51813 php8.3-redis                       	       0        2        0        2        0
51814 php8.3-snmp                        	       0        1        0        1        0
51815 php8.3-soap                        	       0        2        0        2        0
51816 php8.3-sqlite3                     	       0        1        0        1        0
51817 php8.3-ssh2                        	       0        1        1        0        0
51818 php8.3-xdebug                      	       0        2        0        2        0
51819 php8.3-xml                         	       0       10        3        7        0
51820 php8.3-yaml                        	       0        1        0        1        0
51821 php8.3-zip                         	       0        9        3        6        0
51822 php8.4                             	       0        4        0        0        4
51823 php8.4-apcu                        	       0        4        2        2        0
51824 php8.4-bcmath                      	       0        3        2        1        0
51825 php8.4-bz2                         	       0        2        1        1        0
51826 php8.4-cli                         	       0        8        4        4        0
51827 php8.4-common                      	       0        9        4        5        0
51828 php8.4-curl                        	       0        4        2        2        0
51829 php8.4-fpm                         	       0        1        1        0        0
51830 php8.4-gd                          	       0        3        2        1        0
51831 php8.4-gnupg                       	       0        1        1        0        0
51832 php8.4-http                        	       0        1        1        0        0
51833 php8.4-igbinary                    	       0        3        1        2        0
51834 php8.4-imagick                     	       0        5        2        3        0
51835 php8.4-imap                        	       0        1        1        0        0
51836 php8.4-intl                        	       0        2        2        0        0
51837 php8.4-ldap                        	       0        1        1        0        0
51838 php8.4-libvirt-php                 	       0        1        0        1        0
51839 php8.4-mailparse                   	       0        1        1        0        0
51840 php8.4-mbstring                    	       0        3        2        1        0
51841 php8.4-mcrypt                      	       0        2        1        1        0
51842 php8.4-memcache                    	       0        2        1        1        0
51843 php8.4-mysql                       	       0        3        2        1        0
51844 php8.4-oauth                       	       0        1        1        0        0
51845 php8.4-opcache                     	       0        8        4        4        0
51846 php8.4-pgsql                       	       0        1        1        0        0
51847 php8.4-phpdbg                      	       0        4        0        4        0
51848 php8.4-ps                          	       0        1        1        0        0
51849 php8.4-raphf                       	       0        1        1        0        0
51850 php8.4-readline                    	       0        8        4        4        0
51851 php8.4-redis                       	       0        3        1        2        0
51852 php8.4-smbclient                   	       0        1        1        0        0
51853 php8.4-snmp                        	       0        1        1        0        0
51854 php8.4-soap                        	       0        2        2        0        0
51855 php8.4-sqlite3                     	       0        1        1        0        0
51856 php8.4-ssh2                        	       0        3        2        1        0
51857 php8.4-tidy                        	       0        2        2        0        0
51858 php8.4-uuid                        	       0        2        2        0        0
51859 php8.4-xml                         	       0        3        2        1        0
51860 php8.4-xmlrpc                      	       0        2        2        0        0
51861 php8.4-xsl                         	       0        1        0        0        1
51862 php8.4-yaml                        	       0        1        1        0        0
51863 php8.4-zip                         	       0        3        2        1        0
51864 phpldapadmin                       	       0        3        0        3        0
51865 phpliteadmin                       	       0        3        0        3        0
51866 phppgadmin                         	       0        6        0        6        0
51867 phpqrcode                          	       0        3        0        3        0
51868 phpsysinfo                         	       0        3        1        2        0
51869 phpunit                            	       0        2        0        2        0
51870 phpunit-cli-parser                 	       0        2        0        2        0
51871 phpunit-code-unit                  	       0        2        0        2        0
51872 phpunit-code-unit-reverse-lookup   	       0        2        0        2        0
51873 phpunit-comparator                 	       0        3        0        3        0
51874 phpunit-complexity                 	       0        2        0        2        0
51875 phpunit-diff                       	       0        3        0        3        0
51876 phpunit-environment                	       0        3        0        3        0
51877 phpunit-exporter                   	       0        3        0        3        0
51878 phpunit-global-state               	       0        3        0        3        0
51879 phpunit-lines-of-code              	       0        2        0        2        0
51880 phpunit-object-enumerator          	       0        2        0        2        0
51881 phpunit-object-reflector           	       0        3        0        3        0
51882 phpunit-recursion-context          	       0        3        0        3        0
51883 phpunit-resource-operations        	       0        2        0        2        0
51884 phpunit-type                       	       0        2        0        2        0
51885 phpunit-version                    	       0        3        0        3        0
51886 phybin                             	       0        1        0        1        0
51887 phylip                             	       0        4        0        4        0
51888 phyml                              	       0        5        0        5        0
51889 physlock                           	       0        1        0        1        0
51890 phyutility                         	       0        1        0        1        0
51891 pi                                 	       0        6        0        6        0
51892 pia                                	       0       27        2       25        0
51893 pianobar                           	       0        2        0        2        0
51894 pianobooster                       	       0       11        2        9        0
51895 picard-tools                       	       0        5        0        5        0
51896 picasm                             	       0        1        0        1        0
51897 pick                               	       0        1        0        1        0
51898 pico-sdk-source                    	       0        1        0        1        0
51899 picolibc-arm-none-eabi             	       0        2        0        0        2
51900 picolibc-riscv64-unknown-elf       	       0        3        0        0        3
51901 picolisp                           	       0        6        0        6        0
51902 picon-domains                      	       0        2        0        2        0
51903 picon-misc                         	       0        2        0        2        0
51904 picon-news                         	       0        1        0        0        1
51905 picon-unknown                      	       0        2        0        0        2
51906 picon-usenix                       	       0        1        0        0        1
51907 picon-users                        	       0        2        0        0        2
51908 picon-weather                      	       0        3        0        0        3
51909 picosat                            	       0        1        0        1        0
51910 picoscope                          	       0        4        0        4        0
51911 picotool                           	       0        1        0        1        0
51912 picprog                            	       0        1        0        1        0
51913 pidcat                             	       0        1        0        1        0
51914 pidentd                            	       0        7        1        6        0
51915 pidentd-des                        	       0        1        0        0        1
51916 pidgin-audacious                   	       0        5        0        0        5
51917 pidgin-awayonlock                  	       0        5        0        0        5
51918 pidgin-blinklight                  	       0        5        0        5        0
51919 pidgin-build-deps                  	       0        1        0        0        1
51920 pidgin-data                        	       0      425        5        9      411
51921 pidgin-dbgsym                      	       0        1        0        1        0
51922 pidgin-dev                         	       0        4        0        4        0
51923 pidgin-encryption                  	       0        8        0        8        0
51924 pidgin-extprefs                    	       0       17        1       16        0
51925 pidgin-festival                    	       0        6        0        6        0
51926 pidgin-gnome-keyring               	       0       11        1       10        0
51927 pidgin-guifications                	       0       14        3       11        0
51928 pidgin-hotkeys                     	       0        9        1        8        0
51929 pidgin-lastfm                      	       0        3        0        3        0
51930 pidgin-latex                       	       0        8        0        8        0
51931 pidgin-libnotify                   	       0        4        0        4        0
51932 pidgin-librvp                      	       0        5        0        1        4
51933 pidgin-mattermost                  	       0        1        0        1        0
51934 pidgin-mpris                       	       0        2        0        2        0
51935 pidgin-mra                         	       0        4        0        4        0
51936 pidgin-mra-dbg                     	       0        2        0        2        0
51937 pidgin-nateon                      	       0        4        0        1        3
51938 pidgin-nateon-dbg                  	       0        2        0        2        0
51939 pidgin-openfetion                  	       0        1        0        1        0
51940 pidgin-openpgp                     	       0        3        0        3        0
51941 pidgin-opensteamworks              	       0        1        0        1        0
51942 pidgin-otr                         	       0       25        1       24        0
51943 pidgin-plugin-pack                 	       0       31        4       24        3
51944 pidgin-privacy-please              	       0       11        0        1       10
51945 pidgin-sipe                        	       0        9        1        8        0
51946 pidgin-skype                       	       0        6        0        1        5
51947 pidgin-skype-common                	       0        7        0        7        0
51948 pidgin-skype-dbg                   	       0        1        0        1        0
51949 pidgin-themes                      	       0       17        0        0       17
51950 piglit                             	       0        1        0        1        0
51951 pigpio                             	       0        1        0        0        1
51952 pigpio-tools                       	       0        2        0        2        0
51953 pigpiod                            	       0        1        0        1        0
51954 pikchr                             	       0        1        0        1        0
51955 pike7.8                            	       0        1        0        0        1
51956 pike7.8-core                       	       0        2        0        2        0
51957 pike7.8-dev                        	       0        1        0        1        0
51958 pike7.8-doc                        	       0        2        0        0        2
51959 pike7.8-gdbm                       	       0        1        0        1        0
51960 pike7.8-image                      	       0        2        0        2        0
51961 pike7.8-manual                     	       0        2        0        0        2
51962 pike7.8-mysql                      	       0        1        0        1        0
51963 pike7.8-pcre                       	       0        1        0        1        0
51964 pike7.8-pg                         	       0        1        0        1        0
51965 pike7.8-reference                  	       0        2        0        0        2
51966 pike7.8-sdl                        	       0        1        0        1        0
51967 pike7.8-svg                        	       0        1        0        1        0
51968 pike8.0                            	       0        4        0        0        4
51969 pike8.0-core                       	       0        8        0        8        0
51970 pike8.0-dev                        	       0        5        0        5        0
51971 pike8.0-doc                        	       0        3        0        0        3
51972 pike8.0-fuse                       	       0        1        0        1        0
51973 pike8.0-gdbm                       	       0        4        0        4        0
51974 pike8.0-gtk                        	       0        1        0        1        0
51975 pike8.0-image                      	       0        6        0        6        0
51976 pike8.0-mysql                      	       0        4        0        4        0
51977 pike8.0-pcre                       	       0        4        0        4        0
51978 pike8.0-pg                         	       0        4        0        4        0
51979 pike8.0-sdl                        	       0        1        0        1        0
51980 pikopixel.app                      	       0        3        0        3        0
51981 piler                              	       0        1        0        1        0
51982 pilot                              	       0        3        0        3        0
51983 pilot-link                         	       0        6        0        6        0
51984 pinball                            	       0       18        0       18        0
51985 pinball-data                       	       0       18        0        0       18
51986 pinball-table-gnu                  	       0        5        0        0        5
51987 pinball-table-gnu-data             	       0        5        0        0        5
51988 pinball-table-hurd                 	       0        5        0        0        5
51989 pinball-table-hurd-data            	       0        5        0        0        5
51990 pinentry-doc                       	       0       20        0        0       20
51991 pinentry-fltk                      	       0        6        0        6        0
51992 pinentry-qt4                       	       0        9        0        9        0
51993 pinentry-tty                       	       0       32        0       32        0
51994 pinentry-x2go                      	       0        3        0        3        0
51995 pingus-data                        	       0       34        0        0       34
51996 pinhole                            	       0        2        0        2        0
51997 pink-pony                          	       0        2        0        2        0
51998 pink-pony-data                     	       0        2        0        0        2
51999 pinokio                            	       0        3        0        3        0
52000 pinot                              	       0        1        0        1        0
52001 pinpoint                           	       0        4        0        4        0
52002 pinta                              	       0        8        0        8        0
52003 pinthread                          	       0        3        0        0        3
52004 pioasm                             	       0        1        0        1        0
52005 pioneers                           	       0       14        1       13        0
52006 pioneers-console                   	       0       14        1       13        0
52007 pioneers-console-data              	       0       14        0        1       13
52008 pioneers-data                      	       0       14        0        0       14
52009 pioneers-meta-server               	       0        1        0        0        1
52010 pioneers-metaserver                	       0        3        0        3        0
52011 pip-check-reqs                     	       0        5        0        5        0
52012 pipebench                          	       0       24        0       24        0
52013 pipemeter                          	       0        5        0        5        0
52014 pipenightdreams                    	       0        9        0        9        0
52015 pipenightdreams-data               	       0        9        0        0        9
52016 pipenv                             	       0       17        0       17        0
52017 piper                              	       0        9        0        9        0
52018 pipes-sh                           	       0        3        0        3        0
52019 pipette-stt                        	       0        2        0        2        0
52020 pipewalker                         	       0       11        0       11        0
52021 pipewire-alsa                      	       0      173        6       19      148
52022 pipewire-audio                     	       0      163        0        0      163
52023 pipewire-doc                       	       0       22        0        0       22
52024 pipewire-libcamera                 	       0       19        0        9       10
52025 pipewire-media-session             	       0       27        0       27        0
52026 pipewire-media-session-pulseaudio  	       0        1        0        0        1
52027 pipewire-module-xrdp               	       0        7        0        0        7
52028 pipewire-tests                     	       0       14        0        0       14
52029 pipewire-v4l2                      	       0       24        0       24        0
52030 piratewallet-lite                  	       0        1        0        1        0
52031 pirs                               	       0        1        0        1        0
52032 pirs-profiles                      	       0        1        0        0        1
52033 pisg                               	       0        1        1        0        0
52034 pitcheddelay-lv2                   	       0        1        0        1        0
52035 pithos                             	       0        3        0        3        0
52036 pitivi                             	       0       29        1       28        0
52037 piu-piu                            	       0        4        0        4        0
52038 piuparts                           	       0       23        0       23        0
52039 piuparts-common                    	       0       23        0       23        0
52040 piuparts-slave                     	       0        1        0        1        0
52041 pius                               	       0        2        0        2        0
52042 pixbros                            	       0        1        0        1        0
52043 pixelize                           	       0        2        0        2        0
52044 pixelmed-apps                      	       0        2        0        2        0
52045 pixfrogger                         	       0        1        0        1        0
52046 pixmap                             	       0        4        0        4        0
52047 pizmidi-plugins                    	       0        1        0        1        0
52048 pk-update-icon                     	       0        3        1        0        2
52049 pkcs11-data                        	       0        2        0        2        0
52050 pkg                                	       0        2        0        2        0
52051 pkg-components                     	       0        1        0        1        0
52052 pkg-js-autopkgtest                 	       0        1        0        0        1
52053 pkg-js-tools                       	       0        1        0        1        0
52054 pkg-kde-tools                      	       0       76        1       75        0
52055 pkg-mozilla-archive-keyring        	       0       15        0        0       15
52056 pkg-my-archive-keyring             	       0        1        0        0        1
52057 pkg-perl-tools                     	       0        1        0        1        0
52058 pkgdiff                            	       0        5        0        5        0
52059 pkglab                             	       0        1        0        1        0
52060 pktools                            	       0        4        0        4        0
52061 pktools-dev                        	       0        2        0        2        0
52062 pktstat                            	       0        5        0        5        0
52063 pkwalify                           	       0        2        0        2        0
52064 placnet                            	       0        1        0        1        0
52065 plakativ                           	       0        4        0        4        0
52066 plan                               	       0        7        0        7        0
52067 planarity                          	       0       10        0       10        0
52068 planetblupi                        	       0        3        0        3        0
52069 planetblupi-common                 	       0        3        0        0        3
52070 planetblupi-music-ogg              	       0        3        0        0        3
52071 planetpenguin-racer                	       0        1        0        0        1
52072 planetpenguin-racer-extras         	       0        1        0        0        1
52073 planner                            	       0       28        1       27        0
52074 planner-data                       	       0       30        0        0       30
52075 planner-dev                        	       0        1        0        1        0
52076 planner-doc                        	       0       28        0        0       28
52077 plantuml                           	       0       28        0       28        0
52078 plasma-applet-redshift-control     	       0        5        0        1        4
52079 plasma-bigscreen                   	       0        2        0        2        0
52080 plasma-calendar-addons             	       0        6        0        0        6
52081 plasma-containments-addons         	       0        4        1        3        0
52082 plasma-dataengines-workspace       	       0        4        1        3        0
52083 plasma-dataengines-yawp            	       0        1        0        1        0
52084 plasma-desktop-dev                 	       0        7        0        0        7
52085 plasma-desktop-doc                 	       0       21        0        0       21
52086 plasma-desktopthemes-artwork       	       0        4        0        0        4
52087 plasma-discover-backend-snap       	       0        6        1        5        0
52088 plasma-framework-dev               	       0        1        0        0        1
52089 plasma-gamemode                    	       0        6        1        4        1
52090 plasma-gmailfeed                   	       0        1        0        0        1
52091 plasma-kdevelop                    	       0       12        0        2       10
52092 plasma-marble                      	       0        3        0        1        2
52093 plasma-mediacenter                 	       0        4        0        0        4
52094 plasma-nano                        	       0        2        0        0        2
52095 plasma-netbook                     	       0        1        0        1        0
52096 plasma-pass                        	       0        1        0        1        0
52097 plasma-runner-installer            	       0        9        0        1        8
52098 plasma-runner-telepathy-contact    	       0        8        0        3        5
52099 plasma-scriptengine-googlegadgets  	       0        1        0        1        0
52100 plasma-scriptengine-javascript     	       0       42        1       41        0
52101 plasma-scriptengine-python         	       0        2        1        1        0
52102 plasma-scriptengine-qedje          	       0        1        0        1        0
52103 plasma-scriptengine-ruby           	       0        3        0        0        3
52104 plasma-scriptengine-superkaramba   	       0        4        1        3        0
52105 plasma-scriptengine-webkit         	       0        6        1        5        0
52106 plasma-scriptengines               	       0        2        0        0        2
52107 plasma-settings                    	       0        2        0        2        0
52108 plasma-theme-oxygen                	       0       40        0        0       40
52109 plasma-wayland-protocols           	       0       10        0        0       10
52110 plasma-welcome                     	       0       25        4       21        0
52111 plasma-widget-cwp                  	       0        1        0        1        0
52112 plasma-widget-folderview           	       0        4        1        3        0
52113 plasma-widget-lancelot             	       0        3        1        2        0
52114 plasma-widget-message-indicator    	       0        1        0        1        0
52115 plasma-widget-networkmanagement    	       0        1        0        0        1
52116 plasma-widget-x2go                 	       0        1        0        0        1
52117 plasma-widget-yawp                 	       0        1        0        1        0
52118 plasma-widgets-workspace           	       0        4        1        3        0
52119 plasma-workspace-doc               	       0       24        0        0       24
52120 plasma-workspace-wallpapers        	       0       57        0        0       57
52121 plasma5-integration                	       0       25        3        8       14
52122 plasmidomics                       	       0        1        0        1        0
52123 plaso                              	       0       14        0        1       13
52124 plast                              	       0        1        0        1        0
52125 plastex                            	       0        3        0        3        0
52126 plastic                            	       0        1        0        1        0
52127 plastimatch                        	       0        2        0        2        0
52128 plater                             	       0        6        0        6        0
52129 platformio                         	       0        1        0        1        0
52130 platformio-doc                     	       0        1        0        0        1
52131 play.it                            	       0        1        0        1        0
52132 playdeb                            	       0        1        0        0        1
52133 playitslowly                       	       0        2        0        2        0
52134 playmidi                           	       0       16        0       16        0
52135 plc-utils                          	       0        1        0        1        0
52136 plee-the-bear                      	       0        4        0        4        0
52137 plee-the-bear-data                 	       0        4        0        0        4
52138 plexmediaserver                    	       0        5        2        3        0
52139 plextor-tool                       	       0        1        0        1        0
52140 plink                              	       0        2        0        2        0
52141 plink1.9                           	       0        1        0        1        0
52142 ploop                              	       0        1        0        1        0
52143 plopfolio.app                      	       0        1        0        1        0
52144 plotdrop                           	       0        1        0        1        0
52145 ploticus                           	       0        3        0        3        0
52146 plotnetcfg                         	       0        1        0        1        0
52147 plotutils                          	       0        8        1        7        0
52148 plou                               	       0        1        0        1        0
52149 plover                             	       0        2        0        2        0
52150 plowshare                          	       0        2        0        2        0
52151 plowshare-modules                  	       0        1        0        0        1
52152 plowshare4                         	       0        1        0        0        1
52153 plplot-doc                         	       0        2        0        0        2
52154 plplot-driver-cairo                	       0        4        0        0        4
52155 plplot-driver-qt                   	       0        5        0        0        5
52156 plplot-driver-wxwidgets            	       0        5        0        0        5
52157 plplot-driver-xwin                 	       0        6        0        0        6
52158 plplot-examples                    	       0        1        0        1        0
52159 plplot-tcl                         	       0        4        0        0        4
52160 pluginlib-dev                      	       0        1        0        0        1
52161 pluma-dev                          	       0        1        0        1        0
52162 pluma-doc                          	       0        5        0        0        5
52163 pluma-plugin-bookmarks             	       0        1        0        0        1
52164 pluma-plugin-bracketcompletion     	       0        1        0        0        1
52165 pluma-plugin-codecomment           	       0        1        0        0        1
52166 pluma-plugin-quickhighlight        	       0        1        0        0        1
52167 pluma-plugin-smartspaces           	       0        1        0        0        1
52168 pluma-plugin-sourcecodebrowser     	       0        1        0        0        1
52169 pluma-plugin-synctex               	       0        2        0        0        2
52170 pluma-plugin-terminal              	       0        1        0        0        1
52171 pluma-plugin-wordcompletion        	       0        1        0        0        1
52172 pluma-plugins                      	       0        1        0        0        1
52173 pluma-plugins-common               	       0        2        0        0        2
52174 plume-creator                      	       0        4        0        4        0
52175 pluto-keyring                      	       0        1        0        0        1
52176 plutonia-wad                       	       0        3        0        0        3
52177 plymouth                           	       0       40        4       36        0
52178 plymouth-label                     	       0       28        0        0       28
52179 plymouth-theme-breeze              	       0       12        0        0       12
52180 plymouth-theme-hamara              	       0        2        0        0        2
52181 plymouth-themes                    	       0       26        0        0       26
52182 plymouth-x11                       	       0        6        0        0        6
52183 pmacct                             	       0        2        0        2        0
52184 pmailq                             	       0        1        0        1        0
52185 pmars                              	       0        2        0        2        0
52186 pmbootstrap                        	       0        1        0        1        0
52187 pmccabe                            	       0        7        0        7        0
52188 pmidi                              	       0       19        0       19        0
52189 pms                                	       0        3        0        3        0
52190 pmtools                            	       0        2        0        2        0
52191 pmw                                	       0        1        0        1        0
52192 pmx                                	       0        2        0        1        1
52193 png-definitive-guide               	       0       15        0        0       15
52194 png22pnm                           	       0        1        0        1        0
52195 png23d                             	       0        5        0        5        0
52196 png2html                           	       0        5        0        5        0
52197 png2pdf                            	       0        1        0        1        0
52198 pngcrush                           	       0       49        1       48        0
52199 pngmcposterize                     	       0        1        0        1        0
52200 pngmeta                            	       0       10        0       10        0
52201 pngnq                              	       0       12        1       11        0
52202 pngnq-s9                           	       0        1        0        1        0
52203 pngoptimizer                       	       0        1        0        1        0
52204 pngout                             	       0        1        0        1        0
52205 pngphoon                           	       0        5        0        5        0
52206 pngquadtree                        	       0        1        0        1        0
52207 pngtools                           	       0       19        0       19        0
52208 pnm2ppa                            	       0        6        0        0        6
52209 pnmtodjvurle                       	       0        1        0        1        0
52210 pnp.ids                            	       0        1        0        0        1
52211 pnp4nagios                         	       0        1        0        0        1
52212 pnp4nagios-bin                     	       0        1        1        0        0
52213 pnp4nagios-web                     	       0        1        1        0        0
52214 pnp4nagios-web-config-nagios3      	       0        1        0        1        0
52215 pnpbios-tools                      	       0        1        0        0        1
52216 pnputils                           	       0        1        0        1        0
52217 pnscan                             	       0       26        0       26        0
52218 po4a                               	       0       28        1       27        0
52219 po4a-build-deps                    	       0        2        0        0        2
52220 poa                                	       0        3        0        3        0
52221 poc-streamer                       	       0        3        0        3        0
52222 pocketnet                          	       0        3        0        2        1
52223 pocketsphinx                       	       0       13        1       12        0
52224 pocketsphinx-en-us                 	       0     2803        0        0     2803
52225 pocketsphinx-testdata              	       0        1        0        0        1
52226 pocl-doc                           	       0        1        0        0        1
52227 pocl-opencl-icd                    	       0       54        0        0       54
52228 pod2pandoc                         	       0        3        0        3        0
52229 pod2pdf                            	       0        3        0        3        0
52230 podget                             	       0        7        2        5        0
52231 podman-compose                     	       0       14        0       14        0
52232 podman-desktop-companion           	       0        1        0        0        1
52233 podman-docker                      	       0        6        0        6        0
52234 podman-remote                      	       0        1        0        1        0
52235 podman-toolbox                     	       0        3        0        3        0
52236 podpisgov                          	       0        1        0        1        0
52237 podsleuth                          	       0        1        0        1        0
52238 poe.app                            	       0        1        0        1        0
52239 poedit                             	       0       14        0       14        0
52240 poedit-common                      	       0       14        0        0       14
52241 poezio                             	       0        1        0        1        0
52242 poke                               	       0        2        0        2        0
52243 poke-elf                           	       0        2        0        0        2
52244 pokerth                            	       0       16        0       16        0
52245 pokerth-data                       	       0       17        0        0       17
52246 polari                             	       0       14        0       14        0
52247 polenum                            	       0       23        0       23        0
52248 policy-rcd-declarative             	       0        1        0        1        0
52249 policy-rcd-declarative-deny-all    	       0        1        0        0        1
52250 policycoreutils                    	       0      103       13       90        0
52251 policycoreutils-dbus               	       0        1        0        0        1
52252 policycoreutils-dev                	       0        4        0        4        0
52253 policycoreutils-gui                	       0        1        0        1        0
52254 policycoreutils-python-utils       	       0        4        0        4        0
52255 policyd-weight                     	       0        1        1        0        0
52256 policykit                          	       0        1        0        1        0
52257 policykit-1-dbgsym                 	       0        1        0        1        0
52258 policykit-1-doc                    	       0       17        0        0       17
52259 policykit-1-gnome-dbgsym           	       0        1        0        1        0
52260 policykit-gnome                    	       0        1        0        1        0
52261 policyrcd-script-zg2               	       0        2        0        2        0
52262 polipo                             	       0        4        1        3        0
52263 polkit-kde-1                       	       0       11        1        2        8
52264 polybar                            	       0       43        5       38        0
52265 polychromatic                      	       0        2        0        0        2
52266 polychromatic-cli                  	       0        2        0        2        0
52267 polychromatic-common               	       0        2        1        1        0
52268 polychromatic-controller           	       0        2        0        2        0
52269 polychromatic-tray-applet          	       0        2        1        1        0
52270 polygen                            	       0        6        0        6        0
52271 polygen-data                       	       0        6        0        6        0
52272 polyglot                           	       0       12        0       12        0
52273 polyglot-linear-a                  	       0        1        0        1        0
52274 polylib-utils                      	       0        1        0        1        0
52275 polymake                           	       0        3        0        3        0
52276 polymake-common                    	       0        3        0        3        0
52277 polyml                             	       0        2        0        2        0
52278 polyml-modules                     	       0        2        0        0        2
52279 polyorb-servers                    	       0        1        0        1        0
52280 polyphone                          	       0        7        0        7        0
52281 pommed                             	       0        3        0        3        0
52282 pomodoro-logger                    	       0        1        0        0        1
52283 pompem                             	       0       24        1       23        0
52284 ponyprog                           	       0        5        0        5        0
52285 poorman-ids                        	       0        1        0        1        0
52286 popa3d                             	       0        1        0        1        0
52287 popcorn-time                       	       0        2        0        2        0
52288 populations                        	       0        1        0        1        0
52289 poretools                          	       0        1        0        1        0
52290 porg                               	       0        3        0        3        0
52291 portaudio19-dev                    	       0       47        1       46        0
52292 portaudio19-doc                    	       0        1        0        1        0
52293 portmap                            	       0        1        0        1        0
52294 portofino                          	       0        1        0        0        1
52295 portproton                         	       0        2        0        2        0
52296 portsentry                         	       0        3        1        2        0
52297 posh                               	       0        4        0        4        0
52298 positron                           	       0        1        0        1        0
52299 posixtestsuite                     	       0        1        0        0        1
52300 post-el                            	       0        1        0        1        0
52301 postal                             	       0        1        0        1        0
52302 postbird                           	       0        1        0        0        1
52303 posterazor                         	       0       19        2       17        0
52304 postfix-doc                        	       0       37        0        0       37
52305 postfix-gld                        	       0        1        0        1        0
52306 postfix-ldap                       	       0        9        0        9        0
52307 postfix-lmdb                       	       0        4        0        4        0
52308 postfix-mta-sts-resolver           	       0       26        5       21        0
52309 postfix-mysql                      	       0       28        5       23        0
52310 postfix-pgsql                      	       0       10        3        7        0
52311 postfix-policyd-spf-perl           	       0        6        2        4        0
52312 postfix-policyd-spf-python         	       0        9        1        8        0
52313 postfixadmin                       	       0        3        1        2        0
52314 postfwd                            	       0        1        0        1        0
52315 postgis                            	       0       21        1       20        0
52316 postgis-doc                        	       0       21        0        0       21
52317 postgis-gui                        	       0        1        0        1        0
52318 postgrespro-1c-13                  	       0        1        0        0        1
52319 postgrespro-1c-13-client           	       0        1        0        1        0
52320 postgrespro-1c-13-contrib          	       0        1        0        1        0
52321 postgrespro-1c-13-libs             	       0        1        0        0        1
52322 postgrespro-1c-13-server           	       0        1        0        1        0
52323 postgresql                         	       0      183        0        0      183
52324 postgresql-10                      	       0        5        3        2        0
52325 postgresql-10-postgis-2.4          	       0        1        0        1        0
52326 postgresql-10-postgis-2.4-scripts  	       0        1        0        0        1
52327 postgresql-11-postgis-2.5          	       0        4        1        3        0
52328 postgresql-11-postgis-2.5-scripts  	       0        4        0        0        4
52329 postgresql-11-repmgr               	       0        1        0        1        0
52330 postgresql-11-rum                  	       0        1        0        1        0
52331 postgresql-11-slony1-2             	       0        1        0        1        0
52332 postgresql-12                      	       0        4        1        3        0
52333 postgresql-12-ip4r                 	       0        1        0        1        0
52334 postgresql-12-postgis-3            	       0        1        0        1        0
52335 postgresql-12-postgis-3-scripts    	       0        1        0        0        1
52336 postgresql-13                      	       0       89       24       65        0
52337 postgresql-13-cron                 	       0        1        0        1        0
52338 postgresql-13-postgis-3            	       0        7        0        7        0
52339 postgresql-13-postgis-3-scripts    	       0        7        0        0        7
52340 postgresql-13-repmgr               	       0        1        0        1        0
52341 postgresql-13-slony1-2             	       0        1        0        1        0
52342 postgresql-14                      	       0        6        3        3        0
52343 postgresql-14-debversion           	       0        1        0        1        0
52344 postgresql-14-first-last-agg       	       0        1        0        1        0
52345 postgresql-14-ip4r                 	       0        1        0        1        0
52346 postgresql-14-mimeo                	       0        1        0        1        0
52347 postgresql-14-mysql-fdw            	       0        1        0        1        0
52348 postgresql-14-numeral              	       0        1        0        1        0
52349 postgresql-14-orafce               	       0        1        0        1        0
52350 postgresql-14-pgrouting            	       0        1        0        1        0
52351 postgresql-14-pgrouting-doc        	       0        1        0        0        1
52352 postgresql-14-pgrouting-scripts    	       0        1        0        0        1
52353 postgresql-14-pldebugger           	       0        1        0        1        0
52354 postgresql-14-postgis-3            	       0        2        0        2        0
52355 postgresql-14-postgis-3-dbgsym     	       0        1        0        1        0
52356 postgresql-14-postgis-3-scripts    	       0        2        0        0        2
52357 postgresql-14-rum                  	       0        1        0        1        0
52358 postgresql-15                      	       0      118       34       84        0
52359 postgresql-15-ip4r                 	       0        1        0        1        0
52360 postgresql-15-jsquery              	       0        1        0        1        0
52361 postgresql-15-plpgsql-check        	       0        1        0        1        0
52362 postgresql-15-postgis-3            	       0        8        1        7        0
52363 postgresql-15-postgis-3-scripts    	       0        8        0        0        8
52364 postgresql-15-repmgr               	       0        1        0        1        0
52365 postgresql-15-slony1-2             	       0        1        0        1        0
52366 postgresql-16                      	       0       15        5       10        0
52367 postgresql-16-auto-failover        	       0        1        0        1        0
52368 postgresql-16-timescaledb          	       0        1        0        1        0
52369 postgresql-17-pgvector             	       0        1        0        1        0
52370 postgresql-17-postgis-3-scripts    	       0        2        0        0        2
52371 postgresql-8.3                     	       0        1        1        0        0
52372 postgresql-8.4                     	       0        2        1        1        0
52373 postgresql-9.1                     	       0        3        2        1        0
52374 postgresql-9.3                     	       0        2        0        2        0
52375 postgresql-9.4                     	       0       26        6       20        0
52376 postgresql-9.4-postgis-scripts     	       0        1        0        0        1
52377 postgresql-9.6                     	       0       38        4       34        0
52378 postgresql-9.6-postgis-2.3         	       0        2        0        2        0
52379 postgresql-9.6-postgis-2.3-scripts 	       0        2        0        0        2
52380 postgresql-9.6-preprepare          	       0        1        0        1        0
52381 postgresql-all                     	       0        4        0        0        4
52382 postgresql-autodoc                 	       0        3        0        3        0
52383 postgresql-client                  	       0       90        0        0       90
52384 postgresql-client-10               	       0        5        1        4        0
52385 postgresql-client-12               	       0        8        0        8        0
52386 postgresql-client-14               	       0       12        2       10        0
52387 postgresql-client-16               	       0       22        2       20        0
52388 postgresql-client-8.3              	       0        1        0        1        0
52389 postgresql-client-8.4              	       0        2        0        2        0
52390 postgresql-client-9.1              	       0        5        1        4        0
52391 postgresql-client-9.2              	       0        1        1        0        0
52392 postgresql-client-9.3              	       0        3        0        3        0
52393 postgresql-client-9.4              	       0       31        3       28        0
52394 postgresql-client-9.5              	       0        1        1        0        0
52395 postgresql-client-9.6              	       0       56        2       54        0
52396 postgresql-comparator              	       0        5        0        5        0
52397 postgresql-contrib                 	       0       31        0        0       31
52398 postgresql-contrib-8.4             	       0        1        0        1        0
52399 postgresql-contrib-9.1             	       0        2        0        2        0
52400 postgresql-contrib-9.4             	       0        8        1        7        0
52401 postgresql-contrib-9.6             	       0       36        2       34        0
52402 postgresql-doc                     	       0       24        0        0       24
52403 postgresql-doc-10                  	       0        1        0        0        1
52404 postgresql-doc-11                  	       0        7        0        0        7
52405 postgresql-doc-13                  	       0       13        0        0       13
52406 postgresql-doc-15                  	       0       19        0        0       19
52407 postgresql-doc-16                  	       0        5        0        0        5
52408 postgresql-doc-17                  	       0        5        0        0        5
52409 postgresql-doc-9.1                 	       0        3        0        0        3
52410 postgresql-doc-9.4                 	       0        5        0        0        5
52411 postgresql-doc-9.6                 	       0        8        0        0        8
52412 postgresql-filedump                	       0        3        0        3        0
52413 postgresql-filedump-8.3            	       0        1        0        1        0
52414 postgresql-pgsphere                	       0        2        0        2        0
52415 postgresql-plperl-12               	       0        1        0        1        0
52416 postgresql-plperl-13               	       0        5        0        5        0
52417 postgresql-plperl-15               	       0        2        0        2        0
52418 postgresql-plperl-9.1              	       0        1        0        1        0
52419 postgresql-plpython-11             	       0        1        0        1        0
52420 postgresql-plpython3-10            	       0        1        0        1        0
52421 postgresql-plpython3-11            	       0        3        0        3        0
52422 postgresql-plpython3-12            	       0        1        0        1        0
52423 postgresql-plpython3-13            	       0        4        0        4        0
52424 postgresql-plpython3-15            	       0        3        0        3        0
52425 postgresql-pltcl-12                	       0        1        0        1        0
52426 postgresql-pltcl-13                	       0        4        0        4        0
52427 postgresql-pltcl-15                	       0        3        0        3        0
52428 postgresql-pltcl-8.4               	       0        1        0        1        0
52429 postgresql-pltcl-9.1               	       0        2        0        2        0
52430 postgresql-pltcl-9.4               	       0        1        0        1        0
52431 postgresql-postgis                 	       0        8        0        0        8
52432 postgresql-postgis-scripts         	       0        8        0        0        8
52433 postgresql-q3c                     	       0        2        0        2        0
52434 postgresql-server-dev-10           	       0        1        0        1        0
52435 postgresql-server-dev-11           	       0        3        0        3        0
52436 postgresql-server-dev-12           	       0        2        0        2        0
52437 postgresql-server-dev-13           	       0        9        0        9        0
52438 postgresql-server-dev-14           	       0        1        0        1        0
52439 postgresql-server-dev-15           	       0        9        0        9        0
52440 postgresql-server-dev-16           	       0        1        0        1        0
52441 postgresql-server-dev-17           	       0        1        0        1        0
52442 postgresql-server-dev-9.4          	       0        1        0        1        0
52443 postgresql-server-dev-9.6          	       0        1        0        1        0
52444 postgresql-server-dev-all          	       0        9        0        9        0
52445 postgrey                           	       0       23        1       22        0
52446 postinstall                        	       0        1        0        1        0
52447 postsrsd                           	       0        1        1        0        0
52448 potion                             	       0        1        0        1        0
52449 potool                             	       0        3        0        3        0
52450 potrace                            	       0       64        3       61        0
52451 povray                             	       0       30        0       30        0
52452 povray-doc                         	       0       13        0        0       13
52453 povray-examples                    	       0       16        0        0       16
52454 povray-includes                    	       0       27        0        0       27
52455 powder                             	       0        3        0        3        0
52456 power-calibrate                    	       0        5        0        5        0
52457 powercap-utils                     	       0        4        0        4        0
52458 powerdebug                         	       0        2        0        2        0
52459 powerdevil-dev                     	       0        2        0        0        2
52460 powerkit                           	       0        4        0        4        0
52461 powerline-doc                      	       0        3        0        0        3
52462 powerline-gitstatus                	       0        3        0        0        3
52463 powerman                           	       0        2        0        2        0
52464 powermanga                         	       0       12        1       11        0
52465 powermanga-data                    	       0       12        0        0       12
52466 powerpanel                         	       0        5        0        5        0
52467 powerpc-ibm-utils                  	       0        3        1        2        0
52468 powerpc-utils                      	       0        3        0        0        3
52469 powershell-lts                     	       0        1        0        1        0
52470 powershield                        	       0        1        0        1        0
52471 powerstat                          	       0       17        0       17        0
52472 powersupply-gtk                    	       0        1        0        1        0
52473 powertweak                         	       0        1        0        0        1
52474 powertweak-extra                   	       0        1        0        1        0
52475 powertweak-gtk                     	       0        1        0        1        0
52476 powertweakd                        	       0        1        0        1        0
52477 poxml                              	       0       44        1       43        0
52478 poxml-trinity                      	       0        1        0        1        0
52479 pp-popularity-contest              	       0        1        0        1        0
52480 ppa-purge                          	       0        1        0        1        0
52481 ppcoin                             	       0        1        0        1        0
52482 ppd-files-dkaes                    	       0        3        0        0        3
52483 ppdfilt                            	       0        9        0        9        0
52484 ppdfilt-dbgsym                     	       0        1        0        1        0
52485 ppl-dev                            	       0        9        0        9        0
52486 ppmdescreen                        	       0        1        0        1        0
52487 ppp-gatekeeper                     	       0        1        0        1        0
52488 pppconfig                          	       0       16        1       15        0
52489 pppdcapiplugin                     	       0        1        0        1        0
52490 pppoe                              	       0       34        1       33        0
52491 pppoeconf                          	       0       27        2       25        0
52492 pprompt                            	       0        1        0        0        1
52493 pps-tools                          	       0       11        0       11        0
52494 ppthtml                            	       0        2        1        1        0
52495 pptpd                              	       0        5        0        5        0
52496 pptview                            	       0        1        0        1        0
52497 pqiv                               	       0       21        0       21        0
52498 pqueue                             	       0        1        1        0        0
52499 pr3287                             	       0        1        0        1        0
52500 praat                              	       0       10        0       10        0
52501 prads                              	       0        2        1        1        0
52502 prank                              	       0        4        0        4        0
52503 praw-doc                           	       0        2        0        0        2
52504 prayer                             	       0        2        0        2        0
52505 prayer-accountd                    	       0        2        0        2        0
52506 prayer-templates-dev               	       0        1        0        1        0
52507 prayer-templates-src               	       0        1        0        0        1
52508 prboom                             	       0        1        0        1        0
52509 prboom-plus                        	       0       27        0       10       17
52510 prboom-plus-game-server            	       0        4        0        3        1
52511 pre-commit                         	       0        6        0        6        0
52512 predict                            	       0        1        0        1        0
52513 predict-gsat                       	       0        1        0        1        0
52514 predictnls                         	       0        1        0        1        0
52515 prelink                            	       0       11        0       11        0
52516 prelude-utils                      	       0        4        0        4        0
52517 premail                            	       0        1        0        1        0
52518 premake4                           	       0        5        0        5        0
52519 presage                            	       0       26        0       26        0
52520 presentty                          	       0        1        0        1        0
52521 prettyping                         	       0        5        0        5        0
52522 prevent-networkmanager             	       0        1        0        0        1
52523 prevent-puppet                     	       0        1        0        0        1
52524 prevent-ruby                       	       0        1        0        0        1
52525 prevent-systemd-running            	       0        1        0        0        1
52526 prevent-unattended-upgrades        	       0        1        0        0        1
52527 preview-latex-style                	       0      448        0        0      448
52528 preview.app                        	       0        3        0        3        0
52529 previsat                           	       0        1        0        1        0
52530 price.app                          	       0        7        0        7        0
52531 prime-phylo                        	       0        1        0        1        0
52532 primecount-bin                     	       0        9        0        9        0
52533 primer3                            	       0        4        0        4        0
52534 primesieve-bin                     	       0       13        0       13        0
52535 primesieve-doc                     	       0        1        0        0        1
52536 primrose                           	       0        8        0        8        0
52537 primus                             	       0       12        0       12        0
52538 primus-libs                        	       0       20        0        0       20
52539 primus-libs-ia32                   	       0        1        0        0        1
52540 primus-nvidia                      	       0        6        0        0        6
52541 primus-vk                          	       0        2        0        2        0
52542 primus-vk-nvidia                   	       0        2        0        0        2
52543 prince                             	       0        1        0        1        0
52544 printconf                          	       0        1        0        1        0
52545 printcore                          	       0        9        0        9        0
52546 printemf                           	       0        1        0        1        0
52547 printer-driver-all                 	       0      564        0        0      564
52548 printer-driver-all-enforce         	       0        4        0        0        4
52549 printfilters-ppd                   	       0        2        0        2        0
52550 printmyfonts-stt                   	       0        2        0        2        0
52551 printop                            	       0        2        0        2        0
52552 printrun                           	       0        7        0        1        6
52553 printrun-common                    	       0       10        0       10        0
52554 prips                              	       0        1        0        1        0
52555 prismstumbler                      	       0        1        0        1        0
52556 pristine-lfs                       	       0        3        0        3        0
52557 pritunl-client-electron            	       0        1        0        1        0
52558 privatenotes                       	       0        1        0        1        0
52559 privbind                           	       0        1        0        1        0
52560 privoxy                            	       0       51       15       36        0
52561 prl-nettool                        	       0        1        0        1        0
52562 proalign                           	       0        1        0        1        0
52563 probabel                           	       0        1        0        1        0
52564 probalign                          	       0        4        0        4        0
52565 probcons                           	       0        4        0        4        0
52566 procenv                            	       0        3        0        3        0
52567 procmail-lib                       	       0        4        0        4        0
52568 procmail-wrapper                   	       0        1        0        1        0
52569 procmeter3                         	       0        6        1        5        0
52570 procmon                            	       0        1        0        1        0
52571 procs                              	       0        1        0        1        0
52572 procserv                           	       0        3        0        3        0
52573 proda                              	       0        4        0        4        0
52574 prodigal                           	       0        1        0        1        0
52575 profanity                          	       0       12        1       11        0
52576 profanity-light                    	       0        1        0        1        0
52577 profile-sync-daemon                	       0        2        0        2        0
52578 profisis                           	       0        1        0        1        0
52579 profnet-bval                       	       0        1        0        1        0
52580 profnet-chop                       	       0        1        0        1        0
52581 profnet-con                        	       0        1        0        1        0
52582 profnet-isis                       	       0        1        0        1        0
52583 profnet-md                         	       0        1        0        1        0
52584 profnet-norsnet                    	       0        1        0        1        0
52585 profnet-prof                       	       0        1        0        1        0
52586 profnet-snapfun                    	       0        1        0        1        0
52587 profphd                            	       0        1        0        1        0
52588 profphd-net                        	       0        1        0        1        0
52589 profphd-utils                      	       0        1        0        1        0
52590 proftmb                            	       0        1        0        1        0
52591 proftpd-basic                      	       0       14        1        2       11
52592 proftpd-doc                        	       0       32        0        0       32
52593 proftpd-mod-crypto                 	       0       11        3        8        0
52594 proftpd-mod-geoip                  	       0        2        0        2        0
52595 proftpd-mod-ldap                   	       0        2        0        2        0
52596 proftpd-mod-mysql                  	       0        3        0        3        0
52597 proftpd-mod-odbc                   	       0        1        0        1        0
52598 proftpd-mod-pgsql                  	       0        2        0        2        0
52599 proftpd-mod-snmp                   	       0        1        0        1        0
52600 proftpd-mod-sqlite                 	       0        1        0        1        0
52601 proftpd-mod-wrap                   	       0       11        3        8        0
52602 proguard                           	       0        1        0        0        1
52603 proguard-cli                       	       0       31        0       31        0
52604 proguard-gui                       	       0        3        0        3        0
52605 progvis                            	       0        1        0        1        0
52606 progvis-examples                   	       0        1        0        0        1
52607 proj                               	       0        2        0        0        2
52608 proj-data                          	       0      712        0        0      712
52609 proj-ps-doc                        	       0        1        0        0        1
52610 projectcenter.app                  	       0        1        0        1        0
52611 projectl                           	       0        1        0        1        0
52612 projectlibre                       	       0        4        0        4        0
52613 projectm-data                      	       0       50        0        0       50
52614 projectm-jack                      	       0       11        0       11        0
52615 projectm-pulseaudio                	       0       12        1       11        0
52616 projectm-sdl                       	       0        4        0        4        0
52617 proll                              	       0        2        0        0        2
52618 prometheus                         	       0        5        2        3        0
52619 prometheus-apache-exporter         	       0        1        0        1        0
52620 prometheus-bind-exporter           	       0        1        0        1        0
52621 prometheus-frr-exporter            	       0        1        0        1        0
52622 prometheus-nginx-exporter          	       0        1        0        1        0
52623 prometheus-node-exporter           	       0        8        4        4        0
52624 prometheus-postgres-exporter       	       0        2        0        2        0
52625 prometheus-process-exporter        	       0        1        1        0        0
52626 promoe                             	       0        1        0        1        0
52627 pronsole                           	       0        9        0        9        0
52628 pronterface                        	       0        8        0        8        0
52629 proofgeneral                       	       0        1        0        1        0
52630 proot                              	       0        9        1        8        0
52631 propaganda-debian                  	       0        2        0        0        2
52632 prosody                            	       0       16        3       13        0
52633 prosody-modules                    	       0        1        0        1        0
52634 prosper                            	       0       26        0        0       26
52635 proteinortho                       	       0        1        0        1        0
52636 protobuf-c-compiler                	       0       24        0       24        0
52637 protobuf-compiler-grpc             	       0        4        0        4        0
52638 protobuf-compiler-grpc-java-plugin 	       0        2        0        2        0
52639 protoc-gen-go                      	       0        2        0        2        0
52640 proton-caller                      	       0        9        0        9        0
52641 proton-mail                        	       0        4        0        4        0
52642 proton-vpn-gnome-desktop           	       0       12        0        0       12
52643 protonmail-import-export-app       	       0        1        0        1        0
52644 protontricks                       	       0       19        1       18        0
52645 protonvpn                          	       0        3        0        0        3
52646 protonvpn-cli                      	       0        4        0        4        0
52647 protonvpn-gui                      	       0        2        0        2        0
52648 protonvpn-stable-release           	       0       26        0        0       26
52649 protracker                         	       0        2        0        0        2
52650 protrekkr                          	       0        2        0        2        0
52651 prottest                           	       0        1        0        1        0
52652 prove6                             	       0        8        0        8        0
52653 prover9                            	       0        3        0        3        0
52654 proxmox-archive-keyring            	       0        1        0        0        1
52655 proxmox-auto-install-assistant     	       0        1        0        1        0
52656 proxmox-backup-client              	       0        3        0        3        0
52657 proxmox-backup-restore-image       	       0        1        0        0        1
52658 proxsmtp                           	       0        1        0        1        0
52659 proxychains                        	       0       22        1       21        0
52660 proxychains4                       	       0       10        0       10        0
52661 proxycheck                         	       0        3        0        3        0
52662 proxytunnel                        	       0        3        1        2        0
52663 prt                                	       0        1        0        1        0
52664 prusa-slicer                       	       0       15        2       13        0
52665 prusa-slicer-dbgsym                	       0        1        0        1        0
52666 pry                                	       0        3        1        2        0
52667 ps-watcher                         	       0        1        0        1        0
52668 psad                               	       0        3        1        2        0
52669 psallinux                          	       0        1        0        1        0
52670 psautohint                         	       0        2        0        2        0
52671 psbasic                            	       0        4        0        4        0
52672 pscan                              	       0        1        0        1        0
52673 psensor                            	       0       73        2       71        0
52674 psensor-common                     	       0       75        1        1       73
52675 psensor-server                     	       0        4        0        4        0
52676 pseudo                             	       0        4        0        4        0
52677 psf-unifont                        	       0      112        0        0      112
52678 psfex                              	       0        2        0        2        0
52679 psfontmgr                          	       0        1        0        1        0
52680 psgml                              	       0        7        0        7        0
52681 psi                                	       0       26        0       26        0
52682 psi-l10n                           	       0       20        0        1       19
52683 psi-notify                         	       0        1        1        0        0
52684 psi-plugins                        	       0        4        0        4        0
52685 psi-plus                           	       0       17        1       16        0
52686 psi-plus-common                    	       0       18        0        0       18
52687 psi-plus-dbg                       	       0        1        0        1        0
52688 psi-plus-l10n                      	       0       16        0        1       15
52689 psi-plus-plugin-psimedia           	       0        1        0        1        0
52690 psi-plus-plugins                   	       0       18        1       17        0
52691 psi-plus-skins                     	       0        6        0        0        6
52692 psi-plus-sounds                    	       0       17        0        0       17
52693 psi-plus-webkit                    	       0        4        0        4        0
52694 psi-translations                   	       0        1        0        0        1
52695 psi3                               	       0        2        0        2        0
52696 psi4                               	       0        1        0        1        0
52697 psi4-data                          	       0        1        0        0        1
52698 psignifit                          	       0        2        0        2        0
52699 psk31lx                            	       0        6        0        6        0
52700 pskc-utils                         	       0        1        0        1        0
52701 pskctool                           	       0        1        0        1        0
52702 psl                                	       0        2        0        2        0
52703 psl-make-dafsa                     	       0        1        0        1        0
52704 pslib-dev                          	       0        3        0        3        0
52705 pslib1                             	       0        8        0        0        8
52706 pslist                             	       0        5        0        5        0
52707 pspg                               	       0        9        1        8        0
52708 pssh                               	       0       10        1        9        0
52709 pstack                             	       0        5        0        5        0
52710 pstotext                           	       0       14        0       14        0
52711 psurface                           	       0        1        1        0        0
52712 psychopy                           	       0        1        0        1        0
52713 psychtoolbox-3-common              	       0        3        0        3        0
52714 psychtoolbox-3-lib                 	       0        3        0        3        0
52715 pt2-clone                          	       0        5        0        5        0
52716 pt2-clone-dbgsym                   	       0        1        0        1        0
52717 ptask                              	       0        1        0        1        0
52718 pterm                              	       0       20        0       20        0
52719 ptop                               	       0        1        0        0        1
52720 ptpd                               	       0        3        0        3        0
52721 ptpython                           	       0        1        0        1        0
52722 ptscotch                           	       0        1        0        1        0
52723 ptunnel                            	       0        3        1        2        0
52724 ptunnel-ng                         	       0        1        1        0        0
52725 ptxdist-1.99.13-common             	       0        1        0        0        1
52726 pub2odg                            	       0        2        0        2        0
52727 publib-dev                         	       0        1        0        1        0
52728 publican                           	       0        2        0        2        0
52729 publican-debian                    	       0        2        0        0        2
52730 publicsuffix                       	       0     3559        1        5     3553
52731 publii                             	       0        2        0        2        0
52732 puddletag                          	       0       23        1       22        0
52733 puf                                	       0        4        0        4        0
52734 pugixml-doc                        	       0        1        0        0        1
52735 pulldown-cmark                     	       0        1        0        1        0
52736 pulsar                             	       0        9        1        8        0
52737 pulse                              	       0        1        0        0        1
52738 pulseaudio-build-deps              	       0        1        0        0        1
52739 pulseaudio-dbgsym                  	       0        1        0        1        0
52740 pulseaudio-dlna                    	       0        7        0        7        0
52741 pulseaudio-equalizer               	       0       35        2       33        0
52742 pulseaudio-esound-compat           	       0        3        0        3        0
52743 pulseaudio-module-bluetooth-dbgsym 	       0        1        0        1        0
52744 pulseaudio-module-gconf            	       0       13        0       13        0
52745 pulseaudio-module-jack             	       0       34        3       31        0
52746 pulseaudio-module-lirc             	       0       12        0       12        0
52747 pulseaudio-module-raop             	       0        7        0        7        0
52748 pulseaudio-utils-dbgsym            	       0        1        0        1        0
52749 pump                               	       0        3        0        3        0
52750 pup                                	       0        2        0        2        0
52751 puppet                             	       0       21        1       13        7
52752 puppet-agent                       	       0       18        1       17        0
52753 puppet-common                      	       0       12        0       10        2
52754 puppet-lint                        	       0        2        0        2        0
52755 puppet-master                      	       0        1        0        0        1
52756 puppet-module-heat                 	       0        1        0        1        0
52757 puppet-module-keystone             	       0        1        0        1        0
52758 puppet-module-nanliu-staging       	       0        1        0        1        0
52759 puppet-module-openstacklib         	       0        1        0        1        0
52760 puppet-module-oslo                 	       0        1        0        1        0
52761 puppet-module-puppetlabs-apache    	       0        1        0        1        0
52762 puppet-module-puppetlabs-apt       	       0        1        0        1        0
52763 puppet-module-puppetlabs-augeas-core	       0        1        0        1        0
52764 puppet-module-puppetlabs-concat    	       0        1        0        1        0
52765 puppet-module-puppetlabs-cron-core 	       0        1        0        1        0
52766 puppet-module-puppetlabs-host-core 	       0        1        0        1        0
52767 puppet-module-puppetlabs-inifile   	       0        1        0        1        0
52768 puppet-module-puppetlabs-mount-core	       0        1        0        1        0
52769 puppet-module-puppetlabs-mysql     	       0        1        0        1        0
52770 puppet-module-puppetlabs-postgresql	       0        1        0        1        0
52771 puppet-module-puppetlabs-rabbitmq  	       0        1        0        1        0
52772 puppet-module-puppetlabs-selinux-core	       0        1        0        1        0
52773 puppet-module-puppetlabs-sshkeys-core	       0        1        0        1        0
52774 puppet-module-puppetlabs-stdlib    	       0        1        0        1        0
52775 puppet-terminus-puppetdb           	       0        1        0        1        0
52776 puppetserver                       	       0        1        1        0        0
52777 pure-ftpd                          	       0       12        1       11        0
52778 pure-ftpd-common                   	       0       27        1       26        0
52779 pure-ftpd-ldap                     	       0        1        0        1        0
52780 pure-ftpd-mysql                    	       0       13        2       11        0
52781 puredata                           	       0       20        0        0       20
52782 puredata-common                    	       0        3        0        3        0
52783 puredata-core                      	       0       21        0       21        0
52784 puredata-dev                       	       0       20        0       20        0
52785 puredata-doc                       	       0       20        0        0       20
52786 puredata-extra                     	       0       20        0       20        0
52787 puredata-gui                       	       0       20        0       20        0
52788 puredata-gui-l10n                  	       0       19        0       19        0
52789 puredata-import                    	       0        9        0        9        0
52790 puredata-utils                     	       0       20        0       20        0
52791 purify                             	       0        2        0        2        0
52792 purity                             	       0        4        0        4        0
52793 purity-ng                          	       0        1        0        1        0
52794 purity-off                         	       0        1        0        0        1
52795 purple-discord                     	       0       15        2        3       10
52796 purple-facebook                    	       0        2        0        2        0
52797 purple-libsteam                    	       0        1        0        1        0
52798 purple-libsteam-build-deps         	       0        1        0        0        1
52799 purple-lurch                       	       0        8        2        1        5
52800 purple-matrix                      	       0        3        0        3        0
52801 purple-xmpp-carbons                	       0        3        1        2        0
52802 purple-xmpp-http-upload            	       0        2        0        1        1
52803 pushover                           	       0        5        0        5        0
52804 pushover-data                      	       0        5        0        0        5
52805 putty-doc                          	       0       12        0        0       12
52806 puzzle-jigsaw                      	       0        4        0        4        0
52807 pv-grub-menu                       	       0        1        0        1        0
52808 pvm                                	       0        8        0        8        0
52809 pvrg-jpeg                          	       0        9        0        9        0
52810 pwauth                             	       0        9        0        9        0
52811 pwget                              	       0        5        1        4        0
52812 pwm                                	       0        1        0        1        0
52813 pwndbg                             	       0        1        0        1        0
52814 pwr60                              	       0        1        0        1        0
52815 pwrdemo60                          	       0        1        0        1        0
52816 pwrrt                              	       0        1        0        1        0
52817 px                                 	       0       10        1        9        0
52818 pxe                                	       0        1        0        1        0
52819 pxelinux                           	       0       21        0       21        0
52820 pxfw                               	       0        3        0        3        0
52821 pxlib-dev                          	       0        4        0        4        0
52822 pxlib1                             	       0      137        0        0      137
52823 pxlinux                            	       0        1        0        1        0
52824 pxsl-tools                         	       0        1        0        1        0
52825 pxz                                	       0        8        1        7        0
52826 py-cpuinfo                         	       0        2        0        2        0
52827 pybik                              	       0       11        0       11        0
52828 pybik-bin                          	       0       11        0       11        0
52829 pybind11-dev                       	       0       58        0       58        0
52830 pybind11-doc                       	       0        1        0        0        1
52831 pybootchartgui                     	       0        1        0        1        0
52832 pybtctool                          	       0        1        0        1        0
52833 pybtex                             	       0        2        0        2        0
52834 pybuild-plugin-pyproject           	       0        5        0        0        5
52835 pycadf-common                      	       0        5        0        0        5
52836 pycharm-community                  	       0        1        0        1        0
52837 pychecker                          	       0        2        0        2        0
52838 pychess                            	       0       13        0       13        0
52839 pycode-browser                     	       0        2        0        2        0
52840 pyconfigure                        	       0        1        0        1        0
52841 pycorrfit                          	       0        1        0        1        0
52842 pydevd                             	       0        1        0        1        0
52843 pydf                               	       0       18        3       15        0
52844 pydocstyle                         	       0        3        0        3        0
52845 pydoctor                           	       0        2        0        2        0
52846 pyecm                              	       0        1        0        1        0
52847 pyensembl                          	       0        1        0        1        0
52848 pyflakes                           	       0        3        0        3        0
52849 pyformex-extra                     	       0        1        0        0        1
52850 pygame-build-deps-depends          	       0        1        0        0        1
52851 pygopherd                          	       0        1        0        1        0
52852 pyhoca-gui                         	       0        3        1        2        0
52853 pyjoke                             	       0        1        0        1        0
52854 pykaraoke                          	       0        1        0        1        0
52855 pykaraoke-bin                      	       0        1        0        1        0
52856 pykml                              	       0        3        0        3        0
52857 pykolab                            	       0        1        0        1        0
52858 pylama                             	       0        3        0        3        0
52859 pyliblo-utils                      	       0        3        0        3        0
52860 pylint-doc                         	       0        9        0        0        9
52861 pylint3                            	       0       10        0        3        7
52862 pymacs                             	       0        1        0        1        0
52863 pymca-data                         	       0        2        0        0        2
52864 pymissile                          	       0        1        0        1        0
52865 pymoctool                          	       0        1        0        1        0
52866 pymol                              	       0        9        1        8        0
52867 pymol-data                         	       0        9        0        0        9
52868 pympress                           	       0        1        0        1        0
52869 pynag                              	       0        1        0        1        0
52870 pynagram                           	       0        1        0        1        0
52871 pyneighborhood                     	       0        1        0        1        0
52872 pyosmium                           	       0        1        0        1        0
52873 pyotherside-doc                    	       0        1        0        0        1
52874 pyotherside-tests                  	       0        2        0        0        2
52875 pyp                                	       0        3        1        2        0
52876 pypi2deb                           	       0        2        0        2        0
52877 pyppd                              	       0        1        0        1        0
52878 pypy                               	       0       13        1       12        0
52879 pypy-asn1crypto                    	       0        1        0        1        0
52880 pypy-dev                           	       0        3        0        3        0
52881 pypy-doc                           	       0        2        0        0        2
52882 pypy-lib                           	       0       13        1       12        0
52883 pypy-lib-testsuite                 	       0        2        0        2        0
52884 pypy-pkg-resources                 	       0        3        0        3        0
52885 pypy-setuptools                    	       0        2        0        2        0
52886 pypy-simplejson                    	       0        1        0        1        0
52887 pypy-six                           	       0        2        0        2        0
52888 pypy-stem                          	       0        1        0        1        0
52889 pypy-tk                            	       0        3        0        3        0
52890 pypy3                              	       0       13        2       11        0
52891 pypy3-doc                          	       0        1        0        0        1
52892 pypy3-venv                         	       0        1        0        0        1
52893 pyqi                               	       0        2        0        2        0
52894 pyqso                              	       0        6        0        6        0
52895 pyqt-builder-doc                   	       0        1        0        0        1
52896 pyqt4-dev-tools                    	       0        1        0        1        0
52897 pyqt5-dev                          	       0       24        0       22        2
52898 pyqt5-doc                          	       0        3        0        0        3
52899 pyqt5-examples                     	       0        4        0        0        4
52900 pyqt5.qsci-dev                     	       0        8        0        7        1
52901 pyqt5chart-dev                     	       0        2        0        2        0
52902 pyqt6-charts-dev                   	       0        2        0        2        0
52903 pyqt6-examples                     	       0        2        0        0        2
52904 pyqt6-webengine-dev                	       0        2        0        2        0
52905 pyqt6.qsci-dev                     	       0        2        0        2        0
52906 pyracerz                           	       0        2        0        2        0
52907 pyro4                              	       0        1        0        0        1
52908 pyro4-doc                          	       0        1        0        0        1
52909 pysassc                            	       0        6        0        6        0
52910 pysatellites                       	       0        1        0        1        0
52911 pysdl2-doc                         	       0        2        0        0        2
52912 pyside-tools                       	       0        7        0        7        0
52913 pyside2-tools                      	       0        7        0        7        0
52914 pysiogame                          	       0       12        1       11        0
52915 pysolfc                            	       0       21        3       18        0
52916 pysolfc-cardsets                   	       0        4        0        0        4
52917 pysph-viewer                       	       0        1        0        1        0
52918 pysubs2                            	       0        1        0        1        0
52919 pysycache                          	       0        2        0        2        0
52920 pysycache-buttons-ice              	       0        2        0        0        2
52921 pysycache-click-dinosaurs          	       0        2        0        0        2
52922 pysycache-dblclick-appleandpear    	       0        2        0        0        2
52923 pysycache-i18n                     	       0        2        0        0        2
52924 pysycache-images                   	       0        2        0        0        2
52925 pysycache-move-animals             	       0        2        0        0        2
52926 pysycache-puzzle-cartoons          	       0        2        0        0        2
52927 pysycache-sounds                   	       0        2        0        0        2
52928 pytagsfs                           	       0        1        0        1        0
52929 pytdeextensions-trinity            	       0        2        0        2        0
52930 pytest-benchmark                   	       0        1        0        1        0
52931 python-acme                        	       0        4        0        4        0
52932 python-acme-doc                    	       0        2        0        0        2
52933 python-acoustid                    	       0        1        0        1        0
52934 python-adns                        	       0        6        1        5        0
52935 python-aiml                        	       0        2        1        1        0
52936 python-aioeventlet                 	       0        1        0        1        0
52937 python-aiorpcx-doc                 	       0        1        0        0        1
52938 python-aiosqlite-doc               	       0        1        0        0        1
52939 python-alabaster                   	       0        9        0        9        0
52940 python-alembic                     	       0        1        0        1        0
52941 python-all                         	       0       61        0        0       61
52942 python-all-dbg                     	       0        4        0        0        4
52943 python-all-dev                     	       0       50        0        0       50
52944 python-alsaaudio                   	       0        6        0        6        0
52945 python-amqp                        	       0        1        0        1        0
52946 python-amqp-doc                    	       0        1        0        0        1
52947 python-amqplib                     	       0        1        0        1        0
52948 python-ansible-runner-doc          	       0        1        0        0        1
52949 python-antlr                       	       0       11        0       11        0
52950 python-anyjson                     	       0        1        0        1        0
52951 python-anyqt-doc                   	       0        1        0        0        1
52952 python-aodh                        	       0        1        0        1        0
52953 python-aodhclient                  	       0        1        0        1        0
52954 python-apipkg                      	       0        1        0        1        0
52955 python-appdirs                     	       0        1        0        1        0
52956 python-appindicator                	       0        3        0        3        0
52957 python-application                 	       0        1        0        1        0
52958 python-apptools                    	       0        1        0        1        0
52959 python-apptools-doc                	       0        1        0        0        1
52960 python-apsw                        	       0       21        0       21        0
52961 python-apsw-doc                    	       0        4        0        0        4
52962 python-apt-common                  	       0     3952        0        0     3952
52963 python-apt-common-devuan           	       0     1569        0        0     1569
52964 python-apt-dev                     	       0        1        0        1        0
52965 python-apt-doc                     	       0        6        0        0        6
52966 python-aptdaemon                   	       0        2        0        2        0
52967 python-aptdaemon-gtk               	       0        1        0        0        1
52968 python-aptdaemon.gtk3widgets       	       0        2        0        2        0
52969 python-aptdaemon.gtkwidgets        	       0        1        0        1        0
52970 python-ara-doc                     	       0        1        0        0        1
52971 python-argcomplete                 	       0        3        0        3        0
52972 python-argparse                    	       0        1        0        1        0
52973 python-artifacts                   	       0        1        0        1        0
52974 python-asn1crypto                  	       0       80        2       78        0
52975 python-astroid                     	       0        4        0        4        0
52976 python-astrometry                  	       0        1        0        1        0
52977 python-astroplan-doc               	       0        1        0        0        1
52978 python-astropy                     	       0        1        0        1        0
52979 python-astropy-doc                 	       0        1        0        0        1
52980 python-astroquery-doc              	       0        1        0        0        1
52981 python-asyncssh-doc                	       0        1        0        0        1
52982 python-atomicwrites                	       0        8        0        8        0
52983 python-attr                        	       0       37        0       37        0
52984 python-attr-doc                    	       0        6        0        0        6
52985 python-audioread                   	       0        1        0        1        0
52986 python-audit                       	       0        1        0        1        0
52987 python-augeas                      	       0        1        0        1        0
52988 python-autobahn                    	       0        2        0        2        0
52989 python-automat                     	       0       22        0       22        0
52990 python-automaton                   	       0        1        0        1        0
52991 python-avahi                       	       0        6        0        6        0
52992 python-avogadro                    	       0        1        0        1        0
52993 python-axiom                       	       0        1        0        1        0
52994 python-babel                       	       0       12        0       12        0
52995 python-babel-localedata            	       0      510        0        0      510
52996 python-backports-abc               	       0        6        2        4        0
52997 python-backports-shutil-get-terminal-size	       0        6        0        6        0
52998 python-backports.functools-lru-cache	       0       89        2       87        0
52999 python-backports.os                	       0        1        0        1        0
53000 python-backports.ssl-match-hostname	       0        1        0        1        0
53001 python-barbicanclient              	       0        1        0        1        0
53002 python-bcrypt                      	       0       18        0       18        0
53003 python-beaker                      	       0        3        0        3        0
53004 python-beautifulsoup               	       0       11        0       11        0
53005 python-bibtex                      	       0        1        0        1        0
53006 python-bibtexparser                	       0        1        0        1        0
53007 python-binplist                    	       0        1        0        1        0
53008 python-biopython-doc               	       0        2        0        0        2
53009 python-biplist                     	       0        1        0        1        0
53010 python-bitarray                    	       0        1        0        1        0
53011 python-bitcoin                     	       0        1        0        1        0
53012 python-bittorrent                  	       0        5        0        5        0
53013 python-black-doc                   	       0        2        0        0        2
53014 python-bleach                      	       0        1        0        1        0
53015 python-bleach-doc                  	       0        1        0        0        1
53016 python-blinker                     	       0       26        4       22        0
53017 python-blinker-doc                 	       0        7        0        0        7
53018 python-blosc-doc                   	       0        1        0        0        1
53019 python-bluez                       	       0        8        0        8        0
53020 python-bobo                        	       0        1        0        1        0
53021 python-boto                        	       0        3        0        3        0
53022 python-bottle                      	       0        1        0        1        0
53023 python-bottleneck-doc              	       0        2        0        0        2
53024 python-brial                       	       0        1        1        0        0
53025 python-brlapi                      	       0        2        0        2        0
53026 python-bs4                         	       0      143        1      142        0
53027 python-bs4-doc                     	       0        4        0        0        4
53028 python-bson                        	       0        6        1        5        0
53029 python-bson-ext                    	       0        5        1        4        0
53030 python-bugbuddy                    	       0        1        0        0        1
53031 python-bz2file                     	       0        1        0        1        0
53032 python-bzrlib                      	       0       13        1       12        0
53033 python-cached-property             	       0        1        0        1        0
53034 python-cachetools                  	       0        1        0        1        0
53035 python-cairo-dev                   	       0        1        0        1        0
53036 python-cairocffi                   	       0        3        0        3        0
53037 python-cairocffi-doc               	       0        7        0        0        7
53038 python-cairosvg                    	       0        1        0        1        0
53039 python-caja                        	       0        4        0        0        4
53040 python-caja-common                 	       0       94        0        0       94
53041 python-caldav                      	       0        1        0        1        0
53042 python-canmatrix                   	       0        1        0        1        0
53043 python-cartopy-data                	       0       11        0        0       11
53044 python-castellan                   	       0        1        0        1        0
53045 python-cbor                        	       0        2        0        2        0
53046 python-ceilometerclient            	       0        1        0        1        0
53047 python-celementtree                	       0        1        0        1        0
53048 python-celery-common               	       0        1        0        0        1
53049 python-central                     	       0       10        1        9        0
53050 python-ceph                        	       0        1        0        0        1
53051 python-cephfs                      	       0        2        0        2        0
53052 python-cerealizer                  	       0        2        0        2        0
53053 python-certbot                     	       0        4        0        4        0
53054 python-certbot-apache              	       0       28        0        0       28
53055 python-certbot-apache-doc          	       0        2        0        0        2
53056 python-certbot-doc                 	       0        5        0        0        5
53057 python-certbot-nginx               	       0        7        0        1        6
53058 python-certifi                     	       0       42        1       41        0
53059 python-cffi                        	       0       19        2       17        0
53060 python-cffi-backend                	       0      145        5      140        0
53061 python-cfflib                      	       0        1        0        1        0
53062 python-chameleon                   	       0        1        0        1        0
53063 python-characteristic              	       0        8        0        8        0
53064 python-chardet-whl                 	       0        6        0        0        6
53065 python-cheetah                     	       0        6        0        6        0
53066 python-cheetah-doc                 	       0        2        0        0        2
53067 python-cherrypy3                   	       0       21        0       21        0
53068 python-chm                         	       0        4        0        4        0
53069 python-cinder                      	       0        1        0        1        0
53070 python-cinderclient                	       0        1        0        1        0
53071 python-cjson                       	       0        1        0        1        0
53072 python-clang                       	       0        1        0        0        1
53073 python-clang-8                     	       0        1        0        1        0
53074 python-cliapp                      	       0        3        1        2        0
53075 python-click                       	       0       28        0       28        0
53076 python-click-plugins               	       0        1        0        1        0
53077 python-cliff                       	       0        1        0        1        0
53078 python-cliff-doc                   	       0        1        0        0        1
53079 python-cligj                       	       0        1        0        1        0
53080 python-cloudfiles                  	       0        1        0        1        0
53081 python-cmd2                        	       0        1        0        1        0
53082 python-coherence                   	       0        1        0        1        0
53083 python-collada                     	       0        7        0        7        0
53084 python-colorama                    	       0       33        0       33        0
53085 python-colorama-whl                	       0        6        0        0        6
53086 python-comedilib                   	       0        1        0        1        0
53087 python-compizconfig                	       0        1        0        1        0
53088 python-concurrent.futures          	       0       15        1       14        0
53089 python-configargparse              	       0        4        0        4        0
53090 python-configobj                   	       0       28        1       27        0
53091 python-configobj-doc               	       0        8        0        0        8
53092 python-configparser                	       0       39        3       36        0
53093 python-congressclient              	       0        1        0        1        0
53094 python-constantly                  	       0       30        0       30        0
53095 python-contextlib2                 	       0        1        0        1        0
53096 python-cotyledon                   	       0        1        0        1        0
53097 python-coverage                    	       0        4        0        4        0
53098 python-coverage-doc                	       0        4        0        0        4
53099 python-cracklib                    	       0        1        0        1        0
53100 python-croniter                    	       0        2        1        1        0
53101 python-cropper-tk                  	       0        1        0        1        0
53102 python-crypto                      	       0      191        7      184        0
53103 python-crypto-doc                  	       0        2        0        0        2
53104 python-cryptography                	       0      150        7      143        0
53105 python-cryptography-doc            	       0       11        0        0       11
53106 python-cryptography-vectors        	       0        3        0        3        0
53107 python-css-parser                  	       0       16        0       16        0
53108 python-csscompressor               	       0        1        0        1        0
53109 python-cssselect                   	       0       20        0       20        0
53110 python-cssselect2-doc              	       0        1        0        0        1
53111 python-cssutils                    	       0       19        0       19        0
53112 python-cupsutils                   	       0        1        0        0        1
53113 python-cursive                     	       0        1        0        1        0
53114 python-cvxopt                      	       0        1        1        0        0
53115 python-cvxopt-doc                  	       0        1        0        0        1
53116 python-cycler                      	       0       26        0       26        0
53117 python-cycler-doc                  	       0        5        0        0        5
53118 python-cypari2                     	       0        1        1        0        0
53119 python-cysignals-pari              	       0        1        1        0        0
53120 python-dask-doc                    	       0        1        0        0        1
53121 python-dateutil                    	       0      105        1      103        1
53122 python-dbg                         	       0        4        0        4        0
53123 python-dbus-dbg                    	       0        1        0        1        0
53124 python-dbus-doc                    	       0        7        0        0        7
53125 python-dbus.mainloop.pyqt5         	       0        7        0        7        0
53126 python-debianbts                   	       0      116        2       65       49
53127 python-debtagshw                   	       0        1        0        1        0
53128 python-debtcollector               	       0        2        0        2        0
53129 python-decorator                   	       0       58        0       56        2
53130 python-defer                       	       0        7        0        7        0
53131 python-demgengeo                   	       0        1        0        1        0
53132 python-demjson                     	       0        1        0        1        0
53133 python-designateclient             	       0        1        0        1        0
53134 python-dev                         	       0       74        2       72        0
53135 python-dev-is-python2              	       0       14        0       14        0
53136 python-dfdatetime                  	       0        1        0        1        0
53137 python-dfvfs                       	       0        1        0        1        0
53138 python-dfwinreg                    	       0        1        0        1        0
53139 python-dicom                       	       0        2        0        0        2
53140 python-diff-match-patch            	       0        1        0        1        0
53141 python-distlib                     	       0        5        0        5        0
53142 python-distlib-whl                 	       0        6        0        0        6
53143 python-distorm3                    	       0        5        0        5        0
53144 python-distributed-doc             	       0        3        0        0        3
53145 python-distro                      	       0        6        0        6        0
53146 python-distro-info                 	       0        5        0        5        0
53147 python-distutils-extra             	       0        2        0        2        0
53148 python-django                      	       0        2        0        2        0
53149 python-django-appconf              	       0        1        0        1        0
53150 python-django-babel                	       0        1        0        1        0
53151 python-django-common               	       0        4        0        4        0
53152 python-django-compressor           	       0        1        0        1        0
53153 python-django-doc                  	       0        5        0        0        5
53154 python-django-haystack-doc         	       0        1        0        0        1
53155 python-django-horizon              	       0        1        0        1        0
53156 python-django-openstack-auth       	       0        1        0        1        0
53157 python-django-pyscss               	       0        1        0        1        0
53158 python-django-wkhtmltopdf          	       0        1        0        1        0
53159 python-dmidecode-data              	       0        3        0        0        3
53160 python-dns                         	       0        5        0        5        0
53161 python-dnspython                   	       0       89        2       87        0
53162 python-doc                         	       0        1        0        0        1
53163 python-doc8-doc                    	       0        1        0        0        1
53164 python-docker                      	       0        1        0        1        0
53165 python-dockerpty                   	       0        1        0        1        0
53166 python-docopt                      	       0        2        0        2        0
53167 python-docutils-build-deps         	       0        1        0        0        1
53168 python-dogpile.cache               	       0        1        0        1        0
53169 python-dsv                         	       0        1        0        1        0
53170 python-dtcwt-doc                   	       0        1        0        0        1
53171 python-dtfabric                    	       0        1        0        1        0
53172 python-dulwich                     	       0        1        0        1        0
53173 python-easyprocess                 	       0        1        0        1        0
53174 python-ecdsa                       	       0        4        1        3        0
53175 python-editobj                     	       0        3        0        3        0
53176 python-editor                      	       0        1        0        1        0
53177 python-efilter                     	       0        1        0        1        0
53178 python-egenix-mx-base-dbg          	       0        1        0        1        0
53179 python-egenix-mx-base-dev          	       0        2        0        2        0
53180 python-egenix-mxbeebase            	       0        2        0        2        0
53181 python-egenix-mxbeebase-doc        	       0        2        0        0        2
53182 python-egenix-mxdatetime           	       0       32        2       30        0
53183 python-egenix-mxdatetime-doc       	       0        4        0        0        4
53184 python-egenix-mxproxy              	       0        2        0        2        0
53185 python-egenix-mxproxy-doc          	       0        2        0        0        2
53186 python-egenix-mxqueue              	       0        2        0        2        0
53187 python-egenix-mxqueue-doc          	       0        2        0        0        2
53188 python-egenix-mxstack              	       0        2        0        2        0
53189 python-egenix-mxstack-doc          	       0        2        0        0        2
53190 python-egenix-mxtexttools          	       0        3        0        3        0
53191 python-egenix-mxtexttools-doc      	       0        3        0        0        3
53192 python-egenix-mxtools              	       0       32        0       32        0
53193 python-egenix-mxtools-doc          	       0        3        0        0        3
53194 python-egenix-mxuid                	       0        2        0        2        0
53195 python-egenix-mxuid-doc            	       0        2        0        0        2
53196 python-egenix-mxurl                	       0        2        0        2        0
53197 python-egenix-mxurl-doc            	       0        2        0        0        2
53198 python-elasticsearch               	       0        1        0        1        0
53199 python-electrum                    	       0        2        0        2        0
53200 python-elementtree                 	       0        2        0        0        2
53201 python-elib.intl                   	       0        2        0        2        0
53202 python-enchant                     	       0        9        0        9        0
53203 python-entrypoints                 	       0       27        2       25        0
53204 python-enum34                      	       0      158        3      155        0
53205 python-enum34-doc                  	       0        2        0        0        2
53206 python-envisage                    	       0        1        0        1        0
53207 python-epsilon                     	       0        2        0        2        0
53208 python-et-xmlfile                  	       0        5        0        5        0
53209 python-ethtool                     	       0        1        0        1        0
53210 python-evdev-doc                   	       0        3        0        0        3
53211 python-eventlet                    	       0        1        0        1        0
53212 python-eventlib                    	       0        1        0        1        0
53213 python-evolution                   	       0        1        0        1        0
53214 python-examples                    	       0        1        0        0        1
53215 python-excelerator                 	       0        2        0        2        0
53216 python-execnet                     	       0        1        0        1        0
53217 python-extras                      	       0        3        0        3        0
53218 python-eyed3                       	       0        9        0        9        0
53219 python-fasteners                   	       0       12        0       12        0
53220 python-fastimport                  	       0        2        0        2        0
53221 python-fdsend                      	       0        1        0        1        0
53222 python-fiona                       	       0        1        0        1        0
53223 python-fisx-common                 	       0        2        0        0        2
53224 python-fixtures                    	       0        3        0        3        0
53225 python-flask                       	       0        6        1        5        0
53226 python-flask-autoindex             	       0        1        0        1        0
53227 python-flask-babel                 	       0        1        0        1        0
53228 python-flask-doc                   	       0        6        0        0        6
53229 python-flask-oldsessions           	       0        1        0        1        0
53230 python-flask-openid                	       0        1        0        1        0
53231 python-flask-restful-doc           	       0        1        0        0        1
53232 python-flask-silk                  	       0        1        0        1        0
53233 python-flup                        	       0        1        0        1        0
53234 python-fontforge                   	       0        1        0        1        0
53235 python-fonttools-doc               	       0        1        0        0        1
53236 python-foomatic                    	       0        1        0        1        0
53237 python-formencode                  	       0        4        0        4        0
53238 python-fpconst                     	       0       15        1       11        3
53239 python-fpylll                      	       0        1        1        0        0
53240 python-fs                          	       0        1        0        1        0
53241 python-fsapfs                      	       0        1        0        1        0
53242 python-fsspec-doc                  	       0        1        0        0        1
53243 python-fstab                       	       0        1        0        0        1
53244 python-funcsigs                    	       0       27        0       27        0
53245 python-funcsigs-doc                	       0        3        0        0        3
53246 python-functools32                 	       0       12        1       11        0
53247 python-fuse                        	       0        5        0        5        0
53248 python-future                      	       0       16        0       16        0
53249 python-future-doc                  	       0        4        0        0        4
53250 python-futurist                    	       0        1        0        1        0
53251 python-gamera                      	       0        1        0        1        0
53252 python-gamin                       	       0        2        1        1        0
53253 python-gammu                       	       0        4        0        4        0
53254 python-gammu-doc                   	       0        1        0        0        1
53255 python-gconf                       	       0       14        0        0       14
53256 python-gdal                        	       0        7        1        6        0
53257 python-gdata                       	       0        6        0        6        0
53258 python-gdata-doc                   	       0        2        0        0        2
53259 python-gdbm                        	       0       14        1       13        0
53260 python-gdbm-dbg                    	       0        1        0        1        0
53261 python-genshi                      	       0        4        0        4        0
53262 python-genshi-doc                  	       0        5        0        0        5
53263 python-geoip                       	       0        7        0        7        0
53264 python-gevent                      	       0        3        2        1        0
53265 python-gflags                      	       0        1        0        1        0
53266 python-gi-dbg                      	       0        1        0        1        0
53267 python-gi-dev                      	       0       14        1       13        0
53268 python-git                         	       0        2        0        2        0
53269 python-git-doc                     	       0        1        0        0        1
53270 python-gitdb                       	       0        3        0        3        0
53271 python-gitlab-doc                  	       0        2        0        0        2
53272 python-glade-1.2                   	       0        1        0        0        1
53273 python-glade2                      	       0      160        0        0      160
53274 python-glance                      	       0        1        0        1        0
53275 python-glance-store                	       0        1        0        1        0
53276 python-glanceclient                	       0        1        0        1        0
53277 python-gmenu                       	       0        1        0        1        0
53278 python-gmpy                        	       0        6        1        5        0
53279 python-gmpy-doc                    	       0        3        0        0        3
53280 python-gmpy2                       	       0        2        0        2        0
53281 python-gmpy2-common                	       0       18        0        0       18
53282 python-gmpy2-doc                   	       0        1        0        0        1
53283 python-gnocchiclient               	       0        1        0        1        0
53284 python-gnome2                      	       0       11        0        0       11
53285 python-gnomedesktop                	       0        1        0        1        0
53286 python-gnomekeyring                	       0        2        0        2        0
53287 python-gnucash                     	       0        2        0        2        0
53288 python-gnupg                       	       0        3        1        2        0
53289 python-gnupginterface              	       0        4        0        0        4
53290 python-gnuplot                     	       0        1        0        1        0
53291 python-gnutls                      	       0        1        0        1        0
53292 python-gobject                     	       0       70        0        0       70
53293 python-gobject-2-dbg               	       0        2        0        2        0
53294 python-gobject-2-dev               	       0        1        0        1        0
53295 python-gobject-dbg                 	       0        1        0        0        1
53296 python-goocalendar                 	       0        1        0        1        0
53297 python-googleapi                   	       0        2        0        2        0
53298 python-gpg                         	       0       51        2       49        0
53299 python-gpgme                       	       0        6        1        5        0
53300 python-gpod                        	       0        1        0        1        0
53301 python-gps                         	       0        4        0        4        0
53302 python-graphy                      	       0        1        0        1        0
53303 python-greenlet                    	       0        8        2        6        0
53304 python-greenlet-dev                	       0        2        0        2        0
53305 python-greenlet-doc                	       0        2        0        0        2
53306 python-grib-doc                    	       0        4        0        0        4
53307 python-gridfs                      	       0        5        1        4        0
53308 python-gst-1.0                     	       0        2        0        2        0
53309 python-gst0.10                     	       0        6        1        5        0
53310 python-gtk-1.2                     	       0        1        0        1        0
53311 python-gtk2                        	       0      200        0        5      195
53312 python-gtk2-doc                    	       0        3        0        3        0
53313 python-gtkglext1                   	       0        7        0        7        0
53314 python-gtksourceview2              	       0        1        0        1        0
53315 python-gtkspell                    	       0        2        0        2        0
53316 python-gtkspellcheck               	       0        3        0        3        0
53317 python-gudev                       	       0        1        0        1        0
53318 python-gvgen                       	       0        1        1        0        0
53319 python-h5py                        	       0        1        0        1        0
53320 python-h5py-doc                    	       0        1        0        0        1
53321 python-hachoir-core                	       0        1        0        1        0
53322 python-hachoir-metadata            	       0        1        0        1        0
53323 python-hachoir-parser              	       0        1        0        1        0
53324 python-hamcrest                    	       0        4        0        4        0
53325 python-heat                        	       0        1        0        1        0
53326 python-heatclient                  	       0        1        0        1        0
53327 python-hippocanvas                 	       0        1        0        1        0
53328 python-hiredis                     	       0        1        1        0        0
53329 python-hl7                         	       0        1        0        1        0
53330 python-hp3parclient                	       0        1        0        1        0
53331 python-html5-parser                	       0       12        0       12        0
53332 python-html5lib                    	       0      149        1      147        1
53333 python-html5lib-whl                	       0        6        0        0        6
53334 python-httplib2                    	       0       79        2       77        0
53335 python-hyperlink                   	       0       22        0       22        0
53336 python-hypothesis-doc              	       0        1        0        0        1
53337 python-icalendar                   	       0        2        0        2        0
53338 python-id3                         	       0        2        0        2        0
53339 python-idna                        	       0      123        2      121        0
53340 python-imageio-doc                 	       0        1        0        0        1
53341 python-imagesize                   	       0        9        0        9        0
53342 python-imaging                     	       0       58        5       53        0
53343 python-imaging-doc-html            	       0        1        0        0        1
53344 python-imaging-doc-pdf             	       0        2        0        0        2
53345 python-imaging-tk                  	       0        2        0        0        2
53346 python-imaplib2                    	       0        1        0        1        0
53347 python-imdbpy                      	       0        1        0        1        0
53348 python-imexam-doc                  	       0        1        0        0        1
53349 python-impacket                    	       0        1        0        1        0
53350 python-incremental                 	       0       30        0       30        0
53351 python-iniparse                    	       0        6        0        6        0
53352 python-inotifyx                    	       0        2        1        1        0
53353 python-ipaddr                      	       0       25        2       23        0
53354 python-ipaddress                   	       0      145        3      142        0
53355 python-ipy                         	       0        8        0        8        0
53356 python-ipykernel                   	       0        2        1        1        0
53357 python-ipython                     	       0        4        1        3        0
53358 python-ipython-doc                 	       0        5        0        0        5
53359 python-ipython-genutils            	       0        7        1        6        0
53360 python-ipywidgets                  	       0        1        0        1        0
53361 python-ipywidgets-doc              	       0        1        0        0        1
53362 python-irc                         	       0        2        0        2        0
53363 python-is-python2                  	       0      133        6      127        0
53364 python-iso8601                     	       0        1        0        1        0
53365 python-isodate                     	       0        2        0        2        0
53366 python-isort                       	       0        4        0        4        0
53367 python-itsdangerous                	       0        6        1        5        0
53368 python-jabber                      	       0        1        0        1        0
53369 python-jdcal                       	       0        5        0        5        0
53370 python-jedi                        	       0        2        0        2        0
53371 python-jinja2                      	       0       28        2       26        0
53372 python-jinja2-doc                  	       0       11        0        0       11
53373 python-joblib                      	       0        1        0        1        0
53374 python-josepy                      	       0        2        0        2        0
53375 python-jpylyzer-doc                	       0        1        0        0        1
53376 python-json-pointer                	       0        1        0        1        0
53377 python-jsonpatch                   	       0        1        0        1        0
53378 python-jsonrpclib                  	       0        2        0        2        0
53379 python-jsonschema                  	       0        3        1        2        0
53380 python-jsonschema-doc              	       0        1        0        0        1
53381 python-junitxml                    	       0        2        0        2        0
53382 python-jupyter-client              	       0        2        1        1        0
53383 python-jupyter-client-doc          	       0        2        0        0        2
53384 python-jupyter-console             	       0        1        0        1        0
53385 python-jupyter-console-doc         	       0        2        0        0        2
53386 python-jupyter-core                	       0        2        0        2        0
53387 python-jupyter-core-doc            	       0        1        0        0        1
53388 python-jwt                         	       0       22        1       21        0
53389 python-kaa-base                    	       0        3        1        2        0
53390 python-kaa-imlib2                  	       0        2        1        1        0
53391 python-kaa-metadata                	       0        3        1        2        0
53392 python-kafka                       	       0        1        0        1        0
53393 python-kazoo                       	       0        1        0        1        0
53394 python-kde4                        	       0        4        1        3        0
53395 python-kerberos                    	       0        1        0        1        0
53396 python-keybinder                   	       0        1        0        1        0
53397 python-keyczar                     	       0        1        0        1        0
53398 python-keyring                     	       0       47        2       45        0
53399 python-keyrings.alt                	       0       45        4       41        0
53400 python-keystone                    	       0        1        0        1        0
53401 python-keystoneauth1               	       0        1        0        1        0
53402 python-keystoneclient              	       0        1        0        1        0
53403 python-keystonemiddleware          	       0        1        0        1        0
53404 python-kid                         	       0        1        0        1        0
53405 python-kivy-examples               	       0        1        0        0        1
53406 python-kiwisolver                  	       0       18        0       18        0
53407 python-kolab                       	       0        1        0        1        0
53408 python-kolabformat                 	       0        1        0        1        0
53409 python-kombu                       	       0        1        0        1        0
53410 python-l20n                        	       0        1        0        1        0
53411 python-laditools                   	       0        1        0        1        0
53412 python-langdetect                  	       0        1        0        1        0
53413 python-launchpadlib                	       0        8        1        7        0
53414 python-lazr.restfulclient          	       0        9        1        8        0
53415 python-lazr.uri                    	       0        9        1        8        0
53416 python-lazy-object-proxy           	       0        4        0        4        0
53417 python-ldap                        	       0        3        0        3        0
53418 python-ldappool                    	       0        1        0        1        0
53419 python-ldaptor                     	       0        1        0        1        0
53420 python-ldb                         	       0       98        5       93        0
53421 python-ldtp                        	       0        1        0        1        0
53422 python-levenshtein                 	       0        2        0        2        0
53423 python-libbde                      	       0        1        0        1        0
53424 python-libdiscid-doc               	       0        1        0        0        1
53425 python-libesedb                    	       0        1        0        1        0
53426 python-libevt                      	       0        1        0        1        0
53427 python-libevtx                     	       0        1        0        1        0
53428 python-libewf                      	       0        1        0        1        0
53429 python-libfsntfs                   	       0        2        0        2        0
53430 python-libfvde                     	       0        1        0        1        0
53431 python-libfwnt                     	       0        1        0        1        0
53432 python-libfwsi                     	       0        1        0        1        0
53433 python-libhamlib2                  	       0        1        0        1        0
53434 python-liblnk                      	       0        1        0        1        0
53435 python-libmsiecf                   	       0        1        0        1        0
53436 python-libolecf                    	       0        1        0        1        0
53437 python-libpcap                     	       0        1        0        1        0
53438 python-libqcow                     	       0        1        0        1        0
53439 python-librdf                      	       0        1        0        1        0
53440 python-libregf                     	       0        1        0        1        0
53441 python-libscca                     	       0        1        0        1        0
53442 python-libsigscan                  	       0        1        0        1        0
53443 python-libsmbios                   	       0        1        0        1        0
53444 python-libsmdev                    	       0        1        0        1        0
53445 python-libsmraw                    	       0        1        0        1        0
53446 python-libsvm                      	       0        1        0        0        1
53447 python-libtorrent                  	       0       18        0       18        0
53448 python-libvhdi                     	       0        1        0        1        0
53449 python-libvirt                     	       0       22        1       21        0
53450 python-libvmdk                     	       0        1        0        1        0
53451 python-libvshadow                  	       0        1        0        1        0
53452 python-libvslvm                    	       0        1        0        1        0
53453 python-libxslt1                    	       0        6        0        6        0
53454 python-linecache2                  	       0        2        0        2        0
53455 python-livereload-doc              	       0        3        0        0        3
53456 python-lldb                        	       0        1        0        0        1
53457 python-lldb-7                      	       0        1        0        0        1
53458 python-llfuse-doc                  	       0        1        0        0        1
53459 python-lockfile                    	       0       17        0       17        0
53460 python-lockfile-doc                	       0        1        0        0        1
53461 python-logilab-common              	       0        1        0        1        0
53462 python-logsparser                  	       0        1        1        0        0
53463 python-logutils                    	       0        1        0        1        0
53464 python-louie                       	       0        2        0        2        0
53465 python-louis                       	       0        2        0        2        0
53466 python-lunr-doc                    	       0        1        0        0        1
53467 python-lxml                        	       0      172        2      170        0
53468 python-lxml-dbg                    	       0        2        0        2        0
53469 python-lxml-doc                    	       0        8        0        0        8
53470 python-lz4                         	       0        9        0        9        0
53471 python-lzma                        	       0        6        0        6        0
53472 python-lzo                         	       0        7        0        7        0
53473 python-m2crypto                    	       0        5        1        4        0
53474 python-m2r-doc                     	       0        1        0        0        1
53475 python-macaron                     	       0        1        1        0        0
53476 python-magic                       	       0       13        1       12        0
53477 python-magnumclient                	       0        1        0        1        0
53478 python-mako                        	       0       10        0       10        0
53479 python-mako-doc                    	       0       14        0        0       14
53480 python-manilaclient                	       0        1        0        1        0
53481 python-mapnik                      	       0        1        0        1        0
53482 python-mapscript                   	       0        1        0        1        0
53483 python-markdown                    	       0       17        1       16        0
53484 python-markdown-doc                	       0        7        0        0        7
53485 python-markupsafe                  	       0       43        3       40        0
53486 python-matplotlib                  	       0       23        1       22        0
53487 python-matplotlib-data             	       0      560        0        0      560
53488 python-matplotlib-doc              	       0        7        0        0        7
53489 python-matplotlib2-data            	       0       24        0        0       24
53490 python-matplotlib2-doc             	       0        1        0        0        1
53491 python-mccabe                      	       0        4        0        4        0
53492 python-md-toc-doc                  	       0        1        0        0        1
53493 python-mechanize                   	       0       19        0       19        0
53494 python-mediainfodll                	       0        1        0        1        0
53495 python-meld3                       	       0        2        0        2        0
53496 python-memcache                    	       0        3        0        3        0
53497 python-microversion-parse          	       0        1        0        1        0
53498 python-migrate                     	       0        1        0        1        0
53499 python-mimeparse                   	       0        3        0        3        0
53500 python-minimock                    	       0        1        0        1        0
53501 python-mistralclient               	       0        1        0        1        0
53502 python-mistune                     	       0        1        0        1        0
53503 python-mlt                         	       0        6        0        6        0
53504 python-mock                        	       0       18        0       17        1
53505 python-mock-doc                    	       0        2        0        0        2
53506 python-mode                        	       0        1        0        1        0
53507 python-modestmaps                  	       0        1        0        1        0
53508 python-moinmoin                    	       0        2        1        1        0
53509 python-monascaclient               	       0        1        0        1        0
53510 python-monotonic                   	       0       13        0       13        0
53511 python-moovida                     	       0        1        0        0        1
53512 python-more-itertools              	       0        8        0        8        0
53513 python-mpi4py                      	       0        2        0        2        0
53514 python-mpi4py-doc                  	       0        1        0        0        1
53515 python-mpltoolkits.basemap-data    	       0        4        0        0        4
53516 python-mpmath                      	       0        4        1        3        0
53517 python-mpmath-doc                  	       0        4        0        0        4
53518 python-mrjob                       	       0        1        0        1        0
53519 python-msgpack                     	       0       23        1       22        0
53520 python-msrplib                     	       0        1        0        1        0
53521 python-munch                       	       0        1        0        1        0
53522 python-murano                      	       0        1        0        1        0
53523 python-muranoclient                	       0        1        0        1        0
53524 python-musicbrainzngs              	       0       23        0       23        0
53525 python-musicbrainzngs-doc          	       0        2        0        0        2
53526 python-mutagen-doc                 	       0        4        0        0        4
53527 python-mygpoclient                 	       0        1        1        0        0
53528 python-mysql.connector             	       0        3        0        3        0
53529 python-mysqldb                     	       0       14        2       12        0
53530 python-mysqldb-dbg                 	       0        1        0        1        0
53531 python-nacl                        	       0       19        0       19        0
53532 python-nacl-doc                    	       0        4        0        0        4
53533 python-natsort-doc                 	       0        3        0        0        3
53534 python-nautilus                    	       0        1        0        0        1
53535 python-nbconvert                   	       0        1        0        1        0
53536 python-nbconvert-doc               	       0        1        0        0        1
53537 python-nbformat                    	       0        1        1        0        0
53538 python-nbsphinx-doc                	       0        1        0        0        1
53539 python-nbxmpp                      	       0        2        0        2        0
53540 python-nbxmpp-doc                  	       0        1        0        0        1
53541 python-ndg-httpsclient             	       0        8        1        7        0
53542 python-neovim                      	       0        2        0        2        0
53543 python-netaddr                     	       0        4        0        4        0
53544 python-netifaces                   	       0       23        0       23        0
53545 python-netlib                      	       0        1        1        0        0
53546 python-networkx                    	       0        6        0        6        0
53547 python-networkx-doc                	       0        1        0        0        1
53548 python-neutron                     	       0        1        0        1        0
53549 python-neutron-fwaas               	       0        1        0        1        0
53550 python-neutron-lib                 	       0        1        0        1        0
53551 python-neutron-vpnaas              	       0        1        0        1        0
53552 python-neutronclient               	       0        1        0        1        0
53553 python-nevow                       	       0        2        0        2        0
53554 python-newt                        	       0        6        0        6        0
53555 python-nibabel                     	       0        1        0        1        0
53556 python-nipy                        	       0        1        0        1        0
53557 python-nipy-lib                    	       0        1        0        1        0
53558 python-nipype                      	       0        1        0        1        0
53559 python-nitime                      	       0        1        0        1        0
53560 python-nltk                        	       0        1        0        1        0
53561 python-nose                        	       0       15        0       15        0
53562 python-nose-doc                    	       0        6        0        0        6
53563 python-nose2-doc                   	       0        1        0        0        1
53564 python-notebook                    	       0        1        1        0        0
53565 python-notebook-doc                	       0        2        0        0        2
53566 python-notify                      	       0      151        1      150        0
53567 python-notify2                     	       0        1        0        1        0
53568 python-notmuch                     	       0        1        0        1        0
53569 python-nova                        	       0        1        0        1        0
53570 python-novaclient                  	       0        1        0        1        0
53571 python-novnc                       	       0        2        0        2        0
53572 python-ntdb                        	       0       35        1       34        0
53573 python-numexpr                     	       0        3        0        3        0
53574 python-numpy-dbg                   	       0        2        0        2        0
53575 python-numpy-doc                   	       0       14        0       13        1
53576 python-nut                         	       0        6        0        6        0
53577 python-nwsclient                   	       0        1        1        0        0
53578 python-nwsserver                   	       0        1        1        0        0
53579 python-oauth                       	       0        6        1        5        0
53580 python-oauth2client                	       0        2        0        2        0
53581 python-oauthlib                    	       0       20        1       19        0
53582 python-obexftp                     	       0        1        0        1        0
53583 python-objgraph-doc                	       0        4        0        0        4
53584 python-odf                         	       0        1        0        1        0
53585 python-odf-doc                     	       0      105        0        0      105
53586 python-ogg                         	       0       10        0       10        0
53587 python-olefile                     	       0       66        0       66        0
53588 python-ooolib                      	       0        1        1        0        0
53589 python-opencv                      	       0        4        0        4        0
53590 python-opengl                      	       0       19        0       19        0
53591 python-openid                      	       0        4        2        2        0
53592 python-openpyxl                    	       0        6        0        5        1
53593 python-openssl                     	       0      124        3      121        0
53594 python-openssl-doc                 	       0        8        0        0        8
53595 python-openstackclient             	       0        1        0        1        0
53596 python-openstackclient-doc         	       0        2        0        0        2
53597 python-openstacksdk                	       0        1        0        1        0
53598 python-openvswitch                 	       0        1        0        1        0
53599 python-os-api-ref-common           	       0        1        0        0        1
53600 python-os-brick                    	       0        1        0        1        0
53601 python-os-client-config            	       0        1        0        1        0
53602 python-os-vif                      	       0        1        0        1        0
53603 python-os-win                      	       0        1        0        1        0
53604 python-osc-lib                     	       0        1        0        1        0
53605 python-oslo.cache                  	       0        1        0        1        0
53606 python-oslo.concurrency            	       0        1        0        1        0
53607 python-oslo.config                 	       0        2        0        2        0
53608 python-oslo.context                	       0        1        0        1        0
53609 python-oslo.db                     	       0        1        0        1        0
53610 python-oslo.i18n                   	       0        2        0        2        0
53611 python-oslo.log                    	       0        1        0        1        0
53612 python-oslo.messaging              	       0        1        0        1        0
53613 python-oslo.middleware             	       0        1        0        1        0
53614 python-oslo.policy                 	       0        1        0        1        0
53615 python-oslo.privsep                	       0        1        0        1        0
53616 python-oslo.reports                	       0        1        0        1        0
53617 python-oslo.rootwrap               	       0        1        0        1        0
53618 python-oslo.serialization          	       0        1        0        1        0
53619 python-oslo.service                	       0        1        0        1        0
53620 python-oslo.utils                  	       0        1        0        1        0
53621 python-oslo.versionedobjects       	       0        1        0        1        0
53622 python-oslo.vmware                 	       0        1        0        1        0
53623 python-oslosphinx-common           	       0        1        0        0        1
53624 python-osmgpsmap                   	       0        1        0        1        0
53625 python-osprofiler                  	       0        1        0        1        0
53626 python-otr                         	       0        1        0        1        0
53627 python-ow                          	       0        1        0        1        0
53628 python-owslib                      	       0        2        0        2        0
53629 python-packagekit                  	       0        4        0        4        0
53630 python-packaging                   	       0        6        0        6        0
53631 python-pacparser                   	       0        1        0        1        0
53632 python-paho-mqtt                   	       0        1        0        1        0
53633 python-pam                         	       0       22        1       21        0
53634 python-pampy                       	       0        1        0        1        0
53635 python-pandas                      	       0        2        0        2        0
53636 python-pandas-doc                  	       0        5        0        0        5
53637 python-pandas-lib                  	       0        2        0        2        0
53638 python-pandocfilters               	       0        1        1        0        0
53639 python-parallax                    	       0        4        1        3        0
53640 python-parallel                    	       0        1        1        0        0
53641 python-paramiko                    	       0       24        1       23        0
53642 python-parse                       	       0        1        0        1        0
53643 python-parsedatetime               	       0        7        0        7        0
53644 python-parso                       	       0        1        0        1        0
53645 python-parted                      	       0        3        0        3        0
53646 python-parted-doc                  	       0        2        0        0        2
53647 python-passlib                     	       0        6        0        5        1
53648 python-paste                       	       0        4        0        4        0
53649 python-pastedeploy                 	       0        2        0        2        0
53650 python-pastedeploy-tpl             	       0        5        0        0        5
53651 python-pastescript                 	       0        1        0        1        0
53652 python-pastescript-doc             	       0        1        0        0        1
53653 python-path                        	       0        1        0        1        0
53654 python-pathlib                     	       0        9        0        9        0
53655 python-pathlib2                    	       0       13        0       13        0
53656 python-patsy-doc                   	       0        1        0        0        1
53657 python-pbkdf2                      	       0        2        0        2        0
53658 python-pbr                         	       0       20        0       20        0
53659 python-pcapy                       	       0        1        0        1        0
53660 python-pdfkit                      	       0        1        0        1        0
53661 python-pdfminer                    	       0        2        0        2        0
53662 python-pdfrw                       	       0        3        0        3        0
53663 python-pdfrw-doc                   	       0        1        0        0        1
53664 python-pdftools                    	       0        1        0        1        0
53665 python-pecan                       	       0        1        0        1        0
53666 python-peewee-doc                  	       0        6        0        0        6
53667 python-pefile                      	       0        1        0        1        0
53668 python-pep8                        	       0        2        0        2        0
53669 python-petsc4py-doc                	       0        1        0        1        0
53670 python-pexpect                     	       0       46        3       43        0
53671 python-pexpect-doc                 	       0        9        0        0        9
53672 python-pgm                         	       0        1        0        1        0
53673 python-pgpdump                     	       0        1        0        1        0
53674 python-phoneutils                  	       0        1        0        1        0
53675 python-pickleshare                 	       0        6        0        6        0
53676 python-pika                        	       0        1        0        1        0
53677 python-pika-pool                   	       0        1        0        1        0
53678 python-pil-dbg                     	       0        1        0        1        0
53679 python-pil-doc                     	       0       11        0        0       11
53680 python-pil.imagetk                 	       0       17        0       17        0
53681 python-pilkit                      	       0        1        0        1        0
53682 python-pint                        	       0        1        0        1        0
53683 python-pip                         	       0       40        0       40        0
53684 python-pip-whl                     	       0      252        0        0      252
53685 python-pivy                        	       0        7        0        7        0
53686 python-pkgconfig                   	       0        2        0        2        0
53687 python-pkginfo-doc                 	       0       22        0        0       22
53688 python-plastex                     	       0        1        0        1        0
53689 python-pluggy                      	       0        8        0        8        0
53690 python-ply                         	       0       34        2       32        0
53691 python-ply-doc                     	       0        8        0        0        8
53692 python-pmw                         	       0        3        0        3        0
53693 python-png                         	       0        1        0        1        0
53694 python-podcastparser-doc           	       0        1        0        0        1
53695 python-poppler                     	       0        1        0        1        0
53696 python-positional                  	       0        1        0        1        0
53697 python-posix-ipc                   	       0        1        0        1        0
53698 python-potr                        	       0        1        0        1        0
53699 python-powerline                   	       0        1        0        1        0
53700 python-ppl-doc                     	       0        8        0        0        8
53701 python-prettytable                 	       0        2        0        2        0
53702 python-progressbar                 	       0        1        0        1        0
53703 python-prometheus-client           	       0        1        0        1        0
53704 python-prompt-toolkit              	       0        6        0        6        0
53705 python-protobuf                    	       0        8        0        8        0
53706 python-prov                        	       0        1        0        1        0
53707 python-psutil                      	       0       17        2       14        1
53708 python-psutil-doc                  	       0        5        0        0        5
53709 python-psyco-doc                   	       0        1        0        0        1
53710 python-psycopg2                    	       0       27        2       25        0
53711 python-psycopg2-doc                	       0        5        0        0        5
53712 python-ptyprocess                  	       0       37        2       35        0
53713 python-py                          	       0       10        0       10        0
53714 python-pyalsa                      	       0        3        0        3        0
53715 python-pyao                        	       0        3        0        3        0
53716 python-pyasn1                      	       0      105        3      102        0
53717 python-pyasn1-modules              	       0       36        2       34        0
53718 python-pyatspi                     	       0        5        0        5        0
53719 python-pyatspi2                    	       0        3        0        0        3
53720 python-pyaudio                     	       0        2        0        2        0
53721 python-pycadf                      	       0        1        0        1        0
53722 python-pycalendar                  	       0        1        0        1        0
53723 python-pychart                     	       0        1        0        1        0
53724 python-pychromecast                	       0        1        0        1        0
53725 python-pycparser                   	       0       22        2       20        0
53726 python-pycryptopp                  	       0        1        0        1        0
53727 python-pycuda-doc                  	       0        2        0        0        2
53728 python-pycurl-dbg                  	       0        1        0        1        0
53729 python-pycurl-doc                  	       0        3        0        0        3
53730 python-pydbus-doc                  	       0        1        0        0        1
53731 python-pydhcplib                   	       0        1        1        0        0
53732 python-pydicom                     	       0        2        0        2        0
53733 python-pydl-doc                    	       0        1        0        0        1
53734 python-pydot                       	       0        3        0        2        1
53735 python-pydotplus                   	       0        2        0        2        0
53736 python-pyexiv2                     	       0        6        0        6        0
53737 python-pyexiv2-doc                 	       0        5        0        0        5
53738 python-pyface                      	       0        2        0        2        0
53739 python-pyflakes                    	       0        3        0        3        0
53740 python-pyftpdlib                   	       0        1        0        1        0
53741 python-pygame                      	       0       27        1       26        0
53742 python-pygame-doc                  	       0        3        0        0        3
53743 python-pygame-sdl2                 	       0        1        0        1        0
53744 python-pygit2-doc                  	       0        1        0        0        1
53745 python-pyglet                      	       0        6        0        6        0
53746 python-pygments-doc                	       0        8        0        0        8
53747 python-pygoocanvas                 	       0        4        0        4        0
53748 python-pygooglechart               	       0        1        0        1        0
53749 python-pygraphviz                  	       0        5        0        5        0
53750 python-pygraphviz-doc              	       0        2        0        0        2
53751 python-pygresql                    	       0        1        0        1        0
53752 python-pyhsm                       	       0        1        0        1        0
53753 python-pyicu                       	       0       23        3       20        0
53754 python-pyinotify-doc               	       0        4        0        0        4
53755 python-pyisomd5sum                 	       0        1        0        1        0
53756 python-pyjavaproperties            	       0        1        0        1        0
53757 python-pykaraoke                   	       0        1        0        1        0
53758 python-pykka                       	       0        1        0        1        0
53759 python-pylibacl                    	       0        7        0        7        0
53760 python-pylibmc                     	       0        2        0        2        0
53761 python-pylirc                      	       0        1        0        1        0
53762 python-pymad                       	       0        4        0        4        0
53763 python-pymemcache                  	       0        1        0        1        0
53764 python-pymetar                     	       0        1        1        0        0
53765 python-pymongo                     	       0        6        1        5        0
53766 python-pymongo-doc                 	       0        2        0        0        2
53767 python-pymongo-ext                 	       0        5        1        4        0
53768 python-pymtp                       	       0        1        0        1        0
53769 python-pymysql                     	       0        3        0        3        0
53770 python-pymysql-doc                 	       0        1        0        0        1
53771 python-pyodbc                      	       0        2        0        2        0
53772 python-pyogg                       	       0        1        0        0        1
53773 python-pyorbit                     	       0       13        0       13        0
53774 python-pyparsing                   	       0       51        0       50        1
53775 python-pypdf                       	       0        1        0        1        0
53776 python-pypdf2                      	       0       10        0       10        0
53777 python-pyproj                      	       0        3        0        3        0
53778 python-pyptlib                     	       0        2        0        2        0
53779 python-pyqrcode                    	       0        1        0        1        0
53780 python-pyqt5                       	       0       30        0       30        0
53781 python-pyqt5-dbg                   	       0        1        0        1        0
53782 python-pyqt5.qsci                  	       0        4        0        4        0
53783 python-pyqt5.qtmultimedia          	       0        1        0        1        0
53784 python-pyqt5.qtopengl              	       0        1        0        1        0
53785 python-pyqt5.qtserialport          	       0        1        0        1        0
53786 python-pyqt5.qtsvg                 	       0       17        0       17        0
53787 python-pyqt5.qtwebkit              	       0       18        0       18        0
53788 python-pyqt5.qwt-doc               	       0        2        0        0        2
53789 python-pyqtgraph                   	       0        1        0        1        0
53790 python-pyqtgraph-doc               	       0        1        0        0        1
53791 python-pyquery                     	       0        1        0        1        0
53792 python-pyramid                     	       0        1        0        1        0
53793 python-pyramid-beaker              	       0        1        0        1        0
53794 python-pyregion-doc                	       0        1        0        0        1
53795 python-pyresample-test             	       0        2        0        0        2
53796 python-pyroute2                    	       0        1        0        1        0
53797 python-pysaml2                     	       0        1        0        1        0
53798 python-pyscss                      	       0        1        0        1        0
53799 python-pyshp                       	       0        1        0        1        0
53800 python-pyside                      	       0        8        0        0        8
53801 python-pyside.phonon               	       0        8        0        8        0
53802 python-pyside.qtcore               	       0        8        0        8        0
53803 python-pyside.qtdeclarative        	       0        8        0        8        0
53804 python-pyside.qtgui                	       0        8        0        8        0
53805 python-pyside.qthelp               	       0        8        0        8        0
53806 python-pyside.qtnetwork            	       0        8        0        8        0
53807 python-pyside.qtopengl             	       0        8        0        8        0
53808 python-pyside.qtscript             	       0        8        0        8        0
53809 python-pyside.qtsql                	       0        8        0        8        0
53810 python-pyside.qtsvg                	       0        8        0        8        0
53811 python-pyside.qttest               	       0        8        0        8        0
53812 python-pyside.qtuitools            	       0        8        0        8        0
53813 python-pyside.qtwebkit             	       0        8        0        8        0
53814 python-pyside.qtxml                	       0        8        0        8        0
53815 python-pyside2-doc                 	       0        1        0        1        0
53816 python-pyside2.qtcore              	       0        3        0        3        0
53817 python-pyside2.qtgui               	       0        3        0        3        0
53818 python-pyside2.qtopengl            	       0        2        0        2        0
53819 python-pyside2.qtsvg               	       0        2        0        2        0
53820 python-pyside2.qtwidgets           	       0        3        0        3        0
53821 python-pyside2uic                  	       0        6        0        6        0
53822 python-pysimplesoap                	       0       67        2       65        0
53823 python-pysnmp4-doc                 	       0        1        0        0        1
53824 python-pysolr                      	       0        1        0        1        0
53825 python-pyspatialite                	       0        2        0        2        0
53826 python-pysqlite2                   	       0       11        0       11        0
53827 python-pysqlite2-dbg               	       0        1        0        1        0
53828 python-pysqlite2-doc               	       0        1        0        0        1
53829 python-pytest                      	       0       10        0       10        0
53830 python-pytest-doc                  	       0        2        0        0        2
53831 python-pytest-forked               	       0        1        0        1        0
53832 python-pytest-trio-doc             	       0        1        0        0        1
53833 python-pytest-xdist                	       0        1        0        1        0
53834 python-pytestqt-doc                	       0        1        0        0        1
53835 python-pytools-doc                 	       0        1        0        0        1
53836 python-pyudev                      	       0        4        0        4        0
53837 python-pyvirtualdisplay            	       0        1        0        1        0
53838 python-pyvorbis                    	       0       10        0       10        0
53839 python-pywt-doc                    	       0        2        0        0        2
53840 python-pyxattr                     	       0       17        0       17        0
53841 python-pyxattr-doc                 	       0        3        0        0        3
53842 python-pyxmpp                      	       0        2        1        1        0
53843 python-q-text-as-data              	       0        2        0        2        0
53844 python-qgis                        	       0        2        0        2        0
53845 python-qgis-common                 	       0        3        0        0        3
53846 python-qrcode                      	       0        5        0        5        0
53847 python-qrencode                    	       0        2        0        2        0
53848 python-qrtools                     	       0        4        0        4        0
53849 python-qscintilla2                 	       0        4        0        4        0
53850 python-qt-binding                  	       0        1        0        1        0
53851 python-qt3-doc                     	       0        1        0        1        0
53852 python-qt4                         	       0       26        1       25        0
53853 python-qt4-dbg                     	       0        1        0        1        0
53854 python-qt4-dbus                    	       0        9        1        8        0
53855 python-qt4-dev                     	       0        1        0        0        1
53856 python-qt4-gl                      	       0       11        0       11        0
53857 python-qt4-sql                     	       0        4        0        4        0
53858 python-qt4reactor                  	       0        1        0        1        0
53859 python-qtawesome                   	       0        1        0        1        0
53860 python-qtawesome-common            	       0       34        0        0       34
53861 python-qtawesome-doc               	       0        1        0        0        1
53862 python-qtpy                        	       0        1        0        1        0
53863 python-rabbyt                      	       0        2        0        2        0
53864 python-radix                       	       0        1        1        0        0
53865 python-rados                       	       0        2        0        2        0
53866 python-rbd                         	       0        2        0        2        0
53867 python-rbtools                     	       0        1        0        1        0
53868 python-rcssmin                     	       0        1        0        1        0
53869 python-rdflib                      	       0        2        0        2        0
53870 python-recaptcha                   	       0        3        1        2        0
53871 python-redis                       	       0        2        1        1        0
53872 python-regex                       	       0       18        0       18        0
53873 python-rencode                     	       0        9        0        9        0
53874 python-renderpm                    	       0       36        0       35        1
53875 python-reportlab                   	       0       37        0       36        1
53876 python-reportlab-accel             	       0       37        0       36        1
53877 python-reportlab-doc               	       0        3        0        0        3
53878 python-repoze.lru                  	       0       22        2       20        0
53879 python-repoze.who                  	       0        1        0        1        0
53880 python-requests                    	       0       85        2       83        0
53881 python-requests-doc                	       0       24        0        0       24
53882 python-requests-kerberos           	       0        1        0        1        0
53883 python-requests-toolbelt           	       0        2        0        2        0
53884 python-requests-toolbelt-doc       	       0        2        0        0        2
53885 python-requests-whl                	       0        6        0        0        6
53886 python-requestsexceptions          	       0        1        0        1        0
53887 python-retrying                    	       0        1        0        1        0
53888 python-rfc3339                     	       0        4        0        4        0
53889 python-rfc3986                     	       0        2        0        2        0
53890 python-rjsmin                      	       0        1        0        1        0
53891 python-rope                        	       0        2        0        2        0
53892 python-ropemacs                    	       0        1        0        1        0
53893 python-ropemode                    	       0        2        0        2        0
53894 python-routes                      	       0       21        0       21        0
53895 python-rpm                         	       0        1        0        1        0
53896 python-rpy2                        	       0        2        0        2        0
53897 python-rrdtool                     	       0        1        1        0        0
53898 python-rsa                         	       0        2        0        2        0
53899 python-rsvg                        	       0        2        0        2        0
53900 python-rtslib-fb                   	       0        1        0        1        0
53901 python-ryu                         	       0        1        0        1        0
53902 python-sagenb                      	       0        1        0        1        0
53903 python-sagenb-export               	       0        1        0        1        0
53904 python-sagetex                     	       0        1        0        1        0
53905 python-saharaclient                	       0        1        0        1        0
53906 python-sane                        	       0        4        0        4        0
53907 python-scandir                     	       0       13        1       12        0
53908 python-scapy                       	       0        1        0        1        0
53909 python-scgi                        	       0        3        1        2        0
53910 python-scientific-doc              	       0        1        0        0        1
53911 python-scipy                       	       0       11        0       11        0
53912 python-scipy-doc                   	       0        8        0        0        8
53913 python-sclapp                      	       0        1        0        1        0
53914 python-scour                       	       0       45        0       45        0
53915 python-scp                         	       0        1        0        1        0
53916 python-scrapy-doc                  	       0        1        0        0        1
53917 python-seaborn                     	       0        1        0        1        0
53918 python-seafile                     	       0        1        0        1        0
53919 python-searpc                      	       0        1        0        1        0
53920 python-secretstorage               	       0       46        4       42        0
53921 python-secretstorage-doc           	       0        6        0        0        6
53922 python-selenium                    	       0        2        0        2        0
53923 python-selenium-doc                	       0        3        0        0        3
53924 python-selinux                     	       0        3        0        3        0
53925 python-semanage                    	       0        3        0        3        0
53926 python-semantic-version            	       0        1        0        1        0
53927 python-semantic-version-doc        	       0        1        0        0        1
53928 python-send2trash                  	       0        1        0        1        0
53929 python-sendfile                    	       0        2        0        2        0
53930 python-senlinclient                	       0        1        0        1        0
53931 python-sepolgen                    	       0        2        0        2        0
53932 python-sepolicy                    	       0        1        0        1        0
53933 python-serial                      	       0       28        2       26        0
53934 python-service-identity            	       0       35        0       35        0
53935 python-setools                     	       0        2        0        2        0
53936 python-setproctitle                	       0        4        0        4        0
53937 python-setuptools                  	       0      180        3      177        0
53938 python-setuptools-doc              	       0       19        0        0       19
53939 python-setuptools-scm              	       0        1        0        1        0
53940 python-setuptools-whl              	       0        6        0        0        6
53941 python-sh                          	       0        1        0        1        0
53942 python-shapely                     	       0        2        0        2        0
53943 python-simplebayes-doc             	       0        1        0        0        1
53944 python-simplegeneric               	       0        8        0        8        0
53945 python-simplejson                  	       0       38        4       34        0
53946 python-simpletal                   	       0        2        0        1        1
53947 python-simpy-gui                   	       0        1        0        1        0
53948 python-simpy3-doc                  	       0        2        0        0        2
53949 python-singledispatch              	       0       10        1        9        0
53950 python-sip                         	       0       59        1       58        0
53951 python-sip-dbg                     	       0        2        0        2        0
53952 python-sip-dev                     	       0        2        0        2        0
53953 python-sip-tqt                     	       0        1        0        1        0
53954 python-sipsimple                   	       0        1        0        1        0
53955 python-six-doc                     	       0        2        0        0        2
53956 python-six-whl                     	       0        6        0        0        6
53957 python-skimage-doc                 	       0        1        0        0        1
53958 python-sklearn-doc                 	       0        2        0        0        2
53959 python-slowaes                     	       0        2        0        2        0
53960 python-smartpm                     	       0        2        1        1        0
53961 python-smbus                       	       0        2        1        1        0
53962 python-smmap                       	       0        3        0        3        0
53963 python-snappy                      	       0        2        0        2        0
53964 python-snowballstemmer             	       0        1        1        0        0
53965 python-socks                       	       0        3        0        3        0
53966 python-socksipy                    	       0        2        0        2        0
53967 python-software-properties         	       0        4        0        4        0
53968 python-sortedcontainers            	       0        2        0        2        0
53969 python-sortedcontainers-doc        	       0        4        0        0        4
53970 python-soupsieve                   	       0       78        1       77        0
53971 python-sourcecodegen               	       0        1        0        1        0
53972 python-soya                        	       0        2        0        2        0
53973 python-soya-doc                    	       0        1        0        0        1
53974 python-sparqlwrapper               	       0        1        0        1        0
53975 python-sphinx                      	       0       10        0       10        0
53976 python-sphinx-feature-classification-doc	       0        2        0        0        2
53977 python-sphinx-gallery-doc          	       0        1        0        0        1
53978 python-sphinx-rtd-theme            	       0        6        0        6        0
53979 python-sphinxcontrib.bibtex-doc    	       0        1        0        0        1
53980 python-sphinxcontrib.programoutput-doc	       0        1        0        0        1
53981 python-sphinxcontrib.spelling-doc  	       0        1        0        0        1
53982 python-sqlalchemy                  	       0       14        0       14        0
53983 python-sqlalchemy-doc              	       0        4        0        0        4
53984 python-sqlalchemy-ext              	       0       11        0       11        0
53985 python-sqlite                      	       0        7        1        6        0
53986 python-sqlitecachec                	       0        1        0        1        0
53987 python-sqlparse                    	       0        2        0        2        0
53988 python-sqlparse-doc                	       0        4        0        0        4
53989 python-statistics                  	       0        1        0        1        0
53990 python-statsmodels                 	       0        1        0        0        1
53991 python-statsmodels-doc             	       0        1        0        0        1
53992 python-statsmodels-lib             	       0        1        0        1        0
53993 python-stdnum                      	       0        1        0        1        0
53994 python-stemmer                     	       0        1        1        0        0
53995 python-stevedore                   	       0        3        0        3        0
53996 python-subprocess32                	       0       26        0       26        0
53997 python-subunit                     	       0        2        0        2        0
53998 python-subversion                  	       0        7        0        7        0
53999 python-suds                        	       0        8        0        8        0
54000 python-sugar3                      	       0       41        0       41        0
54001 python-superqt-doc                 	       0        1        0        0        1
54002 python-surfer                      	       0        1        0        1        0
54003 python-svn                         	       0        8        0        8        0
54004 python-swiftclient                 	       0        1        0        1        0
54005 python-sympy                       	       0        4        1        3        0
54006 python-sympy-doc                   	       0        8        0        0        8
54007 python-systemd                     	       0        1        1        0        0
54008 python-tables                      	       0        3        0        3        0
54009 python-tables-data                 	       0       96        0        0       96
54010 python-tables-doc                  	       0        4        0        0        4
54011 python-tables-lib                  	       0        3        0        3        0
54012 python-tablib                      	       0        1        0        1        0
54013 python-tagpy                       	       0        2        0        2        0
54014 python-taskflow                    	       0        1        0        1        0
54015 python-tdb                         	       0       99        2       97        0
54016 python-tegaki                      	       0        1        0        1        0
54017 python-tegaki-gtk                  	       0        1        0        1        0
54018 python-telepathy                   	       0       41        0       41        0
54019 python-tempita                     	       0        4        0        4        0
54020 python-termcolor                   	       0        1        0        1        0
54021 python-terminado                   	       0        1        1        0        0
54022 python-testpath                    	       0        1        1        0        0
54023 python-testresources               	       0        1        0        1        0
54024 python-testscenarios               	       0        3        0        3        0
54025 python-testtools                   	       0        3        0        3        0
54026 python-testtools-doc               	       0        2        0        0        2
54027 python-texttable                   	       0        1        0        1        0
54028 python-tidylib                     	       0        1        0        1        0
54029 python-tinycss2-common             	       0      376        0        0      376
54030 python-tinycss2-doc                	       0        2        0        0        2
54031 python-tk                          	       0       93        0       93        0
54032 python-tk-dbg                      	       0        1        0        1        0
54033 python-tksnack                     	       0        1        0        1        0
54034 python-tktreectrl-doc              	       0        1        0        0        1
54035 python-tofu                        	       0        1        0        1        0
54036 python-toolz-doc                   	       0        2        0        0        2
54037 python-tooz                        	       0        1        0        1        0
54038 python-torctl                      	       0        1        0        1        0
54039 python-tornado                     	       0        6        2        4        0
54040 python-tornado-doc                 	       0        5        0        0        5
54041 python-tqdm                        	       0        2        0        2        0
54042 python-tqt                         	       0        1        0        1        0
54043 python-traceback2                  	       0        2        0        2        0
54044 python-traitlets                   	       0        6        0        6        0
54045 python-traits                      	       0        3        0        3        0
54046 python-traitsui                    	       0        2        0        2        0
54047 python-translate                   	       0        1        0        1        0
54048 python-translationstring           	       0        1        0        1        0
54049 python-trie                        	       0        1        0        1        0
54050 python-trie-doc                    	       0        1        0        0        1
54051 python-trinity-trinity             	       0        1        0        1        0
54052 python-tripleo-heat-templates      	       0        1        0        1        0
54053 python-trml2pdf                    	       0        1        0        1        0
54054 python-trollius                    	       0        6        0        6        0
54055 python-troveclient                 	       0        1        0        1        0
54056 python-tsk                         	       0        1        0        1        0
54057 python-ttystatus                   	       0        3        1        2        0
54058 python-twill                       	       0        1        0        1        0
54059 python-twisted                     	       0       12        0        1       11
54060 python-twisted-bin                 	       0       33        1       32        0
54061 python-twisted-bin-dbg             	       0        1        0        1        0
54062 python-twisted-conch               	       0        5        0        1        4
54063 python-twisted-core                	       0       33        1       32        0
54064 python-twisted-lore                	       0        3        0        3        0
54065 python-twisted-mail                	       0        5        0        1        4
54066 python-twisted-names               	       0        6        0        1        5
54067 python-twisted-news                	       0        3        0        1        2
54068 python-twisted-runner              	       0        3        0        1        2
54069 python-twisted-web                 	       0       12        0        4        8
54070 python-twisted-web2                	       0        2        0        2        0
54071 python-twisted-words               	       0        4        0        1        3
54072 python-twodict                     	       0        2        0        2        0
54073 python-txaio                       	       0        2        0        2        0
54074 python-txaio-doc                   	       0        1        0        0        1
54075 python-typing                      	       0       10        0       10        0
54076 python-tz                          	       0       73        1       71        1
54077 python-tzlocal                     	       0        1        0        1        0
54078 python-u-msgpack                   	       0        1        0        1        0
54079 python-ubjson                      	       0        1        0        1        0
54080 python-ubuntutools                 	       0        1        0        1        0
54081 python-ufl-doc                     	       0        2        0        0        2
54082 python-unbound                     	       0        2        1        1        0
54083 python-unicodecsv                  	       0        2        0        2        0
54084 python-unidecode                   	       0        1        0        1        0
54085 python-unittest2                   	       0        2        0        2        0
54086 python-uno                         	       0        1        0        1        0
54087 python-unrardll                    	       0        1        0        1        0
54088 python-uritemplate                 	       0        2        0        2        0
54089 python-uritools                    	       0        5        0        5        0
54090 python-urlgrabber                  	       0        7        0        7        0
54091 python-urllib3                     	       0       95        2       93        0
54092 python-urllib3-whl                 	       0        6        0        0        6
54093 python-urwid-doc                   	       0        5        0        0        5
54094 python-usb                         	       0        3        0        3        0
54095 python-utmp                        	       0        2        0        2        0
54096 python-vatnumber                   	       0        1        0        0        1
54097 python-vcversioner                 	       0        1        0        1        0
54098 python-venusian                    	       0        1        0        1        0
54099 python-virtualenv                  	       0       22        2       20        0
54100 python-vobject                     	       0       14        0       13        1
54101 python-voluptuous                  	       0        1        0        1        0
54102 python-vte                         	       0        2        0        0        2
54103 python-vtk6                        	       0        2        0        2        0
54104 python-wadllib                     	       0        9        1        8        0
54105 python-waitress                    	       0        2        0        2        0
54106 python-waitress-doc                	       0        1        0        0        1
54107 python-warlock                     	       0        1        0        1        0
54108 python-wcwidth                     	       0        6        0        6        0
54109 python-webdav                      	       0        1        0        1        0
54110 python-webencodings                	       0      146        1      145        0
54111 python-webkit                      	       0        1        0        1        0
54112 python-webob                       	       0       19        0       19        0
54113 python-webob-doc                   	       0        3        0        0        3
54114 python-websocket                   	       0        1        0        1        0
54115 python-websockify                  	       0        2        0        2        0
54116 python-webtest                     	       0        2        0        2        0
54117 python-werkzeug                    	       0       11        1        9        1
54118 python-werkzeug-doc                	       0        5        0        0        5
54119 python-wheel                       	       0       41        0       40        1
54120 python-wheel-common                	       0        3        0        3        0
54121 python-widgetsnbextension          	       0        1        0        1        0
54122 python-wimpiggy                    	       0        1        0        1        0
54123 python-wnck                        	       0       11        0       11        0
54124 python-wrapt                       	       0        6        0        6        0
54125 python-wsaccel                     	       0        1        0        1        0
54126 python-wsgi-intercept              	       0        1        0        1        0
54127 python-wsme                        	       0        1        0        1        0
54128 python-wxgtk-media3.0              	       0        1        0        0        1
54129 python-wxgtk-media4.0              	       0        1        0        0        1
54130 python-wxgtk-webview3.0            	       0        1        0        0        1
54131 python-wxgtk-webview4.0            	       0        1        0        0        1
54132 python-wxgtk2.8                    	       0        3        0        3        0
54133 python-wxgtk3.0                    	       0       45        1       44        0
54134 python-wxgtk3.0-dev                	       0        1        0        1        0
54135 python-wxgtk4.0                    	       0        1        0        0        1
54136 python-wxversion                   	       0       48        1       47        0
54137 python-xapian                      	       0       28        2       26        0
54138 python-xapp                        	       0        1        0        1        0
54139 python-xappy                       	       0        2        1        1        0
54140 python-xattr                       	       0        4        0        4        0
54141 python-xcaplib                     	       0        1        0        1        0
54142 python-xdg                         	       0       79        2       77        0
54143 python-xkcd-doc                    	       0        1        0        0        1
54144 python-xkit                        	       0        1        0        1        0
54145 python-xklavier                    	       0        1        0        1        0
54146 python-xlib                        	       0       18        0       18        0
54147 python-xlrd                        	       0        9        0        9        0
54148 python-xlsxwriter                  	       0        2        0        2        0
54149 python-xlwt                        	       0       10        0        9        1
54150 python-xmltodict                   	       0        1        0        1        0
54151 python-xmmsclient                  	       0        4        0        4        0
54152 python-xstatic                     	       0        1        0        1        0
54153 python-xstatic-angular             	       0        1        0        1        0
54154 python-xstatic-angular-bootstrap   	       0        1        0        1        0
54155 python-xstatic-angular-fileupload  	       0        1        0        1        0
54156 python-xstatic-angular-gettext     	       0        1        0        1        0
54157 python-xstatic-angular-lrdragndrop 	       0        1        0        1        0
54158 python-xstatic-angular-schema-form 	       0        1        0        1        0
54159 python-xstatic-bootstrap-datepicker	       0        1        0        1        0
54160 python-xstatic-bootstrap-scss      	       0        1        0        1        0
54161 python-xstatic-bootswatch          	       0        1        0        1        0
54162 python-xstatic-d3                  	       0        1        0        1        0
54163 python-xstatic-font-awesome        	       0        1        0        1        0
54164 python-xstatic-hogan               	       0        1        0        1        0
54165 python-xstatic-jasmine             	       0        1        0        1        0
54166 python-xstatic-jquery              	       0        1        0        1        0
54167 python-xstatic-jquery-migrate      	       0        1        0        1        0
54168 python-xstatic-jquery-ui           	       0        1        0        1        0
54169 python-xstatic-jquery.quicksearch  	       0        1        0        1        0
54170 python-xstatic-jquery.tablesorter  	       0        1        0        1        0
54171 python-xstatic-jsencrypt           	       0        1        0        1        0
54172 python-xstatic-magic-search        	       0        1        0        1        0
54173 python-xstatic-mdi                 	       0        1        0        1        0
54174 python-xstatic-objectpath          	       0        1        0        1        0
54175 python-xstatic-rickshaw            	       0        1        0        1        0
54176 python-xstatic-roboto-fontface     	       0        1        0        1        0
54177 python-xstatic-smart-table         	       0        1        0        1        0
54178 python-xstatic-spin                	       0        1        0        1        0
54179 python-xstatic-term.js             	       0        1        0        1        0
54180 python-xstatic-tv4                 	       0        1        0        1        0
54181 python-xvfbwrapper                 	       0        2        0        2        0
54182 python-yaml                        	       0       42        4       38        0
54183 python-yaql                        	       0        1        0        1        0
54184 python-yara                        	       0        5        0        5        0
54185 python-yubico                      	       0        1        0        1        0
54186 python-yubico-tools                	       0        6        0        6        0
54187 python-zake                        	       0        1        0        1        0
54188 python-zaqarclient                 	       0        1        0        1        0
54189 python-zbar                        	       0        7        0        7        0
54190 python-zconfig                     	       0        1        0        1        0
54191 python-zdaemon                     	       0        1        0        1        0
54192 python-zeitgeist                   	       0        4        0        4        0
54193 python-zeroconf                    	       0        3        0        3        0
54194 python-zinnia                      	       0        1        0        1        0
54195 python-zmq                         	       0        8        2        6        0
54196 python-zope.browser                	       0        1        1        0        0
54197 python-zope.component              	       0        5        1        4        0
54198 python-zope.configuration          	       0        1        1        0        0
54199 python-zope.contenttype            	       0        1        1        0        0
54200 python-zope.deprecation            	       0        1        1        0        0
54201 python-zope.event                  	       0        5        1        4        0
54202 python-zope.exceptions             	       0        1        1        0        0
54203 python-zope.hookable               	       0        5        1        4        0
54204 python-zope.i18n                   	       0        1        1        0        0
54205 python-zope.i18nmessageid          	       0        1        1        0        0
54206 python-zope.interface              	       0       43        4       39        0
54207 python-zope.interface-dbg          	       0        1        0        1        0
54208 python-zope.location               	       0        1        1        0        0
54209 python-zope.proxy                  	       0        1        1        0        0
54210 python-zope.publisher              	       0        1        1        0        0
54211 python-zope.schema                 	       0        1        1        0        0
54212 python-zope.security               	       0        1        1        0        0
54213 python-zope.testbrowser            	       0        1        1        0        0
54214 python-zsi                         	       0        1        0        1        0
54215 python2-dbg                        	       0        5        0        5        0
54216 python2-dev                        	       0       71        1       70        0
54217 python2-doc                        	       0        5        0        0        5
54218 python2.2                          	       0        1        0        1        0
54219 python2.4                          	       0        1        0        1        0
54220 python2.4-dev                      	       0        1        0        1        0
54221 python2.4-doc                      	       0        1        0        0        1
54222 python2.4-minimal                  	       0        3        0        3        0
54223 python2.5                          	       0        7        0        7        0
54224 python2.5-dev                      	       0        1        0        1        0
54225 python2.5-minimal                  	       0       10        1        9        0
54226 python2.6                          	       0       39        2       37        0
54227 python2.6-dbg                      	       0        1        0        1        0
54228 python2.6-dev                      	       0        1        0        1        0
54229 python2.6-minimal                  	       0       60        3       57        0
54230 python2.7-dbg                      	       0        7        0        7        0
54231 python2.7-dev                      	       0      123        2      121        0
54232 python2.7-doc                      	       0       11        0        0       11
54233 python2.7-examples                 	       0        2        0        0        2
54234 python3-accessible-pygments        	       0        2        0        2        0
54235 python3-acdcli                     	       0        1        0        1        0
54236 python3-acora                      	       0        2        0        2        0
54237 python3-acoustid                   	       0       22        0       22        0
54238 python3-actdiag                    	       0        4        0        4        0
54239 python3-actionlib                  	       0        2        0        2        0
54240 python3-actionlib-msgs             	       0        2        0        2        0
54241 python3-adal                       	       0        8        0        8        0
54242 python3-admesh                     	       0        2        0        2        0
54243 python3-aeidon                     	       0       59        1       58        0
54244 python3-afdko                      	       0        2        0        2        0
54245 python3-affine                     	       0        8        0        8        0
54246 python3-agate                      	       0       12        0       12        0
54247 python3-agatedbf                   	       0       12        0       12        0
54248 python3-agateexcel                 	       0       12        0       12        0
54249 python3-agatesql                   	       0       12        0       12        0
54250 python3-aggdraw                    	       0        4        0        4        0
54251 python3-aiodogstatsd               	       0        1        0        1        0
54252 python3-aiofiles                   	       0       19        0       19        0
54253 python3-aiohttp-mako               	       0        1        0        1        0
54254 python3-aiohttp-retry              	       0        1        0        1        0
54255 python3-aiohttp-session            	       0        1        0        1        0
54256 python3-aioopenssl                 	       0        4        0        4        0
54257 python3-aioquic                    	       0        1        0        1        0
54258 python3-aioredis                   	       0       29        0       29        0
54259 python3-aiosasl                    	       0        2        0        2        0
54260 python3-aiosmtpd                   	       0        3        0        3        0
54261 python3-aiosmtplib                 	       0        1        0        1        0
54262 python3-aiosqlite                  	       0       35        0       35        0
54263 python3-ajpy                       	       0       21        0       21        0
54264 python3-all                        	       0      487        0        0      487
54265 python3-all-dbg                    	       0        6        0        0        6
54266 python3-all-dev                    	       0      448        0        0      448
54267 python3-alsaaudio                  	       0        9        1        8        0
54268 python3-altgraph                   	       0        3        0        3        0
54269 python3-ament-cmake-test           	       0        2        0        2        0
54270 python3-ament-lint                 	       0        1        0        1        0
54271 python3-ament-package              	       0        2        0        2        0
54272 python3-ament-xmllint              	       0        1        0        1        0
54273 python3-amqp                       	       0        6        0        6        0
54274 python3-amqplib                    	       0        1        0        1        0
54275 python3-aniso8601                  	       0        3        0        3        0
54276 python3-annexremote                	       0        4        0        4        0
54277 python3-annotated-types            	       0       10        1        9        0
54278 python3-ansible-compat             	       0        8        0        8        0
54279 python3-ansible-pygments           	       0        1        0        1        0
54280 python3-ansible-runner             	       0        1        0        1        0
54281 python3-antlr4                     	       0        9        0        9        0
54282 python3-anyjson                    	       0        7        0        7        0
54283 python3-anyqt                      	       0        1        0        1        0
54284 python3-apipkg                     	       0        1        0        1        0
54285 python3-aplpy                      	       0        2        0        2        0
54286 python3-applicationinsights        	       0        6        0        6        0
54287 python3-apptools                   	       0        3        0        3        0
54288 python3-apscheduler                	       0        3        0        3        0
54289 python3-aptdaemon                  	       0       10        0       10        0
54290 python3-aptdaemon.gtk3widgets      	       0        8        0        8        0
54291 python3-aptly                      	       0        1        0        1        0
54292 python3-apycula                    	       0        1        0        1        0
54293 python3-arcp                       	       0        4        0        4        0
54294 python3-arcus                      	       0       22        1       21        0
54295 python3-argh                       	       0        6        0        6        0
54296 python3-argon2                     	       0       65        1       64        0
54297 python3-args                       	       0        7        0        7        0
54298 python3-arrayfire                  	       0        1        0        1        0
54299 python3-artifacts                  	       0       13        0       13        0
54300 python3-asciitree                  	       0        7        0        7        0
54301 python3-asdf                       	       0        2        0        2        0
54302 python3-asdf-astropy               	       0        2        0        2        0
54303 python3-asdf-coordinates-schemas   	       0        2        0        2        0
54304 python3-asdf-standard              	       0        2        0        2        0
54305 python3-asdf-transform-schemas     	       0        2        0        2        0
54306 python3-asdf-wcs-schemas           	       0        2        0        2        0
54307 python3-asteval                    	       0        4        0        4        0
54308 python3-astlib                     	       0        2        0        2        0
54309 python3-astor                      	       0        6        0        6        0
54310 python3-astroml                    	       0        2        0        2        0
54311 python3-astroplan                  	       0        2        0        2        0
54312 python3-astropy-affiliated         	       0        2        0        0        2
54313 python3-astropy-healpix            	       0        3        0        3        0
54314 python3-astropy-sphinx-theme       	       0        2        0        2        0
54315 python3-astroquery                 	       0        2        0        2        0
54316 python3-astroscrappy               	       0        3        0        3        0
54317 python3-astunparse                 	       0       11        0       11        0
54318 python3-asyncclick                 	       0        1        0        1        0
54319 python3-asyncpg                    	       0        3        0        3        0
54320 python3-aubio                      	       0        7        0        7        0
54321 python3-audioop-lts                	       0        1        0        1        0
54322 python3-audioread                  	       0       23        0       23        0
54323 python3-audit                      	       0        4        0        4        0
54324 python3-authheaders                	       0        1        0        1        0
54325 python3-authlib                    	       0        1        0        1        0
54326 python3-authres                    	       0       11        1       10        0
54327 python3-autobahn                   	       0       20        0       20        0
54328 python3-autopage                   	       0        5        0        5        0
54329 python3-avahi                      	       0       38        0       38        0
54330 python3-avro                       	       0        9        0        9        0
54331 python3-awscrt                     	       0       20        0       20        0
54332 python3-axolotl                    	       0       44        0       44        0
54333 python3-axolotl-curve25519         	       0       44        3       41        0
54334 python3-azext-devops               	       0        6        0        6        0
54335 python3-azure                      	       0        8        0        8        0
54336 python3-azure-cli                  	       0        6        0        6        0
54337 python3-azure-cli-core             	       0        6        0        6        0
54338 python3-azure-cli-telemetry        	       0        6        0        6        0
54339 python3-azure-cli-testsdk          	       0        2        0        2        0
54340 python3-azure-cosmos               	       0        6        0        6        0
54341 python3-azure-cosmosdb-table       	       0        1        0        1        0
54342 python3-azure-datalake-store       	       0        6        0        6        0
54343 python3-azure-devtools             	       0        1        0        1        0
54344 python3-azure-functions-devops-build	       0        2        0        2        0
54345 python3-azure-kusto-data           	       0        1        1        0        0
54346 python3-azure-multiapi-storage     	       0        6        0        6        0
54347 python3-azure-storage              	       0        7        0        7        0
54348 python3-b2sdk                      	       0        1        0        1        0
54349 python3-babelfish                  	       0        7        1        6        0
54350 python3-bandit                     	       0        4        0        4        0
54351 python3-barbicanclient             	       0        1        0        1        0
54352 python3-bareos                     	       0        2        0        2        0
54353 python3-barman                     	       0        5        2        3        0
54354 python3-base58                     	       0       20        0       20        0
54355 python3-bashate                    	       0        2        0        2        0
54356 python3-basix                      	       0        1        0        1        0
54357 python3-beancount                  	       0        1        0        1        0
54358 python3-behave                     	       0        1        0        1        0
54359 python3-bidict                     	       0        8        0        8        0
54360 python3-billiard                   	       0        3        0        3        0
54361 python3-binary-memcached           	       0        3        0        3        0
54362 python3-biopython                  	       0        3        0        3        0
54363 python3-biplist                    	       0        1        0        1        0
54364 python3-bitcoinlib                 	       0        2        0        2        0
54365 python3-bitstruct                  	       0        1        0        1        0
54366 python3-bleak                      	       0        7        0        7        0
54367 python3-blessed                    	       0       24        2       22        0
54368 python3-blessings                  	       0        5        0        5        0
54369 python3-blockdev                   	       0        1        0        1        0
54370 python3-blockdiag                  	       0        4        0        4        0
54371 python3-blurhash                   	       0        1        0        1        0
54372 python3-bond                       	       0        1        0        1        0
54373 python3-bondpy                     	       0        1        0        1        0
54374 python3-boolean                    	       0        3        0        3        0
54375 python3-booleanoperations          	       0        6        0        6        0
54376 python3-botan                      	       0        3        0        3        0
54377 python3-boto                       	       0        9        0        9        0
54378 python3-boto3                      	       0       19        0       19        0
54379 python3-bottle-sqlite              	       0        1        0        1        0
54380 python3-box                        	       0        1        0        1        0
54381 python3-bpfcc                      	       0        3        0        3        0
54382 python3-braceexpand                	       0        3        0        3        0
54383 python3-bracex                     	       0        9        0        9        0
54384 python3-breathe                    	       0        2        0        2        0
54385 python3-breezy-dbgsym              	       0        1        0        1        0
54386 python3-breezy.tests               	       0        2        0        2        0
54387 python3-brial                      	       0        7        0        7        0
54388 python3-brian                      	       0        1        0        1        0
54389 python3-brian-lib                  	       0        1        0        1        0
54390 python3-bsddb3                     	       0       45        3       42        0
54391 python3-btchip                     	       0        2        1        1        0
54392 python3-btrfsutil                  	       0        2        0        2        0
54393 python3-bugzilla                   	       0        2        0        2        0
54394 python3-build                      	       0       12        0       12        0
54395 python3-buildbot-doc               	       0        1        0        0        1
54396 python3-buildlog-consultant        	       0        1        0        1        0
54397 python3-bz2file                    	       0        2        0        2        0
54398 python3-cachecontrol               	       0       16        0       16        0
54399 python3-cached-property            	       0       62        0       62        0
54400 python3-cachetools                 	       0       39        1       38        0
54401 python3-cairo-dev                  	       0        4        0        4        0
54402 python3-cairo-doc                  	       0        1        0        0        1
54403 python3-cairosvg                   	       0       36        0       36        0
54404 python3-caldav                     	       0        2        0        2        0
54405 python3-calmjs                     	       0        1        0        1        0
54406 python3-calmjs.parse               	       0        1        0        1        0
54407 python3-calmjs.types               	       0        1        0        1        0
54408 python3-can                        	       0        1        0        1        0
54409 python3-canonicaljson              	       0        4        0        4        0
54410 python3-cap-ng                     	       0       45        6       39        0
54411 python3-carquinyol                 	       0        1        0        1        0
54412 python3-cartopy                    	       0        9        0        9        0
54413 python3-casa-formats-io            	       0        2        0        2        0
54414 python3-casacore                   	       0        5        0        5        0
54415 python3-castellan                  	       0        1        0        1        0
54416 python3-catalogue                  	       0        1        0        1        0
54417 python3-catkin                     	       0        3        0        3        0
54418 python3-catkin-pkg                 	       0        4        0        4        0
54419 python3-cattr                      	       0       13        1       12        0
54420 python3-cbor2                      	       0        3        0        3        0
54421 python3-ccdproc                    	       0        3        0        3        0
54422 python3-cclib                      	       0       38        1       37        0
54423 python3-cdio                       	       0        7        0        7        0
54424 python3-cdo                        	       0        2        0        2        0
54425 python3-cdsapi                     	       0        2        0        2        0
54426 python3-celery                     	       0        3        0        3        0
54427 python3-ceph                       	       0        3        0        0        3
54428 python3-ceph-argparse              	       0        7        0        7        0
54429 python3-ceph-common                	       0        5        0        5        0
54430 python3-cephfs                     	       0        7        0        7        0
54431 python3-cerberus                   	       0        8        1        7        0
54432 python3-certbot-apache             	       0       70       10       60        0
54433 python3-certbot-dns-cloudflare     	       0        3        0        3        0
54434 python3-certbot-dns-dnsimple       	       0        1        0        1        0
54435 python3-certbot-dns-gandi          	       0        7        0        7        0
54436 python3-certbot-dns-ovh            	       0        3        0        3        0
54437 python3-certbot-dns-rfc2136        	       0        4        0        4        0
54438 python3-certbot-dns-standalone     	       0        1        0        1        0
54439 python3-certbot-nginx              	       0       25        7       18        0
54440 python3-certipy                    	       0        1        0        1        0
54441 python3-cffsubr                    	       0        4        0        4        0
54442 python3-cfgv                       	       0        7        0        7        0
54443 python3-chameleon                  	       0        1        0        1        0
54444 python3-characteristic             	       0        1        0        1        0
54445 python3-charon                     	       0       21        0       21        0
54446 python3-cheetah                    	       0        6        1        5        0
54447 python3-ci-info                    	       0        1        0        1        0
54448 python3-cinderclient               	       0        2        0        2        0
54449 python3-citeproc                   	       0        1        0        1        0
54450 python3-clang                      	       0        2        0        0        2
54451 python3-clang-11                   	       0        1        0        1        0
54452 python3-clang-19                   	       0        1        0        1        0
54453 python3-cleo                       	       0        9        0        9        0
54454 python3-clevercsv                  	       0        1        0        1        0
54455 python3-cli-helpers                	       0       14        0       14        0
54456 python3-cliapp                     	       0       22        1       21        0
54457 python3-click-default-group        	       0        3        0        3        0
54458 python3-click-didyoumean           	       0        4        0        4        0
54459 python3-click-plugins              	       0       59        1       58        0
54460 python3-click-repl                 	       0        3        0        3        0
54461 python3-click-threading            	       0        7        0        7        0
54462 python3-cliff                      	       0        6        0        6        0
54463 python3-cligj                      	       0       13        0       13        0
54464 python3-clint                      	       0        7        0        7        0
54465 python3-cloudflare                 	       0        4        0        4        0
54466 python3-cloudscraper               	       0        1        0        1        0
54467 python3-cmarkgfm                   	       0        5        0        5        0
54468 python3-coda                       	       0        4        0        4        0
54469 python3-collada                    	       0        3        0        3        0
54470 python3-colored                    	       0        1        0        1        0
54471 python3-colors                     	       0        1        0        1        0
54472 python3-comm                       	       0        9        1        8        0
54473 python3-commonmark                 	       0       27        1       26        0
54474 python3-commonmark-bkrs            	       0        1        0        1        0
54475 python3-compose                    	       0       10        0       10        0
54476 python3-compreffor                 	       0        1        0        1        0
54477 python3-conda-package-streaming    	       0        1        0        1        0
54478 python3-confection                 	       0        1        0        1        0
54479 python3-confget                    	       0        1        0        1        0
54480 python3-configshell-fb             	       0        1        0        1        0
54481 python3-confluent-kafka            	       0        3        0        3        0
54482 python3-confuse                    	       0       10        0       10        0
54483 python3-connection-pool            	       0        1        0        1        0
54484 python3-construct                  	       0       12        0       12        0
54485 python3-construct-classes          	       0        1        0        1        0
54486 python3-contextlib2                	       0       39        0       39        0
54487 python3-convertdate                	       0        5        0        5        0
54488 python3-coreapi                    	       0        3        0        3        0
54489 python3-coreschema                 	       0        3        0        3        0
54490 python3-cotyledon                  	       0        1        0        1        0
54491 python3-cov-core                   	       0       14        2       12        0
54492 python3-cpl                        	       0        3        0        3        0
54493 python3-cpuset                     	       0        3        0        3        0
54494 python3-crashtest                  	       0        9        0        9        0
54495 python3-crayons                    	       0        2        0        2        0
54496 python3-crcelk                     	       0        1        0        1        0
54497 python3-crcmod                     	       0        8        0        8        0
54498 python3-crontab                    	       0        2        0        2        0
54499 python3-crypto                     	       0       45        3       42        0
54500 python3-crypto-dbg                 	       0        1        0        1        0
54501 python3-cs                         	       0        1        0        1        0
54502 python3-csa                        	       0        1        0        1        0
54503 python3-csdr                       	       0        1        0        1        0
54504 python3-cson                       	       0        8        0        8        0
54505 python3-csscompressor              	       0        2        0        2        0
54506 python3-cssmin                     	       0        4        0        4        0
54507 python3-csvkit                     	       0       12        0       12        0
54508 python3-ctdopts                    	       0        1        0        1        0
54509 python3-cu2qu                      	       0        1        0        1        0
54510 python3-cursive                    	       0        1        0        1        0
54511 python3-curtsies                   	       0        9        0        9        0
54512 python3-cvxopt                     	       0        6        0        6        0
54513 python3-cwiid                      	       0        4        0        4        0
54514 python3-cwl-utils                  	       0        3        0        3        0
54515 python3-cxx-dev                    	       0        1        0        1        0
54516 python3-cymem                      	       0        1        0        1        0
54517 python3-cymruwhois                 	       0        8        0        8        0
54518 python3-cypari2                    	       0        8        0        8        0
54519 python3-cysignals-pari             	       0        9        0        8        1
54520 python3-cython-blis                	       0        1        0        1        0
54521 python3-daemon                     	       0        8        0        8        0
54522 python3-daemonize                  	       0        5        0        5        0
54523 python3-daphne                     	       0        1        0        1        0
54524 python3-dask-sphinx-theme          	       0        1        0        1        0
54525 python3-databases                  	       0        1        0        1        0
54526 python3-datacache                  	       0        1        0        1        0
54527 python3-datalad                    	       0        4        0        4        0
54528 python3-dateparser                 	       0        5        0        5        0
54529 python3-datrie                     	       0        2        0        2        0
54530 python3-dbf                        	       0        1        0        1        0
54531 python3-dbfread                    	       0       12        0       12        0
54532 python3-dbg                        	       0       14        0       14        0
54533 python3-dbus.mainloop.qt           	       0        1        0        1        0
54534 python3-dcmstack                   	       0        1        0        1        0
54535 python3-ddt                        	       0        1        0        1        0
54536 python3-debmutate                  	       0        7        0        7        0
54537 python3-debtagshw                  	       0        1        0        1        0
54538 python3-deepdiff                   	       0        1        0        1        0
54539 python3-defcon                     	       0       16        0       16        0
54540 python3-defconqt                   	       0        3        0        3        0
54541 python3-defer                      	       0       14        0       14        0
54542 python3-demjson                    	       0        2        0        2        0
54543 python3-dendropy                   	       0        1        0        1        0
54544 python3-descartes                  	       0        2        0        2        0
54545 python3-designateclient            	       0        1        0        1        0
54546 python3-devedeng                   	       0        1        0        1        0
54547 python3-devpi-common               	       0        1        0        1        0
54548 python3-dfdatetime                 	       0       13        0       13        0
54549 python3-dfvfs                      	       0       13        0       13        0
54550 python3-dfwinreg                   	       0       13        0       13        0
54551 python3-diagnostic-msgs            	       0        1        0        1        0
54552 python3-diagrams                   	       0        1        0        1        0
54553 python3-dialog                     	       0        6        0        6        0
54554 python3-dicom                      	       0        4        0        0        4
54555 python3-dict2xml                   	       0        2        0        2        0
54556 python3-dicttoxml                  	       0        2        0        2        0
54557 python3-digiham                    	       0        1        0        1        0
54558 python3-dijitso                    	       0        1        0        1        0
54559 python3-dipy                       	       0        1        0        1        0
54560 python3-dipy-lib                   	       0        1        0        1        0
54561 python3-dirspec                    	       0        1        0        1        0
54562 python3-diskimage-builder          	       0        1        0        1        0
54563 python3-distributed                	       0       15        1       14        0
54564 python3-distutils-extra            	       0       18        0       18        0
54565 python3-django-allauth             	       0        2        0        2        0
54566 python3-django-appconf             	       0        2        0        2        0
54567 python3-django-auth-ldap           	       0        1        0        1        0
54568 python3-django-cas-server          	       0        1        0        1        0
54569 python3-django-compressor          	       0        2        0        2        0
54570 python3-django-extensions          	       0        2        0        2        0
54571 python3-django-filters             	       0        2        0        2        0
54572 python3-django-gravatar2           	       0        2        0        2        0
54573 python3-django-guardian            	       0        2        0        2        0
54574 python3-django-haystack            	       0        2        0        2        0
54575 python3-django-hvad                	       0        1        0        1        0
54576 python3-django-hyperkitty          	       0        2        0        2        0
54577 python3-django-js-reverse          	       0        1        0        1        0
54578 python3-django-mailman3            	       0        2        0        2        0
54579 python3-django-maintenance-mode    	       0        1        0        1        0
54580 python3-django-picklefield         	       0        2        0        2        0
54581 python3-django-postorius           	       0        2        0        2        0
54582 python3-django-q                   	       0        2        0        2        0
54583 python3-django-sass-processor      	       0        1        0        1        0
54584 python3-django-tagging             	       0        3        0        3        0
54585 python3-django-wkhtmltopdf         	       0        1        0        1        0
54586 python3-djangorestframework        	       0        2        0        2        0
54587 python3-dkim                       	       0        2        0        2        0
54588 python3-dmidecode                  	       0        2        0        2        0
54589 python3-dnaio                      	       0        1        0        1        0
54590 python3-dnf                        	       0        3        0        3        0
54591 python3-dns                        	       0       80        1       79        0
54592 python3-dnslib                     	       0        4        0        4        0
54593 python3-doc                        	       0      113        0        0      113
54594 python3-doc8                       	       0        1        0        1        0
54595 python3-dockerpycreds              	       0        4        0        4        0
54596 python3-docs-theme                 	       0        1        0        1        0
54597 python3-docx                       	       0        1        0        1        0
54598 python3-dogpile.cache              	       0       11        0       11        0
54599 python3-dolfinx                    	       0        1        0        1        0
54600 python3-dolfinx-real               	       0        1        0        0        1
54601 python3-dominate                   	       0        3        0        3        0
54602 python3-donfig                     	       0        1        0        1        0
54603 python3-dotenv-cli                 	       0        1        0        1        0
54604 python3-dotmap                     	       0        2        0        2        0
54605 python3-doxypypy                   	       0        3        0        3        0
54606 python3-dput                       	       0        6        0        6        0
54607 python3-drizzle                    	       0        1        0        1        0
54608 python3-drslib                     	       0        1        0        1        0
54609 python3-dtfabric                   	       0       13        0       13        0
54610 python3-duniterpy                  	       0        1        0        1        0
54611 python3-dvdvideo                   	       0        1        0        1        0
54612 python3-dynamic-reconfigure        	       0        1        0        1        0
54613 python3-easydict                   	       0        1        0        1        0
54614 python3-easygui                    	       0        5        0        5        0
54615 python3-easyprocess                	       0        5        0        5        0
54616 python3-easysnmp                   	       0        1        0        1        0
54617 python3-easywebdav                 	       0        3        0        3        0
54618 python3-ebooklib                   	       0        4        0        4        0
54619 python3-ecasound                   	       0        6        0        6        0
54620 python3-eccodes                    	       0        2        0        2        0
54621 python3-ecdsa                      	       0       36        0       36        0
54622 python3-echo                       	       0        1        0        1        0
54623 python3-ecmwflibs                  	       0        1        0        1        0
54624 python3-editables                  	       0        1        0        1        0
54625 python3-editobj3                   	       0        4        0        4        0
54626 python3-editor                     	       0        2        0        2        0
54627 python3-edlib                      	       0        1        0        1        0
54628 python3-eduvpn-client              	       0        5        0        5        0
54629 python3-eduvpn-common              	       0        3        0        3        0
54630 python3-einsteinpy                 	       0        1        0        1        0
54631 python3-elasticsearch              	       0       15        0       15        0
54632 python3-elasticsearch-curator      	       0        1        0        1        0
54633 python3-elementpath                	       0        4        0        4        0
54634 python3-email-validator            	       0       10        0       10        0
54635 python3-emcee                      	       0        2        0        2        0
54636 python3-emoji                      	       0       15        0       15        0
54637 python3-empy                       	       0        5        0        5        0
54638 python3-enet                       	       0        8        0        8        0
54639 python3-engineio                   	       0        8        0        8        0
54640 python3-enrich                     	       0        8        0        8        0
54641 python3-envisage                   	       0        3        0        3        0
54642 python3-enzyme                     	       0        6        0        6        0
54643 python3-ephem                      	       0       15        3       12        0
54644 python3-epr                        	       0        3        0        3        0
54645 python3-escript                    	       0        1        1        0        0
54646 python3-espeak                     	       0        1        0        1        0
54647 python3-et-xmlfile                 	       0      110        1      109        0
54648 python3-etcd3                      	       0        3        0        3        0
54649 python3-etcd3gw                    	       0        3        0        3        0
54650 python3-etelemetry                 	       0        1        0        1        0
54651 python3-etesync                    	       0        1        0        1        0
54652 python3-ethtool                    	       0        1        0        1        0
54653 python3-eventlet                   	       0       11        0       11        0
54654 python3-exabgp                     	       0        1        0        1        0
54655 python3-exactimage                 	       0        1        0        1        0
54656 python3-examples                   	       0       70        0        0       70
54657 python3-execnet                    	       0        4        0        4        0
54658 python3-exif                       	       0       25        0        0       25
54659 python3-exifread                   	       0       27        0       27        0
54660 python3-expeyes                    	       0        1        0        1        0
54661 python3-expiringdict               	       0        1        0        1        0
54662 python3-extractor                  	       0        1        0        1        0
54663 python3-extras                     	       0       15        0       15        0
54664 python3-extruct                    	       0        2        0        2        0
54665 python3-eyed3                      	       0       56        1       55        0
54666 python3-ezdxf                      	       0        3        0        3        0
54667 python3-fabio                      	       0        1        0        1        0
54668 python3-fabric                     	       0        7        0        7        0
54669 python3-fabulous                   	       0        2        0        2        0
54670 python3-fake-factory               	       0        1        0        1        0
54671 python3-falcon                     	       0        2        0        2        0
54672 python3-fann2                      	       0        1        0        1        0
54673 python3-fast-histogram             	       0        1        0        1        0
54674 python3-fastapi                    	       0       14        0       14        0
54675 python3-feedgenerator              	       0        7        0        7        0
54676 python3-ffc                        	       0        1        0        1        0
54677 python3-ffcx                       	       0        1        0        1        0
54678 python3-fhs                        	       0        1        0        1        0
54679 python3-fhs-doc                    	       0        1        0        0        1
54680 python3-fiat                       	       0        1        0        1        0
54681 python3-fido2                      	       0       36        0       36        0
54682 python3-fife                       	       0        7        0        7        0
54683 python3-filetype                   	       0       53        0       53        0
54684 python3-findlibs                   	       0        1        0        1        0
54685 python3-findpython                 	       0        2        0        2        0
54686 python3-fiona                      	       0        7        0        7        0
54687 python3-fire                       	       0        1        0        1        0
54688 python3-firebase-messaging         	       0        1        0        1        0
54689 python3-fisx                       	       0        2        0        2        0
54690 python3-fitsio                     	       0        6        0        6        0
54691 python3-flake8-black               	       0        2        0        2        0
54692 python3-flaky                      	       0        1        0        1        0
54693 python3-flasgger                   	       0        2        0        2        0
54694 python3-flask-babel                	       0        5        0        5        0
54695 python3-flask-compress             	       0        1        0        1        0
54696 python3-flask-httpauth             	       0        4        0        4        0
54697 python3-flask-login                	       0        1        0        1        0
54698 python3-flask-restful              	       0        3        0        3        0
54699 python3-flask-socketio             	       0        8        0        8        0
54700 python3-flatbuffers                	       0       17        0       17        0
54701 python3-flatlatex                  	       0        6        1        5        0
54702 python3-flexcache                  	       0        1        0        1        0
54703 python3-flexparser                 	       0        1        0        1        0
54704 python3-fltk                       	       0        1        0        1        0
54705 python3-fltk-doc                   	       0        1        0        0        1
54706 python3-flufl.bounce               	       0        2        0        2        0
54707 python3-flufl.i18n                 	       0        2        0        2        0
54708 python3-flufl.lock                 	       0        2        0        2        0
54709 python3-fontmake                   	       0        2        0        2        0
54710 python3-fontmath                   	       0        3        0        3        0
54711 python3-fontparts                  	       0        2        0        2        0
54712 python3-fontpens                   	       0       14        0       14        0
54713 python3-fpdf                       	       0        1        0        1        0
54714 python3-fpylll                     	       0        9        0        9        0
54715 python3-fpyutils                   	       0        2        0        2        0
54716 python3-freeipa                    	       0        1        0        1        0
54717 python3-freezegun                  	       0       11        0       11        0
54718 python3-fsapfs                     	       0       13        0       13        0
54719 python3-ftdi                       	       0        1        0        1        0
54720 python3-ftdi-doc                   	       0        1        0        0        1
54721 python3-ftdi1                      	       0        2        0        2        0
54722 python3-ftputil                    	       0        1        0        1        0
54723 python3-full                       	       0       61        0        0       61
54724 python3-funcparserlib              	       0       10        0       10        0
54725 python3-funcsigs                   	       0        4        0        4        0
54726 python3-furl                       	       0        1        0        1        0
54727 python3-fusepy                     	       0       16        0       16        0
54728 python3-futurist                   	       0        3        0        3        0
54729 python3-fuzzywuzzy                 	       0        8        0        8        0
54730 python3-galpy                      	       0        1        0        1        0
54731 python3-gammapy                    	       0        1        0        1        0
54732 python3-ganeti-rapi                	       0        1        0        1        0
54733 python3-gbinder                    	       0        7        2        5        0
54734 python3-gdcm                       	       0        5        0        5        0
54735 python3-gdl                        	       0        1        0        1        0
54736 python3-gencpp                     	       0        2        0        2        0
54737 python3-geneagrapher               	       0        3        1        2        0
54738 python3-genetic                    	       0        1        0        1        0
54739 python3-genlisp                    	       0        2        0        2        0
54740 python3-genmsg                     	       0        4        0        4        0
54741 python3-genpy                      	       0        4        0        4        0
54742 python3-geographiclib              	       0        9        0        9        0
54743 python3-geoip2                     	       0        1        0        1        0
54744 python3-geojson                    	       0        7        0        7        0
54745 python3-geolinks                   	       0        3        0        3        0
54746 python3-geomet                     	       0        4        0        4        0
54747 python3-geometry-msgs              	       0        2        0        2        0
54748 python3-geopandas                  	       0        5        0        5        0
54749 python3-geopy                      	       0        7        0        7        0
54750 python3-geotiepoints               	       0        2        0        2        0
54751 python3-germinate                  	       0        1        0        1        0
54752 python3-gerritlib                  	       0        1        0        1        0
54753 python3-ges-1.0                    	       0       34        0       34        0
54754 python3-getdns                     	       0        1        0        1        0
54755 python3-gevent-websocket           	       0        7        0        7        0
54756 python3-gflags                     	       0        1        0        1        0
54757 python3-ghostscript                	       0        2        0        2        0
54758 python3-gimmik                     	       0        1        0        1        0
54759 python3-ginga                      	       0        1        0        1        0
54760 python3-git                        	       0       22        0       22        0
54761 python3-git-big-picture            	       0        7        0        7        0
54762 python3-git-os-job                 	       0        1        0        1        0
54763 python3-git-repo-updater           	       0        1        0        1        0
54764 python3-gitdb                      	       0       22        0       22        0
54765 python3-gitlab                     	       0       26        0       26        0
54766 python3-gjson                      	       0        1        0        1        0
54767 python3-glad                       	       0        1        0        1        0
54768 python3-glanceclient               	       0        2        0        2        0
54769 python3-gleetex                    	       0        1        0        1        0
54770 python3-glob2                      	       0        3        0        3        0
54771 python3-glue                       	       0        1        0        1        0
54772 python3-glymur                     	       0        2        0        2        0
54773 python3-glyphslib                  	       0        2        0        2        0
54774 python3-gnucash-dbgsym             	       0        1        0        1        0
54775 python3-gnuplot                    	       0        1        0        1        0
54776 python3-google-api-core            	       0        1        0        1        0
54777 python3-google-auth                	       0       18        1       17        0
54778 python3-google-auth-httplib2       	       0       14        0       14        0
54779 python3-google-auth-oauthlib       	       0        5        0        5        0
54780 python3-google-i18n-address        	       0        4        0        4        0
54781 python3-googleapis-common-protos   	       0        1        0        1        0
54782 python3-gphoto2                    	       0        6        0        6        0
54783 python3-gphoto2cffi                	       0        1        0        1        0
54784 python3-gpumodules                 	       0       27        0       27        0
54785 python3-gpxpy                      	       0       16        0       16        0
54786 python3-graph-tool                 	       0        1        0        1        0
54787 python3-graphql-core               	       0        1        0        1        0
54788 python3-graphviz                   	       0       13        1       12        0
54789 python3-grib                       	       0        2        0        2        0
54790 python3-grpc-tools                 	       0        2        0        2        0
54791 python3-grpcio                     	       0       11        0       11        0
54792 python3-gsw                        	       0        1        0        1        0
54793 python3-gtfparse                   	       0        1        0        1        0
54794 python3-gtkspellcheck              	       0       14        1       13        0
54795 python3-gtts                       	       0       15        1       14        0
54796 python3-gtts-token                 	       0       12        0       12        0
54797 python3-guessit                    	       0        7        1        6        0
54798 python3-guidata                    	       0        3        0        3        0
54799 python3-guizero                    	       0        2        0        2        0
54800 python3-gunicorn                   	       0        8        0        8        0
54801 python3-guzzle-sphinx-theme        	       0        3        0        3        0
54802 python3-gv                         	       0        1        0        1        0
54803 python3-gvm                        	       0        1        0        1        0
54804 python3-gwcs                       	       0        2        0        2        0
54805 python3-gwebsockets                	       0        1        0        1        0
54806 python3-gyoto                      	       0        1        0        1        0
54807 python3-h5netcdf                   	       0        5        0        5        0
54808 python3-hamlib                     	       0        4        0        4        0
54809 python3-harmony                    	       0        2        0        2        0
54810 python3-hatch-vcs                  	       0       15        0       15        0
54811 python3-hatchling                  	       0       15        0       15        0
54812 python3-hawkey                     	       0        3        0        3        0
54813 python3-hdf-compass                	       0        1        0        1        0
54814 python3-hdf4                       	       0        3        0        3        0
54815 python3-healpy                     	       0        4        0        4        0
54816 python3-heapdict                   	       0       12        0       12        0
54817 python3-heatclient                 	       0        1        0        1        0
54818 python3-hgapi                      	       0        1        0        1        0
54819 python3-hglib                      	       0        1        0        1        0
54820 python3-hidapi                     	       0        3        0        3        0
54821 python3-hips                       	       0        1        0        1        0
54822 python3-hisat2                     	       0        1        0        1        0
54823 python3-hkdf                       	       0       16        0       16        0
54824 python3-hsluv                      	       0        4        0        4        0
54825 python3-html-text                  	       0        2        0        2        0
54826 python3-html5rdf                   	       0        1        0        1        0
54827 python3-htmlmin                    	       0        1        0        1        0
54828 python3-htseq                      	       0        1        0        1        0
54829 python3-httmock                    	       0        1        0        1        0
54830 python3-http-ece                   	       0        1        0        1        0
54831 python3-httpretty                  	       0        4        0        4        0
54832 python3-hunspell                   	       0        1        0        1        0
54833 python3-hupper                     	       0        2        0        2        0
54834 python3-hy                         	       0        4        0        4        0
54835 python3-i3ipc                      	       0        3        0        3        0
54836 python3-iapws                      	       0        1        0        1        0
54837 python3-icmplib                    	       0        1        0        1        0
54838 python3-identify                   	       0        6        0        6        0
54839 python3-igraph                     	       0        1        0        1        0
54840 python3-ijson                      	       0        4        1        3        0
54841 python3-ilorest                    	       0        1        0        1        0
54842 python3-imageio                    	       0       13        0       13        0
54843 python3-imaplib2                   	       0       27        1       26        0
54844 python3-imath                      	       0        5        0        5        0
54845 python3-imdbpy                     	       0        8        0        8        0
54846 python3-imexam                     	       0        2        0        2        0
54847 python3-imgviz                     	       0        2        0        2        0
54848 python3-iminuit                    	       0        1        0        1        0
54849 python3-immutabledict              	       0        4        0        4        0
54850 python3-imobiledevice              	       0        6        0        5        1
54851 python3-impacket                   	       0       34        0       34        0
54852 python3-importlib-resources        	       0        6        0        6        0
54853 python3-infinity                   	       0        4        0        4        0
54854 python3-influxdb                   	       0       37        0       37        0
54855 python3-iniparse                   	       0       60        0       60        0
54856 python3-inject                     	       0        1        0        1        0
54857 python3-inputremapper              	       0        3        0        3        0
54858 python3-installer                  	       0        9        0        9        0
54859 python3-intelhex                   	       0        7        0        7        0
54860 python3-ipaddr                     	       0        1        0        1        0
54861 python3-ipahealthcheck-core        	       0        1        0        1        0
54862 python3-ipdb                       	       0        3        0        3        0
54863 python3-ipy                        	       0       25        0       25        0
54864 python3-irc                        	       0        2        0        2        0
54865 python3-irodsclient                	       0        1        0        1        0
54866 python3-isc-dhcp-leases            	       0        1        0        1        0
54867 python3-iso8601                    	       0       23        0       23        0
54868 python3-isodate                    	       0       65        0       65        0
54869 python3-itemadapter                	       0        1        0        1        0
54870 python3-itemloaders                	       0        1        0        1        0
54871 python3-itypes                     	       0        4        0        4        0
54872 python3-jack-client                	       0        3        0        3        0
54873 python3-jae                        	       0        2        0        2        0
54874 python3-jarabe                     	       0        1        0        1        0
54875 python3-javaproperties             	       0        6        0        6        0
54876 python3-jdcal                      	       0       99        4       95        0
54877 python3-jenkins                    	       0        2        0        2        0
54878 python3-jira                       	       0        2        0        2        0
54879 python3-jplephem                   	       0        3        0        3        0
54880 python3-jpylyzer                   	       0        2        0        2        0
54881 python3-js8py                      	       0        1        0        1        0
54882 python3-jschema-to-python          	       0        1        0        1        0
54883 python3-jsmin                      	       0        7        1        6        0
54884 python3-json-tricks                	       0        2        0        2        0
54885 python3-jsonext                    	       0        1        0        1        0
54886 python3-jsonhyperschema-codec      	       0        1        0        1        0
54887 python3-jsonnet                    	       0        1        0        1        0
54888 python3-jsonpatch                  	       0       16        1       15        0
54889 python3-jsonpath-rw                	       0        2        0        2        0
54890 python3-jsonpath-rw-ext            	       0        1        0        1        0
54891 python3-jsonpickle                 	       0        3        0        3        0
54892 python3-jsonrpc                    	       0        1        0        1        0
54893 python3-jsonrpclib-pelix           	       0        3        0        3        0
54894 python3-jstyleson                  	       0        2        0        2        0
54895 python3-jupyter-events             	       0        2        2        0        0
54896 python3-jupyter-server             	       0        6        1        5        0
54897 python3-jupyter-server-mathjax     	       0        2        1        1        0
54898 python3-jupyter-server-terminals   	       0        2        1        1        0
54899 python3-jupyter-sphinx-theme       	       0        8        1        7        0
54900 python3-jupyter-telemetry          	       0        1        0        1        0
54901 python3-jupyterlab-server          	       0        5        1        4        0
54902 python3-jwcrypto                   	       0       11        0       11        0
54903 python3-k8sclient                  	       0        1        0        1        0
54904 python3-kaitaistruct               	       0       12        0       12        0
54905 python3-kanboard                   	       0        1        0        1        0
54906 python3-kanjidraw                  	       0        1        0        1        0
54907 python3-kazoo                      	       0        3        0        3        0
54908 python3-kea-connector              	       0        3        0        3        0
54909 python3-keepalive                  	       0        1        0        1        0
54910 python3-keras                      	       0        1        0        1        0
54911 python3-keras-applications         	       0        2        0        2        0
54912 python3-keras-preprocessing        	       0        2        0        2        0
54913 python3-keyring-pass               	       0        1        1        0        0
54914 python3-keyrings.alt               	       0       82        2       80        0
54915 python3-keystoneauth1              	       0        9        0        9        0
54916 python3-keystoneclient             	       0        8        0        8        0
54917 python3-keystonemiddleware         	       0        3        0        3        0
54918 python3-keyutils                   	       0       27        6       21        0
54919 python3-kineticstools              	       0        1        0        1        0
54920 python3-kismetcapturefreaklabszigbee	       0        1        0        1        0
54921 python3-kismetcapturertl433        	       0        1        0        1        0
54922 python3-kismetcapturertladsb       	       0        1        0        1        0
54923 python3-kismetcapturertlamr        	       0        1        0        1        0
54924 python3-kiss-headers               	       0        1        0        1        0
54925 python3-kitchen                    	       0        2        0        2        0
54926 python3-kivy                       	       0        7        1        6        0
54927 python3-klaus                      	       0        1        0        1        0
54928 python3-knack                      	       0        6        0        6        0
54929 python3-kombu                      	       0        6        0        6        0
54930 python3-kopano                     	       0        1        0        1        0
54931 python3-kopano-search              	       0        1        1        0        0
54932 python3-kopano-utils               	       0        1        0        1        0
54933 python3-kubernetes                 	       0        3        0        3        0
54934 python3-kytos-sphinx-theme         	       0        1        0        1        0
54935 python3-l20n                       	       0        2        0        2        0
54936 python3-labgrid                    	       0        1        0        1        0
54937 python3-langdetect                 	       0       18        1       17        0
54938 python3-langtable                  	       0        1        0        1        0
54939 python3-lark                       	       0        4        0        4        0
54940 python3-lasagne                    	       0        1        0        1        0
54941 python3-latexcodec                 	       0       13        1       12        0
54942 python3-lazr.config                	       0        2        0        2        0
54943 python3-lazr.delegates             	       0        2        0        2        0
54944 python3-lazy-loader                	       0        2        0        2        0
54945 python3-ldap3                      	       0       51        0       51        0
54946 python3-ldapdomaindump             	       0       34        0       34        0
54947 python3-leather                    	       0       12        0       12        0
54948 python3-lensfun                    	       0        3        0        3        0
54949 python3-lesscpy                    	       0        2        0        2        0
54950 python3-lexicon                    	       0        5        0        5        0
54951 python3-libbde                     	       0       13        0       13        0
54952 python3-libcegui-mk2-0.8.7         	       0        1        0        0        1
54953 python3-libcomps                   	       0        3        0        3        0
54954 python3-libconcord                 	       0        3        0        3        0
54955 python3-libcreg                    	       0       13        0       13        0
54956 python3-libdnf                     	       0        3        0        3        0
54957 python3-libesedb                   	       0       13        0       13        0
54958 python3-libevt                     	       0       13        0       13        0
54959 python3-libevtx                    	       0       13        0       13        0
54960 python3-libewf                     	       0       13        0       13        0
54961 python3-libfdt                     	       0        1        0        1        0
54962 python3-libfsext                   	       0       13        0       13        0
54963 python3-libfshfs                   	       0       13        0       13        0
54964 python3-libfsntfs                  	       0       17        0       17        0
54965 python3-libfsxfs                   	       0       13        0       13        0
54966 python3-libfvde                    	       0       13        0       13        0
54967 python3-libfwnt                    	       0       13        0       13        0
54968 python3-libfwsi                    	       0       13        0       13        0
54969 python3-libgpiod                   	       0        1        0        1        0
54970 python3-liblnk                     	       0       13        0       13        0
54971 python3-liblo                      	       0       12        0       12        0
54972 python3-libluksde                  	       0       13        0       13        0
54973 python3-libmodernize               	       0        4        0        4        0
54974 python3-libmsiecf                  	       0       13        0       13        0
54975 python3-libnacl                    	       0        1        0        1        0
54976 python3-libnmap                    	       0        6        1        5        0
54977 python3-libolecf                   	       0       13        0       13        0
54978 python3-libproxy                   	       0        2        0        2        0
54979 python3-libpulse                   	       0        1        0        1        0
54980 python3-libqcow                    	       0       14        0       14        0
54981 python3-librdf                     	       0        1        0        1        0
54982 python3-librecaptcha               	       0        2        0        2        0
54983 python3-libregf                    	       0       13        0       13        0
54984 python3-librouteros                	       0        1        0        1        0
54985 python3-libscca                    	       0       13        0       13        0
54986 python3-libsigscan                 	       0       13        0       13        0
54987 python3-libsmdev                   	       0       13        0       13        0
54988 python3-libsmraw                   	       0       13        0       13        0
54989 python3-libsvm                     	       0        2        0        2        0
54990 python3-libtiff                    	       0        1        0        1        0
54991 python3-libtmux                    	       0        9        0        9        0
54992 python3-libusb1                    	       0        1        0        0        1
54993 python3-libvhdi                    	       0       13        0       13        0
54994 python3-libvmdk                    	       0       13        0       13        0
54995 python3-libvshadow                 	       0       13        0       13        0
54996 python3-libvslvm                   	       0       13        0       13        0
54997 python3-license-expression         	       0        3        0        3        0
54998 python3-linecache2                 	       0        3        0        3        0
54999 python3-listparser                 	       0        3        0        3        0
55000 python3-lldb                       	       0        1        0        0        1
55001 python3-lldb-11                    	       0        3        0        0        3
55002 python3-lldb-14                    	       0       10        0        0       10
55003 python3-lldb-15                    	       0        1        0        0        1
55004 python3-lldb-16                    	       0        4        0        0        4
55005 python3-lldb-19                    	       0        5        0        0        5
55006 python3-llfuse                     	       0       58        0       57        1
55007 python3-llvmlite                   	       0       74        0       74        0
55008 python3-lmdb                       	       0        1        0        1        0
55009 python3-lmfit                      	       0        1        0        1        0
55010 python3-locket                     	       0       20        1       19        0
55011 python3-logbook                    	       0        8        0        8        0
55012 python3-logfury                    	       0        2        0        2        0
55013 python3-logilab-constraint         	       0        1        0        1        0
55014 python3-loguru                     	       0        2        0        2        0
55015 python3-logutils                   	       0       10        1        9        0
55016 python3-lxc                        	       0       30        0       30        0
55017 python3-lxml-dbg                   	       0        1        0        1        0
55018 python3-lzo                        	       0       63        2       61        0
55019 python3-lzstring                   	       0        1        0        1        0
55020 python3-m2crypto                   	       0       13        0       13        0
55021 python3-m2r                        	       0        4        0        4        0
55022 python3-m3u8                       	       0        3        0        3        0
55023 python3-macholib                   	       0        3        0        3        0
55024 python3-magcode-core               	       0        1        0        1        0
55025 python3-mailman-hyperkitty         	       0        2        0        2        0
55026 python3-mailmanclient              	       0        2        0        2        0
55027 python3-maison                     	       0        1        0        1        0
55028 python3-mallard.ducktype           	       0        3        0        3        0
55029 python3-mando                      	       0        1        0        1        0
55030 python3-manimpango                 	       0        1        0        1        0
55031 python3-map-msgs                   	       0        1        0        1        0
55032 python3-mapi                       	       0        1        1        0        0
55033 python3-mapnik                     	       0        5        0        5        0
55034 python3-mapproxy                   	       0        2        1        1        0
55035 python3-mapscript                  	       0        2        0        2        0
55036 python3-markdown2                  	       0        2        0        2        0
55037 python3-markups                    	       0       22        0       22        0
55038 python3-marshmallow                	       0        9        0        9        0
55039 python3-mastodon                   	       0        2        0        2        0
55040 python3-mathgl                     	       0        1        0        1        0
55041 python3-matplotlib-venn            	       0        1        0        1        0
55042 python3-matrix-common              	       0        4        0        4        0
55043 python3-matrix-nio                 	       0        7        0        7        0
55044 python3-maxminddb                  	       0        1        0        1        0
55045 python3-md-toc                     	       0        2        0        2        0
55046 python3-mdit-py-plugins            	       0        2        0        2        0
55047 python3-mdp                        	       0        2        0        2        0
55048 python3-mdx-math                   	       0       24        0       24        0
55049 python3-mechanicalsoup             	       0        1        0        1        0
55050 python3-mediafile                  	       0       11        0       11        0
55051 python3-meld3                      	       0        1        0        1        0
55052 python3-memcache                   	       0       16        1       15        0
55053 python3-memoized-property          	       0        1        0        1        0
55054 python3-memory-allocator           	       0        8        0        8        0
55055 python3-mensa                      	       0        1        0        1        0
55056 python3-mercurial-extension-utils  	       0        5        0        5        0
55057 python3-merge3                     	       0       42        0       42        0
55058 python3-meshio                     	       0        2        0        2        0
55059 python3-meshtastic                 	       0        2        0        2        0
55060 python3-mesonpy                    	       0        3        0        3        0
55061 python3-message-filters            	       0        1        0        1        0
55062 python3-metaconfig                 	       0        1        0        1        0
55063 python3-mf2py                      	       0        2        0        2        0
55064 python3-microversion-parse         	       0        1        0        1        0
55065 python3-midiutil                   	       0        1        0        1        0
55066 python3-mido                       	       0        4        1        3        0
55067 python3-migrate                    	       0        5        0        5        0
55068 python3-miio                       	       0        2        0        2        0
55069 python3-milter                     	       0        1        0        1        0
55070 python3-mimeparse                  	       0        7        0        7        0
55071 python3-minecraftpi                	       0        4        0        4        0
55072 python3-minidb                     	       0        3        0        3        0
55073 python3-minieigen                  	       0        4        0        4        0
55074 python3-mintpy                     	       0        2        0        2        0
55075 python3-mistletoe                  	       0       12        0       12        0
55076 python3-mistune                    	       0       35        2       33        0
55077 python3-mistune0                   	       0        8        0        8        0
55078 python3-mitogen                    	       0        2        0        2        0
55079 python3-mlpy                       	       0        1        0        1        0
55080 python3-mlpy-lib                   	       0        1        0        1        0
55081 python3-mlt                        	       0       23        0       23        0
55082 python3-mlt7                       	       0        1        0        1        0
55083 python3-mmllib                     	       0        1        0        1        0
55084 python3-mnemonic                   	       0       19        0       19        0
55085 python3-mockldap                   	       0        2        0        2        0
55086 python3-montage-wrapper            	       0        2        0        2        0
55087 python3-move-base-msgs             	       0        2        0        2        0
55088 python3-moviepy                    	       0        1        0        1        0
55089 python3-mpi4py                     	       0       21        1       20        0
55090 python3-mpl-scatter-density        	       0        1        0        1        0
55091 python3-mplcursors                 	       0        1        0        1        0
55092 python3-mpltoolkits.basemap        	       0        3        1        2        0
55093 python3-mpv                        	       0        2        0        2        0
55094 python3-msal                       	       0        9        0        9        0
55095 python3-msal-extensions            	       0        8        0        8        0
55096 python3-msgpack-numpy              	       0        3        0        3        0
55097 python3-msrest                     	       0        8        0        8        0
55098 python3-msrestazure                	       0        8        0        8        0
55099 python3-multi-key-dict             	       0        2        0        2        0
55100 python3-multipart                  	       0        6        0        6        0
55101 python3-multipledispatch           	       0        1        0        1        0
55102 python3-multipletau                	       0        1        0        1        0
55103 python3-multiprocess               	       0        2        0        2        0
55104 python3-munch                      	       0       11        0       11        0
55105 python3-munkres                    	       0       36        1       35        0
55106 python3-murmurhash                 	       0        2        0        2        0
55107 python3-musicpd                    	       0        1        0        1        0
55108 python3-mutatormath                	       0        2        0        2        0
55109 python3-mwclient                   	       0        1        0        1        0
55110 python3-mygpoclient                	       0       20        1       19        0
55111 python3-myhdl                      	       0        2        0        2        0
55112 python3-mysql.connector            	       0        3        0        3        0
55113 python3-myst-parser                	       0        2        0        2        0
55114 python3-mythtv                     	       0        6        1        5        0
55115 python3-nagiosplugin               	       0        3        0        3        0
55116 python3-nameparser                 	       0        1        0        1        0
55117 python3-nautilus                   	       0       49        0        1       48
55118 python3-nav-msgs                   	       0        1        0        1        0
55119 python3-nbsphinx                   	       0        9        1        8        0
55120 python3-nbxmpp                     	       0       58        1       57        0
55121 python3-ncclient                   	       0        1        0        1        0
55122 python3-ndcube                     	       0        2        0        2        0
55123 python3-ndg-httpsclient            	       0        2        0        2        0
55124 python3-neovim                     	       0       18        0        1       17
55125 python3-networkmanager             	       0        2        0        2        0
55126 python3-neutron                    	       0        1        0        1        0
55127 python3-neutron-lib                	       0        1        0        1        0
55128 python3-neutron-vpnaas             	       0        1        0        1        0
55129 python3-neutronclient              	       0        3        0        3        0
55130 python3-nibabel                    	       0        3        0        3        0
55131 python3-nipy                       	       0        1        0        1        0
55132 python3-nipy-lib                   	       0        1        0        1        0
55133 python3-nipype                     	       0        1        0        1        0
55134 python3-nitime                     	       0        1        0        1        0
55135 python3-nlopt                      	       0        3        0        3        0
55136 python3-nmap                       	       0        3        0        3        0
55137 python3-nmea2                      	       0        2        0        2        0
55138 python3-nodelet                    	       0        1        0        1        0
55139 python3-nodelet-topic-tools        	       0        1        0        1        0
55140 python3-nose-parameterized         	       0        1        0        1        0
55141 python3-nose-random                	       0        1        0        1        0
55142 python3-nose2                      	       0       20        0       20        0
55143 python3-notcurses                  	       0        2        0        2        0
55144 python3-notmuch                    	       0        2        0        2        0
55145 python3-nototools                  	       0        1        0        1        0
55146 python3-nova                       	       0        1        0        1        0
55147 python3-novaclient                 	       0        3        0        3        0
55148 python3-novnc                      	       0        4        0        4        0
55149 python3-nox                        	       0        2        0        2        0
55150 python3-nss                        	       0        2        0        2        0
55151 python3-ntplib                     	       0        1        0        1        0
55152 python3-nudatus                    	       0        1        0        1        0
55153 python3-num2words                  	       0        6        0        6        0
55154 python3-numba                      	       0       74        0       74        0
55155 python3-numpy-dbg                  	       0        3        0        3        0
55156 python3-nut                        	       0        5        0        5        0
55157 python3-nwdiag                     	       0        4        0        4        0
55158 python3-oauth2client               	       0       14        0       14        0
55159 python3-objgraph                   	       0        6        1        5        0
55160 python3-obspy-deps                 	       0        2        0        0        2
55161 python3-odil                       	       0        2        0        2        0
55162 python3-ofxparse                   	       0        5        0        5        0
55163 python3-olm                        	       0        7        0        7        0
55164 python3-omegaconf                  	       0        1        0        1        0
55165 python3-omemo-dr                   	       0       12        0       12        0
55166 python3-omg                        	       0        1        0        1        0
55167 python3-onewire                    	       0        1        0        1        0
55168 python3-onnx                       	       0        2        0        2        0
55169 python3-ontospy                    	       0        1        0        1        0
55170 python3-opencv-apps                	       0        2        0        2        0
55171 python3-opendht                    	       0        1        0        1        0
55172 python3-openid                     	       0        4        0        4        0
55173 python3-openpyxl                   	       0      110        2      108        0
55174 python3-openrazer                  	       0        2        0        2        0
55175 python3-openscap                   	       0        1        0        1        0
55176 python3-opensnitch-ui              	       0        5        0        5        0
55177 python3-openstackclient            	       0        2        0        2        0
55178 python3-openstacksdk               	       0        4        0        4        0
55179 python3-opentimestamps             	       0        1        0        1        0
55180 python3-openturns                  	       0        2        0        2        0
55181 python3-openvswitch                	       0        4        0        4        0
55182 python3-ordered-set                	       0        1        0        1        0
55183 python3-orderedattrdict            	       0        2        0        2        0
55184 python3-orderedmultidict           	       0        1        0        1        0
55185 python3-os-brick                   	       0        1        0        1        0
55186 python3-os-client-config           	       0        4        0        4        0
55187 python3-os-ken                     	       0        1        0        1        0
55188 python3-os-resource-classes        	       0        2        0        2        0
55189 python3-os-service-types           	       0        9        0        9        0
55190 python3-os-traits                  	       0        2        0        2        0
55191 python3-os-vif                     	       0        2        0        2        0
55192 python3-os-win                     	       0        1        0        1        0
55193 python3-osc-lib                    	       0        5        0        5        0
55194 python3-osc-placement              	       0        1        0        1        0
55195 python3-oslo.cache                 	       0        3        0        3        0
55196 python3-oslo.concurrency           	       0        3        0        3        0
55197 python3-oslo.config                	       0       11        0       11        0
55198 python3-oslo.context               	       0       10        0       10        0
55199 python3-oslo.db                    	       0        3        0        3        0
55200 python3-oslo.i18n                  	       0       12        0       12        0
55201 python3-oslo.limit                 	       0        1        0        1        0
55202 python3-oslo.log                   	       0       10        0       10        0
55203 python3-oslo.messaging             	       0        3        0        3        0
55204 python3-oslo.metrics               	       0        3        0        3        0
55205 python3-oslo.middleware            	       0        3        0        3        0
55206 python3-oslo.policy                	       0        3        0        3        0
55207 python3-oslo.privsep               	       0        2        0        2        0
55208 python3-oslo.reports               	       0        2        0        2        0
55209 python3-oslo.rootwrap              	       0        2        0        2        0
55210 python3-oslo.serialization         	       0       11        0       11        0
55211 python3-oslo.service               	       0        3        0        3        0
55212 python3-oslo.upgradecheck          	       0        3        0        3        0
55213 python3-oslo.versionedobjects      	       0        2        0        2        0
55214 python3-oslosphinx                 	       0        1        0        1        0
55215 python3-osprofiler                 	       0        2        0        2        0
55216 python3-othman                     	       0        1        0        1        0
55217 python3-overpass                   	       0        2        0        2        0
55218 python3-overpy                     	       0        2        0        2        0
55219 python3-overrides                  	       0        2        1        1        0
55220 python3-ovsdbapp                   	       0        2        0        2        0
55221 python3-owslib                     	       0       42        0       42        0
55222 python3-packagekit                 	       0        3        0        3        0
55223 python3-pacparser                  	       0        1        0        1        0
55224 python3-pafy                       	       0        1        0        1        0
55225 python3-paho-mqtt                  	       0       13        0       13        0
55226 python3-pako                       	       0        2        0        2        0
55227 python3-pallets-sphinx-themes      	       0        1        0        1        0
55228 python3-pamela                     	       0        1        0        1        0
55229 python3-panflute                   	       0        1        0        1        0
55230 python3-parallax                   	       0        2        0        2        0
55231 python3-parallel                   	       0        5        0        5        0
55232 python3-parameterized              	       0        6        0        6        0
55233 python3-paraview                   	       0        3        0        3        0
55234 python3-parfive                    	       0        1        0        1        0
55235 python3-parse                      	       0        1        0        1        0
55236 python3-parse-type                 	       0        1        0        1        0
55237 python3-parsel                     	       0        1        0        1        0
55238 python3-partd                      	       0       20        0       20        0
55239 python3-pastedeploy-tpl            	       0       10        0        0       10
55240 python3-pastescript                	       0       11        3        8        0
55241 python3-path                       	       0        2        0        2        0
55242 python3-path-and-address           	       0        5        0        5        0
55243 python3-pathvalidate               	       0        1        0        1        0
55244 python3-patsy                      	       0       11        0       11        0
55245 python3-pbcommand                  	       0        1        0        1        0
55246 python3-pbcore                     	       0        1        0        1        0
55247 python3-pbsuite-utils              	       0        1        0        1        0
55248 python3-pcapy                      	       0        2        0        2        0
55249 python3-pcbasic                    	       0        4        0        4        0
55250 python3-pcbasic-doc                	       0        2        0        0        2
55251 python3-pcp                        	       0        6        0        6        0
55252 python3-pcpasswd                   	       0        1        0        1        0
55253 python3-pcre                       	       0        4        0        4        0
55254 python3-pdfkit                     	       0        4        0        4        0
55255 python3-pdfrw                      	       0        2        0        2        0
55256 python3-pdm                        	       0        2        0        2        0
55257 python3-pdm-pep517                 	       0        2        0        2        0
55258 python3-pdoc                       	       0        1        0        1        0
55259 python3-pecan                      	       0        4        0        4        0
55260 python3-peewee                     	       0       20        1       19        0
55261 python3-pendulum                   	       0        5        0        5        0
55262 python3-pep517                     	       0        8        0        8        0
55263 python3-periodictable              	       0        6        1        5        0
55264 python3-petsc4py                   	       0        1        0        1        0
55265 python3-petsc4py-real              	       0        1        0        0        1
55266 python3-petsc4py-real3.18          	       0        1        0        0        1
55267 python3-pex                        	       0        1        0        1        0
55268 python3-pg8000                     	       0        2        0        2        0
55269 python3-pgmagick                   	       0        1        0        1        0
55270 python3-pgpy                       	       0        2        0        2        0
55271 python3-pgpy-doc                   	       0        2        0        0        2
55272 python3-pgspecial                  	       0        4        0        4        0
55273 python3-pgzero                     	       0        1        0        1        0
55274 python3-phonenumbers               	       0        4        0        4        0
55275 python3-photutils                  	       0        3        0        3        0
55276 python3-phply                      	       0       43        5       38        0
55277 python3-pika                       	       0        3        0        3        0
55278 python3-pil-dbg                    	       0        1        0        1        0
55279 python3-pil.imagetk-dbg            	       0        1        0        1        0
55280 python3-pilkit                     	       0        1        0        1        0
55281 python3-ping3                      	       0        1        0        1        0
55282 python3-pint                       	       0        5        0        5        0
55283 python3-pip-whl                    	       0      487        0        0      487
55284 python3-pipdeptree                 	       0        6        0        6        0
55285 python3-pkgconfig                  	       0       11        0       11        0
55286 python3-plac                       	       0        1        0        1        0
55287 python3-plakativ                   	       0        4        0        4        0
55288 python3-plaso                      	       0       13        0       13        0
55289 python3-plaster                    	       0        2        0        2        0
55290 python3-plaster-pastedeploy        	       0        2        0        2        0
55291 python3-plastex                    	       0        3        1        2        0
55292 python3-plist                      	       0        8        0        7        1
55293 python3-plotly                     	       0       44        0       44        0
55294 python3-plplot                     	       0        1        0        1        0
55295 python3-plplot-qt                  	       0        1        0        1        0
55296 python3-pluginbase                 	       0        2        0        2        0
55297 python3-plyvel                     	       0        2        0        2        0
55298 python3-pocketsphinx               	       0        2        0        2        0
55299 python3-podcastparser              	       0       19        0       19        0
55300 python3-poetry                     	       0        8        0        8        0
55301 python3-poetry-core                	       0       11        0       11        0
55302 python3-poezio-poopt               	       0        1        0        1        0
55303 python3-poliastro                  	       0        1        0        1        0
55304 python3-polib                      	       0       20        0       20        0
55305 python3-pony                       	       0        1        0        1        0
55306 python3-popcon                     	       0        1        1        0        0
55307 python3-port-for                   	       0        1        0        1        0
55308 python3-portalocker                	       0       11        0       11        0
55309 python3-positional                 	       0        1        0        1        0
55310 python3-posix-ipc                  	       0        1        0        0        1
55311 python3-potr                       	       0        1        0        1        0
55312 python3-power                      	       0        1        0        1        0
55313 python3-powerline-gitstatus        	       0        3        0        3        0
55314 python3-praw                       	       0        2        0        2        0
55315 python3-prawcore                   	       0        2        0        2        0
55316 python3-precis-i18n                	       0       60        0       60        0
55317 python3-preshed                    	       0        1        0        1        0
55318 python3-pretty-yaml                	       0        1        0        1        0
55319 python3-prettytable                	       0       24        0       24        0
55320 python3-primecountpy               	       0        8        0        8        0
55321 python3-proglog                    	       0        1        0        1        0
55322 python3-progress                   	       0        1        0        1        0
55323 python3-progressbar2               	       0        1        0        1        0
55324 python3-proselint                  	       0        2        0        2        0
55325 python3-protego                    	       0        1        0        1        0
55326 python3-proton-client              	       0        4        0        4        0
55327 python3-proton-keyring-linux-secretservice	       0        2        0        2        0
55328 python3-proton-vpn-connection      	       0        1        0        1        0
55329 python3-proton-vpn-killswitch      	       0        1        0        1        0
55330 python3-proton-vpn-killswitch-network-manager	       0        2        0        2        0
55331 python3-proton-vpn-killswitch-network-manager-wireguard	       0        1        0        1        0
55332 python3-proton-vpn-logger          	       0        2        0        2        0
55333 python3-proton-vpn-network-manager-openvpn	       0        2        0        2        0
55334 python3-proton-vpn-network-manager-wireguard	       0        1        0        1        0
55335 python3-protonvpn-nm-lib           	       0        4        0        4        0
55336 python3-prov                       	       0        5        0        5        0
55337 python3-proxmoxer                  	       0        1        0        1        0
55338 python3-psautohint                 	       0        4        0        4        0
55339 python3-pskc                       	       0        1        0        1        0
55340 python3-psshlib                    	       0        9        0        9        0
55341 python3-psycopg2cffi               	       0        4        0        4        0
55342 python3-psycopg3                   	       0        1        0        1        0
55343 python3-ptable                     	       0        4        0        4        0
55344 python3-ptrace                     	       0        1        0        1        0
55345 python3-public                     	       0        4        0        4        0
55346 python3-publicsuffix2              	       0       12        0       12        0
55347 python3-pubsub                     	       0        4        0        4        0
55348 python3-pulp                       	       0        2        0        2        0
55349 python3-pulsectl                   	       0        1        0        1        0
55350 python3-pure-sasl                  	       0        3        0        3        0
55351 python3-pweave                     	       0        1        0        1        0
55352 python3-pwquality                  	       0        3        0        3        0
55353 python3-pyaarlo                    	       0        1        0        1        0
55354 python3-pyaes                      	       0       28        1       27        0
55355 python3-pyalsa                     	       0        4        0        4        0
55356 python3-pyaps3                     	       0        2        0        2        0
55357 python3-pyasn                      	       0        4        0        4        0
55358 python3-pyaudio                    	       0       22        0       22        0
55359 python3-pyavm                      	       0        2        0        2        0
55360 python3-pyaxmlparser               	       0        6        0        6        0
55361 python3-pybeam                     	       0        3        0        3        0
55362 python3-pybigwig                   	       0        1        0        1        0
55363 python3-pybind11                   	       0        3        0        3        0
55364 python3-pybtex                     	       0       13        1       12        0
55365 python3-pybtex-docutils            	       0       10        1        9        0
55366 python3-pycadf                     	       0        3        0        3        0
55367 python3-pycoast                    	       0        3        0        3        0
55368 python3-pycriu                     	       0       11        0       11        0
55369 python3-pycuda                     	       0        1        0        1        0
55370 python3-pydantic                   	       0       33        0       33        0
55371 python3-pydbus                     	       0       14        0       14        0
55372 python3-pydecorate                 	       0        1        0        1        0
55373 python3-pydenticon                 	       0        1        0        1        0
55374 python3-pydicom                    	       0        7        0        7        0
55375 python3-pydispatch                 	       0        1        0        1        0
55376 python3-pydl                       	       0        2        0        2        0
55377 python3-pydotplus                  	       0        1        0        1        0
55378 python3-pyds9                      	       0        1        0        1        0
55379 python3-pydub                      	       0        3        0        3        0
55380 python3-pydyf                      	       0        8        0        8        0
55381 python3-pyelftools                 	       0       26        0       26        0
55382 python3-pyface                     	       0        3        0        3        0
55383 python3-pyfavicon                  	       0        3        0        3        0
55384 python3-pyfftw                     	       0        2        0        2        0
55385 python3-pyfiglet                   	       0        3        0        3        0
55386 python3-pyftpdlib                  	       0        3        0        3        0
55387 python3-pyfuse3                    	       0       30        0       30        0
55388 python3-pyfzf                      	       0        1        0        1        0
55389 python3-pygac                      	       0        2        0        2        0
55390 python3-pygal                      	       0        1        0        1        0
55391 python3-pygame-dbgsym              	       0        1        0        1        0
55392 python3-pygame-sdl2                	       0       12        1       11        0
55393 python3-pygccxml                   	       0       37        0       37        0
55394 python3-pygeoif                    	       0        4        0        4        0
55395 python3-pygeoip                    	       0        1        0        1        0
55396 python3-pyginac                    	       0        1        1        0        0
55397 python3-pyginac-dbgsym             	       0        1        1        0        0
55398 python3-pyglet                     	       0       15        0       15        0
55399 python3-pyglfw                     	       0        1        0        1        0
55400 python3-pyglossary                 	       0        1        0        1        0
55401 python3-pygpu                      	       0        3        0        3        0
55402 python3-pygrace                    	       0        1        0        1        0
55403 python3-pygresql                   	       0        1        0        1        0
55404 python3-pyhamtools                 	       0        2        0        2        0
55405 python3-pyjavaproperties           	       0        1        0        1        0
55406 python3-pyjokes                    	       0        1        0        1        0
55407 python3-pykcs11                    	       0        3        0        3        0
55408 python3-pykde4                     	       0        1        0        1        0
55409 python3-pykdl                      	       0        1        0        1        0
55410 python3-pykdtree                   	       0        4        0        4        0
55411 python3-pykeepass                  	       0        2        0        2        0
55412 python3-pykml                      	       0        3        0        3        0
55413 python3-pykwalify                  	       0        6        0        6        0
55414 python3-pylama                     	       0        3        0        3        0
55415 python3-pylast                     	       0        3        0        3        0
55416 python3-pyldap                     	       0        1        0        0        1
55417 python3-pylev                      	       0        9        0        9        0
55418 python3-pylibdmtx                  	       0        1        0        1        0
55419 python3-pylibmc                    	       0        1        0        1        0
55420 python3-pyls                       	       0        2        0        2        0
55421 python3-pyls-black                 	       0        2        0        2        0
55422 python3-pyls-jsonrpc               	       0        2        0        2        0
55423 python3-pylsp-isort                	       0        1        0        1        0
55424 python3-pylsp-rope                 	       0        1        0        1        0
55425 python3-pylsqpack                  	       0        1        0        1        0
55426 python3-pymacaroons                	       0        4        0        4        0
55427 python3-pymad                      	       0        1        0        1        0
55428 python3-pymap3d                    	       0        3        0        3        0
55429 python3-pymca5                     	       0        1        0        1        0
55430 python3-pymdownx                   	       0        3        0        3        0
55431 python3-pymeasure                  	       0        4        0        4        0
55432 python3-pymeeus                    	       0        5        0        5        0
55433 python3-pymemcache                 	       0        3        0        3        0
55434 python3-pymoc                      	       0        1        0        1        0
55435 python3-pymodbus                   	       0        3        0        3        0
55436 python3-pymoebinv                  	       0        1        1        0        0
55437 python3-pymoebinv-dbgsym           	       0        1        1        0        0
55438 python3-pymol                      	       0        9        1        8        0
55439 python3-pympler                    	       0        2        0        2        0
55440 python3-pymummer                   	       0        1        0        1        0
55441 python3-pynag                      	       0        1        0        1        0
55442 python3-pynest2d                   	       0       21        1       20        0
55443 python3-pyninjotiff                	       0        1        0        1        0
55444 python3-pynliner                   	       0        1        0        1        0
55445 python3-pynlpl                     	       0        2        0        2        0
55446 python3-pynput                     	       0        2        0        2        0
55447 python3-pynvml                     	       0        8        1        7        0
55448 python3-pyo                        	       0        9        0        9        0
55449 python3-pyocd                      	       0        1        0        1        0
55450 python3-pyodbc                     	       0       16        3       13        0
55451 python3-pyorbital                  	       0        2        0        2        0
55452 python3-pyotp                      	       0        7        0        7        0
55453 python3-pypandoc                   	       0        9        0        9        0
55454 python3-pypeg2                     	       0        1        0        1        0
55455 python3-pyperform                  	       0        1        0        1        0
55456 python3-pyphen                     	       0       12        0       12        0
55457 python3-pyproject-api              	       0        1        0        1        0
55458 python3-pyproject-hooks            	       0        6        0        6        0
55459 python3-pyproject-metadata         	       0        3        0        3        0
55460 python3-pyprojroot                 	       0        1        0        1        0
55461 python3-pyqrcode                   	       0       23        0       23        0
55462 python3-pyqt-distutils             	       0        3        0        3        0
55463 python3-pyqt4                      	       0        8        0        8        0
55464 python3-pyqt4.qtopengl             	       0        2        0        2        0
55465 python3-pyqt5-dbg                  	       0        2        0        2        0
55466 python3-pyqt5.qsci                 	       0       70        3       67        0
55467 python3-pyqt5.qtbluetooth          	       0        2        0        2        0
55468 python3-pyqt5.qtnfc                	       0        2        0        2        0
55469 python3-pyqt5.qtx11extras          	       0        5        0        5        0
55470 python3-pyqt5.qtxmlpatterns-dbg    	       0        1        0        1        0
55471 python3-pyqt5.qwt                  	       0       42        0       42        0
55472 python3-pyqt5.sip-dbg              	       0        1        0        1        0
55473 python3-pyqtbuild                  	       0        6        0        6        0
55474 python3-pyqtconsole                	       0        1        0        1        0
55475 python3-pyquery                    	       0       15        0       15        0
55476 python3-pyramid                    	       0        2        0        2        0
55477 python3-pyramid-chameleon          	       0        1        0        1        0
55478 python3-pyramid-tm                 	       0        1        0        1        0
55479 python3-pyrdfa                     	       0        2        0        2        0
55480 python3-pyregfi                    	       0       25        0       25        0
55481 python3-pyregion                   	       0        2        0        2        0
55482 python3-pyresample                 	       0        2        0        2        0
55483 python3-pyro4                      	       0        1        0        1        0
55484 python3-pyroma                     	       0        1        0        1        0
55485 python3-pyroute2                   	       0       13        0       13        0
55486 python3-pyroute2.core              	       0        1        0        1        0
55487 python3-pyroute2.ethtool           	       0        1        0        1        0
55488 python3-pyroute2.ipdb              	       0        1        0        1        0
55489 python3-pyroute2.ipset             	       0        1        0        1        0
55490 python3-pyroute2.ndb               	       0        1        0        1        0
55491 python3-pyroute2.nftables          	       0        1        0        1        0
55492 python3-pyroute2.nslink            	       0        1        0        1        0
55493 python3-pyroute2.protocols         	       0        1        0        1        0
55494 python3-pyrr                       	       0        1        0        1        0
55495 python3-pyrss2gen                  	       0        3        0        3        0
55496 python3-pysal                      	       0        1        0        1        0
55497 python3-pysam                      	       0        3        0        3        0
55498 python3-pysaml2                    	       0        1        0        1        0
55499 python3-pyshp                      	       0       14        0       14        0
55500 python3-pyside.qtcore              	       0        1        0        1        0
55501 python3-pyside.qtgui               	       0        1        0        1        0
55502 python3-pyside.qtsvg               	       0        1        0        1        0
55503 python3-pyside.qtuitools           	       0        1        0        1        0
55504 python3-pyside.qtxml               	       0        1        0        1        0
55505 python3-pyside2.qt3dcore           	       0        3        0        3        0
55506 python3-pyside2.qt3dextras         	       0        2        0        2        0
55507 python3-pyside2.qt3dinput          	       0        2        0        2        0
55508 python3-pyside2.qt3dlogic          	       0        1        0        1        0
55509 python3-pyside2.qt3drender         	       0        3        0        3        0
55510 python3-pyside2.qtcharts           	       0        1        0        1        0
55511 python3-pyside2.qtconcurrent       	       0        1        0        1        0
55512 python3-pyside2.qthelp             	       0        1        0        1        0
55513 python3-pyside2.qtlocation         	       0        1        0        1        0
55514 python3-pyside2.qtmultimedia       	       0        2        0        2        0
55515 python3-pyside2.qtmultimediawidgets	       0        2        0        2        0
55516 python3-pyside2.qtpositioning      	       0        1        0        1        0
55517 python3-pyside2.qtqml              	       0        5        0        5        0
55518 python3-pyside2.qtquick            	       0        4        0        4        0
55519 python3-pyside2.qtquickcontrols2   	       0        1        0        1        0
55520 python3-pyside2.qtquickwidgets     	       0        2        0        2        0
55521 python3-pyside2.qtscript           	       0        1        0        1        0
55522 python3-pyside2.qtscripttools      	       0        1        0        1        0
55523 python3-pyside2.qtsensors          	       0        1        0        1        0
55524 python3-pyside2.qtsql              	       0        1        0        1        0
55525 python3-pyside2.qttest             	       0        1        0        1        0
55526 python3-pyside2.qttexttospeech     	       0        1        0        1        0
55527 python3-pyside2.qtwebsockets       	       0        1        0        1        0
55528 python3-pyside2.qtx11extras        	       0        4        0        4        0
55529 python3-pyside2.qtxmlpatterns      	       0        1        0        1        0
55530 python3-pyside2uic                 	       0        1        0        1        0
55531 python3-pysmi                      	       0       63        1       62        0
55532 python3-pysnmp4                    	       0       63        1       62        0
55533 python3-pysnmp4-mibs               	       0        2        0        2        0
55534 python3-pysol-cards                	       0        3        1        2        0
55535 python3-pysolid                    	       0        2        0        2        0
55536 python3-pyspectral                 	       0        2        0        2        0
55537 python3-pysph                      	       0        1        0        1        0
55538 python3-pysrt                      	       0        6        0        6        0
55539 python3-pystache                   	       0       41        0       41        0
55540 python3-pystray                    	       0        3        0        3        0
55541 python3-pysubs2                    	       0        1        0        1        0
55542 python3-pyswarms                   	       0        1        0        1        0
55543 python3-pysynphot                  	       0        1        0        1        0
55544 python3-pytde                      	       0        3        0        3        0
55545 python3-pyte                       	       0        7        0        7        0
55546 python3-pytest-arraydiff           	       0       35        0       35        0
55547 python3-pytest-astropy             	       0       34        0       21       13
55548 python3-pytest-astropy-header      	       0       34        0       34        0
55549 python3-pytest-asyncio             	       0        1        0        1        0
55550 python3-pytest-benchmark           	       0        1        0        1        0
55551 python3-pytest-codeblocks          	       0        1        1        0        0
55552 python3-pytest-cov                 	       0       36        5       31        0
55553 python3-pytest-cython              	       0        2        0        2        0
55554 python3-pytest-django              	       0        1        0        1        0
55555 python3-pytest-filter-subpackage   	       0       34        0       34        0
55556 python3-pytest-flask               	       0        1        0        1        0
55557 python3-pytest-helpers-namespace   	       0        1        0        1        0
55558 python3-pytest-lazy-fixture        	       0        1        0        1        0
55559 python3-pytest-mock                	       0       25        0       25        0
55560 python3-pytest-openfiles           	       0       30        0       30        0
55561 python3-pytest-pep8                	       0        1        0        1        0
55562 python3-pytest-pylint              	       0        1        0        1        0
55563 python3-pytest-remotedata          	       0       37        0       37        0
55564 python3-pytest-runner              	       0        2        1        1        0
55565 python3-pytest-twisted             	       0        1        0        1        0
55566 python3-pytest-xdist               	       0        4        0        4        0
55567 python3-pytestqt                   	       0        1        0        1        0
55568 python3-python-multipart           	       0        3        0        3        0
55569 python3-python-qt-binding          	       0        2        0        2        0
55570 python3-python-telegram-bot        	       0        2        0        2        0
55571 python3-python-utils               	       0       25        0       25        0
55572 python3-python-zxcvbn-rs-py        	       0        2        0        2        0
55573 python3-pythonjsonlogger           	       0        3        1        2        0
55574 python3-pythonmagick               	       0        1        0        1        0
55575 python3-pytimeparse                	       0       12        0       12        0
55576 python3-pytkdocs                   	       0        3        0        3        0
55577 python3-pytools                    	       0       11        0       11        0
55578 python3-pytqt                      	       0        3        0        3        0
55579 python3-pytzdata                   	       0        6        0        6        0
55580 python3-pyu2f                      	       0        3        0        3        0
55581 python3-pyuca                      	       0        2        0        2        0
55582 python3-pyvirtualdisplay           	       0        5        0        5        0
55583 python3-pyvisa                     	       0        5        0        5        0
55584 python3-pyvisa-py                  	       0        5        0        4        1
55585 python3-pyvo                       	       0        2        0        2        0
55586 python3-pywayland-doc              	       0        1        0        0        1
55587 python3-pywps                      	       0        2        0        2        0
55588 python3-pywt                       	       0       13        0       13        0
55589 python3-pyx                        	       0        3        1        2        0
55590 python3-pyxb                       	       0        1        0        1        0
55591 python3-pyxid                      	       0        1        0        1        0
55592 python3-pyzbar                     	       0        4        0        4        0
55593 python3-pyzfs                      	       0        3        0        3        0
55594 python3-q-text-as-data             	       0        5        1        4        0
55595 python3-qcelemental                	       0        1        0        1        0
55596 python3-qgis                       	       0       39        3       36        0
55597 python3-qgis-common                	       0       40        1        3       36
55598 python3-qrcodegen                  	       0        2        0        2        0
55599 python3-qrencode                   	       0        6        0        6        0
55600 python3-qt5reactor                 	       0        1        0        1        0
55601 python3-qtpy-pyqt6                 	       0        3        0        0        3
55602 python3-quark-sphinx-theme         	       0        1        0        1        0
55603 python3-questplus                  	       0        1        0        1        0
55604 python3-queuelib                   	       0        1        0        1        0
55605 python3-qutip                      	       0        1        0        1        0
55606 python3-qwt                        	       0        5        1        4        0
55607 python3-qwt3d-qt5                  	       0        1        0        1        0
55608 python3-radicale                   	       0       13        0       13        0
55609 python3-radio-beam                 	       0        2        0        2        0
55610 python3-rados                      	       0        7        0        7        0
55611 python3-randomize                  	       0        1        0        1        0
55612 python3-rangehttpserver            	       0        6        0        6        0
55613 python3-rapidjson                  	       0        1        0        1        0
55614 python3-rarfile                    	       0       11        0       11        0
55615 python3-rasterio                   	       0        7        0        7        0
55616 python3-ratelimiter                	       0        2        0        2        0
55617 python3-rawkit                     	       0        1        0        1        0
55618 python3-rbd                        	       0        6        0        6        0
55619 python3-rcon                       	       0        1        0        1        0
55620 python3-rcssmin                    	       0        2        0        2        0
55621 python3-rdflib                     	       0       14        0       14        0
55622 python3-rdflib-jsonld              	       0        2        0        2        0
55623 python3-readability                	       0        4        0        4        0
55624 python3-readme-renderer            	       0        6        0        6        0
55625 python3-rebulk                     	       0        7        0        7        0
55626 python3-recipe-scrapers            	       0        2        0        2        0
55627 python3-reclass                    	       0        1        0        1        0
55628 python3-recurring-ical-events      	       0        2        0        2        0
55629 python3-reedsolo                   	       0        3        0        3        0
55630 python3-refurb                     	       0        1        0        1        0
55631 python3-regions                    	       0        1        0        1        0
55632 python3-relational                 	       0        1        0        1        0
55633 python3-relatorio                  	       0        2        0        2        0
55634 python3-renderdoc                  	       0        3        0        3        0
55635 python3-renpy                      	       0        5        0        5        0
55636 python3-reparser                   	       0        1        0        1        0
55637 python3-repolib                    	       0        3        0        3        0
55638 python3-repoze.sphinx.autointerface	       0        1        0        1        0
55639 python3-repoze.who                 	       0        1        0        1        0
55640 python3-reproject                  	       0        3        0        3        0
55641 python3-requests-cache             	       0       13        1       12        0
55642 python3-requests-futures           	       0        5        0        5        0
55643 python3-requests-unixsocket        	       0        4        0        4        0
55644 python3-requestsexceptions         	       0        6        0        6        0
55645 python3-requirement-parser         	       0        2        0        2        0
55646 python3-resolvelib                 	       0       49        0       49        0
55647 python3-resource-retriever         	       0        1        0        1        0
55648 python3-restructuredtext-lint      	       0        2        0        2        0
55649 python3-retry                      	       0        1        0        1        0
55650 python3-retrying                   	       0       16        0       16        0
55651 python3-rfc6555                    	       0        5        0        5        0
55652 python3-rgain                      	       0        1        0        1        0
55653 python3-rgain3                     	       0        1        0        1        0
55654 python3-rgw                        	       0        3        0        3        0
55655 python3-ring-doorbell              	       0        1        0        1        0
55656 python3-rioxarray                  	       0        2        0        2        0
55657 python3-rjsmin                     	       0        4        1        3        0
55658 python3-robot-detection            	       0        2        0        2        0
55659 python3-rosbag                     	       0        1        0        1        0
55660 python3-rosboost-cfg               	       0        1        0        1        0
55661 python3-rosclean                   	       0        1        0        1        0
55662 python3-roscpp-msg                 	       0        2        0        2        0
55663 python3-roscreate                  	       0        1        0        1        0
55664 python3-rosdep2                    	       0        1        0        1        0
55665 python3-rosdistro                  	       0        1        0        1        0
55666 python3-rosgraph                   	       0        2        0        2        0
55667 python3-rosgraph-msgs              	       0        2        0        2        0
55668 python3-rosinstall                 	       0        1        0        1        0
55669 python3-rosinstall-generator       	       0        1        0        1        0
55670 python3-roslaunch                  	       0        1        0        1        0
55671 python3-roslib                     	       0        2        0        2        0
55672 python3-roslz4                     	       0        1        0        1        0
55673 python3-rosmake                    	       0        1        0        1        0
55674 python3-rosmaster                  	       0        1        0        1        0
55675 python3-rosmsg                     	       0        1        0        1        0
55676 python3-rosnode                    	       0        1        0        1        0
55677 python3-rosparam                   	       0        1        0        1        0
55678 python3-rospkg                     	       0        2        0        2        0
55679 python3-rospy                      	       0        2        0        2        0
55680 python3-rosservice                 	       0        1        0        1        0
55681 python3-rostest                    	       0        1        0        1        0
55682 python3-rostopic                   	       0        1        0        1        0
55683 python3-rosunit                    	       0        2        0        2        0
55684 python3-roswtf                     	       0        1        0        1        0
55685 python3-rpaths                     	       0        1        0        1        0
55686 python3-rply                       	       0        7        0        7        0
55687 python3-rq                         	       0        2        0        2        0
55688 python3-rrdtool                    	       0        1        0        1        0
55689 python3-rsa                        	       0       24        1       23        0
55690 python3-rstcheck                   	       0        3        0        3        0
55691 python3-rtmidi                     	       0        5        0        5        0
55692 python3-rtslib-fb                  	       0        1        0        1        0
55693 python3-ruffus                     	       0        1        0        1        0
55694 python3-ruyaml                     	       0        1        0        1        0
55695 python3-rx                         	       0        1        0        1        0
55696 python3-sabyenc                    	       0        1        0        1        0
55697 python3-sadisplay                  	       0        1        0        1        0
55698 python3-sage                       	       0        8        0        8        0
55699 python3-sagenb-export              	       0        8        0        8        0
55700 python3-sagetex                    	       0        7        0        7        0
55701 python3-saltpylint                 	       0        1        0        1        0
55702 python3-samsungctl                 	       0        1        0        1        0
55703 python3-saneyaml                   	       0        1        0        1        0
55704 python3-sarif-python-om            	       0        1        0        1        0
55705 python3-satpy                      	       0        1        0        1        0
55706 python3-savitar                    	       0       22        1       21        0
55707 python3-scapy                      	       0       19        0       19        0
55708 python3-schedule                   	       0        1        0        1        0
55709 python3-schema                     	       0       39        0       39        0
55710 python3-schema-salad               	       0        3        0        3        0
55711 python3-scikit-fmm                 	       0        1        0        1        0
55712 python3-scp                        	       0       10        0       10        0
55713 python3-scramp                     	       0        1        0        1        0
55714 python3-scrapy                     	       0        1        0        1        0
55715 python3-screed                     	       0        2        0        2        0
55716 python3-screeninfo                 	       0        3        0        3        0
55717 python3-scruffy                    	       0        2        0        2        0
55718 python3-sdl2                       	       0       13        0       13        0
55719 python3-seaborn                    	       0       10        0       10        0
55720 python3-seafile                    	       0        1        0        1        0
55721 python3-searpc                     	       0        2        0        2        0
55722 python3-searx                      	       0        5        0        5        0
55723 python3-securesystemslib           	       0        1        0        1        0
55724 python3-sedparse                   	       0        1        0        1        0
55725 python3-semanage                   	       0        4        0        4        0
55726 python3-semantic-version           	       0       22        1       21        0
55727 python3-sensor-msgs                	       0        2        0        2        0
55728 python3-sentinelsat                	       0        4        0        4        0
55729 python3-sepolgen                   	       0        4        0        4        0
55730 python3-sepolicy                   	       0        4        0        4        0
55731 python3-seqdiag                    	       0        4        0        4        0
55732 python3-serial-asyncio             	       0       10        0       10        0
55733 python3-serializable               	       0        1        0        1        0
55734 python3-serpent                    	       0        1        0        1        0
55735 python3-setools                    	       0        9        0        9        0
55736 python3-setoolsgui                 	       0        2        0        2        0
55737 python3-setuptools-git             	       0        3        0        3        0
55738 python3-setuptools-whl             	       0      484        0        0      484
55739 python3-sexpdata                   	       0        1        0        1        0
55740 python3-sfml                       	       0        2        0        2        0
55741 python3-sgp4                       	       0        2        0        2        0
55742 python3-shape-msgs                 	       0        1        0        1        0
55743 python3-shellescape                	       0        4        0        4        0
55744 python3-shodan                     	       0        6        1        5        0
55745 python3-shortuuid                  	       0        1        0        1        0
55746 python3-showinfilemanager          	       0        2        0        2        0
55747 python3-shtab                      	       0       19        0       19        0
55748 python3-sigmavirus24-urltemplate   	       0        2        0        0        2
55749 python3-signedjson                 	       0        4        0        4        0
55750 python3-silx                       	       0        1        0        1        0
55751 python3-simple-cdd                 	       0        3        0        3        0
55752 python3-simplebayes                	       0        4        0        4        0
55753 python3-simplegeneric              	       0       18        0       18        0
55754 python3-simplematch                	       0        1        0        1        0
55755 python3-simpy                      	       0        1        0        1        0
55756 python3-simpy3                     	       0        2        0        2        0
55757 python3-single-version             	       0        1        0        1        0
55758 python3-singledispatch             	       0        4        0        4        0
55759 python3-sip-dbg                    	       0        1        0        1        0
55760 python3-sip-tqt                    	       0        3        0        3        0
55761 python3-skimage                    	       0       15        0       15        0
55762 python3-skimage-lib                	       0       15        0       15        0
55763 python3-skyfield                   	       0        2        0        2        0
55764 python3-skytools                   	       0        1        0        1        0
55765 python3-sleekxmpp                  	       0        1        0        1        0
55766 python3-slepc4py                   	       0        1        0        1        0
55767 python3-slepc4py-real              	       0        1        0        0        1
55768 python3-slepc4py-real3.18          	       0        1        0        0        1
55769 python3-slimit                     	       0        4        0        4        0
55770 python3-slip                       	       0        2        0        2        0
55771 python3-slip-dbus                  	       0        2        0        2        0
55772 python3-slixmpp                    	       0        2        0        2        0
55773 python3-slixmpp-lib                	       0        2        0        2        0
55774 python3-smart-open                 	       0        1        0        1        0
55775 python3-smbus                      	       0       11        0       11        0
55776 python3-smclib                     	       0        1        0        1        0
55777 python3-smmap                      	       0       22        0       22        0
55778 python3-smoke-zephyr               	       0        1        0        1        0
55779 python3-snappy                     	       0       21        0       21        0
55780 python3-snuggs                     	       0        7        0        7        0
55781 python3-soapysdr                   	       0        6        0        6        0
55782 python3-socketio                   	       0        8        0        8        0
55783 python3-socksipychain              	       0        1        0        1        0
55784 python3-softlayer                  	       0        5        0        5        0
55785 python3-sortedcollections          	       0        7        0        7        0
55786 python3-soundfile                  	       0        5        0        5        0
55787 python3-spake2                     	       0       15        0       15        0
55788 python3-sparqlwrapper              	       0       14        0       14        0
55789 python3-sparse                     	       0        3        0        3        0
55790 python3-specreduce                 	       0        1        0        1        0
55791 python3-specreduce-data            	       0        1        0        1        0
55792 python3-spectral-cube              	       0        2        0        2        0
55793 python3-specutils                  	       0        2        0        2        0
55794 python3-speg                       	       0        8        0        8        0
55795 python3-spf                        	       0       10        1        9        0
55796 python3-spf-engine                 	       0        9        1        8        0
55797 python3-spglib                     	       0        1        0        1        0
55798 python3-sphinx-a4doc               	       0        2        0        2        0
55799 python3-sphinx-argparse            	       0        2        0        2        0
55800 python3-sphinx-astropy             	       0        2        0        2        0
55801 python3-sphinx-autoapi             	       0        1        0        1        0
55802 python3-sphinx-autobuild           	       0        2        0        2        0
55803 python3-sphinx-autodoc-typehints   	       0        2        0        2        0
55804 python3-sphinx-automodapi          	       0        2        0        2        0
55805 python3-sphinx-autorun             	       0        2        0        2        0
55806 python3-sphinx-book-theme          	       0        3        0        3        0
55807 python3-sphinx-bootstrap-theme     	       0        9        1        8        0
55808 python3-sphinx-celery              	       0        2        0        2        0
55809 python3-sphinx-click               	       0        1        0        1        0
55810 python3-sphinx-copybutton          	       0        8        0        8        0
55811 python3-sphinx-feature-classification	       0        2        0        2        0
55812 python3-sphinx-gallery             	       0        3        0        3        0
55813 python3-sphinx-inline-tabs         	       0        6        0        6        0
55814 python3-sphinx-issues              	       0        1        0        1        0
55815 python3-sphinx-markdown-tables     	       0        1        0        1        0
55816 python3-sphinx-multiversion        	       0        1        0        1        0
55817 python3-sphinx-panels              	       0        1        0        1        0
55818 python3-sphinx-paramlinks          	       0        2        0        2        0
55819 python3-sphinx-prompt              	       0        2        0        0        2
55820 python3-sphinx-qt-documentation    	       0        1        0        1        0
55821 python3-sphinx-rst-builder         	       0        2        0        2        0
55822 python3-sphinx-rtd-theme           	       0       44        4       40        0
55823 python3-sphinx-sitemap             	       0        1        0        1        0
55824 python3-sphinx-tabs                	       0        2        0        2        0
55825 python3-sphinx-tabs-doc            	       0        1        0        0        1
55826 python3-sphinx-testing             	       0        2        0        2        0
55827 python3-sphinxbase                 	       0        2        0        2        0
55828 python3-sphinxcontrib-asyncio      	       0        2        0        2        0
55829 python3-sphinxcontrib-log-cabinet  	       0        1        1        0        0
55830 python3-sphinxcontrib-mermaid      	       0        1        0        1        0
55831 python3-sphinxcontrib-pecanwsme    	       0        1        0        1        0
55832 python3-sphinxcontrib.actdiag      	       0        3        1        2        0
55833 python3-sphinxcontrib.apidoc       	       0        6        0        6        0
55834 python3-sphinxcontrib.autoprogram  	       0        3        0        3        0
55835 python3-sphinxcontrib.bibtex       	       0       10        1        9        0
55836 python3-sphinxcontrib.blockdiag    	       0        2        1        1        0
55837 python3-sphinxcontrib.devhelp      	       0        1        1        0        0
55838 python3-sphinxcontrib.ditaa        	       0        1        0        1        0
55839 python3-sphinxcontrib.doxylink     	       0        3        1        2        0
55840 python3-sphinxcontrib.httpdomain   	       0        2        0        2        0
55841 python3-sphinxcontrib.jquery       	       0        9        0        9        0
55842 python3-sphinxcontrib.nwdiag       	       0        2        1        1        0
55843 python3-sphinxcontrib.plantuml     	       0        3        0        3        0
55844 python3-sphinxcontrib.programoutput	       0        2        0        2        0
55845 python3-sphinxcontrib.qthelp       	       0        2        1        1        0
55846 python3-sphinxcontrib.restbuilder  	       0        2        1        1        0
55847 python3-sphinxcontrib.seqdiag      	       0        2        1        1        0
55848 python3-sphinxcontrib.serializinghtml	       0        3        1        2        0
55849 python3-sphinxcontrib.spelling     	       0        2        0        2        0
55850 python3-sphinxcontrib.svg2pdfconverter	       0        2        1        1        0
55851 python3-sphinxcontrib.trio         	       0        1        0        1        0
55852 python3-sphinxcontrib.websupport   	       0        3        0        3        0
55853 python3-sphinxext-opengraph        	       0        1        0        1        0
55854 python3-sphinxtesters              	       0        2        0        2        0
55855 python3-spidev                     	       0        1        0        1        0
55856 python3-spotify                    	       0        1        0        1        0
55857 python3-spur                       	       0        1        0        1        0
55858 python3-spyne                      	       0        1        0        1        0
55859 python3-sql                        	       0        1        0        1        0
55860 python3-sqlalchemy-i18n            	       0        2        0        2        0
55861 python3-sqlalchemy-utc             	       0        1        0        1        0
55862 python3-sqlalchemy-utils           	       0        4        0        4        0
55863 python3-sqlite-migrate             	       0        2        0        2        0
55864 python3-sqlitedict                 	       0        1        0        1        0
55865 python3-srsly                      	       0        1        0        1        0
55866 python3-srt                        	       0        1        0        1        0
55867 python3-sshtunnel                  	       0        7        0        7        0
55868 python3-standard-aifc              	       0        1        0        1        0
55869 python3-standard-asynchat          	       0        1        0        1        0
55870 python3-standard-chunk             	       0        1        0        1        0
55871 python3-standard-sunau             	       0        1        0        1        0
55872 python3-starlette                  	       0       14        0       14        0
55873 python3-statsd                     	       0        3        0        3        0
55874 python3-statsmodels                	       0        5        0        5        0
55875 python3-statsmodels-lib            	       0        5        0        5        0
55876 python3-std-msgs                   	       0        4        0        4        0
55877 python3-std-srvs                   	       0        1        0        1        0
55878 python3-stdeb                      	       0        6        0        6        0
55879 python3-stdnum                     	       0        6        0        6        0
55880 python3-stereo-msgs                	       0        1        0        1        0
55881 python3-stetl                      	       0        3        1        2        0
55882 python3-stevedore                  	       0       30        0       30        0
55883 python3-stl                        	       0       25        0       25        0
55884 python3-stopit                     	       0        1        0        1        0
55885 python3-streamlink-doc             	       0        1        0        0        1
55886 python3-streamparser               	       0        1        0        1        0
55887 python3-strictyaml                 	       0        6        0        6        0
55888 python3-subliminal                 	       0        6        0        6        0
55889 python3-subprocess-tee             	       0        8        0        8        0
55890 python3-subunit                    	       0       10        0       10        0
55891 python3-subversion                 	       0        2        0        2        0
55892 python3-suds                       	       0       12        0       12        0
55893 python3-sunpy                      	       0        1        0        1        0
55894 python3-surfer                     	       0        1        0        1        0
55895 python3-svg.path                   	       0        8        0        8        0
55896 python3-svgelements                	       0        2        0        2        0
55897 python3-svglib                     	       0        1        0        1        0
55898 python3-svgwrite                   	       0        2        0        2        0
55899 python3-svtplay-dl                 	       0        3        0        0        3
55900 python3-swiftclient                	       0        3        0        3        0
55901 python3-swiglpk                    	       0        1        0        1        0
55902 python3-syndom                     	       0        1        0        1        0
55903 python3-synphot                    	       0        1        0        1        0
55904 python3-taglib                     	       0        5        0        5        0
55905 python3-talloc-dev                 	       0        1        0        1        0
55906 python3-tango                      	       0        1        0        1        0
55907 python3-tap                        	       0        1        0        1        0
55908 python3-taurus                     	       0        1        0        1        0
55909 python3-taurus-pyqtgraph           	       0        1        0        1        0
55910 python3-tenacity                   	       0       48        0       48        0
55911 python3-termbox                    	       0        5        0        5        0
55912 python3-termcolor                  	       0       21        1       20        0
55913 python3-terminado                  	       0       57        3       54        0
55914 python3-terminaltables             	       0       16        0       16        0
55915 python3-testfixtures               	       0        1        0        1        0
55916 python3-testpath                   	       0       17        3       14        0
55917 python3-testresources              	       0        7        0        7        0
55918 python3-testscenarios              	       0        3        0        3        0
55919 python3-texext                     	       0        1        0        1        0
55920 python3-text-unidecode             	       0        2        0        2        0
55921 python3-textile                    	       0       21        0       21        0
55922 python3-textual                    	       0        1        0        1        0
55923 python3-tf                         	       0        2        0        2        0
55924 python3-tf2                        	       0        2        0        2        0
55925 python3-tf2-msgs                   	       0        2        0        2        0
55926 python3-tf2-ros                    	       0        2        0        2        0
55927 python3-tf2-sensor-msgs            	       0        1        0        1        0
55928 python3-theano                     	       0        3        0        3        0
55929 python3-thefuzz                    	       0        2        0        2        0
55930 python3-thinc                      	       0        1        0        1        0
55931 python3-thrift                     	       0       41        0       41        0
55932 python3-throttler                  	       0        1        0        1        0
55933 python3-tifffile                   	       0       13        0       13        0
55934 python3-time-machine               	       0        1        0        1        0
55935 python3-tinydb                     	       0        1        0        1        0
55936 python3-tkstackrpms                	       0        2        0        2        0
55937 python3-tld                        	       0       28        0       28        0
55938 python3-tlpui                      	       0        2        0        2        0
55939 python3-tmdbsimple                 	       0        1        0        1        0
55940 python3-tmuxp                      	       0        8        0        8        0
55941 python3-tokenize-rt                	       0        1        0        1        0
55942 python3-tomli-w                    	       0        9        1        8        0
55943 python3-toolz                      	       0       21        0       21        0
55944 python3-tooz                       	       0        3        0        3        0
55945 python3-topic-tools                	       0        1        0        1        0
55946 python3-toposort                   	       0       11        0       11        0
55947 python3-torch                      	       0       13        0       13        0
55948 python3-torchaudio                 	       0        3        0        3        0
55949 python3-torchvision                	       0        4        0        4        0
55950 python3-torrequest                 	       0        1        0        1        0
55951 python3-tpm2-pkcs11-tools          	       0        2        1        1        0
55952 python3-tpm2-pytss                 	       0        2        1        1        0
55953 python3-tr                         	       0        6        0        6        0
55954 python3-traceback2                 	       0        3        0        3        0
55955 python3-traits                     	       0        3        0        3        0
55956 python3-traitsui                   	       0        3        0        3        0
55957 python3-trajectory-msgs            	       0        1        0        1        0
55958 python3-transaction                	       0        1        0        1        0
55959 python3-translationstring          	       0        2        0        2        0
55960 python3-treq                       	       0        4        0        4        0
55961 python3-trezor                     	       0        1        0        1        0
55962 python3-trie                       	       0       20        0       20        0
55963 python3-trollimage                 	       0        1        0        1        0
55964 python3-trollius                   	       0        1        0        1        0
55965 python3-trollsched                 	       0        1        0        1        0
55966 python3-trollsift                  	       0        2        0        2        0
55967 python3-trufont                    	       0        3        0        3        0
55968 python3-tsk                        	       0       14        0       14        0
55969 python3-ttkthemes                  	       0        3        0        3        0
55970 python3-ttystatus                  	       0       22        1       21        0
55971 python3-tvdb-api                   	       0        3        0        3        0
55972 python3-twilio                     	       0        1        0        1        0
55973 python3-twitter                    	       0        1        0        1        0
55974 python3-txaio                      	       0       22        0       22        0
55975 python3-txtorcon                   	       0       14        0       14        0
55976 python3-typechecks                 	       0        1        0        1        0
55977 python3-typed-ast                  	       0       12        0       12        0
55978 python3-typedload                  	       0        2        0        2        0
55979 python3-typeshed                   	       0       87        0        0       87
55980 python3-u-msgpack                  	       0       19        0       19        0
55981 python3-uamqp                      	       0        8        0        8        0
55982 python3-ubjson                     	       0       19        0       19        0
55983 python3-ubuntutools                	       0        2        0        2        0
55984 python3-udatetime                  	       0        2        0        2        0
55985 python3-ufl                        	       0        2        0        2        0
55986 python3-ufl-legacy                 	       0        1        0        1        0
55987 python3-uflash                     	       0        1        0        1        0
55988 python3-uflash-doc                 	       0        1        0        0        1
55989 python3-ufo-extractor              	       0        3        0        3        0
55990 python3-ufo2ft                     	       0        5        0        5        0
55991 python3-ufonormalizer              	       0        3        0        3        0
55992 python3-ufoprocessor               	       0        2        0        2        0
55993 python3-uhashring                  	       0        3        0        3        0
55994 python3-uhd                        	       0        3        0        3        0
55995 python3-uinput                     	       0        5        1        4        0
55996 python3-ukui-menu                  	       0        1        0        1        0
55997 python3-ulid                       	       0        2        0        2        0
55998 python3-umu-launcher               	       0        1        0        1        0
55999 python3-unbound                    	       0        4        0        4        0
56000 python3-uncertainties              	       0        6        0        6        0
56001 python3-unearth                    	       0        2        0        2        0
56002 python3-unicodecsv                 	       0        4        0        4        0
56003 python3-unittest2                  	       0       12        0       12        0
56004 python3-unpaddedbase64             	       0       11        0       11        0
56005 python3-unrardll                   	       0        5        0        5        0
56006 python3-upstream-ontologist        	       0        4        0        4        0
56007 python3-uranium                    	       0       22        1       21        0
56008 python3-uritools                   	       0       66        0       66        0
56009 python3-url-normalize              	       0       13        1       12        0
56010 python3-usb1                       	       0        4        0        4        0
56011 python3-uvicorn                    	       0       17        0       17        0
56012 python3-uvloop                     	       0       39        6       33        0
56013 python3-vagrant                    	       0        2        0        2        0
56014 python3-validators                 	       0        2        0        2        0
56015 python3-validictory                	       0        2        0        2        0
56016 python3-vatnumber                  	       0        3        0        3        0
56017 python3-vcf                        	       0        1        0        1        0
56018 python3-vcr                        	       0        6        0        6        0
56019 python3-vcstools                   	       0        2        0        2        0
56020 python3-vdf                        	       0       20        0       20        0
56021 python3-venusian                   	       0        2        0        2        0
56022 python3-venv                       	       0      435        1       15      419
56023 python3-versioneer                 	       0        2        0        2        0
56024 python3-versiontools               	       0        1        0        1        0
56025 python3-veusz                      	       0        2        0        2        0
56026 python3-veusz.helpers              	       0        2        0        2        0
56027 python3-vigra                      	       0        2        0        2        0
56028 python3-vine                       	       0        6        0        6        0
56029 python3-virt-firmware              	       0        1        0        1        0
56030 python3-virtualenv-clone           	       0       26        0       26        0
56031 python3-virtualenvwrapper          	       0        9        1        8        0
56032 python3-virtualsmartcard           	       0        2        0        2        0
56033 python3-vispy                      	       0        3        0        3        0
56034 python3-visualization-msgs         	       0        1        0        1        0
56035 python3-vitrage                    	       0        1        0        1        0
56036 python3-vmdkstream                 	       0        2        0        2        0
56037 python3-voluptuous                 	       0        7        0        7        0
56038 python3-vsts-cd-manager            	       0        2        0        2        0
56039 python3-vtk7                       	       0        1        0        1        0
56040 python3-vtk9                       	       0       13        0       13        0
56041 python3-vtkgdcm                    	       0        3        0        3        0
56042 python3-w3lib                      	       0        3        0        3        0
56043 python3-warlock                    	       0        2        0        2        0
56044 python3-wasabi                     	       0        1        0        1        0
56045 python3-watson                     	       0        1        0        1        0
56046 python3-wcag-contrast-ratio        	       0        1        0        1        0
56047 python3-wchartype                  	       0        1        0        1        0
56048 python3-wcmatch                    	       0        9        0        9        0
56049 python3-webdav                     	       0        4        0        4        0
56050 python3-webdavclient               	       0        1        0        1        0
56051 python3-webpy                      	       0        5        0        5        0
56052 python3-websockify                 	       0       11        0       11        0
56053 python3-webtest                    	       0        6        0        6        0
56054 python3-webview                    	       0        2        0        2        0
56055 python3-webvtt                     	       0        2        0        2        0
56056 python3-wget                       	       0        2        0        2        0
56057 python3-whatthepatch               	       0        2        0        2        0
56058 python3-wheel-whl                  	       0      118        0        0      118
56059 python3-whichcraft                 	       0        1        0        1        0
56060 python3-whisper                    	       0        3        0        3        0
56061 python3-wicd                       	       0        1        0        1        0
56062 python3-widgetsnbextension         	       0       52        0       52        0
56063 python3-wikitrans                  	       0        1        0        1        0
56064 python3-wilderness                 	       0        1        0        1        0
56065 python3-willow                     	       0        7        0        7        0
56066 python3-woob                       	       0        1        0        1        0
56067 python3-wordcloud                  	       0        1        1        0        0
56068 python3-wsaccel                    	       0       19        0       19        0
56069 python3-wsgilog                    	       0        1        0        1        0
56070 python3-wstool                     	       0        1        0        1        0
56071 python3-wxgtk-media4.0             	       0        4        0        4        0
56072 python3-wxgtk-webview4.0           	       0        3        0        3        0
56073 python3-wxmplot                    	       0        2        0        2        0
56074 python3-wxutils                    	       0        5        0        5        0
56075 python3-x-wr-timezone              	       0        2        0        2        0
56076 python3-x2gobroker                 	       0        1        0        1        0
56077 python3-xarray                     	       0        6        0        6        0
56078 python3-xarray-sentinel            	       0        2        0        2        0
56079 python3-xcbgen                     	       0       12        0       12        0
56080 python3-xdo                        	       0        2        0        2        0
56081 python3-xeus-python-shell          	       0        1        0        1        0
56082 python3-xgboost                    	       0        1        0        1        0
56083 python3-xhtml2pdf                  	       0        3        0        3        0
56084 python3-xkit                       	       0        2        0        2        0
56085 python3-xlsxwriter                 	       0       24        1       23        0
56086 python3-xlwt                       	       0       34        0       34        0
56087 python3-xmlschema                  	       0        3        0        3        0
56088 python3-xmodem                     	       0        2        0        2        0
56089 python3-xopen                      	       0        1        0        1        0
56090 python3-xstatic                    	       0        1        0        1        0
56091 python3-xstatic-json2yaml          	       0        1        1        0        0
56092 python3-xtermcolor                 	       0        1        0        1        0
56093 python3-xvfbwrapper                	       0        5        0        5        0
56094 python3-xyzservices                	       0        3        0        3        0
56095 python3-yappi                      	       0        4        0        4        0
56096 python3-yapps                      	       0        7        0        7        0
56097 python3-yapsy                      	       0        1        0        1        0
56098 python3-yara                       	       0       13        0       13        0
56099 python3-yaswfp                     	       0       28        0       28        0
56100 python3-yattag                     	       0        5        0        5        0
56101 python3-ykman                      	       0       36        0       36        0
56102 python3-yoyo                       	       0        3        0        3        0
56103 python3-yubikey-manager            	       0       25        0        0       25
56104 python3-yubiotp                    	       0        1        0        1        0
56105 python3-zake                       	       0        3        0        3        0
56106 python3-zc.lockfile                	       0       13        2       11        0
56107 python3-zeep                       	       0        9        0        9        0
56108 python3-zeitgeist                  	       0        3        0        3        0
56109 python3-zict                       	       0       15        0       15        0
56110 python3-zipstream-ng               	       0        1        0        1        0
56111 python3-zlmdb                      	       0        1        0        1        0
56112 python3-zombie-imp                 	       0        9        0        9        0
56113 python3-zombie-telnetlib           	       0        2        0        2        0
56114 python3-zope.component             	       0       78       20       58        0
56115 python3-zope.configuration         	       0        2        0        2        0
56116 python3-zope.deprecation           	       0        2        0        2        0
56117 python3-zope.hookable              	       0       77       20       57        0
56118 python3-zope.i18nmessageid         	       0        2        0        2        0
56119 python3-zope.schema                	       0        2        0        2        0
56120 python3-zstd                       	       0        2        0        2        0
56121 python3-zxcvbn                     	       0        2        0        2        0
56122 python3-zzzeeksphinx               	       0        1        0        1        0
56123 python3.10                         	       0       27        0       27        0
56124 python3.10-dbg                     	       0        1        0        1        0
56125 python3.10-dev                     	       0        9        0        9        0
56126 python3.10-doc                     	       0        1        0        0        1
56127 python3.10-minimal                 	       0       28        3       25        0
56128 python3.11-dbg                     	       0        5        0        5        0
56129 python3.11-doc                     	       0      101        0        0      101
56130 python3.11-full                    	       0       58        0        0       58
56131 python3.12-dbg                     	       0        1        0        1        0
56132 python3.12-dev                     	       0       58        0       58        0
56133 python3.12-doc                     	       0       13        0        0       13
56134 python3.12-examples                	       0        2        0        2        0
56135 python3.12-full                    	       0        2        0        0        2
56136 python3.13-dbg                     	       0        1        0        1        0
56137 python3.13-doc                     	       0        8        0        0        8
56138 python3.13-examples                	       0        2        0        2        0
56139 python3.13-full                    	       0        2        0        0        2
56140 python3.2                          	       0        6        0        6        0
56141 python3.2-minimal                  	       0        6        0        6        0
56142 python3.3                          	       0        4        0        4        0
56143 python3.3-minimal                  	       0        4        0        4        0
56144 python3.4-dev                      	       0        3        0        3        0
56145 python3.5                          	       0      210        2      208        0
56146 python3.5-dbg                      	       0        3        0        3        0
56147 python3.5-dev                      	       0       17        0       17        0
56148 python3.5-doc                      	       0        3        0        0        3
56149 python3.5-minimal                  	       0      214       22      192        0
56150 python3.5-venv                     	       0        3        0        3        0
56151 python3.6                          	       0        7        0        7        0
56152 python3.6-dev                      	       0        1        0        1        0
56153 python3.6-minimal                  	       0        7        0        7        0
56154 python3.7-dbg                      	       0        3        0        3        0
56155 python3.7-dev                      	       0       32        0       32        0
56156 python3.7-doc                      	       0        7        0        0        7
56157 python3.7-examples                 	       0        1        0        1        0
56158 python3.7-venv                     	       0       14        1       13        0
56159 python3.8                          	       0        5        0        5        0
56160 python3.8-dev                      	       0        1        0        1        0
56161 python3.8-minimal                  	       0        6        0        6        0
56162 python3.9-dbg                      	       0        6        0        6        0
56163 python3.9-doc                      	       0       12        0        0       12
56164 python3.9-examples                 	       0        3        0        3        0
56165 python3.9-full                     	       0        2        0        0        2
56166 pytqt-doc                          	       0        1        0        1        0
56167 pytrainer                          	       0        1        0        1        0
56168 pyupgrade                          	       0        1        0        1        0
56169 pyvcf                              	       0        1        0        1        0
56170 pyvnc2swf                          	       0        1        0        1        0
56171 pywps                              	       0        2        0        0        2
56172 pywps-doc                          	       0        1        0        0        1
56173 pywps-wsgi                         	       0        2        0        0        2
56174 pyxplot                            	       0        3        0        3        0
56175 pyxplot-doc                        	       0        2        0        0        2
56176 pyzfs-doc                          	       0        1        0        0        1
56177 pyzor                              	       0       20        3       17        0
56178 pyzor-doc                          	       0        4        0        0        4
56179 q2templates                        	       0        1        0        1        0
56180 q4os-api                           	       0        2        0        2        0
56181 q4os-base                          	       0        2        0        2        0
56182 q4os-cpuq                          	       0        1        0        1        0
56183 q4os-cpuq5                         	       0        1        0        1        0
56184 q4os-debfxs                        	       0        1        0        1        0
56185 q4os-deps                          	       0        2        0        0        2
56186 q4os-desktop                       	       0        2        0        0        2
56187 q4os-desktop-plasma                	       0        1        0        0        1
56188 q4os-desktop-trinity               	       0        1        0        0        1
56189 q4os-fonts-droid                   	       0        1        0        0        1
56190 q4os-fonts-noto                    	       0        2        0        0        2
56191 q4os-i18n                          	       0        2        0        1        1
56192 q4os-keyrings                      	       0        2        0        0        2
56193 q4os-qt5-style02                   	       0        1        0        0        1
56194 q4os-screenscaler                  	       0        1        0        1        0
56195 q4os-setup                         	       0        2        0        2        0
56196 q4os-styles-gtk3                   	       0        1        0        0        1
56197 q4os-styles-qt4                    	       0        1        0        0        1
56198 q4os-sw-profiler                   	       0        2        0        2        0
56199 q4os-sw-profiler-data              	       0        2        0        0        2
56200 q4os-swcentre                      	       0        2        0        2        0
56201 q4os-swcentre-data                 	       0        2        0        0        2
56202 q4os-tde-qtplugin                  	       0        1        0        0        1
56203 q4os-welcome                       	       0        1        0        1        0
56204 q4os-welcome-common                	       0        2        0        0        2
56205 q4os-welcome5                      	       0        1        0        1        0
56206 qabcs                              	       0        3        0        3        0
56207 qabcs-data                         	       0        3        0        0        3
56208 qalculate                          	       0        9        0        0        9
56209 qapt-deb-installer                 	       0       13        0       13        0
56210 qapt-utils                         	       0        6        0        0        6
56211 qarte                              	       0        4        0        4        0
56212 qasconfig                          	       0       32        1       31        0
56213 qashctl                            	       0       25        0       25        0
56214 qasmixer                           	       0       62        5       57        0
56215 qasmixer-dbgsym                    	       0        1        0        1        0
56216 qastools-common                    	       0       68        4        8       56
56217 qbankmanager                       	       0        1        0        1        0
56218 qbe                                	       0        1        0        1        0
56219 qbittorrent-dbg                    	       0        2        0        2        0
56220 qbittorrent-nox                    	       0       13        2       11        0
56221 qbrew                              	       0        1        0        1        0
56222 qbs                                	       0        3        0        3        0
56223 qbs-common                         	       0       11        0        0       11
56224 qbs-dev                            	       0        2        0        2        0
56225 qbs-doc                            	       0        2        0        0        2
56226 qbs-doc-html                       	       0        1        0        0        1
56227 qbs-examples                       	       0        1        0        1        0
56228 qc                                 	       0        1        0        1        0
56229 qc-usb-utils                       	       0        1        0        1        0
56230 qca-qt5-2-utils                    	       0        2        0        2        0
56231 qcad                               	       0        2        0        0        2
56232 qcad-data                          	       0        1        0        0        1
56233 qcad-doc                           	       0        1        0        0        1
56234 qcalcfilehash                      	       0        1        0        1        0
56235 qcam                               	       0        1        0        1        0
56236 qccrypt                            	       0        6        0        6        0
56237 qcodec2                            	       0        1        0        1        0
56238 qcomicbook                         	       0       13        0       13        0
56239 qconf                              	       0        4        0        4        0
56240 qcontrol                           	       0        1        0        1        0
56241 qcoro-doc                          	       0        3        0        3        0
56242 qcoro-qt5-dev                      	       0        1        0        1        0
56243 qd                                 	       0        1        0        1        0
56244 qdbm-doc                           	       0        1        0        0        1
56245 qdbus                              	       0      134        0        0      134
56246 qdigidoc4                          	       0        1        0        1        0
56247 qdiskinfo                          	       0        3        0        3        0
56248 qdl                                	       0        1        0        1        0
56249 qdmr                               	       0        7        0        7        0
56250 qelectrotech                       	       0       17        0       17        0
56251 qelectrotech-data                  	       0       17        0        0       17
56252 qelectrotech-examples              	       0       17        0        0       17
56253 qemu                               	       0      123        0        0      123
56254 qemu-efi                           	       0       23        0        0       23
56255 qemu-efi-aarch64                   	       0      179        0        0      179
56256 qemu-efi-arm                       	       0      170        0        0      170
56257 qemu-efi-riscv64                   	       0        2        0        0        2
56258 qemu-guest-agent-vz                	       0        1        0        1        0
56259 qemu-guest-agent-vz-dbg            	       0        1        0        1        0
56260 qemu-jfp-tools                     	       0        3        0        3        0
56261 qemu-keymaps                       	       0        1        0        0        1
56262 qemu-kvm                           	       0       65        0       65        0
56263 qemu-slof                          	       0       23        0        0       23
56264 qemu-system                        	       0      177        0        0      177
56265 qemu-system-data                   	       0      619        0        0      619
56266 qemu-system-modules-opengl         	       0       67        0        2       65
56267 qemu-system-modules-spice          	       0       55        0        2       53
56268 qemu-system-xen                    	       0       10        0        4        6
56269 qemubuilder                        	       0        9        1        8        0
56270 qemuctl                            	       0        2        0        2        0
56271 qfinderpro                         	       0        1        0        1        0
56272 qfits-tools                        	       0        3        0        3        0
56273 qfitsview                          	       0        1        0        1        0
56274 qflow                              	       0        6        0        6        0
56275 qflow-tech-osu018                  	       0        6        0        0        6
56276 qflow-tech-osu035                  	       0        6        0        0        6
56277 qflow-tech-osu050                  	       0        6        0        0        6
56278 qgama                              	       0        1        0        1        0
56279 qgfe                               	       0        1        0        1        0
56280 qgis                               	       0       42        3       39        0
56281 qgis-api-doc                       	       0        1        0        0        1
56282 qgis-common                        	       0       46        1        1       44
56283 qgis-plugin-globe-common           	       0        1        0        0        1
56284 qgis-plugin-grass                  	       0       38        3       35        0
56285 qgis-plugin-grass-common           	       0       41        0        0       41
56286 qgis-provider-grass                	       0       38        3       35        0
56287 qgis-providers                     	       0       44        3       41        0
56288 qgis-providers-common              	       0       46        0        0       46
56289 qgis-server                        	       0        2        0        0        2
56290 qgis-server-bin                    	       0        2        0        2        0
56291 qgis-server-common                 	       0        2        0        0        2
56292 qgis-server-dummy                  	       0        2        0        2        0
56293 qgis-server-landingpage            	       0        2        0        2        0
56294 qgis-server-wcs                    	       0        2        0        2        0
56295 qgis-server-wfs                    	       0        2        0        2        0
56296 qgis-server-wfs3                   	       0        2        0        2        0
56297 qgis-server-wms                    	       0        2        0        2        0
56298 qgis-server-wmts                   	       0        2        0        2        0
56299 qgis-sip                           	       0        3        0        0        3
56300 qgit                               	       0       25        1       24        0
56301 qgnomeplatform-qt5                 	       0        7        0        0        7
56302 qgo                                	       0       11        0       11        0
56303 qhimdtransfer                      	       0        1        0        1        0
56304 qiv                                	       0       46        2       44        0
56305 qjackrcd                           	       0       12        0       12        0
56306 qjoypad                            	       0       14        1       13        0
56307 ql600pdrv                          	       0        1        0        0        1
56308 ql700pdrv                          	       0        5        0        0        5
56309 qlandkartegt                       	       0        1        0        1        0
56310 qlandkartegt-garmin                	       0        1        0        1        0
56311 qlcplus                            	       0        7        0        7        0
56312 qlcplus-data                       	       0        7        0        0        7
56313 qlogo                              	       0        4        0        4        0
56314 qmail                              	       0        7        0        7        0
56315 qmail-run                          	       0        4        0        4        0
56316 qmail-tools                        	       0        1        0        1        0
56317 qmail-uids-gids                    	       0        5        0        0        5
56318 qmapshack                          	       0       16        1       15        0
56319 qmenu                              	       0        1        0        1        0
56320 qmhandle                           	       0        1        0        1        0
56321 qmidiarp                           	       0        7        0        7        0
56322 qmidinet                           	       0       13        0       13        0
56323 qmidiroute                         	       0       11        0       11        0
56324 qml                                	       0       12        0       12        0
56325 qml-module-gsettings               	       0        3        0        0        3
56326 qml-module-gsettings1.0            	       0      517        0        0      517
56327 qml-module-io-thp-pyotherside      	       0       30        0        2       28
56328 qml-module-lomiri-components       	       0        1        0        0        1
56329 qml-module-lomiri-components-labs  	       0        1        0        0        1
56330 qml-module-lomiri-performancemetrics	       0        1        0        0        1
56331 qml-module-ofono                   	       0        1        0        0        1
56332 qml-module-org-kde-analitza        	       0       46        0        0       46
56333 qml-module-org-kde-charts          	       0       59        0        0       59
56334 qml-module-org-kde-extensionplugin 	       0       13        0        0       13
56335 qml-module-org-kde-games-core      	       0       63        0        0       63
56336 qml-module-org-kde-graphicaleffects	       0        1        0        0        1
56337 qml-module-org-kde-i18n-localedata 	       0        1        0        0        1
56338 qml-module-org-kde-kaccounts       	       0       25        0        0       25
56339 qml-module-org-kde-kcmutils        	       0      857        0        0      857
56340 qml-module-org-kde-kholidays       	       0      545        0        0      545
56341 qml-module-org-kde-kio             	       0      565        0        5      560
56342 qml-module-org-kde-kirigami        	       0        5        0        0        5
56343 qml-module-org-kde-kirigami-addons-datetime	       0        1        0        0        1
56344 qml-module-org-kde-kirigami-addons-labs-components	       0        2        0        0        2
56345 qml-module-org-kde-kirigami-addons-labs-mobileform	       0       45        0        0       45
56346 qml-module-org-kde-kirigami-addons-sounds	       0        1        0        0        1
56347 qml-module-org-kde-kirigami-addons-treeview	       0        1        0        0        1
56348 qml-module-org-kde-kquickimageeditor	       0        9        0        0        9
56349 qml-module-org-kde-notifications   	       0        5        0        0        5
56350 qml-module-org-kde-okular          	       0       11        0        0       11
56351 qml-module-org-kde-people          	       0      710        0        0      710
56352 qml-module-org-kde-purpose         	       0      770        1        1      768
56353 qml-module-org-kde-qqc2breezestyle 	       0        2        0        0        2
56354 qml-module-org-kde-runnermodel     	       0      943        0        0      943
56355 qml-module-org-kde-solid           	       0      547        0        0      547
56356 qml-module-org-kde-syntaxhighlighting	       0      441        0        0      441
56357 qml-module-org-kde-telepathy       	       0        6        0        2        4
56358 qml-module-org-nemomobile-mpris    	       0        1        0        0        1
56359 qml-module-qmltermwidget           	       0        6        0        0        6
56360 qml-module-qt-labs-animation       	       0       24        0        0       24
56361 qml-module-qt-labs-calendar        	       0       15        0        0       15
56362 qml-module-qt-labs-location        	       0        2        0        0        2
56363 qml-module-qt-labs-qmlmodels       	       0      430        1        4      425
56364 qml-module-qt-labs-sharedimage     	       0        2        0        0        2
56365 qml-module-qt-labs-wavefrontmesh   	       0        2        0        0        2
56366 qml-module-qt-websockets           	       0        2        0        0        2
56367 qml-module-qt3d                    	       0        4        0        0        4
56368 qml-module-qtaudioengine           	       0        2        0        0        2
56369 qml-module-qtbluetooth             	       0        2        0        0        2
56370 qml-module-qtcharts                	       0       47        2        1       44
56371 qml-module-qtdatavisualization     	       0        3        0        0        3
56372 qml-module-qtfeedback              	       0        4        0        0        4
56373 qml-module-qtgamepad               	       0        1        0        0        1
56374 qml-module-qtgstreamer             	       0       17        0        0       17
56375 qml-module-qtlocation              	       0       21        0        0       21
56376 qml-module-qtmultimedia            	       0      868        1        2      865
56377 qml-module-qtnfc                   	       0        1        0        0        1
56378 qml-module-qtorganizer             	       0        1        0        0        1
56379 qml-module-qtpositioning           	       0       21        0        0       21
56380 qml-module-qtpublishsubscribe      	       0        1        0        0        1
56381 qml-module-qtqml-statemachine      	       0       41        0        0       41
56382 qml-module-qtqml-workerscript2     	       0        1        0        0        1
56383 qml-module-qtquick-controls-styles-breeze	       0       36        0        0       36
56384 qml-module-qtquick-extras          	       0      108        0        0      108
56385 qml-module-qtquick-localstorage    	       0       53        0        2       51
56386 qml-module-qtquick-particles2      	       0      748        1        2      745
56387 qml-module-qtquick-pdf             	       0        3        0        0        3
56388 qml-module-qtquick-scene2d         	       0        1        0        0        1
56389 qml-module-qtquick-scene3d         	       0        6        0        0        6
56390 qml-module-qtquick-shapes          	       0      886        1        3      882
56391 qml-module-qtquick-xmllistmodel    	       0       57        0        3       54
56392 qml-module-qtremoteobjects         	       0        1        0        0        1
56393 qml-module-qtscxml                 	       0        3        0        0        3
56394 qml-module-qtsensors               	       0        1        0        0        1
56395 qml-module-qtsysteminfo            	       0        3        0        0        3
56396 qml-module-qttest                  	       0        9        0        0        9
56397 qml-module-qtwayland-client-texturesharing	       0        1        0        0        1
56398 qml-module-qtwayland-compositor    	       0        3        0        0        3
56399 qml-module-qtwebchannel            	       0       16        0        1       15
56400 qml-module-qtwebengine             	       0      749        0        3      746
56401 qml-module-qtwebkit                	       0      500        0        0      500
56402 qml-module-qtwebsockets            	       0        3        0        0        3
56403 qml-module-qtwebview               	       0        6        0        0        6
56404 qml-module-snapd                   	       0        1        0        0        1
56405 qml-module-termwidget              	       0       29        0        2       27
56406 qml6-module-assets-downloader      	       0        1        0        0        1
56407 qml6-module-org-kde-activities     	       0       25        1        0       24
56408 qml6-module-org-kde-baloo          	       0       25        0        0       25
56409 qml6-module-org-kde-bluezqt        	       0       52        7       13       32
56410 qml6-module-org-kde-breeze         	       0       25        2        4       19
56411 qml6-module-org-kde-calendarcore   	       0        1        0        0        1
56412 qml6-module-org-kde-config         	       0       48        0        1       47
56413 qml6-module-org-kde-contacts       	       0        1        0        0        1
56414 qml6-module-org-kde-games-core     	       0        5        0        0        5
56415 qml6-module-org-kde-graphicaleffects	       0       25        1        5       19
56416 qml6-module-org-kde-guiaddons      	       0        5        0        0        5
56417 qml6-module-org-kde-i18n-localedata	       0        3        0        0        3
56418 qml6-module-org-kde-iconthemes     	       0       27        0        0       27
56419 qml6-module-org-kde-kaccounts      	       0        3        0        0        3
56420 qml6-module-org-kde-kholidays      	       0       26        0        0       26
56421 qml6-module-org-kde-kirigamiaddons-datetime	       0       42        0        0       42
56422 qml6-module-org-kde-kirigamiaddons-delegates	       0       43        0        0       43
56423 qml6-module-org-kde-kirigamiaddons-formcard	       0       44        0        0       44
56424 qml6-module-org-kde-kirigamiaddons-labs-components	       0        7        0        0        7
56425 qml6-module-org-kde-kirigamiaddons-settings	       0        7        0        0        7
56426 qml6-module-org-kde-kirigamiaddons-statefulapp	       0        3        0        0        3
56427 qml6-module-org-kde-kirigamiaddons-tableview	       0       25        0        0       25
56428 qml6-module-org-kde-kirigamiaddons-treeview	       0       42        0        0       42
56429 qml6-module-org-kde-kquickcontrols 	       0       25        1        3       21
56430 qml6-module-org-kde-kquickimageeditor	       0        2        0        0        2
56431 qml6-module-org-kde-ksysguard      	       0       25        1        2       22
56432 qml6-module-org-kde-layershell     	       0        2        0        0        2
56433 qml6-module-org-kde-marble         	       0        1        0        0        1
56434 qml6-module-org-kde-marble-imageprovider	       0        1        0        0        1
56435 qml6-module-org-kde-newstuff       	       0       38        0        2       36
56436 qml6-module-org-kde-okular         	       0        3        0        0        3
56437 qml6-module-org-kde-people         	       0       39        0        0       39
56438 qml6-module-org-kde-prison         	       0       52        0        0       52
56439 qml6-module-org-kde-purpose        	       0       51        0        0       51
56440 qml6-module-org-kde-syntaxhighlighting	       0       26        0        0       26
56441 qml6-module-org-kde-userfeedback   	       0       29        0        0       29
56442 qml6-module-qml                    	       0        1        0        0        1
56443 qml6-module-qmltime                	       0        6        0        0        6
56444 qml6-module-qt-labs-animation      	       0       17        0        0       17
56445 qml6-module-qt-labs-folderlistmodel	       0       62        2        1       59
56446 qml6-module-qt-labs-lottieqt       	       0       13        0        0       13
56447 qml6-module-qt-labs-settings       	       0       20        0        0       20
56448 qml6-module-qt-labs-sharedimage    	       0       18        0        0       18
56449 qml6-module-qt-labs-wavefrontmesh  	       0       18        0        0       18
56450 qml6-module-qt3d-animation         	       0        2        0        0        2
56451 qml6-module-qt3d-core              	       0        2        0        0        2
56452 qml6-module-qt3d-extras            	       0        2        0        0        2
56453 qml6-module-qt3d-input             	       0        2        0        0        2
56454 qml6-module-qt3d-logic             	       0        2        0        0        2
56455 qml6-module-qt3d-render            	       0        2        0        0        2
56456 qml6-module-qtcharts               	       0        6        0        0        6
56457 qml6-module-qtdatavisualization    	       0        2        0        0        2
56458 qml6-module-qtgraphs               	       0        1        0        0        1
56459 qml6-module-qtgrpc                 	       0        1        0        0        1
56460 qml6-module-qtlocation             	       0        1        0        0        1
56461 qml6-module-qtmultimedia           	       0       67        0        0       67
56462 qml6-module-qtnetwork              	       0        6        0        0        6
56463 qml6-module-qtpositioning          	       0       27        0        0       27
56464 qml6-module-qtprotobuf             	       0        1        0        0        1
56465 qml6-module-qtqml                  	       0       97        0        0       97
56466 qml6-module-qtqml-base             	       0       70        0        0       70
56467 qml6-module-qtqml-models           	       0      127        0        0      127
56468 qml6-module-qtqml-statemachine     	       0       18        0        0       18
56469 qml6-module-qtqml-xmllistmodel     	       0       19        0        0       19
56470 qml6-module-qtquick                	       0      145        0        0      145
56471 qml6-module-qtquick-localstorage   	       0       19        0        0       19
56472 qml6-module-qtquick-nativestyle    	       0       19        0        0       19
56473 qml6-module-qtquick-particles      	       0       52        0        0       52
56474 qml6-module-qtquick-pdf            	       0        6        0        0        6
56475 qml6-module-qtquick-scene2d        	       0        3        0        0        3
56476 qml6-module-qtquick-scene3d        	       0        3        0        0        3
56477 qml6-module-qtquick-shapes         	       0      103        2        5       96
56478 qml6-module-qtquick-timeline       	       0       24        0        0       24
56479 qml6-module-qtquick-timeline-blendtrees	       0        1        0        0        1
56480 qml6-module-qtquick-tooling        	       0       65        0        0       65
56481 qml6-module-qtquick-vectorimage    	       0        1        0        0        1
56482 qml6-module-qtquick-virtualkeyboard	       0       39        2        1       36
56483 qml6-module-qtquick3d              	       0        8        0        0        8
56484 qml6-module-qtquick3d-assetutils   	       0        1        0        0        1
56485 qml6-module-qtquick3d-effects      	       0        1        0        0        1
56486 qml6-module-qtquick3d-helpers      	       0        1        0        0        1
56487 qml6-module-qtquick3d-materialeditor	       0        1        0        0        1
56488 qml6-module-qtquick3d-particleeffects	       0        1        0        0        1
56489 qml6-module-qtquick3d-particles3d  	       0       11        0        0       11
56490 qml6-module-qtquick3d-physics      	       0        2        0        0        2
56491 qml6-module-qtquick3d-spatialaudio 	       0       20        0        0       20
56492 qml6-module-qtquick3d-xr           	       0        1        0        0        1
56493 qml6-module-qtremoteobjects        	       0        2        0        0        2
56494 qml6-module-qtscxml                	       0        2        0        0        2
56495 qml6-module-qtsensors              	       0        4        0        0        4
56496 qml6-module-qttest                 	       0       11        0        0       11
56497 qml6-module-qttexttospeech         	       0        2        0        0        2
56498 qml6-module-qtwayland-client-texturesharing	       0        6        0        0        6
56499 qml6-module-qtwayland-compositor   	       0        7        0        0        7
56500 qml6-module-qtwebchannel           	       0       39        0        0       39
56501 qml6-module-qtwebengine            	       0       42        0        0       42
56502 qml6-module-qtwebengine-controlsdelegates	       0        4        0        0        4
56503 qml6-module-qtwebsockets           	       0        3        0        0        3
56504 qml6-module-qtwebview              	       0       21        0        0       21
56505 qml6-module-quick3d                	       0        1        0        0        1
56506 qml6-module-quick3d-assetutils     	       0        1        0        0        1
56507 qml6-module-quick3d-effects        	       0        1        0        0        1
56508 qml6-module-quick3d-helpers        	       0        1        0        0        1
56509 qml6-module-quick3d-particleeffects	       0        1        0        0        1
56510 qml6-module-quick3d-particles3d    	       0        1        0        0        1
56511 qml6-module-sso-onlineaccounts     	       0       33        0        0       33
56512 qmlscene                           	       0       28        0       26        2
56513 qmltermwidget-common               	       0       30        0        0       30
56514 qmmp-plugin-projectm               	       0       11        1       10        0
56515 qmpdclient                         	       0        2        0        2        0
56516 qnapi                              	       0        3        0        3        0
56517 qnetstatview                       	       0        2        0        2        0
56518 qodem                              	       0        1        0        1        0
56519 qodem-x11                          	       0        1        0        1        0
56520 qof-data                           	       0        1        0        0        1
56521 qogir-dark                         	       0        1        0        0        1
56522 qogir-icon-theme                   	       0        1        0        1        0
56523 qogir-light                        	       0        1        0        0        1
56524 qogir-win                          	       0        1        0        0        1
56525 qogir-win-dark                     	       0        1        0        0        1
56526 qogir-win-light                    	       0        1        0        0        1
56527 qoi                                	       0        1        1        0        0
56528 qonk                               	       0        5        0        5        0
56529 qosmic                             	       0        3        0        3        0
56530 qownnotes                          	       0        2        0        2        0
56531 qpdf-doc                           	       0        1        0        0        1
56532 qpdfview-translations              	       0      298        0        2      296
56533 qperf                              	       0        2        0        2        0
56534 qpopper                            	       0        3        0        3        0
56535 qprint                             	       0       18        0       18        0
56536 qprint-doc                         	       0        1        0        0        1
56537 qpwgraph                           	       0       29        1       28        0
56538 qpxtool                            	       0       14        0       14        0
56539 qqmusic                            	       0        1        0        1        0
56540 qqwing                             	       0        2        0        2        0
56541 qrazercfg                          	       0        3        0        3        0
56542 qrazercfg-applet                   	       0        2        0        2        0
56543 qrcp                               	       0        1        0        1        0
56544 qreator                            	       0        5        0        5        0
56545 qrenderdoc                         	       0        3        0        3        0
56546 qrisk2                             	       0        1        0        1        0
56547 qrouter                            	       0        6        0        6        0
56548 qrq                                	       0       12        0       12        0
56549 qrterminal                         	       0        2        0        2        0
56550 qrtr-tools                         	       0        1        0        1        0
56551 qsampler                           	       0        2        0        2        0
56552 qsapecng                           	       0        2        0        2        0
56553 qsapecng-doc                       	       0        1        0        0        1
56554 qsf                                	       0        1        0        1        0
56555 qshutdown                          	       0       11        0       11        0
56556 qsopt-ex                           	       0        3        0        3        0
56557 qspeakers                          	       0        2        0        2        0
56558 qsstv                              	       0       17        0       17        0
56559 qstardict                          	       0       10        0       10        0
56560 qstat                              	       0       17        1       16        0
56561 qstopmotion                        	       0        4        0        4        0
56562 qt-assistant-compat                	       0        3        0        3        0
56563 qt-at-spi                          	       0      102        0        0      102
56564 qt-ponies-data                     	       0        1        0        0        1
56565 qt3-apps-dev                       	       0        1        0        1        0
56566 qt3-assistant                      	       0        1        0        1        0
56567 qt3-dev-tools                      	       0        2        0        2        0
56568 qt3-doc                            	       0        2        0        0        2
56569 qt3-linguist                       	       0        1        0        1        0
56570 qt3-qtconfig                       	       0        1        0        1        0
56571 qt3d-assimpsceneimport-plugin      	       0       44        0        0       44
56572 qt3d-defaultgeometryloader-plugin  	       0       44        0        0       44
56573 qt3d-gltfsceneio-plugin            	       0       44        0        0       44
56574 qt3d-scene2d-plugin                	       0       44        0        0       44
56575 qt3d5-dev                          	       0        6        0        6        0
56576 qt3d5-dev-tools                    	       0        3        0        3        0
56577 qt3d5-doc                          	       0       39        0        0       39
56578 qt3d5-doc-html                     	       0       11        0        0       11
56579 qt3d5-examples                     	       0        3        0        0        3
56580 qt4-demos                          	       0        2        0        2        0
56581 qt4-designer                       	       0       16        0       16        0
56582 qt4-dev-tools                      	       0       11        0       11        0
56583 qt4-doc                            	       0       15        0        0       15
56584 qt4-doc-html                       	       0        3        0        0        3
56585 qt4-linguist-tools                 	       0       19        0       19        0
56586 qt4-qmake                          	       0       39        1       38        0
56587 qt4-qmlviewer                      	       0        3        0        0        3
56588 qt4-qtconfig                       	       0       13        0       13        0
56589 qt5-default                        	       0       11        0        0       11
56590 qt5-doc                            	       0       38        0        0       38
56591 qt5-doc-html                       	       0       10        0        0       10
56592 qt5-flatpak-platformtheme          	       0        6        0        0        6
56593 qt5-gtk-platformtheme-dbgsym       	       0        1        0        1        0
56594 qt5-gtk2-platformtheme             	       0      336        1       13      322
56595 qt5-image-formats-plugin-pdf       	       0       38        2       18       18
56596 qt5-qmake-bin-dbgsym               	       0        1        0        1        0
56597 qt5-qmltooling-plugins             	       0      179        0        0      179
56598 qt5-quick-demos                    	       0        5        0        0        5
56599 qt5-style-kvantum-l10n             	       0       52        0        0       52
56600 qt5-style-kvantum-themes           	       0       48        0        0       48
56601 qt5-style-plugin-cleanlooks        	       0      310        3       17      290
56602 qt5-style-plugin-motif             	       0      310        2        2      306
56603 qt5-style-plugins                  	       0      324        0        0      324
56604 qt5-styles-ukui                    	       0        8        0        0        8
56605 qt5-ukui-platformtheme             	       0        6        0        0        6
56606 qt5-xdgdesktopportal-platformtheme 	       0       10        0        0       10
56607 qt5ct                              	       0       91       14       77        0
56608 qt5dxcb-plugin                     	       0       32        1       16       15
56609 qt5keychain-dev                    	       0       17        0        2       15
56610 qt5qevercloud-dev                  	       0        2        0        2        0
56611 qt5serialport-examples             	       0        5        0        0        5
56612 qt6-3d-defaultgeometryloader-plugin	       0        1        0        0        1
56613 qt6-3d-doc                         	       0        1        0        0        1
56614 qt6-3d-doc-dev                     	       0        1        0        0        1
56615 qt6-3d-doc-html                    	       0        1        0        0        1
56616 qt6-3d-examples                    	       0        1        0        0        1
56617 qt6-5compat-doc                    	       0        1        0        0        1
56618 qt6-5compat-doc-html               	       0        1        0        0        1
56619 qt6-base-doc                       	       0        2        0        0        2
56620 qt6-base-doc-dev                   	       0        2        0        0        2
56621 qt6-base-doc-html                  	       0        2        0        0        2
56622 qt6-base-examples                  	       0        2        0        0        2
56623 qt6-charts-doc                     	       0        1        0        0        1
56624 qt6-charts-doc-html                	       0        1        0        0        1
56625 qt6-charts-examples                	       0        1        0        0        1
56626 qt6-connectivity-doc               	       0        1        0        0        1
56627 qt6-connectivity-doc-html          	       0        1        0        0        1
56628 qt6-connectivity-examples          	       0        1        0        0        1
56629 qt6-datavis3d-doc                  	       0        1        0        0        1
56630 qt6-datavis3d-doc-html             	       0        1        0        0        1
56631 qt6-datavis3d-examples             	       0        1        0        0        1
56632 qt6-declarative-doc                	       0        1        0        0        1
56633 qt6-declarative-doc-dev            	       0        1        0        0        1
56634 qt6-declarative-doc-html           	       0        1        0        0        1
56635 qt6-declarative-examples           	       0        1        0        0        1
56636 qt6-graphs-doc                     	       0        1        0        0        1
56637 qt6-graphs-doc-html                	       0        1        0        0        1
56638 qt6-graphs-examples                	       0        1        0        0        1
56639 qt6-grpc-doc                       	       0        1        0        0        1
56640 qt6-grpc-doc-dev                   	       0        1        0        0        1
56641 qt6-grpc-doc-html                  	       0        1        0        0        1
56642 qt6-grpc-examples                  	       0        1        0        0        1
56643 qt6-httpserver-doc                 	       0        1        0        0        1
56644 qt6-httpserver-doc-html            	       0        1        0        0        1
56645 qt6-httpserver-examples            	       0        1        0        0        1
56646 qt6-image-formats-plugin-pdf       	       0       10        2        1        7
56647 qt6-imageformats-doc               	       0        1        0        0        1
56648 qt6-imageformats-doc-html          	       0        1        0        0        1
56649 qt6-location-doc                   	       0        1        0        0        1
56650 qt6-location-doc-dev               	       0        1        0        0        1
56651 qt6-location-doc-html              	       0        1        0        0        1
56652 qt6-location-examples              	       0        1        0        0        1
56653 qt6-location-plugins               	       0        1        0        0        1
56654 qt6-multimedia-doc                 	       0        1        0        0        1
56655 qt6-multimedia-doc-html            	       0        1        0        0        1
56656 qt6-multimedia-examples            	       0        1        0        0        1
56657 qt6-networkauth-doc                	       0        1        0        0        1
56658 qt6-networkauth-doc-dev            	       0        1        0        0        1
56659 qt6-networkauth-doc-html           	       0        1        0        0        1
56660 qt6-networkauth-examples           	       0        1        0        0        1
56661 qt6-positioning-doc                	       0        1        0        0        1
56662 qt6-positioning-doc-dev            	       0        1        0        0        1
56663 qt6-positioning-doc-html           	       0        1        0        0        1
56664 qt6-positioning-examples           	       0        1        0        0        1
56665 qt6-qmllint-plugins                	       0        7        0        0        7
56666 qt6-qmlls-plugins                  	       0        1        0        0        1
56667 qt6-qmltooling-plugins             	       0       65        0        0       65
56668 qt6-qmltooling-quick3dprofiler-plugin	       0        1        0        0        1
56669 qt6-qpa-plugins                    	       0      540        0        0      540
56670 qt6-quick3d-assetimporters-plugin  	       0        1        0        0        1
56671 qt6-quick3d-doc                    	       0        1        0        0        1
56672 qt6-quick3d-doc-dev                	       0        1        0        0        1
56673 qt6-quick3d-doc-html               	       0        1        0        0        1
56674 qt6-quick3d-examples               	       0        1        0        0        1
56675 qt6-quick3dphysics-examples        	       0        1        0        0        1
56676 qt6-remoteobjects-doc              	       0        1        0        0        1
56677 qt6-remoteobjects-doc-dev          	       0        1        0        0        1
56678 qt6-remoteobjects-doc-html         	       0        1        0        0        1
56679 qt6-remoteobjects-examples         	       0        1        0        0        1
56680 qt6-scxml-doc                      	       0        1        0        0        1
56681 qt6-scxml-doc-dev                  	       0        1        0        0        1
56682 qt6-scxml-doc-html                 	       0        1        0        0        1
56683 qt6-scxml-examples                 	       0        1        0        0        1
56684 qt6-sensors-doc                    	       0        1        0        0        1
56685 qt6-sensors-doc-dev                	       0        1        0        0        1
56686 qt6-sensors-doc-html               	       0        1        0        0        1
56687 qt6-sensors-examples               	       0        1        0        0        1
56688 qt6-serialbus-doc                  	       0        1        0        0        1
56689 qt6-serialbus-doc-dev              	       0        1        0        0        1
56690 qt6-serialbus-doc-html             	       0        1        0        0        1
56691 qt6-serialbus-examples             	       0        1        0        0        1
56692 qt6-serialport-doc                 	       0        1        0        0        1
56693 qt6-serialport-doc-html            	       0        1        0        0        1
56694 qt6-serialport-examples            	       0        1        0        0        1
56695 qt6-shadertools-doc                	       0        1        0        0        1
56696 qt6-shadertools-doc-dev            	       0        1        0        0        1
56697 qt6-shadertools-doc-html           	       0        1        0        0        1
56698 qt6-speech-doc                     	       0        1        0        0        1
56699 qt6-speech-doc-html                	       0        1        0        0        1
56700 qt6-speech-examples                	       0        1        0        0        1
56701 qt6-speech-flite-plugin            	       0       23        0        0       23
56702 qt6-speech-speechd-plugin          	       0        3        0        0        3
56703 qt6-svg-doc                        	       0        1        0        0        1
56704 qt6-svg-doc-dev                    	       0        1        0        0        1
56705 qt6-svg-doc-html                   	       0        1        0        0        1
56706 qt6-svg-examples                   	       0        1        0        0        1
56707 qt6-tools-doc                      	       0        1        0        0        1
56708 qt6-tools-doc-dev                  	       0        1        0        0        1
56709 qt6-tools-doc-html                 	       0        1        0        0        1
56710 qt6-tools-examples                 	       0        1        0        0        1
56711 qt6-virtualkeyboard-plugin         	       0       26        2        1       23
56712 qt6-wayland-doc                    	       0        1        0        0        1
56713 qt6-wayland-doc-html               	       0        1        0        0        1
56714 qt6-wayland-examples               	       0        1        0        0        1
56715 qt6-webchannel-doc                 	       0        1        0        0        1
56716 qt6-webchannel-doc-dev             	       0        1        0        0        1
56717 qt6-webchannel-doc-html            	       0        1        0        0        1
56718 qt6-webchannel-examples            	       0        1        0        0        1
56719 qt6-webengine-doc                  	       0        1        0        0        1
56720 qt6-webengine-doc-dev              	       0        1        0        0        1
56721 qt6-webengine-doc-html             	       0        1        0        0        1
56722 qt6-webengine-examples             	       0        1        0        0        1
56723 qt6-websockets-doc                 	       0        1        0        0        1
56724 qt6-websockets-doc-dev             	       0        1        0        0        1
56725 qt6-websockets-doc-html            	       0        1        0        0        1
56726 qt6-websockets-examples            	       0        1        0        0        1
56727 qt6-webview-doc                    	       0        1        0        0        1
56728 qt6-webview-doc-html               	       0        1        0        0        1
56729 qt6-webview-examples               	       0        1        0        0        1
56730 qt6-webview-plugins                	       0        1        0        0        1
56731 qt6-xdgdesktopportal-platformtheme 	       0        8        1        0        7
56732 qtads                              	       0        3        0        3        0
56733 qtalarm                            	       0        1        0        1        0
56734 qtbase-opensource-src-build-deps   	       0        1        0        0        1
56735 qtbase5-dev-tools-dbgsym           	       0        1        0        1        0
56736 qtbase5-doc                        	       0       39        0        0       39
56737 qtbase5-doc-dev                    	       0        4        0        0        4
56738 qtbase5-doc-html                   	       0       15        0        0       15
56739 qtbase5-examples                   	       0        6        0        0        6
56740 qtbase5-gles-dev                   	       0        1        0        1        0
56741 qtcharts5-doc                      	       0       36        0        0       36
56742 qtcharts5-doc-html                 	       0       10        0        0       10
56743 qtcharts5-examples                 	       0        1        0        0        1
56744 qtchess                            	       0        1        0        0        1
56745 qtconnectivity5-dev                	       0        5        0        5        0
56746 qtconnectivity5-doc                	       0       38        0        0       38
56747 qtconnectivity5-doc-html           	       0       10        0        0       10
56748 qtconnectivity5-examples           	       0        3        0        0        3
56749 qtcore4-l10n                       	       0      180        0        0      180
56750 qtcreator-doc                      	       0       60        0        0       60
56751 qtcurve                            	       0       23        0        0       23
56752 qtcurve-l10n                       	       0       24        0        0       24
56753 qtcurve-trinity                    	       0        2        0        0        2
56754 qtdatavisualization5-doc           	       0       32        0        0       32
56755 qtdatavisualization5-doc-html      	       0        9        0        0        9
56756 qtdatavisualization5-examples      	       0        3        0        0        3
56757 qtdbustest-runner                  	       0        1        0        1        0
56758 qtdeclarative4-kqtquickcharts-1    	       0        2        0        2        0
56759 qtdeclarative5-doc                 	       0       38        0        0       38
56760 qtdeclarative5-doc-dev             	       0        1        0        0        1
56761 qtdeclarative5-doc-html            	       0       10        0        0       10
56762 qtdeclarative5-examples            	       0        6        0        0        6
56763 qtdeclarative5-private-dev         	       0        7        1        6        0
56764 qtearth                            	       0        1        0        1        0
56765 qtel                               	       0        4        0        4        0
56766 qtel-icons                         	       0        4        0        0        4
56767 qtemu                              	       0        2        0        2        0
56768 qtenginio5-doc                     	       0        1        0        0        1
56769 qterm                              	       0        3        1        2        0
56770 qtermwidget-data                   	       0       10        0        3        7
56771 qtfeedback5-dev                    	       0        2        0        2        0
56772 qtfeedback5-examples               	       0        3        0        3        0
56773 qtgain                             	       0        1        0        1        0
56774 qtgamepad5-doc                     	       0       22        0        0       22
56775 qtgamepad5-doc-html                	       0        8        0        0        8
56776 qtgamepad5-examples                	       0        2        0        0        2
56777 qtgraphicaleffects5-doc            	       0       38        0        0       38
56778 qtgraphicaleffects5-doc-html       	       0       10        0        0       10
56779 qtgstreamer-doc                    	       0        1        0        0        1
56780 qtgstreamer-plugins-qt5            	       0      559        0        0      559
56781 qthid-fcd-controller               	       0        9        0        9        0
56782 qtikz                              	       0        4        0        4        0
56783 qtiplot                            	       0        1        0        1        0
56784 qtiplot-doc                        	       0        1        0        0        1
56785 qtkeychain-qt6-dev                 	       0        4        0        4        0
56786 qtlocation5-dev                    	       0        9        0        9        0
56787 qtlocation5-doc                    	       0       38        0        0       38
56788 qtlocation5-doc-dev                	       0        1        0        0        1
56789 qtlocation5-doc-html               	       0       10        0        0       10
56790 qtlocation5-examples               	       0        2        0        0        2
56791 qtltools                           	       0        1        0        1        0
56792 qtmib                              	       0        1        0        1        0
56793 qtmir                              	       0        1        0        0        1
56794 qtmir-desktop                      	       0        2        0        0        2
56795 qtmultimedia5-doc                  	       0       38        0        0       38
56796 qtmultimedia5-doc-html             	       0       10        0        0       10
56797 qtmultimedia5-examples             	       0        3        0        0        3
56798 qtnetworkauth5-doc                 	       0       32        0        0       32
56799 qtnetworkauth5-doc-html            	       0        9        0        0        9
56800 qtnetworkauth5-examples            	       0        3        0        0        3
56801 qtop                               	       0        8        0        8        0
56802 qtox                               	       0       22        0       22        0
56803 qtpass                             	       0       11        0       11        0
56804 qtpdf5-dev                         	       0        2        0        2        0
56805 qtpdf5-doc                         	       0        1        0        0        1
56806 qtpdf5-doc-html                    	       0        1        0        0        1
56807 qtpdf5-examples                    	       0        3        0        0        3
56808 qtpim5-dev                         	       0        2        0        2        0
56809 qtpim5-examples                    	       0        3        0        0        3
56810 qtpositioning5-dev                 	       0       55        1       54        0
56811 qtpositioning5-doc                 	       0        1        0        0        1
56812 qtproj                             	       0        1        0        1        0
56813 qtquickcontrols2-5-dev             	       0       32        0       32        0
56814 qtquickcontrols2-5-doc             	       0       39        0        0       39
56815 qtquickcontrols2-5-doc-html        	       0       11        0        0       11
56816 qtquickcontrols2-5-examples        	       0        4        0        0        4
56817 qtquickcontrols2-5-private-dev     	       0        3        0        3        0
56818 qtquickcontrols5-doc               	       0       39        0        0       39
56819 qtquickcontrols5-doc-html          	       0       11        0        0       11
56820 qtquickcontrols5-examples          	       0        2        0        0        2
56821 qtractor                           	       0       18        1       17        0
56822 qtrassa                            	       0        1        0        1        0
56823 qtremoteobjects5-doc               	       0        1        0        0        1
56824 qtremoteobjects5-doc-html          	       0        1        0        0        1
56825 qtremoteobjects5-examples          	       0        2        0        0        2
56826 qtscript-tools                     	       0        1        0        1        0
56827 qtscript5-doc                      	       0       38        0        0       38
56828 qtscript5-doc-html                 	       0       10        0        0       10
56829 qtscript5-examples                 	       0        2        0        0        2
56830 qtscxml5-doc                       	       0       32        0        0       32
56831 qtscxml5-doc-html                  	       0        9        0        0        9
56832 qtscxml5-examples                  	       0        2        0        0        2
56833 qtsensors5-doc                     	       0       39        0        0       39
56834 qtsensors5-doc-html                	       0       11        0        0       11
56835 qtsensors5-examples                	       0        3        0        0        3
56836 qtserialbus5-doc                   	       0       32        0        0       32
56837 qtserialbus5-doc-html              	       0        9        0        0        9
56838 qtserialbus5-examples              	       0        2        0        0        2
56839 qtserialport5-doc                  	       0       38        0        0       38
56840 qtserialport5-doc-html             	       0       10        0        0       10
56841 qtspeech5-doc                      	       0        1        0        0        1
56842 qtspeech5-doc-html                 	       0        1        0        0        1
56843 qtspeech5-examples                 	       0        2        0        0        2
56844 qtspeech5-flite-plugin             	       0       14        0        0       14
56845 qtsvg5-doc                         	       0       38        0        0       38
56846 qtsvg5-doc-html                    	       0       10        0        0       10
56847 qtsvg5-examples                    	       0        2        0        0        2
56848 qtsystems5-dev                     	       0        6        0        6        0
56849 qtsystems5-examples                	       0        4        0        0        4
56850 qtsystems5-private-dev             	       0        4        0        4        0
56851 qtsystems5-tools                   	       0        3        0        3        0
56852 qttinysa                           	       0        2        0        2        0
56853 qttools5-doc                       	       0       40        0        0       40
56854 qttools5-doc-html                  	       0       11        0        0       11
56855 qttools5-examples                  	       0        3        0        0        3
56856 qttools5-private-dev               	       0        7        0        7        0
56857 qtvirtualkeyboard-plugin           	       0       15        0        1       14
56858 qtvirtualkeyboard5-doc             	       0       36        0        0       36
56859 qtvirtualkeyboard5-doc-html        	       0       10        0        0       10
56860 qtvirtualkeyboard5-examples        	       0        2        0        0        2
56861 qtwayland5-dev-tools               	       0       15        0       15        0
56862 qtwayland5-doc                     	       0       40        0        0       40
56863 qtwayland5-doc-html                	       0       10        0        0       10
56864 qtwayland5-examples                	       0        2        0        0        2
56865 qtwayland5-private-dev             	       0        7        0        7        0
56866 qtwebchannel5-doc                  	       0       39        0        0       39
56867 qtwebchannel5-doc-html             	       0       10        0        0       10
56868 qtwebchannel5-examples             	       0        2        0        0        2
56869 qtwebengine5-dev                   	       0       46        1       45        0
56870 qtwebengine5-doc                   	       0       69        0        0       69
56871 qtwebengine5-doc-html              	       0       10        0        0       10
56872 qtwebengine5-examples              	       0        3        0        0        3
56873 qtwebengine5-private-dev           	       0        4        0        4        0
56874 qtwebkit5-doc                      	       0        3        0        0        3
56875 qtwebkit5-examples-doc             	       0        3        0        0        3
56876 qtwebsockets5-doc                  	       0       39        0        0       39
56877 qtwebsockets5-doc-html             	       0       10        0        0       10
56878 qtwebsockets5-examples             	       0        2        0        0        2
56879 qtwebview5-doc                     	       0       37        0        0       37
56880 qtwebview5-doc-html                	       0       10        0        0       10
56881 qtwebview5-examples                	       0        2        0        0        2
56882 qtx11extras5-doc                   	       0       39        0        0       39
56883 qtx11extras5-doc-html              	       0       10        0        0       10
56884 qtxdg-dev-tools                    	       0        4        0        4        0
56885 qtxmlpatterns5-dev-tools           	       0       27        0       25        2
56886 qtxmlpatterns5-doc                 	       0       39        0        0       39
56887 qtxmlpatterns5-doc-html            	       0       10        0        0       10
56888 qtxmlpatterns5-examples            	       0        2        0        0        2
56889 quagga                             	       0        2        0        0        2
56890 quagga-bgpd                        	       0        2        0        2        0
56891 quagga-core                        	       0        3        0        3        0
56892 quagga-isisd                       	       0        2        0        2        0
56893 quagga-ospf6d                      	       0        2        0        2        0
56894 quagga-ospfd                       	       0        3        0        3        0
56895 quagga-pimd                        	       0        2        0        2        0
56896 quagga-ripd                        	       0        2        0        2        0
56897 quagga-ripngd                      	       0        2        0        2        0
56898 quake                              	       0        8        0        8        0
56899 quake-armagon                      	       0        2        0        0        2
56900 quake-armagon-music                	       0        1        0        0        1
56901 quake-dissolution                  	       0        2        0        0        2
56902 quake-dissolution-music            	       0        1        0        0        1
56903 quake-music                        	       0        3        0        0        3
56904 quake-registered                   	       0        4        0        0        4
56905 quake-shareware                    	       0        1        0        0        1
56906 quake2                             	       0        8        0        8        0
56907 quake2-data                        	       0        1        0        0        1
56908 quake2-demo-data                   	       0        3        0        0        3
56909 quake2-full-data                   	       0        2        0        0        2
56910 quake2-groundzero-data             	       0        1        0        0        1
56911 quake2-groundzero-music            	       0        1        0        0        1
56912 quake2-music                       	       0        2        0        0        2
56913 quake2-reckoning-data              	       0        1        0        0        1
56914 quake2-reckoning-music             	       0        1        0        0        1
56915 quake3                             	       0        6        0        6        0
56916 quake3-data                        	       0        1        0        1        0
56917 quake3-demo-data                   	       0        2        0        2        0
56918 quake4                             	       0        1        0        1        0
56919 quakespasm                         	       0       11        0       11        0
56920 quakespasm-build-deps              	       0        1        0        0        1
56921 quakespasm-dbgsym                  	       0        1        0        1        0
56922 qualitis-carpaltunnel              	       0        1        0        1        0
56923 qualitis-utils                     	       0        4        2        2        0
56924 qualys-cloud-agent                 	       0        1        0        0        1
56925 quantum-espresso                   	       0        1        0        1        0
56926 quantum-espresso-data              	       0        1        0        0        1
56927 quarry                             	       0       11        0       11        0
56928 quarto                             	       0        5        1        4        0
56929 quassel-core                       	       0        1        0        1        0
56930 quassel-data                       	       0      147        1        0      146
56931 quaternion                         	       0       15        0       15        0
56932 qucs-s                             	       0        2        0        2        0
56933 quelcom                            	       0        3        0        3        0
56934 quentier-qt5                       	       0        1        0        1        0
56935 quick-n-easy-web-builder-11        	       0        1        0        0        1
56936 quickcal                           	       0        3        0        3        0
56937 quickgui                           	       0        2        0        0        2
56938 quickplot                          	       0        2        0        2        0
56939 quickroute-gps                     	       0        1        0        1        0
56940 quicktime-utils                    	       0        7        1        6        0
56941 quicktime-x11utils                 	       0        5        0        5        0
56942 quilt-el                           	       0        2        1        1        0
56943 quintuple-agent                    	       0        1        0        1        0
56944 quisk                              	       0        7        0        7        0
56945 quitcount                          	       0        1        0        1        0
56946 quiteinsane                        	       0        1        0        1        0
56947 quiterss                           	       0       18        1       17        0
56948 quollwriter                        	       0        1        0        1        0
56949 quotatool                          	       0       25        2       23        0
56950 qupzilla                           	       0        6        0        5        1
56951 qupzilla-plugin-kwallet            	       0        1        0        0        1
56952 qutebrowser-qtwebengine            	       0       54        0        0       54
56953 qutebrowser-qtwebkit               	       0        2        0        0        2
56954 qutemol                            	       0        1        0        1        0
56955 quvi                               	       0       10        0       10        0
56956 qv4l2                              	       0       25        1       24        0
56957 qvge                               	       0        2        0        2        0
56958 qvidcap                            	       0        1        0        1        0
56959 qweborf                            	       0        3        0        3        0
56960 qwertone                           	       0        3        0        3        0
56961 qwo                                	       0        1        0        1        0
56962 qxgedit                            	       0        4        0        4        0
56963 qxp2epub                           	       0        1        0        1        0
56964 qxp2odg                            	       0        2        0        2        0
56965 qxw                                	       0        8        0        8        0
56966 r-base                             	       0       76        0        0       76
56967 r-base-dev                         	       0      132        0        0      132
56968 r-bioc-all                         	       0        1        0        1        0
56969 r-bioc-annotate                    	       0        1        0        1        0
56970 r-bioc-annotationdbi               	       0        1        0        1        0
56971 r-bioc-beachmat                    	       0        1        0        1        0
56972 r-bioc-biobase                     	       0       34        1       33        0
56973 r-bioc-biocgenerics                	       0       34        1       33        0
56974 r-bioc-biocneighbors               	       0        1        0        1        0
56975 r-bioc-biocparallel                	       0        1        0        1        0
56976 r-bioc-biocsingular                	       0        1        0        1        0
56977 r-bioc-biocstyle                   	       0        3        0        3        0
56978 r-bioc-biocversion                 	       0       33        1       32        0
56979 r-bioc-biocviews                   	       0        1        0        1        0
56980 r-bioc-biostrings                  	       0        1        0        1        0
56981 r-bioc-bluster                     	       0        1        0        1        0
56982 r-bioc-complexheatmap              	       0        1        0        1        0
56983 r-bioc-consensusclusterplus        	       0        1        0        1        0
56984 r-bioc-degreport                   	       0        1        0        1        0
56985 r-bioc-delayedarray                	       0        1        0        1        0
56986 r-bioc-delayedmatrixstats          	       0        1        0        1        0
56987 r-bioc-deseq2                      	       0        1        0        1        0
56988 r-bioc-edger                       	       0        1        0        1        0
56989 r-bioc-genefilter                  	       0        1        0        1        0
56990 r-bioc-geneplotter                 	       0        1        0        1        0
56991 r-bioc-genomeinfodb                	       0        1        0        1        0
56992 r-bioc-genomeinfodbdata            	       0        1        0        1        0
56993 r-bioc-genomicalignments           	       0        1        0        1        0
56994 r-bioc-genomicranges               	       0        1        0        1        0
56995 r-bioc-glmgampoi                   	       0        1        0        1        0
56996 r-bioc-go.db                       	       0        1        0        1        0
56997 r-bioc-graph                       	       0        6        0        6        0
56998 r-bioc-hdf5array                   	       0        1        0        1        0
56999 r-bioc-hsmmsinglecell              	       0        1        0        1        0
57000 r-bioc-iranges                     	       0        1        0        1        0
57001 r-bioc-limma                       	       0        1        0        1        0
57002 r-bioc-matrixgenerics              	       0        1        0        1        0
57003 r-bioc-monocle                     	       0        1        0        1        0
57004 r-bioc-org.hs.eg.db                	       0        1        0        1        0
57005 r-bioc-rbgl                        	       0        1        0        1        0
57006 r-bioc-rhdf5                       	       0        1        0        1        0
57007 r-bioc-rhdf5filters                	       0        1        0        1        0
57008 r-bioc-rhdf5lib                    	       0        1        0        1        0
57009 r-bioc-rhtslib                     	       0        1        0        1        0
57010 r-bioc-rsamtools                   	       0        1        0        1        0
57011 r-bioc-s4vectors                   	       0        1        0        1        0
57012 r-bioc-scran                       	       0        1        0        1        0
57013 r-bioc-scuttle                     	       0        1        0        1        0
57014 r-bioc-shortread                   	       0        1        0        1        0
57015 r-bioc-singlecellexperiment        	       0        1        0        1        0
57016 r-bioc-sparsematrixstats           	       0        1        0        1        0
57017 r-bioc-summarizedexperiment        	       0        1        0        1        0
57018 r-bioc-tximport                    	       0        1        0        1        0
57019 r-bioc-xvector                     	       0        1        0        1        0
57020 r-bioc-zlibbioc                    	       0        1        0        1        0
57021 r-cran-abind                       	       0       37        1       36        0
57022 r-cran-actuar                      	       0        8        0        8        0
57023 r-cran-ade4                        	       0        2        0        2        0
57024 r-cran-adegraphics                 	       0        1        0        1        0
57025 r-cran-admisc                      	       0       32        1       31        0
57026 r-cran-aer                         	       0       35        1       34        0
57027 r-cran-afex                        	       0        7        0        7        0
57028 r-cran-airr                        	       0        1        0        1        0
57029 r-cran-amelia                      	       0        6        0        6        0
57030 r-cran-amore                       	       0        3        0        3        0
57031 r-cran-ape                         	       0        9        0        9        0
57032 r-cran-areal                       	       0        4        0        4        0
57033 r-cran-arm                         	       0       11        0       11        0
57034 r-cran-askpass                     	       0       42        2       40        0
57035 r-cran-assertthat                  	       0       40        3       37        0
57036 r-cran-av                          	       0       32        1       31        0
57037 r-cran-backports                   	       0       39        2       37        0
57038 r-cran-base64enc                   	       0       41        1       40        0
57039 r-cran-base64url                   	       0        4        0        4        0
57040 r-cran-batchjobs                   	       0        5        0        5        0
57041 r-cran-batchtools                  	       0        4        0        4        0
57042 r-cran-bayesfactor                 	       0        8        0        8        0
57043 r-cran-bayesfm                     	       0        6        0        6        0
57044 r-cran-bayesm                      	       0        2        0        2        0
57045 r-cran-bayesplot                   	       0        8        0        8        0
57046 r-cran-bayestestr                  	       0        6        0        6        0
57047 r-cran-bbmisc                      	       0        6        0        6        0
57048 r-cran-bbmle                       	       0        7        0        7        0
57049 r-cran-bdsmatrix                   	       0       34        1       33        0
57050 r-cran-beeswarm                    	       0        7        0        7        0
57051 r-cran-bench                       	       0       33        1       32        0
57052 r-cran-bh                          	       0       36        1       35        0
57053 r-cran-biasedurn                   	       0        6        0        6        0
57054 r-cran-bibtex                      	       0        4        0        4        0
57055 r-cran-bindr                       	       0        4        0        4        0
57056 r-cran-bindrcpp                    	       0        4        0        4        0
57057 r-cran-biocmanager                 	       0       34        1       33        0
57058 r-cran-bit                         	       0       39        1       38        0
57059 r-cran-bitops                      	       0       37        1       36        0
57060 r-cran-blme                        	       0        6        0        6        0
57061 r-cran-blob                        	       0       39        1       38        0
57062 r-cran-blockmodeling               	       0        1        0        1        0
57063 r-cran-bms                         	       0        1        0        1        0
57064 r-cran-bold                        	       0        1        0        1        0
57065 r-cran-bookdown                    	       0       34        3       31        0
57066 r-cran-bradleyterry2               	       0        1        0        1        0
57067 r-cran-brew                        	       0       38        1       37        0
57068 r-cran-brglm                       	       0        1        0        1        0
57069 r-cran-brglm2                      	       0        5        0        5        0
57070 r-cran-bridgesampling              	       0        8        0        8        0
57071 r-cran-brio                        	       0       38        1       37        0
57072 r-cran-brms                        	       0        8        0        8        0
57073 r-cran-brobdingnag                 	       0        8        0        8        0
57074 r-cran-broom                       	       0       36        2       34        0
57075 r-cran-broom.helpers               	       0        5        0        5        0
57076 r-cran-broom.mixed                 	       0        8        0        8        0
57077 r-cran-ca                          	       0        6        0        6        0
57078 r-cran-cachem                      	       0       38        1       37        0
57079 r-cran-caic4                       	       0        6        0        6        0
57080 r-cran-cairo                       	       0       34        1       33        0
57081 r-cran-cairodevice                 	       0        1        0        1        0
57082 r-cran-callr                       	       0       38        1       37        0
57083 r-cran-car                         	       0       35        1       34        0
57084 r-cran-cardata                     	       0       35        1       34        0
57085 r-cran-caret                       	       0       36        1       35        0
57086 r-cran-catools                     	       0       35        1       34        0
57087 r-cran-cba                         	       0       34        1       33        0
57088 r-cran-cellranger                  	       0       35        2       33        0
57089 r-cran-checkmate                   	       0       37        1       36        0
57090 r-cran-chk                         	       0        6        0        6        0
57091 r-cran-chron                       	       0       36        1       35        0
57092 r-cran-circlize                    	       0        3        0        3        0
57093 r-cran-classint                    	       0       35        1       34        0
57094 r-cran-cliapp                      	       0       32        1       31        0
57095 r-cran-clipr                       	       0       38        1       37        0
57096 r-cran-clisymbols                  	       0        1        0        1        0
57097 r-cran-clubsandwich                	       0       33        1       32        0
57098 r-cran-clue                        	       0        7        0        7        0
57099 r-cran-cmdfun                      	       0        1        0        1        0
57100 r-cran-cmprsk                      	       0        5        0        5        0
57101 r-cran-coda                        	       0       18        0       18        0
57102 r-cran-coin                        	       0        6        0        6        0
57103 r-cran-collapse                    	       0       34        1       33        0
57104 r-cran-colorspace                  	       0       40        2       38        0
57105 r-cran-colourpicker                	       0        9        0        9        0
57106 r-cran-combinat                    	       0        3        0        3        0
57107 r-cran-commonmark                  	       0       41        1       40        0
57108 r-cran-conditionz                  	       0        1        0        1        0
57109 r-cran-conflicted                  	       0        5        0        5        0
57110 r-cran-conquer                     	       0       36        1       35        0
57111 r-cran-contfrac                    	       0        9        0        9        0
57112 r-cran-conting                     	       0        1        0        1        0
57113 r-cran-corpcor                     	       0        1        0        1        0
57114 r-cran-corrplot                    	       0        5        0        5        0
57115 r-cran-covr                        	       0       35        1       34        0
57116 r-cran-cowplot                     	       0        8        0        8        0
57117 r-cran-crayon                      	       0       43        2       41        0
57118 r-cran-credentials                 	       0       36        1       35        0
57119 r-cran-crosstalk                   	       0       36        3       33        0
57120 r-cran-crul                        	       0        7        0        7        0
57121 r-cran-ctmcd                       	       0        1        0        1        0
57122 r-cran-cubature                    	       0        2        0        2        0
57123 r-cran-cubelyr                     	       0       32        1       31        0
57124 r-cran-cutpointr                   	       0        1        0        1        0
57125 r-cran-cvar                        	       0        6        0        6        0
57126 r-cran-cvst                        	       0       35        1       34        0
57127 r-cran-cyclocomp                   	       0        5        0        5        0
57128 r-cran-datawizard                  	       0        6        0        6        0
57129 r-cran-date                        	       0        7        0        7        0
57130 r-cran-dbi                         	       0       39        2       37        0
57131 r-cran-dbitest                     	       0       36        1       35        0
57132 r-cran-dbplyr                      	       0       35        2       33        0
57133 r-cran-dbscan                      	       0        6        0        6        0
57134 r-cran-ddalpha                     	       0       33        1       32        0
57135 r-cran-ddrtree                     	       0        1        0        1        0
57136 r-cran-deal                        	       0        1        0        1        0
57137 r-cran-decor                       	       0       34        1       33        0
57138 r-cran-deldir                      	       0       35        1       34        0
57139 r-cran-dendextend                  	       0        6        0        6        0
57140 r-cran-densityclust                	       0        1        0        1        0
57141 r-cran-deoptim                     	       0        1        0        1        0
57142 r-cran-deoptimr                    	       0       35        1       34        0
57143 r-cran-deriv                       	       0        5        0        5        0
57144 r-cran-desc                        	       0       40        1       39        0
57145 r-cran-desolve                     	       0        8        0        8        0
57146 r-cran-devtools                    	       0       35        1       34        0
57147 r-cran-dfoptim                     	       0       33        1       32        0
57148 r-cran-diagnosismed                	       0        1        0        1        0
57149 r-cran-diagram                     	       0        7        0        7        0
57150 r-cran-dials                       	       0        3        0        3        0
57151 r-cran-dicedesign                  	       0        3        0        3        0
57152 r-cran-dichromat                   	       0        7        0        7        0
57153 r-cran-diffobj                     	       0       37        1       36        0
57154 r-cran-digest                      	       0       43        1       42        0
57155 r-cran-dimred                      	       0       33        1       32        0
57156 r-cran-diptest                     	       0        5        0        5        0
57157 r-cran-distributional              	       0        8        0        8        0
57158 r-cran-doby                        	       0        5        0        5        0
57159 r-cran-docopt                      	       0       34        1       33        0
57160 r-cran-domc                        	       0       35        1       34        0
57161 r-cran-doparallel                  	       0       35        1       34        0
57162 r-cran-dorng                       	       0        6        0        6        0
57163 r-cran-dotcall64                   	       0       34        1       33        0
57164 r-cran-downlit                     	       0       34        1       33        0
57165 r-cran-dplyr                       	       0       39        2       37        0
57166 r-cran-dqrng                       	       0        1        0        1        0
57167 r-cran-drr                         	       0       35        1       34        0
57168 r-cran-dt                          	       0       35        1       34        0
57169 r-cran-dtplyr                      	       0        9        0        9        0
57170 r-cran-dygraphs                    	       0       35        1       34        0
57171 r-cran-dynamictreecut              	       0        3        0        3        0
57172 r-cran-dynlm                       	       0       34        1       33        0
57173 r-cran-e1071                       	       0       36        1       35        0
57174 r-cran-eaf                         	       0        3        0        3        0
57175 r-cran-earth                       	       0       33        1       32        0
57176 r-cran-eco                         	       0        1        0        1        0
57177 r-cran-ecodist                     	       0        2        0        2        0
57178 r-cran-effects                     	       0        7        0        7        0
57179 r-cran-effectsize                  	       0        6        0        6        0
57180 r-cran-egg                         	       0        3        0        3        0
57181 r-cran-ellipse                     	       0       34        1       33        0
57182 r-cran-ellipsis                    	       0       41        2       39        0
57183 r-cran-elliptic                    	       0        8        0        8        0
57184 r-cran-emdbook                     	       0        6        0        6        0
57185 r-cran-emmeans                     	       0       10        0       10        0
57186 r-cran-emoa                        	       0        3        0        3        0
57187 r-cran-energy                      	       0       33        1       32        0
57188 r-cran-enrichwith                  	       0        5        0        5        0
57189 r-cran-epi                         	       0        5        0        5        0
57190 r-cran-epir                        	       0        6        0        6        0
57191 r-cran-epitools                    	       0        1        0        1        0
57192 r-cran-erm                         	       0        1        0        1        0
57193 r-cran-estimability                	       0       34        1       33        0
57194 r-cran-estimatr                    	       0        6        0        6        0
57195 r-cran-etm                         	       0        5        0        5        0
57196 r-cran-evaluate                    	       0       42        1       41        0
57197 r-cran-evd                         	       0       33        1       32        0
57198 r-cran-exactextractr               	       0        4        0        4        0
57199 r-cran-expint                      	       0        8        0        8        0
57200 r-cran-expm                        	       0       11        0       11        0
57201 r-cran-extradistr                  	       0        8        0        8        0
57202 r-cran-factoextra                  	       0        5        0        5        0
57203 r-cran-factominer                  	       0        6        0        6        0
57204 r-cran-fail                        	       0        1        0        1        0
57205 r-cran-fansi                       	       0       43        2       41        0
57206 r-cran-farver                      	       0       40        2       38        0
57207 r-cran-fasianoptions               	       0        1        0        1        0
57208 r-cran-fassets                     	       0        2        0        2        0
57209 r-cran-fastica                     	       0       35        1       34        0
57210 r-cran-fastmap                     	       0       39        1       38        0
57211 r-cran-fastmatch                   	       0       35        1       34        0
57212 r-cran-fauxpas                     	       0        5        0        5        0
57213 r-cran-fbasics                     	       0        8        1        7        0
57214 r-cran-fbonds                      	       0        1        0        1        0
57215 r-cran-fcopulae                    	       0        2        0        2        0
57216 r-cran-fexoticoptions              	       0        1        0        1        0
57217 r-cran-fextremes                   	       0        1        0        1        0
57218 r-cran-fgarch                      	       0        6        0        6        0
57219 r-cran-fields                      	       0       34        1       33        0
57220 r-cran-filehash                    	       0       36        1       35        0
57221 r-cran-filelock                    	       0        4        1        3        0
57222 r-cran-fimport                     	       0        1        0        1        0
57223 r-cran-findpython                  	       0        2        0        2        0
57224 r-cran-fit.models                  	       0        5        0        5        0
57225 r-cran-flashclust                  	       0        6        0        6        0
57226 r-cran-flexmix                     	       0        5        0        5        0
57227 r-cran-flextable                   	       0        6        0        6        0
57228 r-cran-fmultivar                   	       0        2        0        2        0
57229 r-cran-fnn                         	       0       34        1       33        0
57230 r-cran-fnonlinear                  	       0        2        0        2        0
57231 r-cran-fontawesome                 	       0       37        1       36        0
57232 r-cran-fontbitstreamvera           	       0       35        1       34        0
57233 r-cran-fontliberation              	       0       36        1       35        0
57234 r-cran-fontquiver                  	       0       35        1       34        0
57235 r-cran-foptions                    	       0        1        0        1        0
57236 r-cran-forcats                     	       0       35        2       33        0
57237 r-cran-foreach                     	       0       36        1       35        0
57238 r-cran-forecast                    	       0        6        0        6        0
57239 r-cran-formatr                     	       0        8        0        8        0
57240 r-cran-formattable                 	       0       31        1       30        0
57241 r-cran-formula                     	       0       36        1       35        0
57242 r-cran-fpc                         	       0        5        0        5        0
57243 r-cran-fportfolio                  	       0        2        0        2        0
57244 r-cran-fracdiff                    	       0        6        0        6        0
57245 r-cran-freetypeharfbuzz            	       0        4        0        4        0
57246 r-cran-fregression                 	       0        1        0        1        0
57247 r-cran-fs                          	       0       40        2       38        0
57248 r-cran-ftrading                    	       0        1        0        1        0
57249 r-cran-fts                         	       0       28        1       27        0
57250 r-cran-funitroots                  	       0        1        0        1        0
57251 r-cran-furrr                       	       0       34        1       33        0
57252 r-cran-futile.logger               	       0        7        0        7        0
57253 r-cran-futile.options              	       0        7        0        7        0
57254 r-cran-future                      	       0       37        1       36        0
57255 r-cran-future.apply                	       0       35        1       34        0
57256 r-cran-g.data                      	       0        1        0        1        0
57257 r-cran-gam                         	       0        7        0        7        0
57258 r-cran-gamm4                       	       0        8        0        8        0
57259 r-cran-gargle                      	       0        9        1        8        0
57260 r-cran-gbm                         	       0       10        0       10        0
57261 r-cran-gbrd                        	       0       33        1       32        0
57262 r-cran-gbutils                     	       0        6        0        6        0
57263 r-cran-gclus                       	       0        4        0        4        0
57264 r-cran-gdata                       	       0       36        1       35        0
57265 r-cran-gdtools                     	       0        9        0        9        0
57266 r-cran-gee                         	       0        7        0        7        0
57267 r-cran-geepack                     	       0       34        1       33        0
57268 r-cran-genabel                     	       0        1        0        1        0
57269 r-cran-genabel.data                	       0        1        0        1        0
57270 r-cran-generics                    	       0       38        2       36        0
57271 r-cran-genetics                    	       0        1        0        1        0
57272 r-cran-geoknife                    	       0       28        1       27        0
57273 r-cran-geometry                    	       0       35        1       34        0
57274 r-cran-gert                        	       0       36        1       35        0
57275 r-cran-getopt                      	       0        2        0        2        0
57276 r-cran-getoptlong                  	       0        2        0        2        0
57277 r-cran-gfonts                      	       0        6        1        5        0
57278 r-cran-ggalluvial                  	       0        3        0        3        0
57279 r-cran-ggally                      	       0        7        1        6        0
57280 r-cran-gganimate                   	       0       33        1       32        0
57281 r-cran-ggbeeswarm                  	       0        6        0        6        0
57282 r-cran-ggdendro                    	       0        2        0        2        0
57283 r-cran-ggeffects                   	       0        5        0        5        0
57284 r-cran-ggforce                     	       0        5        0        5        0
57285 r-cran-ggfortify                   	       0        8        0        8        0
57286 r-cran-ggplot.multistats           	       0        1        0        1        0
57287 r-cran-ggplot2                     	       0       39        2       37        0
57288 r-cran-ggpubr                      	       0        5        0        5        0
57289 r-cran-ggrepel                     	       0        7        0        7        0
57290 r-cran-ggridges                    	       0        9        1        8        0
57291 r-cran-ggsci                       	       0        5        0        5        0
57292 r-cran-ggsignif                    	       0        5        0        5        0
57293 r-cran-ggstats                     	       0        5        0        5        0
57294 r-cran-ggthemes                    	       0        6        0        6        0
57295 r-cran-gh                          	       0       37        1       36        0
57296 r-cran-git2r                       	       0        7        0        7        0
57297 r-cran-gitcreds                    	       0       36        1       35        0
57298 r-cran-glmmtmb                     	       0        8        0        8        0
57299 r-cran-glmnet                      	       0       10        0       10        0
57300 r-cran-globaloptions               	       0        4        0        4        0
57301 r-cran-globals                     	       0       37        1       36        0
57302 r-cran-glue                        	       0       44        2       42        0
57303 r-cran-gmaps                       	       0        1        0        1        0
57304 r-cran-gmm                         	       0        3        0        3        0
57305 r-cran-gmodels                     	       0        2        0        2        0
57306 r-cran-gmp                         	       0        6        0        6        0
57307 r-cran-gnm                         	       0        2        0        2        0
57308 r-cran-goftest                     	       0       35        1       34        0
57309 r-cran-googledrive                 	       0        9        1        8        0
57310 r-cran-googlesheets4               	       0        9        1        8        0
57311 r-cran-googlevis                   	       0        3        0        3        0
57312 r-cran-goplot                      	       0        1        0        1        0
57313 r-cran-gower                       	       0       36        1       35        0
57314 r-cran-gparotation                 	       0        8        0        8        0
57315 r-cran-gplots                      	       0       35        1       34        0
57316 r-cran-gregmisc                    	       0        1        0        1        0
57317 r-cran-gridbase                    	       0       32        1       31        0
57318 r-cran-gridextra                   	       0       37        2       35        0
57319 r-cran-gridgraphics                	       0        6        0        6        0
57320 r-cran-gridsvg                     	       0        5        0        5        0
57321 r-cran-gridtext                    	       0        1        0        1        0
57322 r-cran-gsl                         	       0       34        1       33        0
57323 r-cran-gss                         	       0        8        0        8        0
57324 r-cran-gstat                       	       0       32        1       31        0
57325 r-cran-gtable                      	       0       39        2       37        0
57326 r-cran-gtools                      	       0       36        1       35        0
57327 r-cran-gwidgets                    	       0        2        0        2        0
57328 r-cran-gwidgetstcltk               	       0        2        0        2        0
57329 r-cran-hardhat                     	       0       35        1       34        0
57330 r-cran-haven                       	       0       35        2       33        0
57331 r-cran-hdf5                        	       0        1        0        1        0
57332 r-cran-heatmaply                   	       0        3        0        3        0
57333 r-cran-here                        	       0       34        1       33        0
57334 r-cran-hexbin                      	       0       34        1       33        0
57335 r-cran-highr                       	       0       41        1       40        0
57336 r-cran-hmisc                       	       0       36        1       35        0
57337 r-cran-hms                         	       0       39        2       37        0
57338 r-cran-hsaur3                      	       0        9        0        9        0
57339 r-cran-htmltable                   	       0       37        1       36        0
57340 r-cran-htmltools                   	       0       41        1       40        0
57341 r-cran-htmlwidgets                 	       0       40        1       39        0
57342 r-cran-httpcode                    	       0        7        0        7        0
57343 r-cran-httptest2                   	       0        3        0        3        0
57344 r-cran-httpuv                      	       0       41        1       40        0
57345 r-cran-httr                        	       0       38        2       36        0
57346 r-cran-httr2                       	       0       35        1       34        0
57347 r-cran-hunspell                    	       0       33        1       32        0
57348 r-cran-hwriter                     	       0        2        0        2        0
57349 r-cran-hypergeo                    	       0        8        0        8        0
57350 r-cran-ids                         	       0        9        0        9        0
57351 r-cran-igraph                      	       0       35        1       34        0
57352 r-cran-ini                         	       0       37        1       36        0
57353 r-cran-inline                      	       0        9        0        9        0
57354 r-cran-insight                     	       0        7        0        7        0
57355 r-cran-intergraph                  	       0        5        0        5        0
57356 r-cran-interp                      	       0       35        1       34        0
57357 r-cran-intervals                   	       0       33        1       32        0
57358 r-cran-inum                        	       0        5        0        5        0
57359 r-cran-ipred                       	       0       36        1       35        0
57360 r-cran-irace                       	       0        3        0        3        0
57361 r-cran-irdisplay                   	       0        6        0        6        0
57362 r-cran-irkernel                    	       0        1        0        1        0
57363 r-cran-irlba                       	       0       35        1       34        0
57364 r-cran-iso                         	       0        2        0        2        0
57365 r-cran-isoband                     	       0       39        1       38        0
57366 r-cran-isocodes                    	       0        5        0        5        0
57367 r-cran-isoweek                     	       0        1        0        1        0
57368 r-cran-iterators                   	       0       36        1       35        0
57369 r-cran-itertools                   	       0        5        0        5        0
57370 r-cran-its                         	       0        1        0        1        0
57371 r-cran-janeaustenr                 	       0        4        0        4        0
57372 r-cran-jomo                        	       0        8        0        8        0
57373 r-cran-jpeg                        	       0       36        1       35        0
57374 r-cran-jquerylib                   	       0       37        1       36        0
57375 r-cran-kableextra                  	       0        5        0        5        0
57376 r-cran-kernlab                     	       0       35        1       34        0
57377 r-cran-keyring                     	       0        4        1        3        0
57378 r-cran-kmi                         	       0        5        0        5        0
57379 r-cran-knitr                       	       0       41        1       40        0
57380 r-cran-ks                          	       0       34        1       33        0
57381 r-cran-labeling                    	       0       40        2       38        0
57382 r-cran-labelled                    	       0        5        0        5        0
57383 r-cran-lambda.r                    	       0        7        0        7        0
57384 r-cran-lamw                        	       0        1        0        1        0
57385 r-cran-lasso2                      	       0        1        0        1        0
57386 r-cran-later                       	       0       41        1       40        0
57387 r-cran-latticeextra                	       0       36        1       35        0
57388 r-cran-lava                        	       0       36        1       35        0
57389 r-cran-lavaan                      	       0       10        0       10        0
57390 r-cran-lavasearch2                 	       0       32        1       31        0
57391 r-cran-lazyeval                    	       0       39        1       38        0
57392 r-cran-lbfgsb3c                    	       0       33        1       32        0
57393 r-cran-leaps                       	       0        6        0        6        0
57394 r-cran-learnbayes                  	       0        8        0        8        0
57395 r-cran-lexrankr                    	       0        1        0        1        0
57396 r-cran-lhs                         	       0        4        0        4        0
57397 r-cran-libcoin                     	       0        6        0        6        0
57398 r-cran-lifecycle                   	       0       42        2       40        0
57399 r-cran-linprog                     	       0       35        1       34        0
57400 r-cran-lintr                       	       0        5        0        5        0
57401 r-cran-listenv                     	       0       37        1       36        0
57402 r-cran-lmertest                    	       0       34        1       33        0
57403 r-cran-lmtest                      	       0       35        1       34        0
57404 r-cran-lobstr                      	       0       32        1       31        0
57405 r-cran-locfit                      	       0       35        1       34        0
57406 r-cran-logcondens                  	       0       33        1       32        0
57407 r-cran-logging                     	       0        1        0        1        0
57408 r-cran-logspline                   	       0        7        0        7        0
57409 r-cran-loo                         	       0        8        0        8        0
57410 r-cran-lpsolve                     	       0       35        1       34        0
57411 r-cran-lsd                         	       0        1        0        1        0
57412 r-cran-lsmeans                     	       0        6        0        6        0
57413 r-cran-lubridate                   	       0       39        2       37        0
57414 r-cran-luminescence                	       0        1        0        1        0
57415 r-cran-lwgeom                      	       0       33        1       32        0
57416 r-cran-magic                       	       0       35        1       34        0
57417 r-cran-magick                      	       0       33        1       32        0
57418 r-cran-magrittr                    	       0       44        2       42        0
57419 r-cran-manipulatewidgets           	       0        1        0        1        0
57420 r-cran-mapdata                     	       0       34        1       33        0
57421 r-cran-mapproj                     	       0       35        1       34        0
57422 r-cran-maps                        	       0       35        1       34        0
57423 r-cran-maptools                    	       0       31        1       30        0
57424 r-cran-maptree                     	       0        2        0        2        0
57425 r-cran-marginaleffects             	       0        5        0        5        0
57426 r-cran-markdown                    	       0       41        1       40        0
57427 r-cran-matching                    	       0        1        0        1        0
57428 r-cran-matchit                     	       0        6        0        6        0
57429 r-cran-mathjaxr                    	       0       33        1       32        0
57430 r-cran-matlab                      	       0        1        0        1        0
57431 r-cran-matrixcalc                  	       0        4        0        4        0
57432 r-cran-matrixmodels                	       0       37        1       36        0
57433 r-cran-matrixstats                 	       0       36        1       35        0
57434 r-cran-maxlik                      	       0       34        1       33        0
57435 r-cran-mclogit                     	       0        5        0        5        0
57436 r-cran-mclust                      	       0       36        1       35        0
57437 r-cran-mcmc                        	       0        3        0        3        0
57438 r-cran-mcmcpack                    	       0        3        0        3        0
57439 r-cran-mda                         	       0       34        1       33        0
57440 r-cran-memisc                      	       0        6        0        6        0
57441 r-cran-memoise                     	       0       40        1       39        0
57442 r-cran-mertools                    	       0        6        0        6        0
57443 r-cran-metadat                     	       0       33        1       32        0
57444 r-cran-metafor                     	       0       33        1       32        0
57445 r-cran-mets                        	       0       34        1       33        0
57446 r-cran-mfilter                     	       0        1        0        1        0
57447 r-cran-mi                          	       0        5        0        5        0
57448 r-cran-mice                        	       0        8        0        8        0
57449 r-cran-microbenchmark              	       0        5        0        5        0
57450 r-cran-mime                        	       0       41        1       40        0
57451 r-cran-miniui                      	       0       34        1       33        0
57452 r-cran-minpack.lm                  	       0        1        0        1        0
57453 r-cran-minqa                       	       0       36        1       35        0
57454 r-cran-misc3d                      	       0       34        1       33        0
57455 r-cran-misctools                   	       0       34        1       33        0
57456 r-cran-mitml                       	       0        8        0        8        0
57457 r-cran-mitools                     	       0        8        0        8        0
57458 r-cran-mlbench                     	       0       35        1       34        0
57459 r-cran-mlmetrics                   	       0       34        1       33        0
57460 r-cran-mlmrev                      	       0       33        1       32        0
57461 r-cran-mlr                         	       0        3        0        3        0
57462 r-cran-mnormt                      	       0       12        0       12        0
57463 r-cran-mnp                         	       0        2        0        2        0
57464 r-cran-mockery                     	       0       36        1       35        0
57465 r-cran-mockr                       	       0       37        1       36        0
57466 r-cran-modeest                     	       0        1        0        1        0
57467 r-cran-modeldata                   	       0       34        1       33        0
57468 r-cran-modelmetrics                	       0       36        1       35        0
57469 r-cran-modelr                      	       0       10        1        9        0
57470 r-cran-modeltools                  	       0        6        0        6        0
57471 r-cran-msm                         	       0       10        0       10        0
57472 r-cran-multcomp                    	       0       34        1       33        0
57473 r-cran-multcompview                	       0        7        0        7        0
57474 r-cran-multicool                   	       0       34        1       33        0
57475 r-cran-multicore                   	       0        5        0        5        0
57476 r-cran-munsell                     	       0       40        2       38        0
57477 r-cran-mvnormtest                  	       0        2        0        2        0
57478 r-cran-mvtnorm                     	       0       36        1       35        0
57479 r-cran-nanoarrow                   	       0        5        0        5        0
57480 r-cran-nanotime                    	       0        4        0        4        0
57481 r-cran-natserv                     	       0        1        0        1        0
57482 r-cran-ncdf4                       	       0       33        1       32        0
57483 r-cran-ncdfgeom                    	       0       32        1       31        0
57484 r-cran-ncmeta                      	       0       32        1       31        0
57485 r-cran-network                     	       0       10        0       10        0
57486 r-cran-nfactors                    	       0        6        0        6        0
57487 r-cran-nleqslv                     	       0       35        1       34        0
57488 r-cran-nloptr                      	       0       36        1       35        0
57489 r-cran-nmf                         	       0       31        1       30        0
57490 r-cran-nnls                        	       0        5        0        5        0
57491 r-cran-nortest                     	       0        2        0        2        0
57492 r-cran-nozzle.r1                   	       0        1        0        1        0
57493 r-cran-numderiv                    	       0       36        1       35        0
57494 r-cran-officer                     	       0        6        0        6        0
57495 r-cran-openxlsx                    	       0       35        2       33        0
57496 r-cran-optimparallel               	       0        5        0        5        0
57497 r-cran-optimx                      	       0       33        1       32        0
57498 r-cran-optparse                    	       0        1        0        1        0
57499 r-cran-ordinal                     	       0        8        0        8        0
57500 r-cran-packrat                     	       0       33        1       32        0
57501 r-cran-palmerpenguins              	       0       34        1       33        0
57502 r-cran-pammtools                   	       0        4        0        4        0
57503 r-cran-pan                         	       0        9        0        9        0
57504 r-cran-parallelly                  	       0       37        1       36        0
57505 r-cran-parallelmap                 	       0        3        0        3        0
57506 r-cran-parameters                  	       0        6        0        6        0
57507 r-cran-paramhelpers                	       0        3        0        3        0
57508 r-cran-party                       	       0        5        0        5        0
57509 r-cran-partykit                    	       0        5        0        5        0
57510 r-cran-patchwork                   	       0        6        0        6        0
57511 r-cran-patrick                     	       0        5        0        5        0
57512 r-cran-pbapply                     	       0       36        1       35        0
57513 r-cran-pbdzmq                      	       0        1        0        1        0
57514 r-cran-pbivnorm                    	       0       10        0       10        0
57515 r-cran-pbkrtest                    	       0       35        1       34        0
57516 r-cran-pbmcapply                   	       0        5        0        5        0
57517 r-cran-pcapp                       	       0       33        1       32        0
57518 r-cran-pcict                       	       0       32        1       31        0
57519 r-cran-pec                         	       0        5        0        5        0
57520 r-cran-performance                 	       0        6        0        6        0
57521 r-cran-permute                     	       0       33        1       32        0
57522 r-cran-phangorn                    	       0        9        0        9        0
57523 r-cran-pheatmap                    	       0        1        0        1        0
57524 r-cran-pillar                      	       0       43        2       41        0
57525 r-cran-pingr                       	       0        5        0        5        0
57526 r-cran-pixmap                      	       0        2        0        2        0
57527 r-cran-pkgbuild                    	       0       38        1       37        0
57528 r-cran-pkgconfig                   	       0       43        2       41        0
57529 r-cran-pkgdown                     	       0       33        1       32        0
57530 r-cran-pkgkitten                   	       0       44        1       43        0
57531 r-cran-pkgload                     	       0       39        1       38        0
57532 r-cran-pkgmaker                    	       0        2        0        2        0
57533 r-cran-pki                         	       0        4        0        4        0
57534 r-cran-plm                         	       0       33        1       32        0
57535 r-cran-plogr                       	       0       37        1       36        0
57536 r-cran-plot3d                      	       0       33        1       32        0
57537 r-cran-plotly                      	       0        4        0        4        0
57538 r-cran-plotmo                      	       0       34        1       33        0
57539 r-cran-plotrix                     	       0       35        1       34        0
57540 r-cran-pls                         	       0       35        1       34        0
57541 r-cran-plumber                     	       0       33        1       32        0
57542 r-cran-plyr                        	       0       37        2       35        0
57543 r-cran-png                         	       0       40        1       39        0
57544 r-cran-polspline                   	       0        7        0        7        0
57545 r-cran-polyclip                    	       0       35        1       34        0
57546 r-cran-polycor                     	       0       32        1       31        0
57547 r-cran-polynom                     	       0        5        0        5        0
57548 r-cran-poorman                     	       0        6        0        6        0
57549 r-cran-popepi                      	       0        4        0        4        0
57550 r-cran-posterior                   	       0        8        0        8        0
57551 r-cran-prabclus                    	       0        5        0        5        0
57552 r-cran-pracma                      	       0       33        1       32        0
57553 r-cran-praise                      	       0       39        1       38        0
57554 r-cran-prediction                  	       0        6        0        6        0
57555 r-cran-prettycode                  	       0       32        1       31        0
57556 r-cran-prettyr                     	       0        1        0        1        0
57557 r-cran-prettyunits                 	       0       40        1       39        0
57558 r-cran-prevalence                  	       0        1        0        1        0
57559 r-cran-proc                        	       0       36        1       35        0
57560 r-cran-prodlim                     	       0       36        1       35        0
57561 r-cran-profilemodel                	       0        1        0        1        0
57562 r-cran-profmem                     	       0       33        1       32        0
57563 r-cran-profvis                     	       0       33        1       32        0
57564 r-cran-progress                    	       0       38        1       37        0
57565 r-cran-progressr                   	       0       35        1       34        0
57566 r-cran-projpred                    	       0        8        0        8        0
57567 r-cran-promises                    	       0       41        1       40        0
57568 r-cran-proto                       	       0        1        0        1        0
57569 r-cran-proxy                       	       0       36        1       35        0
57570 r-cran-pscl                        	       0       34        1       33        0
57571 r-cran-psy                         	       0        1        0        1        0
57572 r-cran-psych                       	       0        9        0        9        0
57573 r-cran-psychotools                 	       0        3        0        3        0
57574 r-cran-psychotree                  	       0        2        0        2        0
57575 r-cran-psychtools                  	       0        8        0        8        0
57576 r-cran-publish                     	       0        5        0        5        0
57577 r-cran-purrrlyr                    	       0        4        0        4        0
57578 r-cran-pvclust                     	       0        7        0        7        0
57579 r-cran-pwr                         	       0        5        0        5        0
57580 r-cran-pwt                         	       0        1        0        1        0
57581 r-cran-pwt8                        	       0        1        0        1        0
57582 r-cran-qap                         	       0        4        0        4        0
57583 r-cran-qlcmatrix                   	       0        1        0        1        0
57584 r-cran-qpdf                        	       0        9        0        9        0
57585 r-cran-qtl                         	       0        1        0        1        0
57586 r-cran-quadprog                    	       0       36        2       34        0
57587 r-cran-quantmod                    	       0       36        1       35        0
57588 r-cran-quantreg                    	       0       36        1       35        0
57589 r-cran-quickjsr                    	       0        4        0        4        0
57590 r-cran-qvcalc                      	       0        2        0        2        0
57591 r-cran-r.cache                     	       0       34        1       33        0
57592 r-cran-r.devices                   	       0       32        1       31        0
57593 r-cran-r.methodss3                 	       0       38        1       37        0
57594 r-cran-r.oo                        	       0       38        1       37        0
57595 r-cran-r.rsp                       	       0       33        1       32        0
57596 r-cran-r2html                      	       0        1        0        1        0
57597 r-cran-ragg                        	       0       33        1       32        0
57598 r-cran-randomfields                	       0       28        1       27        0
57599 r-cran-randomfieldsutils           	       0       29        1       28        0
57600 r-cran-randomforest                	       0       10        0       10        0
57601 r-cran-ranger                      	       0        9        0        9        0
57602 r-cran-rann                        	       0       34        1       33        0
57603 r-cran-rappdirs                    	       0       41        2       39        0
57604 r-cran-raschsampler                	       0        1        0        1        0
57605 r-cran-raster                      	       0       33        1       32        0
57606 r-cran-ratelimitr                  	       0        2        0        2        0
57607 r-cran-rcarb                       	       0        1        0        1        0
57608 r-cran-rcmdcheck                   	       0       35        1       34        0
57609 r-cran-rcmdr                       	       0        2        0        2        0
57610 r-cran-rcmdrmisc                   	       0        2        0        2        0
57611 r-cran-rcolorbrewer                	       0       40        2       38        0
57612 r-cran-rcpp                        	       0       44        2       42        0
57613 r-cran-rcpparmadillo               	       0       36        1       35        0
57614 r-cran-rcppcctz                    	       0        4        0        4        0
57615 r-cran-rcppdate                    	       0        4        0        4        0
57616 r-cran-rcppeigen                   	       0       37        1       36        0
57617 r-cran-rcpphnsw                    	       0        1        0        1        0
57618 r-cran-rcppml                      	       0        3        0        3        0
57619 r-cran-rcppparallel                	       0        9        0        9        0
57620 r-cran-rcppprogress                	       0       35        1       34        0
57621 r-cran-rcpproll                    	       0       35        1       34        0
57622 r-cran-rcpptoml                    	       0       33        1       32        0
57623 r-cran-rcsdp                       	       0        5        0        5        0
57624 r-cran-rcurl                       	       0       35        1       34        0
57625 r-cran-rdbnomics                   	       0        1        0        1        0
57626 r-cran-readr                       	       0       35        2       33        0
57627 r-cran-readstata13                 	       0        3        0        3        0
57628 r-cran-recipes                     	       0       36        1       35        0
57629 r-cran-registry                    	       0       34        1       33        0
57630 r-cran-relimp                      	       0        3        0        3        0
57631 r-cran-relsurv                     	       0        4        0        4        0
57632 r-cran-rematch                     	       0       35        1       34        0
57633 r-cran-rematch2                    	       0       37        1       36        0
57634 r-cran-remotes                     	       0       36        1       35        0
57635 r-cran-rentrez                     	       0        1        0        1        0
57636 r-cran-renv                        	       0        5        0        5        0
57637 r-cran-repr                        	       0        6        0        6        0
57638 r-cran-reprex                      	       0        9        1        8        0
57639 r-cran-reshape                     	       0       10        1        9        0
57640 r-cran-reshape2                    	       0       36        2       34        0
57641 r-cran-reticulate                  	       0       33        1       32        0
57642 r-cran-rex                         	       0       37        1       36        0
57643 r-cran-rgdal                       	       0       29        1       28        0
57644 r-cran-rgenoud                     	       0        1        0        1        0
57645 r-cran-rggobi                      	       0        1        0        1        0
57646 r-cran-rgl                         	       0       34        1       33        0
57647 r-cran-rglpk                       	       0        2        0        2        0
57648 r-cran-rgtk2                       	       0        2        0        2        0
57649 r-cran-rhandsontable               	       0        1        0        1        0
57650 r-cran-rhpcblasctl                 	       0        5        0        5        0
57651 r-cran-rinside                     	       0        1        0        1        0
57652 r-cran-rio                         	       0       35        1       34        0
57653 r-cran-riskregression              	       0        5        0        5        0
57654 r-cran-ritis                       	       0        1        0        1        0
57655 r-cran-rjags                       	       0        2        0        2        0
57656 r-cran-rjava                       	       0        4        0        4        0
57657 r-cran-rjson                       	       0        5        0        5        0
57658 r-cran-rlang                       	       0       44        2       42        0
57659 r-cran-rlrsim                      	       0        6        0        6        0
57660 r-cran-rlumshiny                   	       0        1        0        1        0
57661 r-cran-rmariadb                    	       0        2        0        2        0
57662 r-cran-rmarkdown                   	       0       41        4       37        0
57663 r-cran-rmpfr                       	       0        5        0        5        0
57664 r-cran-rmpi                        	       0        7        0        7        0
57665 r-cran-rms                         	       0        6        0        6        0
57666 r-cran-rmutil                      	       0        1        0        1        0
57667 r-cran-rmysql                      	       0       36        1       35        0
57668 r-cran-rncl                        	       0        1        0        1        0
57669 r-cran-rneos                       	       0        2        0        2        0
57670 r-cran-rnetcdf                     	       0       33        1       32        0
57671 r-cran-rngtools                    	       0       35        1       34        0
57672 r-cran-robumeta                    	       0       33        1       32        0
57673 r-cran-robust                      	       0        5        0        5        0
57674 r-cran-robustbase                  	       0       35        1       34        0
57675 r-cran-rocr                        	       0       35        1       34        0
57676 r-cran-rodbc                       	       0        3        0        3        0
57677 r-cran-rose                        	       0       33        1       32        0
57678 r-cran-roxygen2                    	       0       36        1       35        0
57679 r-cran-rpostgresql                 	       0       34        1       33        0
57680 r-cran-rprojroot                   	       0       40        1       39        0
57681 r-cran-rprotobuf                   	       0        1        0        1        0
57682 r-cran-rquantlib                   	       0        1        0        1        0
57683 r-cran-rrcov                       	       0        5        0        5        0
57684 r-cran-rredlist                    	       0        1        0        1        0
57685 r-cran-rsample                     	       0       34        1       33        0
57686 r-cran-rsclient                    	       0        1        0        1        0
57687 r-cran-rsconnect                   	       0       33        1       32        0
57688 r-cran-rsdmx                       	       0        1        0        1        0
57689 r-cran-rserve                      	       0        1        0        1        0
57690 r-cran-rsolnp                      	       0        6        0        6        0
57691 r-cran-rspectra                    	       0       34        1       33        0
57692 r-cran-rsprng                      	       0        1        0        1        0
57693 r-cran-rsqlite                     	       0       39        1       38        0
57694 r-cran-rstan                       	       0        8        0        8        0
57695 r-cran-rstanarm                    	       0        8        0        8        0
57696 r-cran-rstantools                  	       0        8        0        8        0
57697 r-cran-rstatix                     	       0        5        0        5        0
57698 r-cran-rstudioapi                  	       0       40        1       39        0
57699 r-cran-rsvd                        	       0        1        0        1        0
57700 r-cran-rsvg                        	       0       34        1       33        0
57701 r-cran-rsymphony                   	       0        2        0        2        0
57702 r-cran-rtdists                     	       0        8        0        8        0
57703 r-cran-rtsne                       	       0       33        1       32        0
57704 r-cran-runit                       	       0       37        1       36        0
57705 r-cran-rversions                   	       0       34        1       33        0
57706 r-cran-rvest                       	       0       10        1        9        0
57707 r-cran-rwiener                     	       0        8        0        8        0
57708 r-cran-s2                          	       0       35        1       34        0
57709 r-cran-sandwich                    	       0       35        1       34        0
57710 r-cran-sass                        	       0       37        1       36        0
57711 r-cran-scales                      	       0       40        2       38        0
57712 r-cran-scatterd3                   	       0        1        0        1        0
57713 r-cran-scatterplot3d               	       0       34        1       33        0
57714 r-cran-segmented                   	       0        1        0        1        0
57715 r-cran-selectr                     	       0       35        1       34        0
57716 r-cran-sem                         	       0        5        0        5        0
57717 r-cran-sendmailr                   	       0        5        0        5        0
57718 r-cran-seriation                   	       0        4        0        4        0
57719 r-cran-sessioninfo                 	       0       35        1       34        0
57720 r-cran-setrng                      	       0       34        1       33        0
57721 r-cran-sf                          	       0       35        1       34        0
57722 r-cran-sfsmisc                     	       0       34        1       33        0
57723 r-cran-sftime                      	       0       32        1       31        0
57724 r-cran-shape                       	       0       12        0       12        0
57725 r-cran-shiny                       	       0       41        4       36        1
57726 r-cran-shinybs                     	       0        1        0        1        0
57727 r-cran-shinydashboard              	       0        1        0        1        0
57728 r-cran-shinyjs                     	       0       10        0       10        0
57729 r-cran-shinystan                   	       0        8        0        8        0
57730 r-cran-shinythemes                 	       0        8        0        8        0
57731 r-cran-simplermarkdown             	       0        2        0        2        0
57732 r-cran-sitmo                       	       0        1        0        1        0
57733 r-cran-sjlabelled                  	       0        4        0        4        0
57734 r-cran-sjmisc                      	       0        4        0        4        0
57735 r-cran-sjplot                      	       0        1        0        1        0
57736 r-cran-sjstats                     	       0        4        0        4        0
57737 r-cran-slam                        	       0        3        0        3        0
57738 r-cran-slider                      	       0       34        1       33        0
57739 r-cran-sm                          	       0       34        1       33        0
57740 r-cran-smcfcs                      	       0        4        0        4        0
57741 r-cran-sn                          	       0        4        0        4        0
57742 r-cran-sna                         	       0        9        0        9        0
57743 r-cran-snakecase                   	       0        5        0        5        0
57744 r-cran-snow                        	       0        2        0        2        0
57745 r-cran-snowballc                   	       0        3        0        3        0
57746 r-cran-sodium                      	       0       38        2       36        0
57747 r-cran-solrium                     	       0        1        0        1        0
57748 r-cran-sourcetools                 	       0       41        1       40        0
57749 r-cran-spacetime                   	       0       33        1       32        0
57750 r-cran-spam                        	       0       34        1       33        0
57751 r-cran-sparsem                     	       0       36        1       35        0
57752 r-cran-sparsesvd                   	       0        1        0        1        0
57753 r-cran-spatialreg                  	       0        5        0        5        0
57754 r-cran-spatstat                    	       0       35        1       34        0
57755 r-cran-spatstat.core               	       0       29        1       28        0
57756 r-cran-spatstat.data               	       0       35        1       34        0
57757 r-cran-spatstat.explore            	       0       35        1       34        0
57758 r-cran-spatstat.geom               	       0       35        1       34        0
57759 r-cran-spatstat.linnet             	       0       35        1       34        0
57760 r-cran-spatstat.model              	       0       35        1       34        0
57761 r-cran-spatstat.random             	       0       35        1       34        0
57762 r-cran-spatstat.sparse             	       0       35        1       34        0
57763 r-cran-spatstat.utils              	       0       35        1       34        0
57764 r-cran-spdata                      	       0       34        1       33        0
57765 r-cran-spdep                       	       0       34        1       33        0
57766 r-cran-spelling                    	       0       33        1       32        0
57767 r-cran-splines2                    	       0        8        0        8        0
57768 r-cran-squarem                     	       0       36        1       35        0
57769 r-cran-stable                      	       0        1        0        1        0
57770 r-cran-stabledist                  	       0        8        0        8        0
57771 r-cran-stablelearner               	       0        2        0        2        0
57772 r-cran-stanheaders                 	       0        8        0        8        0
57773 r-cran-statip                      	       0        1        0        1        0
57774 r-cran-statmod                     	       0       36        1       35        0
57775 r-cran-statnet.common              	       0       10        0       10        0
57776 r-cran-stringdist                  	       0        2        0        2        0
57777 r-cran-stringi                     	       0       42        2       40        0
57778 r-cran-stringr                     	       0       42        2       40        0
57779 r-cran-strucchange                 	       0       34        1       33        0
57780 r-cran-suppdists                   	       0        1        0        1        0
57781 r-cran-survey                      	       0        8        0        8        0
57782 r-cran-svglite                     	       0       33        1       32        0
57783 r-cran-swagger                     	       0       33        1       32        0
57784 r-cran-sys                         	       0       42        2       40        0
57785 r-cran-systemfit                   	       0       33        1       32        0
57786 r-cran-systemfonts                 	       0       34        1       33        0
57787 r-cran-tcltk2                      	       0        4        0        4        0
57788 r-cran-teachingdemos               	       0       33        1       32        0
57789 r-cran-tensor                      	       0       35        1       34        0
57790 r-cran-tensora                     	       0        8        0        8        0
57791 r-cran-terra                       	       0       34        1       33        0
57792 r-cran-testit                      	       0       37        1       36        0
57793 r-cran-testthat                    	       0       39        1       38        0
57794 r-cran-textshaping                 	       0       33        1       32        0
57795 r-cran-tgp                         	       0        2        0        2        0
57796 r-cran-th.data                     	       0       34        1       33        0
57797 r-cran-thematic                    	       0       33        1       32        0
57798 r-cran-themis                      	       0       32        1       31        0
57799 r-cran-threejs                     	       0        8        0        8        0
57800 r-cran-tibble                      	       0       42        2       40        0
57801 r-cran-tidyr                       	       0       37        2       35        0
57802 r-cran-tidyselect                  	       0       40        2       38        0
57803 r-cran-tidytext                    	       0        1        0        1        0
57804 r-cran-tidyverse                   	       0        9        1        8        0
57805 r-cran-tiff                        	       0        1        0        1        0
57806 r-cran-tikzdevice                  	       0       36        1       35        0
57807 r-cran-timechange                  	       0       36        2       34        0
57808 r-cran-timedate                    	       0       37        2       35        0
57809 r-cran-timereg                     	       0       35        1       34        0
57810 r-cran-timeseries                  	       0       37        2       35        0
57811 r-cran-tinytest                    	       0       33        1       32        0
57812 r-cran-tkrplot                     	       0        1        0        1        0
57813 r-cran-tmb                         	       0        8        0        8        0
57814 r-cran-tmvnsim                     	       0        4        0        4        0
57815 r-cran-tokenizers                  	       0        1        0        1        0
57816 r-cran-transformr                  	       0       33        1       32        0
57817 r-cran-triebeard                   	       0        7        0        7        0
57818 r-cran-truncdist                   	       0       33        1       32        0
57819 r-cran-truncnorm                   	       0        9        0        9        0
57820 r-cran-tseries                     	       0       36        1       35        0
57821 r-cran-tsp                         	       0        4        0        4        0
57822 r-cran-ttr                         	       0       36        2       34        0
57823 r-cran-tufte                       	       0       35        1       34        0
57824 r-cran-tweenr                      	       0       33        1       32        0
57825 r-cran-tzdb                        	       0       35        2       33        0
57826 r-cran-ucminf                      	       0       33        1       32        0
57827 r-cran-unitizer                    	       0        5        0        5        0
57828 r-cran-units                       	       0       35        1       34        0
57829 r-cran-urca                        	       0       34        1       33        0
57830 r-cran-urlchecker                  	       0       33        1       32        0
57831 r-cran-urltools                    	       0        7        0        7        0
57832 r-cran-uroot                       	       0        6        0        6        0
57833 r-cran-usethis                     	       0       37        1       36        0
57834 r-cran-utf8                        	       0       43        2       41        0
57835 r-cran-uuid                        	       0       12        0       12        0
57836 r-cran-v8                          	       0        6        0        6        0
57837 r-cran-vcd                         	       0        6        0        6        0
57838 r-cran-vcdextra                    	       0        1        0        1        0
57839 r-cran-vcr                         	       0        5        0        5        0
57840 r-cran-vctrs                       	       0       42        2       40        0
57841 r-cran-vdiffr                      	       0       34        1       33        0
57842 r-cran-vegan                       	       0       33        1       32        0
57843 r-cran-venndiagram                 	       0        5        0        5        0
57844 r-cran-vgam                        	       0        8        0        8        0
57845 r-cran-vioplot                     	       0        5        0        5        0
57846 r-cran-vipor                       	       0        6        0        6        0
57847 r-cran-viridis                     	       0       36        1       35        0
57848 r-cran-viridislite                 	       0       40        2       38        0
57849 r-cran-vroom                       	       0       35        1       34        0
57850 r-cran-warp                        	       0       34        1       33        0
57851 r-cran-wdi                         	       0        1        0        1        0
57852 r-cran-webfakes                    	       0       33        1       32        0
57853 r-cran-webmockr                    	       0        5        0        5        0
57854 r-cran-webshot                     	       0        9        0        9        0
57855 r-cran-webutils                    	       0       36        1       35        0
57856 r-cran-whisker                     	       0       37        1       36        0
57857 r-cran-whoami                      	       0        5        0        5        0
57858 r-cran-wikidataqueryservicer       	       0        2        0        2        0
57859 r-cran-wikidatar                   	       0        2        0        2        0
57860 r-cran-wikipedir                   	       0        2        0        2        0
57861 r-cran-wikitaxa                    	       0        1        0        1        0
57862 r-cran-withr                       	       0       44        2       42        0
57863 r-cran-wk                          	       0       35        1       34        0
57864 r-cran-wkutils                     	       0       28        1       27        0
57865 r-cran-wordcloud                   	       0        1        0        1        0
57866 r-cran-worrms                      	       0        1        0        1        0
57867 r-cran-writexl                     	       0        6        0        6        0
57868 r-cran-xml                         	       0       37        1       36        0
57869 r-cran-xml2                        	       0       38        2       36        0
57870 r-cran-xmlparsedata                	       0        5        0        5        0
57871 r-cran-xopen                       	       0       35        1       34        0
57872 r-cran-xtable                      	       0       41        2       39        0
57873 r-cran-xts                         	       0       36        2       34        0
57874 r-cran-yaml                        	       0       44        2       42        0
57875 r-cran-zeallot                     	       0        4        0        4        0
57876 r-cran-zelig                       	       0        1        0        1        0
57877 r-cran-zip                         	       0       37        2       35        0
57878 r-doc-html                         	       0      140        0        0      140
57879 r-doc-info                         	       0       15        0        0       15
57880 r-doc-pdf                          	       0       10        0        0       10
57881 r-omegahat-xmlrpc                  	       0        1        0        1        0
57882 r-other-iwrlars                    	       0        1        0        1        0
57883 r-other-mott-happy.hbrem           	       0        1        0        1        0
57884 r-recommended                      	       0      139        0        0      139
57885 r5rs-doc                           	       0        1        0        0        1
57886 r8168-dkms                         	       0       13        0       13        0
57887 rabbit                             	       0        1        0        1        0
57888 rabbitmq-server                    	       0        8        2        6        0
57889 rabbitvcs-cli                      	       0        5        0        5        0
57890 rabbitvcs-core                     	       0        6        0        6        0
57891 rabbitvcs-gedit                    	       0        1        0        1        0
57892 rabbitvcs-nautilus                 	       0        1        0        0        1
57893 rabbitvcs-thunar                   	       0        1        0        0        1
57894 racket                             	       0       17        0       17        0
57895 racket-common                      	       0       17        0       17        0
57896 racket-doc                         	       0       18        0        0       18
57897 racoon                             	       0        1        0        1        0
57898 radare2-cutter                     	       0        1        0        1        0
57899 radeontool                         	       0       61        0       61        0
57900 radiance-materials                 	       0        1        0        0        1
57901 radiant                            	       0        1        0        1        0
57902 radicale                           	       0       13        1       12        0
57903 radioclk                           	       0        2        0        2        0
57904 radiotray                          	       0        1        0        1        0
57905 radium-compressor                  	       0        2        0        2        0
57906 radon                              	       0        1        0        1        0
57907 radvd                              	       0       25        2       23        0
57908 radvdump                           	       0       11        0       11        0
57909 rafkill                            	       0        4        0        4        0
57910 rafkill-data                       	       0        4        0        0        4
57911 ragel                              	       0       11        1       10        0
57912 raidutils                          	       0        1        0        0        1
57913 rails                              	       0        6        0        0        6
57914 raincat                            	       0       17        0       17        0
57915 raincat-data                       	       0       17        0        0       17
57916 rainlendar2-lite                   	       0        1        1        0        0
57917 rainlendar2-pro                    	       0        1        1        0        0
57918 rainloop                           	       0        1        0        1        0
57919 rake-compiler                      	       0        3        0        3        0
57920 raku                               	       0        7        0        0        7
57921 raku-file-find                     	       0        7        0        7        0
57922 raku-file-which                    	       0        7        0        7        0
57923 raku-getopt-long                   	       0        8        0        8        0
57924 raku-hash-merge                    	       0        7        0        7        0
57925 raku-json-class                    	       0        7        0        7        0
57926 raku-json-fast                     	       0        7        0        7        0
57927 raku-json-marshal                  	       0        7        0        7        0
57928 raku-json-name                     	       0        7        0        7        0
57929 raku-json-optin                    	       0        7        0        7        0
57930 raku-json-unmarshal                	       0        7        0        7        0
57931 raku-librarycheck                  	       0        7        0        7        0
57932 raku-license-spdx                  	       0        7        0        7        0
57933 raku-log                           	       0        7        0        7        0
57934 raku-meta6                         	       0        7        0        7        0
57935 raku-readline                      	       0        7        0        7        0
57936 raku-tap-harness                   	       0        8        0        8        0
57937 raku-test-meta                     	       0        7        0        7        0
57938 raku-uri                           	       0        7        0        7        0
57939 raku-zef                           	       0        7        0        7        0
57940 rakudo                             	       0       10        0       10        0
57941 rambo-k                            	       0        2        0        2        0
57942 ramond                             	       0        1        0        1        0
57943 rampler                            	       0        1        0        1        0
57944 rancid                             	       0        1        0        1        0
57945 randmac                            	       0        3        0        3        0
57946 randomplay                         	       0        1        0        1        0
57947 randomsound                        	       0        3        1        2        0
57948 randtype                           	       0        5        0        5        0
57949 rapid-photo-downloader             	       0        4        0        4        0
57950 rapid-spring                       	       0        1        0        1        0
57951 rapiddisk                          	       0        1        0        1        0
57952 rapidjson-dev                      	       0       16        0       16        0
57953 rapidjson-doc                      	       0        1        0        0        1
57954 rapidsvn                           	       0       12        0       12        0
57955 rapmap                             	       0        1        0        1        0
57956 raptor-utils                       	       0        1        0        1        0
57957 rar-2.80                           	       0        1        0        1        0
57958 rarpd                              	       0        3        1        2        0
57959 rasdaemon                          	       0        8        0        8        0
57960 rasmol                             	       0        4        0        4        0
57961 rasmol-doc                         	       0        1        0        0        1
57962 raspberrypi-bootloader             	       0        3        0        0        3
57963 raspberrypi-kernel                 	       0        2        0        1        1
57964 raspberrypi-kernel-headers         	       0        1        0        1        0
57965 raspberrypi-net-mods               	       0        1        0        1        0
57966 raspell                            	       0        6        0        6        0
57967 raspi-config                       	       0        2        0        2        0
57968 raspi-firmware                     	       0        8        0        8        0
57969 raspi-gpio                         	       0        1        0        1        0
57970 rasqal-utils                       	       0        5        0        5        0
57971 raster3d                           	       0        2        0        2        0
57972 raster3d-doc                       	       0        1        0        0        1
57973 rasterio                           	       0        1        0        1        0
57974 rasterlite2-bin                    	       0        4        0        4        0
57975 rasterview                         	       0        3        0        3        0
57976 ratbagd                            	       0       10        0       10        0
57977 rate4site                          	       0        1        0        1        0
57978 ratfor                             	       0        2        0        2        0
57979 rationalplan-single                	       0        1        0        1        0
57980 ratmenu                            	       0        3        0        3        0
57981 ratpoison                          	       0       19        2       17        0
57982 ratt                               	       0        3        0        3        0
57983 rav1e                              	       0        2        0        2        0
57984 rawdog                             	       0        1        0        1        0
57985 rawtherapee                        	       0       54        2       52        0
57986 rawtherapee-data                   	       0       54        0        0       54
57987 rawtran                            	       0        3        0        3        0
57988 rawtran-doc                        	       0        2        0        0        2
57989 raxml                              	       0        4        0        4        0
57990 ray                                	       0        1        0        1        0
57991 razercfg                           	       0        3        1        2        0
57992 razergenie                         	       0        1        0        1        0
57993 razor                              	       0       23        7       16        0
57994 rbd-fuse                           	       0        1        0        1        0
57995 rbd-nbd                            	       0        1        0        1        0
57996 rbdoom3bfg                         	       0        6        0        6        0
57997 rblcheck                           	       0        6        0        6        0
57998 rbldnsd                            	       0        1        0        1        0
57999 rc                                 	       0        9        0        9        0
58000 rccl                               	       0        3        0        0        3
58001 rccl-dev                           	       0        3        0        3        0
58002 rcconf                             	       0       62        3       59        0
58003 rcm                                	       0        3        0        3        0
58004 rcon                               	       0        1        0        1        0
58005 rcs-dbgsym                         	       0        1        0        1        0
58006 rcse                               	       0        1        0        1        0
58007 rcse-build-deps                    	       0        1        0        0        1
58008 rdd                                	       0        2        0        2        0
58009 rdfind                             	       0       47        2       45        0
58010 rdiff                              	       0       26        0       26        0
58011 rdiff-backup-fs                    	       0       12        0       12        0
58012 rdist                              	       0        3        0        3        0
58013 rdma-core                          	       0        7        1        6        0
58014 rdmacm-utils                       	       0        1        0        1        0
58015 rdnssd                             	       0       22        6       16        0
58016 rdopng                             	       0        1        0        1        0
58017 rdopt                              	       0        1        0        1        0
58018 rdp-alignment                      	       0        1        0        1        0
58019 rdp-classifier                     	       0        1        0        1        0
58020 rdp-readseq                        	       0        1        0        1        0
58021 rdtool-elisp                       	       0        2        0        2        0
58022 rdup                               	       0        2        0        2        0
58023 readahead-fedora                   	       0        3        1        2        0
58024 readline-common                    	       0     4149        0        0     4149
58025 readline-doc                       	       0       26        0        0       26
58026 readpst                            	       0        5        0        0        5
58027 readseq                            	       0        3        0        3        0
58028 readstat                           	       0       11        0       11        0
58029 realpath                           	       0       16        0        0       16
58030 realplayer                         	       0        1        0        1        0
58031 realtek-r8125-dkms                 	       0        1        1        0        0
58032 realtimebattle                     	       0        1        0        1        0
58033 realtimebattle-common              	       0        1        0        1        0
58034 realvnc-vnc-server                 	       0        4        0        4        0
58035 realvnc-vnc-viewer                 	       0       22        0       22        0
58036 rear                               	       0        6        0        6        0
58037 rear-doc                           	       0        2        0        0        2
58038 rebar                              	       0        1        0        1        0
58039 reboot-notifier                    	       0        1        0        0        1
58040 recap                              	       0        3        0        3        0
58041 recite                             	       0        1        0        1        0
58042 reclass                            	       0        1        0        1        0
58043 reclass-doc                        	       0        1        0        0        1
58044 recode-doc                         	       0        5        0        0        5
58045 recoll                             	       0       35        0        0       35
58046 recommonmark-scripts               	       0        1        0        1        0
58047 recon-ng                           	       0        2        0        2        0
58048 recover                            	       0        2        0        2        0
58049 recoverdm                          	       0       32        2       30        0
58050 recoverjpeg                        	       0       36        0       36        0
58051 recutils                           	       0       13        0       13        0
58052 redeclipse                         	       0       10        0       10        0
58053 redeclipse-common                  	       0       10        0        0       10
58054 redeclipse-data                    	       0       10        0        0       10
58055 redeclipse-server                  	       0        1        0        1        0
58056 redet                              	       0        1        0        1        0
58057 redir                              	       0       16        1       15        0
58058 redis                              	       0       42        0        0       42
58059 redis-redisearch                   	       0        1        0        1        0
58060 redis-sentinel                     	       0        2        0        2        0
58061 redland-bindings-build-deps        	       0        1        0        0        1
58062 redland-utils                      	       0        6        0        6        0
58063 redmine                            	       0        5        0        5        0
58064 redmine-mysql                      	       0        2        0        0        2
58065 redmine-pgsql                      	       0        1        0        0        1
58066 redmine-sqlite                     	       0        3        0        0        3
58067 rednotebook                        	       0        9        1        8        0
58068 redsea                             	       0        1        0        1        0
58069 redshift-build-deps                	       0        1        0        0        1
58070 redshift-dbgsym                    	       0        1        0        1        0
58071 redshift-qt                        	       0        2        0        2        0
58072 redsocks                           	       0        3        1        2        0
58073 refblas3                           	       0        1        0        0        1
58074 referenceassemblies-pcl            	       0       22        0       22        0
58075 refind                             	       0       38        0       38        0
58076 reflex                             	       0        1        0        1        0
58077 refurb                             	       0        1        0        1        0
58078 regexxer                           	       0       10        0       10        0
58079 regina-normal                      	       0        1        0        1        0
58080 regina-normal-doc                  	       0        1        0        0        1
58081 regina-rexx                        	       0        4        0        4        0
58082 regionset                          	       0       25        0       25        0
58083 reglookup                          	       0       27        0       27        0
58084 reglookup-doc                      	       0       22        0        0       22
58085 regolith-compositor-picom-glx      	       0        1        0        0        1
58086 regolith-control-center            	       0        1        0        1        0
58087 regolith-default-settings          	       0        1        0        0        1
58088 regolith-desktop                   	       0        1        0        0        1
58089 regolith-ftue                      	       0        1        0        1        0
58090 regolith-i3-compositor             	       0        1        0        0        1
58091 regolith-i3-control-center-regolith	       0        1        0        0        1
58092 regolith-i3-dbus-activation        	       0        1        0        0        1
58093 regolith-i3-default-style          	       0        1        0        0        1
58094 regolith-i3-gaps                   	       0        1        0        0        1
58095 regolith-i3-i3xrocks               	       0        1        0        0        1
58096 regolith-i3-ilia                   	       0        1        0        0        1
58097 regolith-i3-root-config            	       0        1        0        0        1
58098 regolith-i3-session                	       0        1        0        0        1
58099 regolith-i3-unclutter              	       0        1        0        0        1
58100 regolith-i3xrocks-config           	       0        1        0        0        1
58101 regolith-look-ayu                  	       0        1        0        0        1
58102 regolith-look-ayu-dark             	       0        1        0        0        1
58103 regolith-look-ayu-mirage           	       0        1        0        0        1
58104 regolith-look-blackhole            	       0        1        0        0        1
58105 regolith-look-default              	       0        1        0        0        1
58106 regolith-look-default-loader       	       0        1        0        0        1
58107 regolith-look-dracula              	       0        1        0        0        1
58108 regolith-look-gruvbox              	       0        1        0        0        1
58109 regolith-look-i3-default           	       0        1        0        0        1
58110 regolith-look-lascaille            	       0        1        0        0        1
58111 regolith-look-nevil                	       0        1        0        0        1
58112 regolith-look-nord                 	       0        1        0        0        1
58113 regolith-look-solarized-dark       	       0        1        0        0        1
58114 regolith-rofication                	       0        1        0        1        0
58115 regolith-session-common            	       0        1        0        1        0
58116 regolith-session-flashback         	       0        1        0        1        0
58117 regolith-session-flashback-ext     	       0        1        0        0        1
58118 regolith-unclutter-xfixes          	       0        1        0        1        0
58119 regolith-wm-base-launchers         	       0        1        0        0        1
58120 regolith-wm-config                 	       0        1        0        1        0
58121 regolith-wm-ftue                   	       0        1        0        0        1
58122 regolith-wm-navigation             	       0        1        0        0        1
58123 regolith-wm-networkmanager         	       0        1        0        0        1
58124 regolith-wm-resize                 	       0        1        0        0        1
58125 regolith-wm-rofication-ilia        	       0        1        0        0        1
58126 regolith-wm-swap-focus             	       0        1        0        0        1
58127 regolith-wm-workspace-config       	       0        1        0        0        1
58128 regripper                          	       0       16        0       16        0
58129 rehex                              	       0        1        0        1        0
58130 rekor                              	       0        2        0        2        0
58131 relational-cli                     	       0        1        0        1        0
58132 relevation                         	       0        1        0        1        0
58133 remake                             	       0        5        0        5        0
58134 remaster-iso                       	       0        2        0        2        0
58135 remmina-common                     	       0      470        9       19      442
58136 remmina-dev                        	       0        2        0        2        0
58137 remmina-plugin-exec                	       0       15        0        0       15
58138 remmina-plugin-gnome               	       0        1        0        1        0
58139 remmina-plugin-kiosk               	       0        9        1        8        0
58140 remmina-plugin-kwallet             	       0        8        1        0        7
58141 remmina-plugin-nx                  	       0        2        0        1        1
58142 remmina-plugin-python              	       0        5        0        0        5
58143 remmina-plugin-rdp                 	       0      459       11       20      428
58144 remmina-plugin-secret              	       0      451       10       21      420
58145 remmina-plugin-spice               	       0       12        2        4        6
58146 remmina-plugin-telepathy           	       0        1        0        1        0
58147 remmina-plugin-vnc                 	       0      460       11       22      427
58148 remmina-plugin-www                 	       0       14        0        0       14
58149 remmina-plugin-x2go                	       0       13        1        0       12
58150 remmina-plugin-xdmcp               	       0        4        0        1        3
58151 remote-logon-config-agent          	       0        2        0        2        0
58152 remote-logon-service               	       0        2        0        0        2
58153 remotetrx                          	       0        2        0        2        0
58154 rename-flac                        	       0        5        0        5        0
58155 renattach                          	       0        1        0        1        0
58156 render-dev                         	       0        1        0        0        1
58157 renderdoc                          	       0        3        0        0        3
58158 renderdoccmd                       	       0        3        0        3        0
58159 reniced                            	       0        2        0        2        0
58160 renpy                              	       0        5        0        5        0
58161 renpy-demo                         	       0        1        0        1        0
58162 renpy-doc                          	       0        1        0        0        1
58163 renpy-thequestion                  	       0        5        0        5        0
58164 renrot                             	       0        6        0        6        0
58165 rep                                	       0       20        1       19        0
58166 rep-doc                            	       0        6        0        0        6
58167 rep-gtk                            	       0       19        1       18        0
58168 repetier-host                      	       0        2        0        2        0
58169 rephrase                           	       0       23        0       23        0
58170 replaygain                         	       0        1        0        1        0
58171 repmgr                             	       0        1        0        0        1
58172 repmgr-common                      	       0        1        1        0        0
58173 repo-manager-loc-os                	       0        1        0        1        0
58174 repopush                           	       0        1        0        1        0
58175 reportbug-gtk                      	       0       10        0        0       10
58176 reportbug-ng                       	       0        2        0        2        0
58177 reposurgeon                        	       0        3        0        3        0
58178 repowerd                           	       0        1        0        1        0
58179 repowerd-data                      	       0        1        0        0        1
58180 repowerd-tools                     	       0        1        0        1        0
58181 reprepro                           	       0       24        1       23        0
58182 reprof                             	       0        1        0        1        0
58183 reptyr                             	       0       30        0       30        0
58184 request-tracker4                   	       0        1        0        1        0
58185 rerun                              	       0        1        0        1        0
58186 resample                           	       0        1        0        1        0
58187 rescuezilla                        	       0        1        0        1        0
58188 reserialize                        	       0        3        0        3        0
58189 residualvm                         	       0        1        0        1        0
58190 residualvm-data                    	       0        1        0        0        1
58191 resilio-sync                       	       0        4        0        4        0
58192 resource-agents                    	       0        8        1        7        0
58193 restartd                           	       0        1        0        1        0
58194 resvg                              	       0        1        0        1        0
58195 retro-runner                       	       0        5        0        0        5
58196 retroarch                          	       0       26        1       25        0
58197 retroarch-assets                   	       0       26        0        0       26
58198 retroshare                         	       0        1        0        1        0
58199 retry                              	       0       12        1       11        0
58200 reuse                              	       0        1        0        1        0
58201 revelation                         	       0        3        0        3        0
58202 revolt                             	       0        6        0        6        0
58203 rex                                	       0        1        0        1        0
58204 rexical                            	       0        1        0        1        0
58205 rexima                             	       0        3        0        3        0
58206 rfcdiff                            	       0        5        0        5        0
58207 rfdump                             	       0       12        0       12        0
58208 rfkill-dbgsym                      	       0        1        0        1        0
58209 rgbpaint                           	       0        7        0        7        0
58210 rgxg                               	       0        1        0        1        0
58211 rhash                              	       0       33        0       33        0
58212 rhinote                            	       0        4        0        4        0
58213 rhvoice                            	       0        3        0        3        0
58214 rhvoice-english                    	       0        2        0        0        2
58215 rhvoice-russian                    	       0        3        0        0        3
58216 rhythmbox-data                     	       0      412        1        0      411
58217 rhythmbox-doc                      	       0        6        0        0        6
58218 rhythmbox-plugin-cdrecorder        	       0      355        0        1      354
58219 rhythmbox-plugins                  	       0      394        1       14      379
58220 ri                                 	       0       25        0        0       25
58221 ri-li                              	       0       20        2       18        0
58222 ri-li-data                         	       0       20        0        0       20
58223 ri1.8                              	       0        1        0        0        1
58224 ri1.9.1                            	       0        1        0        0        1
58225 ric                                	       0        1        0        1        0
58226 ricks-amdgpu-utils                 	       0       20        0        3       17
58227 rickslab-gpu-utils                 	       0       25        1       24        0
58228 ricochet                           	       0        2        0        2        0
58229 ricochet-im                        	       0        1        0        1        0
58230 riece                              	       0        1        0        1        0
58231 riemann-c-client                   	       0        1        0        1        0
58232 rien-certbot-manualdns-alwaysdata  	       0        1        0        1        0
58233 rien-common                        	       0       15        0       15        0
58234 rien-desktop                       	       0        4        0        4        0
58235 rien-fetch                         	       0        1        0        1        0
58236 rien-fpm                           	       0        2        0        0        2
58237 rien-guest                         	       0        9        0        0        9
58238 rien-host                          	       0        2        1        1        0
58239 rien-keyring                       	       0       15        0        0       15
58240 rien-knot-resolver                 	       0        5        0        1        4
58241 rien-mx                            	       0        2        1        1        0
58242 rien-nginx                         	       0        5        0        0        5
58243 rien-torrent                       	       0        1        0        1        0
58244 rien-webmail                       	       0        2        0        0        2
58245 rifiuti                            	       0       25        0       25        0
58246 rifiuti2                           	       0       25        0       25        0
58247 rig                                	       0        8        0        8        0
58248 rime-data-bopomofo                 	       0        3        0        0        3
58249 rime-data-cangjie5                 	       0        4        0        0        4
58250 rime-data-luna-pinyin              	       0        4        0        1        3
58251 rime-data-stroke                   	       0        4        0        0        4
58252 rime-data-terra-pinyin             	       0        4        0        0        4
58253 rime-essay                         	       0        4        0        0        4
58254 rime-prelude                       	       0        4        0        0        4
58255 rinetd                             	       0        2        0        2        0
58256 ring                               	       0        2        0        1        1
58257 ring-daemon                        	       0        2        0        1        1
58258 rinse                              	       0       10        0       10        0
58259 riot-desktop                       	       0        1        0        0        1
58260 riot-nightly                       	       0        1        0        0        1
58261 ripit                              	       0       16        0       16        0
58262 ripmake                            	       0        1        0        1        0
58263 ripmime                            	       0        5        2        3        0
58264 ripole                             	       0       11        2        9        0
58265 ripper                             	       0        3        0        3        0
58266 ripperx                            	       0       56        0       56        0
58267 riseup-vpn                         	       0        6        0        6        0
58268 rkdeveloptool                      	       0        1        1        0        0
58269 rkflashkit                         	       0        1        0        1        0
58270 rkward-data                        	       0       14        0        0       14
58271 rlfe                               	       0        4        0        4        0
58272 rlinetd                            	       0        4        0        4        0
58273 rlinux                             	       0        1        0        1        0
58274 rlpr                               	       0        4        0        4        0
58275 rlvm                               	       0        4        0        4        0
58276 rmagic                             	       0        2        1        1        0
58277 rmail                              	       0        2        0        2        0
58278 rman                               	       0        1        0        1        0
58279 rmligs-german                      	       0        2        0        2        0
58280 rmlint                             	       0       24        0       24        0
58281 rmlint-doc                         	       0        3        0        0        3
58282 rmlint-gui                         	       0       18        0       18        0
58283 rmw                                	       0        1        0        1        0
58284 rna-star                           	       0        1        0        1        0
58285 rnahybrid                          	       0        1        0        1        0
58286 rnbyc                              	       0        1        0        1        0
58287 rng-tools                          	       0       23        0        4       19
58288 rng-tools-debian                   	       0       34        4       30        0
58289 rng-tools5                         	       0       10        1        9        0
58290 rng-tools6                         	       0        1        0        1        0
58291 rnnoise                            	       0        1        0        1        0
58292 rnp                                	       0        1        0        1        0
58293 roam-research                      	       0        1        0        1        0
58294 roarclients                        	       0        1        0        1        0
58295 roarplaylistd                      	       0        1        0        1        0
58296 roarplaylistd-codechelper-gst      	       0        1        0        1        0
58297 roarplaylistd-tools                	       0        1        0        1        0
58298 robocode                           	       0        4        0        4        0
58299 robocode-doc                       	       0        1        0        0        1
58300 robocut                            	       0        1        0        1        0
58301 robotfindskitten                   	       0        6        0        6        0
58302 robotour                           	       0        1        0        1        0
58303 rocalution                         	       0        3        0        0        3
58304 rocalution-dev                     	       0        3        0        3        0
58305 rocblas                            	       0        4        0        4        0
58306 rocblas-dev                        	       0        4        0        4        0
58307 roccat-tools                       	       0        1        0        1        0
58308 rocfft                             	       0        3        0        3        0
58309 rocfft-dev                         	       0        3        0        3        0
58310 rockdodger                         	       0        2        0        2        0
58311 rocksdb-tools                      	       0        1        0        1        0
58312 rocksndiamonds                     	       0       10        0       10        0
58313 rocm                               	       0        1        0        0        1
58314 rocm-bandwidth-test                	       0        1        0        1        0
58315 rocm-clang-ocl                     	       0        1        0        1        0
58316 rocm-cmake                         	       0        9        0        0        9
58317 rocm-core-asan                     	       0        1        0        1        0
58318 rocm-core5.0.2                     	       0        1        0        1        0
58319 rocm-dbgapi                        	       0        3        0        3        0
58320 rocm-debug-agent                   	       0        3        0        0        3
58321 rocm-developer-tools               	       0        1        0        0        1
58322 rocm-device-libs                   	       0       12        0       12        0
58323 rocm-gdb                           	       0        1        0        1        0
58324 rocm-hip-libraries                 	       0        3        0        0        3
58325 rocm-hip-runtime                   	       0        5        0        0        5
58326 rocm-hip-runtime-dev               	       0        3        0        0        3
58327 rocm-hip-sdk                       	       0        3        0        0        3
58328 rocm-khronos-cts                   	       0        1        0        1        0
58329 rocm-language-runtime              	       0       14        0        0       14
58330 rocm-llvm                          	       0        5        0        5        0
58331 rocm-llvm-dev                      	       0        1        0        1        0
58332 rocm-ml-libraries                  	       0        3        0        0        3
58333 rocm-ml-sdk                        	       0        2        0        0        2
58334 rocm-ocl-icd                       	       0        6        0        3        3
58335 rocm-ocltst                        	       0        1        0        0        1
58336 rocm-opencl-dev                    	       0        7        0        7        0
58337 rocm-opencl-icd                    	       0        1        0        0        1
58338 rocm-opencl-icd-loader             	       0        6        1        3        2
58339 rocm-opencl-runtime                	       0       14        0        0       14
58340 rocm-opencl-sdk                    	       0        5        0        0        5
58341 rocm-openmp-sdk                    	       0        2        0        0        2
58342 rocm-smi                           	       0       10        0        9        1
58343 rocm-smi-lib                       	       0        4        0        4        0
58344 rocm-utils                         	       0        3        0        0        3
58345 rocm-validation-suite              	       0        2        0        2        0
58346 rocprim-dev                        	       0        3        0        3        0
58347 rocprofiler                        	       0        1        0        1        0
58348 rocprofiler-dev                    	       0        2        0        1        1
58349 rocprofiler-plugins                	       0        1        0        1        0
58350 rocprofiler-sdk                    	       0        1        0        1        0
58351 rocprofiler-sdk-roctx              	       0        1        0        1        0
58352 rocrand                            	       0        4        0        0        4
58353 rocrand-dev                        	       0        4        0        4        0
58354 rocsolver                          	       0        4        0        0        4
58355 rocsolver-dev                      	       0        4        0        4        0
58356 rocsparse                          	       0        4        0        0        4
58357 rocsparse-dev                      	       0        4        0        4        0
58358 rocthrust-dev                      	       0        3        0        3        0
58359 roctracer                          	       0        2        0        2        0
58360 roctracer-dev                      	       0        3        0        2        1
58361 rocwmma-dev                        	       0        3        0        1        2
58362 roffit                             	       0        2        0        2        0
58363 roger                              	       0        1        0        1        0
58364 roger-plugins-evolution            	       0        1        0        0        1
58365 roger-plugins-fritzfon             	       0        1        0        0        1
58366 roger-plugins-gtknotify            	       0        1        0        0        1
58367 roger-plugins-indicator            	       0        1        0        0        1
58368 roger-plugins-notification         	       0        1        0        0        1
58369 roger-plugins-statusicon           	       0        1        0        0        1
58370 roger-router                       	       0        1        0        1        0
58371 roger-router-cli                   	       0        1        0        1        0
58372 rolisteam                          	       0        1        0        1        0
58373 rolisteam-build-deps               	       0        1        0        0        1
58374 rolldice                           	       0        7        0        7        0
58375 rolldice-build-deps                	       0        1        0        0        1
58376 rolldice-dbgsym                    	       0        1        0        1        0
58377 rollup                             	       0        2        0        2        0
58378 rolo                               	       0        6        0        6        0
58379 ronn                               	       0        5        0        5        0
58380 roodi                              	       0        1        0        1        0
58381 root-tail                          	       0       10        0       10        0
58382 rootlesskit                        	       0       11        0       11        0
58383 ros-actionlib-msgs                 	       0        1        0        0        1
58384 ros-base                           	       0        1        0        0        1
58385 ros-base-dev                       	       0        1        0        0        1
58386 ros-base-lisp-dev                  	       0        1        0        0        1
58387 ros-base-python-dev                	       0        1        0        0        1
58388 ros-cmake-modules                  	       0        1        0        0        1
58389 ros-core                           	       0        1        0        0        1
58390 ros-core-dev                       	       0        1        0        0        1
58391 ros-core-lisp-dev                  	       0        1        0        0        1
58392 ros-core-python-dev                	       0        1        0        0        1
58393 ros-core-rosbuild-dev              	       0        1        0        0        1
58394 ros-desktop                        	       0        1        0        0        1
58395 ros-desktop-full                   	       0        1        0        0        1
58396 ros-desktop-full-lisp-dev          	       0        1        0        0        1
58397 ros-desktop-lisp-dev               	       0        1        0        0        1
58398 ros-diagnostic-msgs                	       0        1        0        0        1
58399 ros-environment                    	       0        1        0        0        1
58400 ros-geometry-msgs                  	       0        1        0        0        1
58401 ros-groovy-eigen-stl-containers    	       0        1        0        0        1
58402 ros-map-msgs                       	       0        1        0        0        1
58403 ros-message-generation             	       0        2        0        0        2
58404 ros-message-runtime                	       0        3        0        0        3
58405 ros-mk                             	       0        1        0        0        1
58406 ros-move-base-msgs                 	       0        1        0        0        1
58407 ros-nav-msgs                       	       0        1        0        0        1
58408 ros-pcl-msgs                       	       0        1        0        0        1
58409 ros-perception                     	       0        1        0        0        1
58410 ros-perception-lisp-dev            	       0        1        0        0        1
58411 ros-robot                          	       0        1        0        0        1
58412 ros-robot-dev                      	       0        1        0        0        1
58413 ros-robot-lisp-dev                 	       0        1        0        0        1
58414 ros-robot-python-dev               	       0        1        0        0        1
58415 ros-roscpp-msg                     	       0        1        0        0        1
58416 ros-rosgraph-msgs                  	       0        1        0        0        1
58417 ros-sensor-msgs                    	       0        1        0        0        1
58418 ros-shape-msgs                     	       0        1        0        0        1
58419 ros-simulators                     	       0        1        0        0        1
58420 ros-simulators-dev                 	       0        1        0        0        1
58421 ros-simulators-lisp-dev            	       0        1        0        0        1
58422 ros-simulators-python-dev          	       0        1        0        0        1
58423 ros-std-msgs                       	       0        1        0        0        1
58424 ros-std-srvs                       	       0        1        0        0        1
58425 ros-stereo-msgs                    	       0        1        0        0        1
58426 ros-tf2-msgs                       	       0        1        0        0        1
58427 ros-topic-tools-srvs               	       0        1        0        0        1
58428 ros-trajectory-msgs                	       0        1        0        0        1
58429 ros-visualization-msgs             	       0        1        0        0        1
58430 ros-viz                            	       0        1        0        0        1
58431 rosbash                            	       0        2        0        2        0
58432 rosbuild                           	       0        1        0        1        0
58433 roslang                            	       0        1        0        0        1
58434 roslisp                            	       0        2        0        0        2
58435 rosnix-firewall                    	       0        2        0        0        2
58436 rosout                             	       0        1        0        1        0
58437 rospack-tools                      	       0        3        0        3        0
58438 rotix                              	       0        4        0        4        0
58439 rott                               	       0        6        0        6        0
58440 rotter                             	       0        7        0        7        0
58441 roundcube                          	       0       18        0        0       18
58442 roundcube-core                     	       0       18        2       16        0
58443 roundcube-mysql                    	       0       19        0        0       19
58444 roundcube-plugin-authres-status    	       0        1        0        1        0
58445 roundcube-plugin-compose-addressbook	       0        1        0        1        0
58446 roundcube-plugin-contextmenu       	       0        1        0        1        0
58447 roundcube-plugin-dovecot-impersonate	       0        1        0        1        0
58448 roundcube-plugin-fail2ban          	       0        1        0        1        0
58449 roundcube-plugin-html5-notifier    	       0        1        0        1        0
58450 roundcube-plugin-keyboard-shortcuts	       0        1        0        1        0
58451 roundcube-plugin-listcommands      	       0        1        0        1        0
58452 roundcube-plugin-message-highlight 	       0        1        0        1        0
58453 roundcube-plugin-sauserprefs       	       0        1        0        1        0
58454 roundcube-plugin-thunderbird-labels	       0        1        0        1        0
58455 roundcube-plugins                  	       0       16        2       14        0
58456 roundcube-plugins-extra            	       0        7        1        5        1
58457 roundcube-skin-classic             	       0        2        0        0        2
58458 roundcube-skin-larry               	       0        2        0        0        2
58459 roundcubemail                      	       0        1        0        1        0
58460 roundcubemail-plugin-kolab-delegation	       0        1        0        1        0
58461 roundcubemail-plugins-kolab        	       0        1        0        0        1
58462 roundcubemail-skin-chameleon       	       0        1        0        0        1
58463 route-rnd                          	       0        2        0        2        0
58464 routino                            	       0       22        2       20        0
58465 routino-common                     	       0       22        0        0       22
58466 routino-www                        	       0        3        0        0        3
58467 rovclock                           	       0       10        0       10        0
58468 rover                              	       0        3        0        3        0
58469 rox-archive                        	       0        2        0        2        0
58470 rox-edit                           	       0        2        0        2        0
58471 rox-filer                          	       0       38        3       35        0
58472 rox-lib2                           	       0        2        0        2        0
58473 rox-mime-jon                       	       0        2        0        0        2
58474 rox-wallpaper                      	       0        2        0        2        0
58475 roxterm                            	       0        4        0        0        4
58476 roxterm-common                     	       0        4        0        0        4
58477 roxterm-gtk3                       	       0        4        0        4        0
58478 rpi-eeprom                         	       0        1        0        1        0
58479 rpi-imager-dbgsym                  	       0        1        0        1        0
58480 rpi-update                         	       0        1        0        1        0
58481 rplay-client                       	       0        2        0        2        0
58482 rpm-i18n                           	       0       14        0        0       14
58483 rpm2html                           	       0        2        0        2        0
58484 rpmlint                            	       0        3        0        3        0
58485 rpp                                	       0        2        0        0        2
58486 rpp-dev                            	       0        1        0        1        0
58487 rrdcached                          	       0        5        2        3        0
58488 rrdcollect                         	       0        3        1        2        0
58489 rrdtool-tcl                        	       0        5        0        0        5
58490 rrep                               	       0        3        1        2        0
58491 rrootage                           	       0        4        0        4        0
58492 rrootage-data                      	       0        6        0        0        6
58493 rrqnet                             	       0        5        1        4        0
58494 rs                                 	       0        2        0        2        0
58495 rsakeyfind                         	       0       23        0       23        0
58496 rsbackup                           	       0        7        1        6        0
58497 rsbackup-graph                     	       0        2        0        2        0
58498 rsbackup-lib                       	       0        1        0        1        0
58499 rsem                               	       0        1        0        1        0
58500 rsemd                              	       0        1        0        1        0
58501 rsh-redone-client                  	       0        3        0        3        0
58502 rsh-redone-server                  	       0        2        0        2        0
58503 rsnapshot                          	       0       51        4       47        0
58504 rspamd                             	       0       17        7       10        0
58505 rsplib-doc                         	       0        2        0        0        2
58506 rsplib-fgp-cfgfiles                	       0        2        0        0        2
58507 rsplib-tools                       	       0        2        0        2        0
58508 rsrce                              	       0        1        0        1        0
58509 rss2email                          	       0        6        1        5        0
58510 rssguard                           	       0        2        0        2        0
58511 rssh                               	       0       14        0       14        0
58512 rsshfs                             	       0        3        0        3        0
58513 rsstail                            	       0        8        0        8        0
58514 rst2pdf                            	       0       11        0       11        0
58515 rstat-client                       	       0        2        0        2        0
58516 rstatd                             	       0        1        0        1        0
58517 rstcheck                           	       0        3        0        3        0
58518 rstudio-server                     	       0        3        0        3        0
58519 rsync-build-deps                   	       0        1        0        0        1
58520 rsyncbackup-2pir                   	       0        1        0        1        0
58521 rsyncrypto                         	       0        2        0        2        0
58522 rsyslog-dbgsym                     	       0        1        0        1        0
58523 rsyslog-doc                        	       0       23        0        0       23
58524 rsyslog-gnutls                     	       0       11        1        3        7
58525 rsyslog-gssapi                     	       0        1        0        0        1
58526 rsyslog-mysql                      	       0        1        0        1        0
58527 rsyslog-openssl                    	       0        2        0        0        2
58528 rsyslog-pgsql                      	       0        1        0        0        1
58529 rsyslog-relp                       	       0       13        2        2        9
58530 rsyslog-snmp                       	       0        1        0        0        1
58531 rt-tests                           	       0        2        0        2        0
58532 rt3573sta-driver                   	       0        1        0        0        1
58533 rt4-apache2                        	       0        1        0        0        1
58534 rt4-clients                        	       0        1        0        1        0
58535 rt4-db-mysql                       	       0        1        0        0        1
58536 rtags                              	       0        2        0        2        0
58537 rtax                               	       0        1        0        1        0
58538 rtcontrolcenter                    	       0        1        0        1        0
58539 rtcw                               	       0        3        0        3        0
58540 rtcw-common                        	       0        3        0        3        0
58541 rtcw-en-data                       	       0        1        0        0        1
58542 rtirq-init                         	       0       10        0        0       10
58543 rtklib                             	       0        3        0        3        0
58544 rtklib-doc                         	       0        1        0        0        1
58545 rtklib-qt                          	       0        3        0        3        0
58546 rtl-ais                            	       0        2        0        2        0
58547 rtl-connector                      	       0        1        0        1        0
58548 rtl-sdr                            	       0       47        1       46        0
58549 rtl-tcp-connector                  	       0        1        0        1        0
58550 rtl8192eu-dkms                     	       0        1        0        1        0
58551 rtl8821cu-dkms                     	       0        1        0        1        0
58552 rtl88x2bu-dkms                     	       0        2        0        2        0
58553 rtorrent                           	       0       91        1       90        0
58554 rtorrent-build-deps                	       0        1        0        0        1
58555 rtorrent-dbgsym                    	       0        1        0        1        0
58556 rts5229                            	       0        1        0        0        1
58557 rttool                             	       0        1        0        1        0
58558 rtv                                	       0        2        0        2        0
58559 rtx                                	       0        1        0        1        0
58560 rubber                             	       0       11        1       10        0
58561 rubberband-ladspa                  	       0       44        4       37        3
58562 rubberband-lv2                     	       0        2        0        2        0
58563 rubberband-vamp                    	       0        6        0        5        1
58564 rubi-deb                           	       0        2        0        0        2
58565 rubiks                             	       0        3        0        3        0
58566 rubocop                            	       0        5        0        5        0
58567 ruby-abstract-type                 	       0        1        0        1        0
58568 ruby-ace-rails-ap                  	       0        1        0        1        0
58569 ruby-acme-client                   	       0        1        0        1        0
58570 ruby-actionpack-action-caching     	       0        5        0        5        0
58571 ruby-active-model-serializers      	       0        1        0        1        0
58572 ruby-activeldap                    	       0        1        0        1        0
58573 ruby-activemodel-serializers-xml   	       0        1        0        1        0
58574 ruby-acts-as-api                   	       0        1        0        1        0
58575 ruby-acts-as-list                  	       0        1        0        1        0
58576 ruby-acts-as-tree                  	       0        1        0        1        0
58577 ruby-adsf                          	       0        1        0        1        0
58578 ruby-adsf-live                     	       0        1        0        1        0
58579 ruby-ae                            	       0        1        0        1        0
58580 ruby-aes-key-wrap                  	       0        1        0        1        0
58581 ruby-aggregate                     	       0        1        0        1        0
58582 ruby-airbrussh                     	       0        1        0        1        0
58583 ruby-akismet                       	       0        1        0        1        0
58584 ruby-amq-protocol                  	       0        1        0        1        0
58585 ruby-amrita2                       	       0        1        0        1        0
58586 ruby-ansi                          	       0        5        0        5        0
58587 ruby-archive-zip                   	       0        2        0        2        0
58588 ruby-arel                          	       0        5        0        5        0
58589 ruby-arr-pm                        	       0        1        0        1        0
58590 ruby-asciidoctor-include-ext       	       0        1        0        1        0
58591 ruby-asciidoctor-plantuml          	       0        1        0        1        0
58592 ruby-ast                           	       0        5        0        5        0
58593 ruby-async                         	       0        1        0        1        0
58594 ruby-async-http                    	       0        1        0        1        0
58595 ruby-async-io                      	       0        1        0        1        0
58596 ruby-async-pool                    	       0        1        0        1        0
58597 ruby-async-process                 	       0        1        0        1        0
58598 ruby-async-rspec                   	       0        1        0        1        0
58599 ruby-atk                           	       0       36        1       35        0
58600 ruby-atomic                        	       0       16        1       14        1
58601 ruby-augeas                        	       0       31        1       14       16
58602 ruby-avl-tree                      	       0        2        0        1        1
58603 ruby-aws-sdk                       	       0        1        0        1        0
58604 ruby-backports                     	       0        3        0        3        0
58605 ruby-bcrypt-pbkdf                  	       0       32        0        6       26
58606 ruby-bdb                           	       0        1        0        0        1
58607 ruby-bindata                       	       0        1        0        1        0
58608 ruby-bindex                        	       0        7        0        1        6
58609 ruby-binding-of-caller             	       0        6        0        6        0
58610 ruby-blankslate                    	       0       12        0       12        0
58611 ruby-bootstrap-form                	       0        1        0        1        0
58612 ruby-bson                          	       0        2        0        1        1
58613 ruby-build                         	       0        8        1        7        0
58614 ruby-bunny                         	       0        1        0        1        0
58615 ruby-capybara                      	       0        7        0        7        0
58616 ruby-case-transform                	       0        1        0        1        0
58617 ruby-celluloid                     	       0        4        0        4        0
58618 ruby-celluloid-essentials          	       0        1        0        1        0
58619 ruby-celluloid-extras              	       0        1        0        1        0
58620 ruby-celluloid-fsm                 	       0        1        0        1        0
58621 ruby-celluloid-pool                	       0        1        0        1        0
58622 ruby-celluloid-supervision         	       0        1        0        1        0
58623 ruby-certificate-authority         	       0        3        1        2        0
58624 ruby-charlock-holmes               	       0        3        0        0        3
58625 ruby-chef-utils                    	       0        3        0        3        0
58626 ruby-chromedriver-helper           	       0        1        0        1        0
58627 ruby-chronic                       	       0        1        0        1        0
58628 ruby-chunky-png                    	       0        4        0        4        0
58629 ruby-classifier-reborn             	       0       10        1        9        0
58630 ruby-cleanroom                     	       0        1        0        1        0
58631 ruby-coderay                       	       0       21        2       19        0
58632 ruby-coffee-rails                  	       0        4        0        4        0
58633 ruby-coffee-script                 	       0       10        0       10        0
58634 ruby-coffee-script-source          	       0       11        0       11        0
58635 ruby-color                         	       0        1        0        1        0
58636 ruby-colorator                     	       0       10        1        9        0
58637 ruby-colored2                      	       0        1        0        1        0
58638 ruby-colorize                      	       0        5        0        5        0
58639 ruby-columnize                     	       0       11        0       11        0
58640 ruby-commandline                   	       0        1        0        1        0
58641 ruby-commonmarker                  	       0        2        0        0        2
58642 ruby-connection-pool               	       0       10        0       10        0
58643 ruby-console                       	       0        1        0        1        0
58644 ruby-crack                         	       0        1        0        1        0
58645 ruby-crass                         	       0       11        0       11        0
58646 ruby-cri                           	       0        1        0        1        0
58647 ruby-cri-doc                       	       0        1        0        0        1
58648 ruby-csv                           	       0        1        0        1        0
58649 ruby-cucumber-core                 	       0        1        0        1        0
58650 ruby-cucumber-wire                 	       0        1        0        1        0
58651 ruby-curb                          	       0        1        0        0        1
58652 ruby-daemons                       	       0        3        2        1        0
58653 ruby-dataobjects                   	       0        2        0        2        0
58654 ruby-dataobjects-mysql             	       0        1        0        1        0
58655 ruby-dataobjects-postgres          	       0        2        0        2        0
58656 ruby-dataobjects-sqlite3           	       0        2        0        2        0
58657 ruby-dbd-mysql                     	       0        1        0        1        0
58658 ruby-dbd-pg                        	       0        2        0        2        0
58659 ruby-dbd-sqlite3                   	       0        1        0        1        0
58660 ruby-dbi                           	       0        2        0        2        0
58661 ruby-dbm                           	       0       14        0        0       14
58662 ruby-dbus                          	       0        1        0        1        0
58663 ruby-ddmetrics                     	       0        1        0        1        0
58664 ruby-ddplugin                      	       0        1        0        1        0
58665 ruby-deb-version                   	       0        1        0        1        0
58666 ruby-debug-inspector               	       0        6        0        3        3
58667 ruby-declarative                   	       0        1        0        1        0
58668 ruby-declarative-option            	       0        1        0        1        0
58669 ruby-deep-merge                    	       0       29        2       27        0
58670 ruby-deprecated                    	       0        2        0        2        0
58671 ruby-dev                           	       0       85        0        0       85
58672 ruby-device-detector               	       0        1        0        1        0
58673 ruby-diff-lcs                      	       0       10        0       10        0
58674 ruby-dirty-memoize                 	       0        1        0        1        0
58675 ruby-distribution                  	       0        1        0        1        0
58676 ruby-dnsruby                       	       0        5        1        4        0
58677 ruby-docile                        	       0        2        0        2        0
58678 ruby-docker-api                    	       0        1        0        1        0
58679 ruby-domain-name                   	       0       72        3       69        0
58680 ruby-dotenv                        	       0        1        0        1        0
58681 ruby-ecasound                      	       0        1        0        1        0
58682 ruby-ed25519                       	       0       32        0        6       26
58683 ruby-eim-xml                       	       0        1        0        1        0
58684 ruby-em-websocket                  	       0       11        1       10        0
58685 ruby-enum                          	       0        2        0        2        0
58686 ruby-errbase                       	       0        1        0        1        0
58687 ruby-erubis                        	       0       25        1       24        0
58688 ruby-escape                        	       0        1        0        1        0
58689 ruby-ethon                         	       0        1        0        1        0
58690 ruby-execjs                        	       0       14        0       14        0
58691 ruby-exif                          	       0        4        0        0        4
58692 ruby-expression-parser             	       0        1        0        1        0
58693 ruby-expression-parser-doc         	       0        1        0        0        1
58694 ruby-extlib                        	       0        2        0        2        0
58695 ruby-facets                        	       0        1        0        1        0
58696 ruby-fakefs                        	       0        2        0        2        0
58697 ruby-faraday-middleware            	       0        1        0        1        0
58698 ruby-faraday-net-http              	       0        2        0        2        0
58699 ruby-faraday-retry                 	       0        1        0        1        0
58700 ruby-fast-gettext                  	       0        3        1        2        0
58701 ruby-fast-stemmer                  	       0       10        1        9        0
58702 ruby-fast-xs                       	       0        2        0        2        0
58703 ruby-fcgi                          	       0        4        0        4        0
58704 ruby-feedparser                    	       0        1        0        1        0
58705 ruby-ffi                           	       0       85        0       14       71
58706 ruby-ffi-compiler                  	       0        4        0        4        0
58707 ruby-ffi-libarchive                	       0        2        0        2        0
58708 ruby-ffi-yajl                      	       0        1        0        1        0
58709 ruby-fiber-local                   	       0        1        0        1        0
58710 ruby-flexmock                      	       0        2        0        2        0
58711 ruby-fog-json                      	       0       26        1       25        0
58712 ruby-fog-xml                       	       0       26        1       25        0
58713 ruby-forwardable-extended          	       0       10        1        9        0
58714 ruby-fssm                          	       0        1        0        1        0
58715 ruby-full                          	       0       12        0        0       12
58716 ruby-fuzzyurl                      	       0        1        0        1        0
58717 ruby-gdk-pixbuf2                   	       0       37        1       36        0
58718 ruby-gdk3                          	       0       26        0       26        0
58719 ruby-gettext                       	       0      111        8      103        0
58720 ruby-gettext-activerecord          	       0        1        0        1        0
58721 ruby-gettext-i18n-rails            	       0        1        0        1        0
58722 ruby-gettext-setup                 	       0        1        0        1        0
58723 ruby-gherkin                       	       0        1        0        1        0
58724 ruby-git                           	       0        2        0        2        0
58725 ruby-god                           	       0        1        0        1        0
58726 ruby-gpgme                         	       0        1        0        1        0
58727 ruby-grit                          	       0        1        0        1        0
58728 ruby-grpc                          	       0       24        0        0       24
58729 ruby-gsl                           	       0        1        0        0        1
58730 ruby-gssapi                        	       0        1        0        1        0
58731 ruby-gstreamer                     	       0        4        0        4        0
58732 ruby-gtk2                          	       0        9        0        9        0
58733 ruby-haml                          	       0        3        0        3        0
58734 ruby-hashie                        	       0        1        0        1        0
58735 ruby-hdfeos5                       	       0        3        0        3        0
58736 ruby-hiera                         	       0       10        0        0       10
58737 ruby-highline                      	       0        9        0        9        0
58738 ruby-hike                          	       0       14        0       14        0
58739 ruby-hikidoc                       	       0        2        0        2        0
58740 ruby-hitimes                       	       0        5        0        1        4
58741 ruby-hmac                          	       0        3        0        3        0
58742 ruby-hocon                         	       0       23        1       22        0
58743 ruby-hpricot                       	       0        2        0        2        0
58744 ruby-html-pipeline                 	       0        3        0        3        0
58745 ruby-html2haml                     	       0        3        0        3        0
58746 ruby-html2text                     	       0        1        0        1        0
58747 ruby-htmlentities                  	       0        9        0        9        0
58748 ruby-htree                         	       0        3        0        3        0
58749 ruby-http                          	       0        4        0        4        0
58750 ruby-http-accept                   	       0        4        0        4        0
58751 ruby-http-connection               	       0        1        0        1        0
58752 ruby-http-cookie                   	       0       72        3       69        0
58753 ruby-http-form-data                	       0        4        0        4        0
58754 ruby-http-parser                   	       0        4        0        4        0
58755 ruby-http-parser.rb-doc            	       0        1        0        0        1
58756 ruby-httpclient                    	       0       61        3       58        0
58757 ruby-hyperestraier                 	       0        2        0        2        0
58758 ruby-i18n-data                     	       0        1        0        1        0
58759 ruby-icalendar                     	       0        1        1        0        0
58760 ruby-ice-cube                      	       0        1        1        0        0
58761 ruby-imagesize                     	       0        1        0        1        0
58762 ruby-iniparse                      	       0        1        0        1        0
58763 ruby-innate                        	       0        2        0        2        0
58764 ruby-innertube                     	       0        1        0        1        0
58765 ruby-inotify                       	       0        1        0        1        0
58766 ruby-io-like                       	       0        2        0        2        0
58767 ruby-jar-dependencies              	       0        1        0        1        0
58768 ruby-jbuilder                      	       0       10        0       10        0
58769 ruby-jekyll-avatar                 	       0        1        0        1        0
58770 ruby-jekyll-coffeescript           	       0        6        0        6        0
58771 ruby-jekyll-compose                	       0        1        0        1        0
58772 ruby-jekyll-feed                   	       0       10        1        9        0
58773 ruby-jekyll-gist                   	       0        6        0        6        0
58774 ruby-jekyll-last-modified-at       	       0        1        0        0        1
58775 ruby-jekyll-mentions               	       0        1        0        1        0
58776 ruby-jekyll-paginate               	       0        5        0        5        0
58777 ruby-jekyll-paginate-v2            	       0        1        0        0        1
58778 ruby-jekyll-redirect-from          	       0        1        0        1        0
58779 ruby-jekyll-sass-converter         	       0       10        1        9        0
58780 ruby-jekyll-seo-tag                	       0        8        0        0        8
58781 ruby-jekyll-sitemap                	       0        1        0        1        0
58782 ruby-jekyll-watch                  	       0       10        1        9        0
58783 ruby-joiner                        	       0        1        0        1        0
58784 ruby-jquery-rails                  	       0        8        0        8        0
58785 ruby-jsonapi-renderer              	       0        1        0        1        0
58786 ruby-kde4                          	       0        3        1        2        0
58787 ruby-kramdown-parser-gfm           	       0       13        1       12        0
58788 ruby-kramdown-rfc2629              	       0        1        0        1        0
58789 ruby-krb5-auth                     	       0        1        0        1        0
58790 ruby-launchy-shim                  	       0        1        0        1        0
58791 ruby-libnotify                     	       0        1        0        1        0
58792 ruby-librarian                     	       0        1        0        1        0
58793 ruby-libxml                        	       0        2        1        0        1
58794 ruby-liquid                        	       0       12        1       11        0
58795 ruby-locale                        	       0      112        9      103        0
58796 ruby-lockfile                      	       0        1        0        1        0
58797 ruby-log4r                         	       0       30        1       29        0
58798 ruby-loofah                        	       0       14        0       14        0
58799 ruby-mab                           	       0        1        0        1        0
58800 ruby-magic                         	       0        2        0        2        0
58801 ruby-mail                          	       0       23        0       23        0
58802 ruby-mapscript                     	       0        1        0        0        1
58803 ruby-marcel                        	       0       12        0       12        0
58804 ruby-maruku                        	       0        2        0        2        0
58805 ruby-mathml                        	       0        1        0        1        0
58806 ruby-mdl                           	       0        1        0        1        0
58807 ruby-mecab                         	       0        1        0        0        1
58808 ruby-mechanize                     	       0        3        0        3        0
58809 ruby-memcache-client               	       0        1        0        1        0
58810 ruby-mercenary                     	       0       10        1        9        0
58811 ruby-metaclass                     	       0        3        0        3        0
58812 ruby-metriks                       	       0        1        0        1        0
58813 ruby-middleware                    	       0        1        0        1        0
58814 ruby-mime                          	       0       24        0       24        0
58815 ruby-mimemagic                     	       0        7        0        7        0
58816 ruby-mini-exiftool                 	       0       27        0       27        0
58817 ruby-mini-magick                   	       0        3        0        3        0
58818 ruby-mini-mime                     	       0       19        0       19        0
58819 ruby-minitar                       	       0        4        0        4        0
58820 ruby-mixlib-archive                	       0        1        0        1        0
58821 ruby-mixlib-authentication         	       0        1        0        1        0
58822 ruby-mixlib-cli                    	       0        5        0        5        0
58823 ruby-mixlib-config                 	       0        3        0        3        0
58824 ruby-mixlib-log                    	       0        2        0        2        0
58825 ruby-mixlib-shellout               	       0        3        0        3        0
58826 ruby-mocha                         	       0        3        0        3        0
58827 ruby-molinillo                     	       0       12        1       11        0
58828 ruby-mp3tag                        	       0        2        0        2        0
58829 ruby-msfrpc-client                 	       0        1        0        1        0
58830 ruby-msgpack                       	       0       10        0        2        8
58831 ruby-multi-test                    	       0        1        0        1        0
58832 ruby-multibitnums                  	       0        1        0        0        1
58833 ruby-mustache                      	       0        9        0        9        0
58834 ruby-mustermann                    	       0        3        0        3        0
58835 ruby-mysql                         	       0        2        0        2        0
58836 ruby-mysql2                        	       0        5        1        4        0
58837 ruby-narray                        	       0        6        0        0        6
58838 ruby-narray-miss                   	       0        2        0        2        0
58839 ruby-nenv                          	       0        1        0        1        0
58840 ruby-neovim                        	       0        1        0        1        0
58841 ruby-net-dns                       	       0        1        0        1        0
58842 ruby-net-http-digest-auth          	       0       26        0       26        0
58843 ruby-net-http-persistent           	       0       16        0       16        0
58844 ruby-net-ldap                      	       0        7        0        7        0
58845 ruby-net-ssh-gateway               	       0        3        0        3        0
58846 ruby-net-ssh-multi                 	       0        2        0        2        0
58847 ruby-netcdf                        	       0        1        0        1        0
58848 ruby-netrc                         	       0        6        0        6        0
58849 ruby-nio4r                         	       0       12        0        3        9
58850 ruby-notifier                      	       0        1        0        1        0
58851 ruby-notify                        	       0        2        0        2        0
58852 ruby-notmuch                       	       0        4        0        0        4
58853 ruby-ntlm                          	       0        3        0        3        0
58854 ruby-numerizer                     	       0        2        0        2        0
58855 ruby-oauth                         	       0        1        0        1        0
58856 ruby-octokit                       	       0        6        0        6        0
58857 ruby-odbc                          	       0        2        0        2        0
58858 ruby-oily-png                      	       0        1        0        1        0
58859 ruby-okular                        	       0        1        0        1        0
58860 ruby-ole                           	       0        1        0        1        0
58861 ruby-open4                         	       0        4        0        4        0
58862 ruby-openid                        	       0        3        0        3        0
58863 ruby-opennebula                    	       0        1        0        1        0
58864 ruby-optimist                      	       0       44        0        0       44
58865 ruby-origami                       	       0        4        0        4        0
58866 ruby-packet                        	       0        2        0        2        0
58867 ruby-paint                         	       0       45        1       44        0
58868 ruby-parallel                      	       0        5        0        5        0
58869 ruby-parser                        	       0        1        0        1        0
58870 ruby-parslet                       	       0        2        0        2        0
58871 ruby-passenger                     	       0        5        0        0        5
58872 ruby-password                      	       0        2        0        1        1
58873 ruby-pastel                        	       0        2        0        2        0
58874 ruby-pathutil                      	       0       10        1        9        0
58875 ruby-pdf-inspector                 	       0        2        0        2        0
58876 ruby-pg                            	       0        6        0        6        0
58877 ruby-phonon                        	       0        2        0        2        0
58878 ruby-plasma                        	       0        3        1        2        0
58879 ruby-plist                         	       0        1        0        1        0
58880 ruby-poppler                       	       0        2        0        2        0
58881 ruby-premailer                     	       0        1        0        1        0
58882 ruby-progressbar                   	       0        5        0        5        0
58883 ruby-protected-attributes          	       0        3        0        3        0
58884 ruby-protocol-hpack                	       0        1        0        1        0
58885 ruby-protocol-http                 	       0        1        0        1        0
58886 ruby-protocol-http1                	       0        1        0        1        0
58887 ruby-protocol-http2                	       0        1        0        1        0
58888 ruby-proxifier                     	       0        1        0        1        0
58889 ruby-pry-byebug                    	       0        1        0        1        0
58890 ruby-psych                         	       0        1        0        0        1
58891 ruby-puppet-forge                  	       0        1        0        1        0
58892 ruby-puppet-resource-api           	       0        1        0        1        0
58893 ruby-puppetserver-ca-cli           	       0        1        0        1        0
58894 ruby-pygments.rb                   	       0       14        1       13        0
58895 ruby-qdbm                          	       0        3        0        0        3
58896 ruby-qt4                           	       0        6        1        5        0
58897 ruby-qt4-script                    	       0        3        0        3        0
58898 ruby-qt4-test                      	       0        3        0        3        0
58899 ruby-qt4-uitools                   	       0        3        0        3        0
58900 ruby-qt4-webkit                    	       0        3        1        2        0
58901 ruby-rack-openid                   	       0        3        0        3        0
58902 ruby-rack-protection               	       0        7        0        7        0
58903 ruby-rack-proxy                    	       0        6        0        6        0
58904 ruby-rack-test                     	       0       16        0       16        0
58905 ruby-rackup                        	       0        1        0        1        0
58906 ruby-rails                         	       0       14        0        0       14
58907 ruby-rails-deprecated-sanitizer    	       0       14        0       14        0
58908 ruby-rails-dom-testing             	       0       14        0       14        0
58909 ruby-rails-i18n                    	       0        1        0        1        0
58910 ruby-rails-observers               	       0        5        0        5        0
58911 ruby-rainbow                       	       0       15        0       15        0
58912 ruby-ramaze                        	       0        1        0        1        0
58913 ruby-rbpdf                         	       0        6        0        6        0
58914 ruby-rbpdf-font                    	       0        6        0        6        0
58915 ruby-rbtree                        	       0       18        0        0       18
58916 ruby-rdiscount                     	       0       12        1       11        0
58917 ruby-redcarpet                     	       0       16        1       15        0
58918 ruby-redis                         	       0        2        0        2        0
58919 ruby-regexp-parser                 	       0       11        0       11        0
58920 ruby-request-store                 	       0        5        0        5        0
58921 ruby-rest-client                   	       0        6        0        6        0
58922 ruby-rgen                          	       0        4        1        3        0
58923 ruby-riddle                        	       0        1        0        1        0
58924 ruby-rmagick-doc                   	       0        2        0        0        2
58925 ruby-roadie                        	       0        5        0        5        0
58926 ruby-roadie-rails                  	       0        5        0        5        0
58927 ruby-ronn                          	       0        7        0        7        0
58928 ruby-rotp                          	       0        3        0        3        0
58929 ruby-rpam-ruby19                   	       0        2        0        2        0
58930 ruby-rqrcode                       	       0        3        0        3        0
58931 ruby-rqrcode-core                  	       0        3        0        3        0
58932 ruby-rrd                           	       0        6        0        0        6
58933 ruby-rspec                         	       0        5        0        5        0
58934 ruby-rspec-core                    	       0        6        0        6        0
58935 ruby-rspec-expectations            	       0        6        0        6        0
58936 ruby-rspec-files                   	       0        1        0        1        0
58937 ruby-rspec-logsplit                	       0        1        0        1        0
58938 ruby-rspec-memory                  	       0        1        0        1        0
58939 ruby-rspec-mocks                   	       0        6        0        6        0
58940 ruby-rspec-support                 	       0        6        0        6        0
58941 ruby-rsvg2                         	       0        1        0        1        0
58942 ruby-rsync                         	       0        1        0        1        0
58943 ruby-rt                            	       0        2        0        2        0
58944 ruby-rubocop-ast                   	       0        5        0        5        0
58945 ruby-rubocop-rspec                 	       0        1        0        1        0
58946 ruby-ruby-parser                   	       0        3        0        3        0
58947 ruby-ruby2-keywords                	       0       34        0        0       34
58948 ruby-rubymail                      	       0        2        0        2        0
58949 ruby-rubymail-doc                  	       0        1        0        0        1
58950 ruby-rugged                        	       0        3        0        0        3
58951 ruby-safe-yaml                     	       0       14        1       13        0
58952 ruby-safely-block                  	       0        1        0        1        0
58953 ruby-sanitize                      	       0        2        0        2        0
58954 ruby-sass                          	       0       24        0       24        0
58955 ruby-sass-rails                    	       0       10        0       10        0
58956 ruby-sassc                         	       0       16        1       15        0
58957 ruby-sassc-rails                   	       0        6        0        6        0
58958 ruby-sawyer                        	       0        6        0        6        0
58959 ruby-scanf                         	       0        2        0        0        2
58960 ruby-schash                        	       0        1        0        1        0
58961 ruby-sdbm                          	       0      746        0        0      746
58962 ruby-sdl                           	       0        7        0        7        0
58963 ruby-sdoc                          	       0        7        0        7        0
58964 ruby-selenium-webdriver            	       0        7        0        7        0
58965 ruby-selinux                       	       0       18        0        1       17
58966 ruby-semantic-puppet               	       0       16        1       15        0
58967 ruby-semantic-range                	       0        6        0        6        0
58968 ruby-sequel                        	       0        5        0        5        0
58969 ruby-sequel-pg                     	       0        2        0        2        0
58970 ruby-serialport                    	       0        1        1        0        0
58971 ruby-settingslogic-doc             	       0        1        0        0        1
58972 ruby-setup                         	       0        1        0        1        0
58973 ruby-sexp-processor                	       0        4        0        4        0
58974 ruby-shadow                        	       0       29        0        1       28
58975 ruby-sigar                         	       0        1        0        0        1
58976 ruby-simple-po-parser              	       0        1        0        1        0
58977 ruby-simplecov                     	       0        2        0        2        0
58978 ruby-simplecov-html                	       0        2        0        2        0
58979 ruby-simpleidn                     	       0        3        0        3        0
58980 ruby-sinatra                       	       0        7        0        7        0
58981 ruby-sinatra-contrib               	       0        2        0        2        0
58982 ruby-slim                          	       0        2        0        2        0
58983 ruby-soap4r                        	       0       70        5       65        0
58984 ruby-sorted-set                    	       0       18        0        0       18
58985 ruby-specinfra                     	       0        1        0        1        0
58986 ruby-spider                        	       0       25        0       25        0
58987 ruby-spreadsheet                   	       0        1        0        1        0
58988 ruby-spring                        	       0       10        0       10        0
58989 ruby-spring-watcher-listen         	       0        6        0        6        0
58990 ruby-sprockets                     	       0       14        0       14        0
58991 ruby-sprockets-rails               	       0       14        0       14        0
58992 ruby-sqlite3                       	       0       85        1       68       16
58993 ruby-sshkit                        	       0        1        0        1        0
58994 ruby-stackprof                     	       0        1        0        1        0
58995 ruby-state-machines                	       0        1        0        1        0
58996 ruby-stomp                         	       0        3        0        3        0
58997 ruby-stringex                      	       0        2        0        2        0
58998 ruby-svg-graph                     	       0        2        0        2        0
58999 ruby-svn                           	       0       10        0       10        0
59000 ruby-sync                          	       0        3        0        0        3
59001 ruby-sys-filesystem                	       0       14        0       14        0
59002 ruby-syslog-logger                 	       0        1        0        1        0
59003 ruby-systemu                       	       0        3        0        3        0
59004 ruby-table-print                   	       0        1        0        1        0
59005 ruby-task-list                     	       0        2        0        2        0
59006 ruby-tcltk                         	       0        1        0        0        1
59007 ruby-temple                        	       0        3        0        3        0
59008 ruby-term-ansicolor                	       0        4        0        4        0
59009 ruby-terminal-table                	       0        9        1        8        0
59010 ruby-termios                       	       0        2        0        1        1
59011 ruby-terser                        	       0        5        0        5        0
59012 ruby-text-format                   	       0        1        0        1        0
59013 ruby-thinking-sphinx               	       0        1        0        1        0
59014 ruby-thread-safe                   	       0       14        0       14        0
59015 ruby-tilt                          	       0       23        0       23        0
59016 ruby-timers                        	       0        5        0        5        0
59017 ruby-tins                          	       0        4        0        4        0
59018 ruby-tioga                         	       0        1        0        1        0
59019 ruby-tioga-doc                     	       0        1        0        0        1
59020 ruby-tokyocabinet                  	       0        1        0        0        1
59021 ruby-toml                          	       0        1        0        1        0
59022 ruby-tomlrb                        	       0       12        1       11        0
59023 ruby-traces                        	       0        1        0        1        0
59024 ruby-trollop                       	       0        9        0        9        0
59025 ruby-tty-color                     	       0        2        0        2        0
59026 ruby-tty-command                   	       0        1        0        1        0
59027 ruby-tty-screen                    	       0        1        0        1        0
59028 ruby-turbolinks                    	       0        9        0        9        0
59029 ruby-turbolinks-source             	       0        7        0        7        0
59030 ruby-twitter4r                     	       0        1        0        1        0
59031 ruby-uconv                         	       0        4        0        4        0
59032 ruby-uglifier                      	       0        4        0        4        0
59033 ruby-unf                           	       0       74        3       71        0
59034 ruby-unicode-display-width         	       0       14        1       13        0
59035 ruby-unicorn-engine                	       0        1        0        0        1
59036 ruby-unidecode                     	       0        1        0        1        0
59037 ruby-usb                           	       0        1        0        1        0
59038 ruby-uuidtools                     	       0        3        0        3        0
59039 ruby-uuidtools-doc                 	       0        1        0        0        1
59040 ruby-validatable                   	       0        1        0        1        0
59041 ruby-web-console                   	       0       10        0       10        0
59042 ruby-webkit2-gtk                   	       0        1        0        1        0
59043 ruby-webpacker                     	       0        6        0        6        0
59044 ruby-webrobots                     	       0        3        0        3        0
59045 ruby-websocket-extensions          	       0       11        0       11        0
59046 ruby-whitequark-parser             	       0        5        0        5        0
59047 ruby-whitewash                     	       0        1        0        1        0
59048 ruby-wirble                        	       0        2        0        2        0
59049 ruby-xapian                        	       0        1        0        1        0
59050 ruby-xdg                           	       0       15        1       14        0
59051 ruby-xml-simple                    	       0       22        0        0       22
59052 ruby-xmlparser                     	       0       72        5       67        0
59053 ruby-xpath                         	       0        7        0        7        0
59054 ruby-ya2yaml                       	       0        1        0        1        0
59055 ruby-yajl                          	       0       10        1        9        0
59056 ruby-yell                          	       0        1        0        1        0
59057 ruby1.6                            	       0        1        0        1        0
59058 ruby1.8                            	       0       15        2       13        0
59059 ruby1.8-dev                        	       0        2        0        2        0
59060 ruby1.8-examples                   	       0        1        0        0        1
59061 ruby1.9.1                          	       0       15        2       13        0
59062 ruby1.9.1-dev                      	       0        3        0        3        0
59063 ruby1.9.1-examples                 	       0        2        0        0        2
59064 ruby1.9.1-full                     	       0        1        0        0        1
59065 ruby2.0                            	       0        5        0        5        0
59066 ruby2.1                            	       0       65        3       62        0
59067 ruby2.1-dev                        	       0        1        0        1        0
59068 ruby2.1-doc                        	       0        1        0        1        0
59069 ruby2.2                            	       0        2        0        2        0
59070 ruby2.3                            	       0       67        3       64        0
59071 ruby2.3-dev                        	       0        6        0        6        0
59072 ruby2.3-doc                        	       0        2        0        2        0
59073 ruby2.3-tcltk                      	       0        1        0        1        0
59074 ruby2.5                            	       0       85        2       83        0
59075 ruby2.5-dev                        	       0        6        0        6        0
59076 ruby2.5-doc                        	       0        6        0        6        0
59077 ruby3.0                            	       0        8        0        8        0
59078 ruby3.1-doc                        	       0       70        0        0       70
59079 ruby3.3-doc                        	       0        3        0        0        3
59080 rubybook                           	       0        1        0        0        1
59081 rubygems                           	       0        1        0        1        0
59082 rubygems-doc                       	       0        1        0        0        1
59083 rudder-agent                       	       0        1        0        1        0
59084 rudder-jetty                       	       0        1        0        1        0
59085 rudder-techniques                  	       0        1        0        0        1
59086 rulex                              	       0        1        0        1        0
59087 runawk                             	       0        1        0        1        0
59088 runds-connector                    	       0        1        0        1        0
59089 runescape-launcher                 	       0        2        0        2        0
59090 rungetty                           	       0        1        0        1        0
59091 rungettyloop                       	       0        1        0        1        0
59092 runit-run                          	       0       21        0       21        0
59093 runit-services                     	       0      226        0        3      223
59094 runit-sysv                         	       0        6        0        0        6
59095 runlim                             	       0        1        0        1        0
59096 runoverssh                         	       0        3        0        3        0
59097 runsc                              	       0        2        0        2        0
59098 rusers                             	       0        2        0        2        0
59099 rush                               	       0        3        0        3        0
59100 rust-all                           	       0       14        0        0       14
59101 rust-coreutils                     	       0        1        0        1        0
59102 rust-diffutils                     	       0        1        0        1        0
59103 rust-doc                           	       0       13        0        0       13
59104 rust-findutils                     	       0        1        0        1        0
59105 rust-lldb                          	       0        4        0        4        0
59106 rust-markdown                      	       0        2        0        2        0
59107 rust-oids                          	       0        1        0        1        0
59108 rustc-mozilla                      	       0        2        0        2        0
59109 rustc-web                          	       0        1        0        1        0
59110 rustdesk                           	       0       24        0       21        3
59111 rustfmt-web                        	       0        1        0        1        0
59112 rustup                             	       0        2        0        2        0
59113 rutebook                           	       0        1        0        0        1
59114 rutokenplugin                      	       0        2        1        1        0
59115 rviz                               	       0        1        0        1        0
59116 rwall                              	       0        2        0        2        0
59117 rwhod                              	       0       13        2       11        0
59118 rx320                              	       0        1        0        1        0
59119 rxp                                	       0        1        0        1        0
59120 rxvt                               	       0       31        3       28        0
59121 rxvt-ml                            	       0        4        1        3        0
59122 rxvt-unicode-256color              	       0       26        0        5       21
59123 rxvt-unicode-lite                  	       0        2        0        0        2
59124 rygel-2.6-dev                      	       0        1        0        1        0
59125 rygel-gst-launch                   	       0        1        0        0        1
59126 rygel-playbin                      	       0      134        1        4      129
59127 rygel-preferences                  	       0       10        0       10        0
59128 rygel-ruih                         	       0        2        0        0        2
59129 rygel-tracker                      	       0      131        1        3      127
59130 ryzom-client-config                	       0        1        0        0        1
59131 s-nail                             	       0       64        2       62        0
59132 s3270                              	       0        2        0        2        0
59133 s3cmd                              	       0        8        0        8        0
59134 s3d-data                           	       0        1        0        0        1
59135 s3dfm                              	       0        3        0        3        0
59136 s3dvt                              	       0        2        0        2        0
59137 s3dx11gate                         	       0        1        0        1        0
59138 s4cmd                              	       0        2        0        2        0
59139 s5                                 	       0        4        0        4        0
59140 s51dude                            	       0        1        0        1        0
59141 s6                                 	       0        8        0        8        0
59142 s6-doc                             	       0        6        0        0        6
59143 sa-compile                         	       0       99        7       92        0
59144 sa-exim                            	       0        2        0        2        0
59145 sabnzbdplus                        	       0        1        0        1        0
59146 sac                                	       0        2        0        2        0
59147 sacc                               	       0        4        0        4        0
59148 sacd                               	       0        1        0        1        0
59149 sacd-extract                       	       0        1        0        1        0
59150 safe-rm                            	       0        1        1        0        0
59151 safecat                            	       0        2        0        2        0
59152 safecopy                           	       0       44        1       43        0
59153 safeeyes                           	       0        4        0        4        0
59154 safte-monitor                      	       0        1        0        1        0
59155 saga                               	       0        6        1        5        0
59156 saga-common                        	       0        7        0        0        7
59157 sagemath                           	       0        9        1        8        0
59158 sagemath-common                    	       0        2        1        1        0
59159 sagemath-database-conway-polynomials	       0       12        0        0       12
59160 sagemath-database-cremona-elliptic-curves	       0        2        0        0        2
59161 sagemath-database-elliptic-curves  	       0       12        0        0       12
59162 sagemath-database-graphs           	       0       12        0        0       12
59163 sagemath-database-mutually-combinatorial-designs	       0       12        0        0       12
59164 sagemath-database-polytopes        	       0       12        0        0       12
59165 sagemath-doc                       	       0       10        0        0       10
59166 sagemath-doc-en                    	       0        2        0        0        2
59167 sagemath-jupyter                   	       0        9        0        0        9
59168 sagetex                            	       0        8        0        0        8
59169 sagetex-doc                        	       0        2        0        0        2
59170 saidar                             	       0        7        0        7        0
59171 sail-codecs                        	       0        2        0        0        2
59172 sailcut                            	       0        1        0        1        0
59173 saint                              	       0        1        0        1        0
59174 sakura                             	       0       48        8       40        0
59175 salliere                           	       0        9        0        9        0
59176 salmid                             	       0        1        0        1        0
59177 salmon                             	       0        1        0        1        0
59178 salt-api                           	       0        1        0        1        0
59179 salt-cloud                         	       0        1        0        1        0
59180 salt-common                        	       0        6        3        3        0
59181 salt-doc                           	       0        1        0        0        1
59182 salt-master                        	       0        1        0        1        0
59183 salt-minion                        	       0        4        2        2        0
59184 salt-pepper                        	       0        1        0        1        0
59185 salt-ssh                           	       0        1        0        1        0
59186 sam                                	       0        1        0        1        0
59187 sam2p                              	       0        3        0        3        0
59188 samba-ad-provision                 	       0      196        0        0      196
59189 samba-common                       	       0     1073        0        0     1073
59190 samba-doc                          	       0       16        0       16        0
59191 samba-doc-pdf                      	       0        3        0        0        3
59192 samba-dsdb-modules                 	       0      919        5       12      902
59193 samba-vfs-ceph                     	       0        1        0        0        1
59194 samba-vfs-modules                  	       0      337        4        6      327
59195 samba-vfs-replic                   	       0        1        0        0        1
59196 samdump2                           	       0       26        0       26        0
59197 samhain                            	       0        3        0        3        0
59198 samplerate-programs                	       0        1        0        0        1
59199 samplv1                            	       0        7        0        7        0
59200 samplv1-common                     	       0        9        0        1        8
59201 samplv1-lv2                        	       0        5        0        5        0
59202 samtools                           	       0        7        0        7        0
59203 sancho                             	       0        1        0        1        0
59204 sandsifter-build-deps              	       0        1        0        0        1
59205 sane-dbg                           	       0        1        0        1        0
59206 sanitizer                          	       0        1        0        1        0
59207 sanoid                             	       0        4        0        4        0
59208 saods9                             	       0       12        0       12        0
59209 saods9-doc                         	       0       12        0        0       12
59210 saods9-tclpackages                 	       0        1        0        0        1
59211 saoxmlrpc                          	       0        1        0        0        1
59212 sapmachine-11-jdk                  	       0        1        0        1        0
59213 sapphire                           	       0        1        0        1        0
59214 sarg                               	       0        1        0        1        0
59215 sas2ircu                           	       0        4        0        4        0
59216 sash                               	       0       31        0       31        0
59217 sasl2-bin                          	       0       58       10       48        0
59218 sasm                               	       0        1        0        1        0
59219 sasmodels-private-libs             	       0        1        0        1        0
59220 sass-elisp                         	       0        1        0        1        0
59221 sat4j                              	       0        5        0        5        0
59222 satellite-gtk                      	       0        2        0        2        0
59223 sauerbraten                        	       0        5        0        5        0
59224 savi                               	       0        5        0        5        0
59225 sawfish                            	       0       19        1       18        0
59226 sawfish-data                       	       0       19        0       17        2
59227 sawfish-lisp-source                	       0        7        0        0        7
59228 sawfish-merlin-ugliness            	       0        1        0        0        1
59229 sawfish-pager                      	       0        1        0        1        0
59230 sawfish-themes                     	       0        5        0        0        5
59231 sayonara                           	       0        6        0        6        0
59232 saytime                            	       0        2        0        2        0
59233 sbackup                            	       0        1        0        1        0
59234 sbc-tools                          	       0        2        0        2        0
59235 sbcl-doc                           	       0       13        0        0       13
59236 sbrsh                              	       0        1        0        1        0
59237 sbrshd                             	       0        1        0        1        0
59238 sbsigntool                         	       0       85        0       85        0
59239 sbt                                	       0        2        0        2        0
59240 sbt-ivy                            	       0        1        0        0        1
59241 sbuild-debian-developer-setup      	       0        1        0        1        0
59242 sbuild-qemu                        	       0        2        0        2        0
59243 sbws                               	       0        2        0        2        0
59244 sbxkb                              	       0        1        0        1        0
59245 sc-im                              	       0        1        0        1        0
59246 sc3-plugins                        	       0        1        0        0        1
59247 sc3-plugins-language               	       0        1        0        0        1
59248 scala                              	       0        9        0        9        0
59249 scala-asm                          	       0        1        0        0        1
59250 scala-doc                          	       0        2        0        0        2
59251 scala-library                      	       0       15        0        0       15
59252 scala-mode-el                      	       0        1        0        0        1
59253 scala-parser-combinators           	       0       15        0        0       15
59254 scala-xml                          	       0       10        0        0       10
59255 scalable-cyrfonts-tex              	       0        1        0        0        1
59256 scalapack-mpi-test                 	       0        1        0        0        1
59257 scalapack-test-common              	       0        1        0        0        1
59258 scale2x                            	       0        1        0        1        0
59259 scalpel                            	       0       45        0       45        0
59260 scamp                              	       0        2        0        2        0
59261 scamper                            	       0        3        1        2        0
59262 scanbd                             	       0        1        0        1        0
59263 scangearmp-common                  	       0        2        0        2        0
59264 scangearmp-mg2500series            	       0        1        0        1        0
59265 scangearmp-mg3500series            	       0        1        0        1        0
59266 scangearmp2                        	       0        6        0        6        0
59267 scanlogd                           	       0        1        1        0        0
59268 scanssh                            	       0        9        1        8        0
59269 scantailor                         	       0        1        0        1        0
59270 scantailor-advanced                	       0        1        0        1        0
59271 scantailor-deviant                 	       0        1        0        1        0
59272 scantailor-experimental            	       0        1        0        1        0
59273 scantailor-universal               	       0        1        0        1        0
59274 scantool                           	       0        4        0        4        0
59275 sccache                            	       0        5        1        4        0
59276 scdaemon                           	       0       68        6       62        0
59277 scdoc                              	       0       20        0       20        0
59278 scdoc-dbgsym                       	       0        1        0        1        0
59279 scenebuilder                       	       0        2        0        1        1
59280 sch-rnd                            	       0        2        0        0        2
59281 sch-rnd-core                       	       0        2        0        2        0
59282 sch-rnd-doc                        	       0        2        0        0        2
59283 sch-rnd-export-extra               	       0        2        0        2        0
59284 sch-rnd-export-vector              	       0        2        0        2        0
59285 sch-rnd-io-alien                   	       0        2        0        2        0
59286 sch-rnd-lib-gui                    	       0        2        0        2        0
59287 schedtool                          	       0       32        3       29        0
59288 schema2ldif                        	       0        5        0        5        0
59289 scheme48                           	       0        4        0        4        0
59290 scheme48-doc                       	       0        4        0        0        4
59291 scheme9                            	       0        2        0        2        0
59292 schildichat-desktop                	       0        2        0        0        2
59293 schism                             	       0       20        1       19        0
59294 schroot                            	       0       54       10       44        0
59295 schroot-common                     	       0       56        0        0       56
59296 scid                               	       0       18        0       18        0
59297 scid-data                          	       0       18        0        0       18
59298 scid-rating-data                   	       0        2        0        0        2
59299 scid-spell-data                    	       0        2        0        0        2
59300 sciebo-client                      	       0        3        0        3        0
59301 sciebo-client-caja                 	       0        1        0        0        1
59302 sciebo-client-overlays-icons       	       0        1        0        0        1
59303 science-all                        	       0        1        0        0        1
59304 science-config                     	       0       11        0       11        0
59305 science-electronics                	       0        2        0        1        1
59306 science-highenergy-physics         	       0        1        0        1        0
59307 science-imageanalysis              	       0        2        0        2        0
59308 science-imageanalysis-dev          	       0        2        0        2        0
59309 science-linguistics                	       0        1        0        1        0
59310 science-machine-learning           	       0        2        0        2        0
59311 science-neuroscience-modeling      	       0        1        0        1        0
59312 science-numericalcomputation       	       0        1        0        1        0
59313 science-tasks                      	       0       11        0        0       11
59314 science-typesetting                	       0        3        0        3        0
59315 scilab                             	       0       15        1       14        0
59316 scilab-ann                         	       0        2        0        2        0
59317 scilab-celestlab                   	       0        1        0        1        0
59318 scilab-cli                         	       0       17        0       17        0
59319 scilab-data                        	       0       17        0       17        0
59320 scilab-doc                         	       0       12        0        0       12
59321 scilab-doc-fr                      	       0        1        0        0        1
59322 scilab-doc-pt-br                   	       0        1        0        0        1
59323 scilab-full-bin                    	       0       15        1       14        0
59324 scilab-jims                        	       0        1        0        1        0
59325 scilab-overload                    	       0        1        0        1        0
59326 scilab-plotlib                     	       0        1        0        1        0
59327 scilab-scimax                      	       0        1        0        1        0
59328 scilab-scimax-doc                  	       0        1        0        1        0
59329 scilab-test                        	       0        1        0        1        0
59330 scim                               	       0        8        0        8        0
59331 scim-anthy                         	       0        6        0        4        2
59332 scim-dev-doc                       	       0        2        0        0        2
59333 scim-gtk-immodule                  	       0        8        0        4        4
59334 scim-im-agent                      	       0        8        0        8        0
59335 scim-modules-socket                	       0        8        0        4        4
59336 scim-modules-table                 	       0        2        0        2        0
59337 scim-qt-immodule                   	       0        1        0        0        1
59338 scim-tables-ja                     	       0        2        0        0        2
59339 sciplot-bin                        	       0        1        0        1        0
59340 scm                                	       0        4        0        4        0
59341 scmxx                              	       0        2        0        2        0
59342 scons                              	       0       59        2       57        0
59343 scons-doc                          	       0        3        0        0        3
59344 scorched3d                         	       0       13        0       13        0
59345 scorched3d-data                    	       0       14        0        0       14
59346 scotch                             	       0        1        0        1        0
59347 scottfree                          	       0        7        0        7        0
59348 scour                              	       0      101        1      100        0
59349 scowl                              	       0        1        0        0        1
59350 scram                              	       0        2        0        2        0
59351 scram-gui                          	       0        1        0        1        0
59352 scratch-desktop                    	       0        2        0        2        0
59353 scratchbox-core                    	       0        1        1        0        0
59354 scratchbox-devkit-apt-https        	       0        1        0        1        0
59355 scratchbox-devkit-autotools-legacy 	       0        1        0        1        0
59356 scratchbox-devkit-debian-squeeze   	       0        1        0        1        0
59357 scratchbox-devkit-doctools         	       0        1        0        1        0
59358 scratchbox-devkit-git              	       0        1        0        1        0
59359 scratchbox-devkit-perl             	       0        1        0        1        0
59360 scratchbox-devkit-python-legacy    	       0        1        0        1        0
59361 scratchbox-devkit-qemu             	       0        1        0        1        0
59362 scratchbox-devkit-svn              	       0        1        0        1        0
59363 scratchbox-libs                    	       0        2        0        2        0
59364 scratchbox-toolchain-cs2007q3-glibc2.5-arm7	       0        1        0        1        0
59365 scratchbox-toolchain-cs2007q3-glibc2.5-i486	       0        1        0        1        0
59366 scratchbox-toolchain-cs2009q3-eglibc2.10-armv7-hard	       0        1        0        1        0
59367 scratchbox-toolchain-cs2009q3-eglibc2.10-i486	       0        1        0        1        0
59368 scratchbox-toolchain-host-gcc      	       0        2        0        2        0
59369 scrcpy                             	       0       17        1       16        0
59370 scrcpy-server                      	       0       19        0        0       19
59371 screenkey                          	       0       11        0       11        0
59372 screenruler                        	       0       21        0       21        0
59373 screentest                         	       0        4        0        4        0
59374 scribble                           	       0        1        0        1        0
59375 scribus-archive-keyring            	       0        1        0        0        1
59376 scribus-data                       	       0      137        0        0      137
59377 scribus-dev                        	       0        3        0        3        0
59378 scribus-doc                        	       0       28        0        0       28
59379 scribus-ng                         	       0        2        0        0        2
59380 scribus-ng-doc                     	       0        1        0        0        1
59381 scribus-template                   	       0       39        0        0       39
59382 scrm                               	       0        1        0        1        0
59383 scrollkeeper                       	       0        4        0        0        4
59384 scrollz                            	       0        2        0        2        0
59385 scrounge-ntfs                      	       0       50        1       49        0
59386 scsi-idle                          	       0        1        0        1        0
59387 scsiadd                            	       0        3        0        3        0
59388 scsitools                          	       0       30        0       30        0
59389 scst                               	       0        1        0        0        1
59390 scst-dkms                          	       0        1        0        1        0
59391 scstadmin                          	       0        2        0        2        0
59392 sct                                	       0        8        2        6        0
59393 sctk                               	       0        2        0        2        0
59394 scummvm                            	       0       61        1       60        0
59395 scummvm-data                       	       0       61        0        0       61
59396 scummvm-tools                      	       0       10        0       10        0
59397 scute                              	       0        3        0        0        3
59398 scythe                             	       0        1        0        1        0
59399 sd                                 	       0        3        2        1        0
59400 sd2epub                            	       0        1        0        1        0
59401 sd2odf                             	       0        1        0        1        0
59402 sdate                              	       0        1        0        1        0
59403 sdb                                	       0        1        0        1        0
59404 sdcc                               	       0       27        0       27        0
59405 sdcc-doc                           	       0       24        0        0       24
59406 sdcc-libraries                     	       0       27        0       27        0
59407 sdcc-ucsim                         	       0       10        0       10        0
59408 sdcv                               	       0        6        1        5        0
59409 sdd                                	       0        1        0        1        0
59410 sddm-theme-breeze                  	       0      357        0        0      357
59411 sddm-theme-circles                 	       0        1        0        0        1
59412 sddm-theme-debian-breeze           	       0      324        0        0      324
59413 sddm-theme-debian-elarun           	       0       31        0        0       31
59414 sddm-theme-debian-maui             	       0      188        0        0      188
59415 sddm-theme-elarun                  	       0       32        0        0       32
59416 sddm-theme-maldives                	       0       51        0        0       51
59417 sddm-theme-maui                    	       0      151        0        0      151
59418 sddm-theme-maya                    	       0       26        0        0       26
59419 sdf                                	       0        6        0        6        0
59420 sdf-doc                            	       0        2        0        0        2
59421 sdformat-doc                       	       0        1        0        0        1
59422 sdformat-sdf                       	       0        2        0        0        2
59423 sdkmanager                         	       0        5        1        4        0
59424 sdl-ball                           	       0        8        0        8        0
59425 sdl-ball-data                      	       0        8        0        0        8
59426 sdlbasic                           	       0        2        0        2        0
59427 sdlbrt                             	       0        2        0        2        0
59428 sdlfrotz                           	       0        7        0        7        0
59429 sdop                               	       0        5        0        5        0
59430 sdpb                               	       0        1        1        0        0
59431 sdpb-doc                           	       0        1        0        0        1
59432 sdrangelove                        	       0        3        0        3        0
59433 sdrpp                              	       0        4        0        4        0
59434 seabios                            	       0      658        0        0      658
59435 seadrive-daemon                    	       0        1        0        1        0
59436 seafile-cli                        	       0        2        0        2        0
59437 seafile-daemon                     	       0        6        0        6        0
59438 seafile-gui                        	       0        5        0        5        0
59439 seahorse-adventures                	       0        1        0        1        0
59440 seahorse-nautilus                  	       0        1        0        1        0
59441 seamonkey                          	       0        2        0        2        0
59442 sear                               	       0        1        0        1        0
59443 sear-media                         	       0        1        0        0        1
59444 search-ccsb                        	       0        2        0        2        0
59445 search-citeseer                    	       0        3        0        3        0
59446 searchandrescue                    	       0        9        0        9        0
59447 searchandrescue-common             	       0        9        0        0        9
59448 searchandrescue-data               	       0        9        0        0        9
59449 searchmonkey                       	       0       19        1       18        0
59450 searx                              	       0        5        0        5        0
59451 seaview                            	       0        1        0        1        0
59452 sec                                	       0        1        0        1        0
59453 secback-client                     	       0        1        0        1        0
59454 secback-client-dbgsym              	       0        1        0        1        0
59455 secback-libs                       	       0        1        1        0        0
59456 secback-libs-dbgsym                	       0        1        0        1        0
59457 secback-server                     	       0        1        1        0        0
59458 secback-server-dbgsym              	       0        1        0        1        0
59459 secback-simpel-config              	       0        1        0        0        1
59460 seccomp                            	       0        3        0        3        0
59461 seccure                            	       0        3        0        3        0
59462 secpanel                           	       0        3        0        3        0
59463 secrecy                            	       0        2        0        2        0
59464 secrets                            	       0        2        0        2        0
59465 securefs                           	       0        1        0        1        0
59466 sedparse                           	       0        1        0        1        0
59467 sedsed                             	       0        1        0        1        0
59468 seed                               	       0        1        0        1        0
59469 seedtools                          	       0        2        0        2        0
59470 seekwatcher                        	       0        1        0        1        0
59471 segger-jlink-udev-rules            	       0        4        0        0        4
59472 select-xface                       	       0        3        0        3        0
59473 selektor                           	       0        3        0        3        0
59474 self-service-password              	       0        2        0        2        0
59475 selfhtml                           	       0        3        0        0        3
59476 selflinux                          	       0        1        0        1        0
59477 selflinux-pdf                      	       0        1        0        0        1
59478 selint                             	       0        1        0        1        0
59479 selinux-basics                     	       0        3        0        3        0
59480 selinux-doc                        	       0        1        0        0        1
59481 selinux-policy-default             	       0        9        0        0        9
59482 selinux-policy-dev                 	       0        4        0        4        0
59483 selinux-policy-doc                 	       0        1        0        0        1
59484 selinux-policy-mls                 	       0        2        0        0        2
59485 selinux-policy-src                 	       0        1        0        0        1
59486 semanage-utils                     	       0        1        0        0        1
59487 semi                               	       0        2        0        2        0
59488 semodule-utils                     	       0        4        0        4        0
59489 sendemail                          	       0       10        0       10        0
59490 sendfile                           	       0        4        0        4        0
59491 sendip                             	       0        4        0        4        0
59492 sendmail                           	       0       39        0        0       39
59493 sendmail-base                      	       0       53        1       52        0
59494 sendmail-bin                       	       0       50        9       41        0
59495 sendmail-cf                        	       0       56        0        0       56
59496 sendmail-doc                       	       0       12        0        0       12
59497 sendxmpp                           	       0        7        1        6        0
59498 sensible-mda                       	       0       41        5       36        0
59499 sensord                            	       0        2        1        1        0
59500 sensors-applet                     	       0       25        0        0       25
59501 sent                               	       0        8        0        8        0
59502 sentencepiece                      	       0        1        0        1        0
59503 sentinelagent                      	       0       12        0        0       12
59504 sentineldl                         	       0        2        0        2        0
59505 sentinelsat                        	       0        4        0        4        0
59506 seq24                              	       0        9        0        9        0
59507 seqkit                             	       0        1        0        1        0
59508 seqprep                            	       0        1        0        1        0
59509 seqtk                              	       0        2        0        2        0
59510 sequeler                           	       0        4        0        4        0
59511 sequencer64                        	       0        5        0        5        0
59512 ser                                	       0        1        0        1        0
59513 ser-jabber-module                  	       0        1        0        1        0
59514 ser2net                            	       0        4        1        3        0
59515 seriousproton-build-deps           	       0        1        0        0        1
59516 servefile                          	       0        1        0        1        0
59517 session-desktop                    	       0        2        0        2        0
59518 session-manager-plugin             	       0        1        0        1        0
59519 sessioninstaller                   	       0        1        0        1        0
59520 setbfree                           	       0        5        0        5        0
59521 setcd                              	       0       16        0       16        0
59522 setnet                             	       0       23        0       23        0
59523 setools                            	       0       10        0       10        0
59524 setools-gui                        	       0        2        0        2        0
59525 setpriv                            	       0        1        0        1        0
59526 setpwc                             	       0        1        0        1        0
59527 setzer                             	       0        4        0        4        0
59528 sextractor                         	       0        9        0        1        8
59529 seyon                              	       0        2        0        2        0
59530 sf2-librazik                       	       0        1        0        0        1
59531 sf2-uiems                          	       0        1        0        0        1
59532 sf3convert                         	       0        3        0        3        0
59533 sfarkxtc                           	       0        2        0        2        0
59534 sfcb                               	       0        1        0        1        0
59535 sfeed                              	       0        1        0        1        0
59536 sfftobmp                           	       0        4        0        4        0
59537 sfftw-dev                          	       0        4        0        4        0
59538 sfftw2                             	       0        5        0        0        5
59539 sffview                            	       0        4        0        4        0
59540 sfind                              	       0        1        0        1        0
59541 sfizz                              	       0        2        0        2        0
59542 sfnt2woff-zopfli                   	       0        1        0        1        0
59543 sformat                            	       0        2        0        2        0
59544 sfwbar                             	       0        1        0        1        0
59545 sfz-avldrumkits                    	       0        1        0        0        1
59546 sfz-librazik                       	       0        1        0        0        1
59547 sfz-nobudgetorchestra              	       0        1        0        0        1
59548 sg3-utils-udev                     	       0       10        0       10        0
59549 sgabios                            	       0       15        0        0       15
59550 sgb                                	       0        1        0        1        0
59551 sgb-doc                            	       0        1        0        0        1
59552 sgf2dg                             	       0        3        0        3        0
59553 sgml-base-doc                      	       0       12        0        0       12
59554 sgml-data                          	       0     2028        0        0     2028
59555 sgml-spell-checker                 	       0        2        0        2        0
59556 sgmls-doc                          	       0        9        0        0        9
59557 sgmltools-lite                     	       0        2        0        2        0
59558 sgrep                              	       0        5        0        5        0
59559 sgt-puzzles                        	       0       40        1       39        0
59560 sha1cdsum                          	       0        3        0        2        1
59561 shaderc                            	       0        2        0        2        0
59562 shadowsocks-libev                  	       0        4        1        3        0
59563 shadowsocks-v2ray-plugin           	       0        1        0        1        0
59564 shake-fs                           	       0        1        0        1        0
59565 shaketracker                       	       0        1        0        1        0
59566 shanty                             	       0        1        0        1        0
59567 shapelib                           	       0        3        0        3        0
59568 shapetools-tutorial                	       0        1        0        0        1
59569 shared-desktop-ontologies          	       0       11        0        0       11
59570 sharutils-doc                      	       0       15        0        0       15
59571 shatag                             	       0        1        0        1        0
59572 shc                                	       0        7        0        7        0
59573 shed                               	       0       32        0       32        0
59574 shelldap                           	       0        3        0        3        0
59575 shellex                            	       0        1        0        1        0
59576 shellia                            	       0        3        0        0        3
59577 shellinabox                        	       0        7        2        5        0
59578 shelr                              	       0        2        0        2        0
59579 sherlock                           	       0        1        0        1        0
59580 sherlock.lv2                       	       0        1        0        1        0
59581 shiboken2-doc                      	       0        2        0        0        2
59582 shift-nano                         	       0        1        0        0        1
59583 shiki-brave-theme                  	       0       14        0        0       14
59584 shiki-colors                       	       0       12        0        0       12
59585 shiki-colors-metacity-theme        	       0       15        0        0       15
59586 shiki-colors-xfwm-theme            	       0       20        0        0       20
59587 shiki-dust-theme                   	       0       15        0        0       15
59588 shiki-human-theme                  	       0       15        0        0       15
59589 shiki-illustrious-theme            	       0       15        0        0       15
59590 shiki-noble-theme                  	       0       15        0        0       15
59591 shiki-wine-theme                   	       0       16        0        0       16
59592 shiki-wise-theme                   	       0       15        0        0       15
59593 shim                               	       0        1        0        1        0
59594 shim-helpers-amd64-signed-template 	       0        2        0        0        2
59595 shim-helpers-i386-signed           	       0        2        0        2        0
59596 shineenc                           	       0        1        0        1        0
59597 shiro-plugins                      	       0        2        0        2        0
59598 shishi-common                      	       0        5        0        0        5
59599 shogivar                           	       0        3        0        3        0
59600 shogivar-data                      	       0        3        0        0        3
59601 shoogle                            	       0        1        0        1        0
59602 shorewall-doc                      	       0        9        0        0        9
59603 shotcut-data                       	       0       60        0        0       60
59604 shotdetect                         	       0        1        0        1        0
59605 shove                              	       0        1        0        1        0
59606 showq                              	       0        5        0        5        0
59607 shunit2                            	       0        4        0        4        0
59608 si                                 	       0        1        0        1        0
59609 sibsim4                            	       0        1        0        1        0
59610 sic                                	       0        1        0        1        0
59611 sidplay                            	       0        6        0        6        0
59612 siduction-archive-keyring          	       0        2        0        0        2
59613 siege                              	       0        2        0        2        0
59614 sieve-connect                      	       0        2        0        2        0
59615 siftool                            	       0        1        0        1        0
59616 siggen                             	       0        3        0        3        0
59617 sightviewer                        	       0        1        0        1        0
59618 sigil-data                         	       0       24        0        0       24
59619 sigma-align                        	       0        1        0        1        0
59620 signal-desktop                     	       0      161        9       34      118
59621 signal-desktop-beta                	       0        2        1        0        1
59622 signald                            	       0        1        0        1        0
59623 signaldctl                         	       0        1        0        1        0
59624 signapk                            	       0        2        0        2        0
59625 signify                            	       0        3        0        3        0
59626 signify-openbsd                    	       0       17        0       17        0
59627 signify-openbsd-keys               	       0        4        0        0        4
59628 signing-party                      	       0        7        0        7        0
59629 signon-kwallet-extension           	       0       28        0        0       28
59630 signon-plugin-oauth2               	       0      769        0        0      769
59631 signon-plugin-oauth2-dev           	       0        1        0        1        0
59632 signon-plugin-password             	       0       29        0        0       29
59633 signon-ui-service                  	       0       29        0        0       29
59634 signond-dev                        	       0        1        0        1        0
59635 signond-doc                        	       0        1        0        0        1
59636 sigrok                             	       0       15        0        0       15
59637 sigrok-firmware-fx2lafw            	       0       16        0        0       16
59638 sigviewer                          	       0        3        0        3        0
59639 silan                              	       0        6        0        6        0
59640 silentjack                         	       0        9        0        9        0
59641 silkaj                             	       0        1        0        1        0
59642 silversearcher-ag                  	       0       22        0       22        0
59643 sim-data                           	       0        1        0        0        1
59644 sim4                               	       0        4        0        4        0
59645 sim4db                             	       0        2        0        2        0
59646 simavr                             	       0        8        0        8        0
59647 simg2img                           	       0        3        0        0        3
59648 simh                               	       0       11        0       11        0
59649 simhash                            	       0        1        0        1        0
59650 similarity-tester                  	       0        4        0        4        0
59651 simple-cdd                         	       0        4        0        4        0
59652 simple-fb2-reader                  	       0        1        0        1        0
59653 simple-image-filter                	       0        1        0        1        0
59654 simple-image-reducer               	       0        1        0        1        0
59655 simple-mtpfs                       	       0        1        0        1        0
59656 simple-netaid-gtk2                 	       0        1        0        1        0
59657 simple-obfs                        	       0        1        0        1        0
59658 simpleburn                         	       0        2        0        2        0
59659 simplemonitor                      	       0        1        0        1        0
59660 simplenote                         	       0        1        0        0        1
59661 simpleproxy                        	       0        4        0        4        0
59662 simplescreenrecorder-lib           	       0      158        0        0      158
59663 simpletimesheet                    	       0        1        0        0        1
59664 simplex                            	       0        4        0        4        0
59665 simplyhtml-doc                     	       0        1        0        0        1
59666 simstring-bin                      	       0        1        0        1        0
59667 simulavr                           	       0        8        0        8        0
59668 simulide                           	       0       17        0       17        0
59669 simulpic                           	       0        7        0        7        0
59670 simutrans                          	       0       10        0       10        0
59671 simutrans-data                     	       0       10        0        0       10
59672 simutrans-pak128.britain           	       0        4        0        0        4
59673 simutrans-pak64                    	       0       11        0        0       11
59674 sing                               	       0        1        0        1        0
59675 singular                           	       0       15        0        0       15
59676 singular-data                      	       0       15        0        0       15
59677 singular-doc                       	       0       13        0        0       13
59678 singular-modules                   	       0       15        0        0       15
59679 singularity                        	       0        5        1        4        0
59680 singularity-ce                     	       0        1        0        1        0
59681 singularity-music                  	       0        5        0        0        5
59682 sinntp                             	       0        2        0        2        0
59683 sioyek                             	       0        5        0        5        0
59684 sip-tester                         	       0        5        0        5        0
59685 sip-tools                          	       0        2        0        2        0
59686 sip5-tools                         	       0        1        0        1        0
59687 sip6-doc                           	       0        1        0        0        1
59688 sipcalc                            	       0       31        0       31        0
59689 sipsak                             	       0        5        0        5        0
59690 sirikali                           	       0       10        0       10        0
59691 siril                              	       0        3        0        3        0
59692 siril-common                       	       0        3        0        0        3
59693 sispmctl                           	       0        1        0        1        0
59694 sispwctrl                          	       0        1        0        1        0
59695 sisu                               	       0        2        0        2        0
59696 sisu-markup-samples                	       0        1        0        0        1
59697 sisu-pdf                           	       0        2        0        2        0
59698 sisu-postgresql                    	       0        2        0        2        0
59699 sisu-sqlite                        	       0        2        0        2        0
59700 sitecopy                           	       0        8        0        8        0
59701 sjaakii                            	       0        4        0        4        0
59702 skalibs                            	       0        1        0        0        1
59703 skeema                             	       0        1        0        1        0
59704 sketch                             	       0        9        0        9        0
59705 sketch-doc                         	       0        6        0        0        6
59706 skippy-xd                          	       0        3        0        3        0
59707 skkdic                             	       0       10        0        3        7
59708 skkdic-cdb                         	       0        1        0        0        1
59709 skkdic-extra                       	       0        5        0        1        4
59710 skladnik                           	       0        7        0        7        0
59711 skopeo                             	       0        4        0        4        0
59712 skrooge-common                     	       0       13        0        0       13
59713 sks                                	       0        1        0        1        0
59714 skycat                             	       0        7        0        7        0
59715 skydns                             	       0        1        0        1        0
59716 skylighting                        	       0        1        0        1        0
59717 skype                              	       0        1        0        1        0
59718 skypeforlinux                      	       0       63        3       60        0
59719 skypeweb                           	       0        1        0        0        1
59720 skyview                            	       0        2        0        2        0
59721 skyview-java                       	       0        2        0        0        2
59722 sl                                 	       0       63        0       63        0
59723 sl-modem-daemon                    	       0        1        0        1        0
59724 sl-modem-dkms                      	       0        1        0        1        0
59725 sl-modem-source                    	       0        1        0        0        1
59726 sla                                	       0        1        0        1        0
59727 slac                               	       0        1        0        1        0
59728 slade                              	       0        2        0        2        0
59729 slang-cfitsio                      	       0        2        0        0        2
59730 slang-curl                         	       0        3        0        0        3
59731 slang-expat                        	       0        2        0        2        0
59732 slang-gdbm                         	       0        2        0        2        0
59733 slang-gsl                          	       0        1        0        0        1
59734 slang-pvm                          	       0        1        0        1        0
59735 slang-sqlite                       	       0        2        0        0        2
59736 slang-tess                         	       0        1        0        1        0
59737 slang-wildcard                     	       0        2        0        2        0
59738 slang-xfig                         	       0        1        0        0        1
59739 slang1                             	       0        2        0        0        2
59740 slapd                              	       0       35        9       26        0
59741 slapd-contrib                      	       0        4        0        4        0
59742 slashem                            	       0       21        0       21        0
59743 slashem-common                     	       0       25        3       22        0
59744 slashem-gtk                        	       0        7        0        7        0
59745 slashem-sdl                        	       0        3        0        3        0
59746 slashem-x11                        	       0        4        0        4        0
59747 slashtime                          	       0        2        0        2        0
59748 slay                               	       0       17        0       17        0
59749 sleepd                             	       0        7        0        7        0
59750 sleepenh                           	       0        1        0        1        0
59751 slib                               	       0       14        0       14        0
59752 slic3r                             	       0       22        0       22        0
59753 slic3r-prusa                       	       0        6        0        1        5
59754 slic3r-prusa-build-deps            	       0        1        0        0        1
59755 slice                              	       0        6        0        6        0
59756 slim-dbgsym                        	       0        1        0        1        0
59757 slimbookbattery                    	       0        1        1        0        0
59758 slimevolley                        	       0        1        0        1        0
59759 slimevolley-data                   	       0        1        0        0        1
59760 slimit                             	       0        1        0        1        0
59761 slingshot                          	       0        2        0        2        0
59762 slirp                              	       0        5        0        5        0
59763 slkvm-novz                         	       0        1        0        1        0
59764 slocate                            	       0        1        1        0        0
59765 slony1-2-bin                       	       0        2        0        2        0
59766 slony1-2-doc                       	       0        1        0        0        1
59767 slop                               	       0       18        0       18        0
59768 slrn                               	       0       20        2       18        0
59769 slrnface                           	       0        4        0        4        0
59770 slrnpull                           	       0        3        0        3        0
59771 sludge-devkit                      	       0        5        0        5        0
59772 sludge-doc                         	       0        5        0        0        5
59773 sludge-engine                      	       0       10        0       10        0
59774 slugify                            	       0        1        0        1        0
59775 slurm                              	       0       12        0       12        0
59776 slurm-client                       	       0        3        0        3        0
59777 slurm-wlm                          	       0        2        0        0        2
59778 slurm-wlm-basic-plugins            	       0        3        0        1        2
59779 slurm-wlm-basic-plugins-dev        	       0        1        0        0        1
59780 slurm-wlm-elasticsearch-plugin     	       0        1        0        0        1
59781 slurm-wlm-elasticsearch-plugin-dev 	       0        1        0        0        1
59782 slurm-wlm-hdf5-plugin              	       0        1        0        1        0
59783 slurm-wlm-hdf5-plugin-dev          	       0        1        0        0        1
59784 slurm-wlm-influxdb-plugin          	       0        1        0        0        1
59785 slurm-wlm-influxdb-plugin-dev      	       0        1        0        0        1
59786 slurm-wlm-ipmi-plugins             	       0        1        0        0        1
59787 slurm-wlm-ipmi-plugins-dev         	       0        1        0        0        1
59788 slurm-wlm-jwt-plugin               	       0        1        0        0        1
59789 slurm-wlm-jwt-plugin-dev           	       0        1        0        0        1
59790 slurm-wlm-mysql-plugin-dev         	       0        1        0        0        1
59791 slurm-wlm-plugins                  	       0        1        0        0        1
59792 slurm-wlm-plugins-dev              	       0        1        0        0        1
59793 slurm-wlm-rrd-plugin               	       0        1        0        0        1
59794 slurm-wlm-rrd-plugin-dev           	       0        1        0        0        1
59795 slurm-wlm-rsmi-plugin              	       0        1        0        0        1
59796 slurm-wlm-rsmi-plugin-dev          	       0        1        0        0        1
59797 slurmctld                          	       0        2        0        2        0
59798 slurmd                             	       0        2        0        2        0
59799 sm                                 	       0        6        1        5        0
59800 smalt                              	       0        1        0        1        0
59801 smartdimmer                        	       0        3        0        3        0
59802 smartgit                           	       0        4        1        3        0
59803 smartlist                          	       0        1        0        0        1
59804 smartmontools-build-deps           	       0        1        0        0        1
59805 smartmontools-dbgsym               	       0        1        0        1        0
59806 smartpm                            	       0        1        0        1        0
59807 smartpm-core                       	       0        1        0        1        0
59808 smartsvn                           	       0        2        1        1        0
59809 smarty-gettext                     	       0        2        1        1        0
59810 smarty3                            	       0        4        1        3        0
59811 smarty4                            	       0        1        0        1        0
59812 smb2www                            	       0        1        0        1        0
59813 smb4k-trinity                      	       0        1        0        1        0
59814 smbc                               	       0        9        0        9        0
59815 smbfs                              	       0        1        0        1        0
59816 smbmap                             	       0       29        0       29        0
59817 smbnetfs                           	       0       22        2       20        0
59818 smc                                	       0        1        0        1        0
59819 smc-data                           	       0        1        0        0        1
59820 smc-music                          	       0        1        0        0        1
59821 smcroute                           	       0        2        0        2        0
59822 smem                               	       0       26        1       25        0
59823 smemcap                            	       0        2        0        2        0
59824 smemstat                           	       0        7        0        7        0
59825 smenu                              	       0        1        0        1        0
59826 smf-utils                          	       0        2        0        2        0
59827 smi-lib-amdgpu                     	       0        1        0        0        1
59828 smistrip                           	       0       64        1       63        0
59829 smithwaterman                      	       0        1        0        1        0
59830 smitools                           	       0        3        0        3        0
59831 smlnj                              	       0        2        0        2        0
59832 smlnj-runtime                      	       0        2        0        2        0
59833 smokeping                          	       0       16        1       15        0
59834 smpeg-gtv                          	       0        2        0        2        0
59835 smpeg-plaympeg                     	       0        6        0        6        0
59836 smplayer-l10n                      	       0      494        4        8      482
59837 smplayer-skins                     	       0        1        0        0        1
59838 smplayer-themes                    	       0      508        1        4      503
59839 smplayer-translations              	       0        1        0        0        1
59840 smplayer2                          	       0        1        0        1        0
59841 smplayer2-common                   	       0        1        0        0        1
59842 smpq                               	       0        2        0        2        0
59843 sms4you-doc                        	       0        1        0        0        1
59844 smsclient                          	       0        2        0        2        0
59845 smstools                           	       0        5        0        5        0
59846 smtm                               	       0        1        0        1        0
59847 smtp-in                            	       0        2        0        2        0
59848 smtpping                           	       0        4        0        4        0
59849 smuxi                              	       0        1        0        0        1
59850 smuxi-engine                       	       0        1        0        1        0
59851 snac                               	       0        1        0        1        0
59852 snacc                              	       0        4        0        4        0
59853 snacc-doc                          	       0        4        0        0        4
59854 snake4                             	       0        4        1        3        0
59855 snakemake                          	       0        1        0        1        0
59856 snap-aligner                       	       0        1        0        1        0
59857 snap-confine                       	       0        1        0        1        0
59858 snapclient                         	       0        6        1        5        0
59859 snapd-glib-tests                   	       0        1        0        0        1
59860 snapper-gui                        	       0        8        0        8        0
59861 snappymail                         	       0        2        0        2        0
59862 snapraid                           	       0        3        0        3        0
59863 snapserver                         	       0        3        1        2        0
59864 snarf                              	       0        1        0        1        0
59865 snd                                	       0       10        0        0       10
59866 snd-doc                            	       0        9        0        0        9
59867 snd-gtk-jack                       	       0        4        0        0        4
59868 snd-gtk-pulse                      	       0        4        0        0        4
59869 snd-gui-jack                       	       0       10        1        9        0
59870 snd-gui-pulse                      	       0        6        0        6        0
59871 snd-nox                            	       0        4        0        4        0
59872 sndiod                             	       0       21        3       18        0
59873 snes9x                             	       0        1        0        1        0
59874 snetaid                            	       0        1        0        1        0
59875 snetz                              	       0        1        0        1        0
59876 sni-qt                             	       0       21        0        0       21
59877 snibbetracker                      	       0        1        0        1        0
59878 sniffit                            	       0        4        0        4        0
59879 sniproxy                           	       0        1        0        1        0
59880 snis                               	       0        2        1        1        0
59881 snis-build-deps                    	       0        1        0        0        1
59882 snis-dbgsym                        	       0        1        0        1        0
59883 snmp-mibs-downloader               	       0       62        1       61        0
59884 snmpb                              	       0        1        0        1        0
59885 snmpd                              	       0       90       16       74        0
59886 snmpsim                            	       0        2        0        2        0
59887 snmptrapd                          	       0        7        0        7        0
59888 snmptrapfmt                        	       0        5        1        4        0
59889 snmptt                             	       0        5        0        5        0
59890 snooper                            	       0        2        0        2        0
59891 snooze                             	       0        2        0        2        0
59892 snort                              	       0        7        1        6        0
59893 snort-common                       	       0        8        1        7        0
59894 snort-common-libraries             	       0        8        1        7        0
59895 snort-doc                          	       0        4        0        0        4
59896 snort-rules-default                	       0       11        0        0       11
59897 snowballz                          	       0        2        0        2        0
59898 snowdrop                           	       0       22        0       22        0
59899 snowflake-odbc                     	       0        1        0        1        0
59900 snowflake-proxy                    	       0        4        1        3        0
59901 snpomatic                          	       0        1        0        1        0
59902 sntop                              	       0        7        0        7        0
59903 so-far                             	       0        1        0        0        1
59904 so-synth-lv2                       	       0        5        0        5        0
59905 soapdenovo                         	       0        1        0        1        0
59906 soapdenovo2                        	       0        1        0        1        0
59907 soapy-connector                    	       0        1        0        1        0
59908 soapyosmo-common0.7                	       0        5        0        0        5
59909 soapyosmo-common0.8                	       0       44        0        3       41
59910 soapyremote-server                 	       0        6        0        6        0
59911 soapysdr-module-airspy             	       0        3        0        0        3
59912 soapysdr-module-all                	       0       18        0        0       18
59913 soapysdr-module-audio              	       0        3        0        0        3
59914 soapysdr-module-bladerf            	       0        4        0        0        4
59915 soapysdr-module-hackrf             	       0        4        0        0        4
59916 soapysdr-module-lms7               	       0        3        0        0        3
59917 soapysdr-module-mirisdr            	       0        3        0        0        3
59918 soapysdr-module-osmosdr            	       0        4        0        0        4
59919 soapysdr-module-redpitaya          	       0        3        0        0        3
59920 soapysdr-module-remote             	       0        3        0        0        3
59921 soapysdr-module-rfspace            	       0        3        0        0        3
59922 soapysdr-module-rtlsdr             	       0        6        0        0        6
59923 soapysdr-module-uhd                	       0        3        0        0        3
59924 soapysdr-tools                     	       0       37        0       37        0
59925 soapysdr0.6-module-rtlsdr          	       0        1        0        0        1
59926 soapysdr0.7-module-airspy          	       0        5        0        0        5
59927 soapysdr0.7-module-all             	       0        5        0        0        5
59928 soapysdr0.7-module-audio           	       0        6        0        0        6
59929 soapysdr0.7-module-bladerf         	       0        5        0        0        5
59930 soapysdr0.7-module-hackrf          	       0        5        0        0        5
59931 soapysdr0.7-module-lms7            	       0        5        0        0        5
59932 soapysdr0.7-module-mirisdr         	       0        5        0        0        5
59933 soapysdr0.7-module-osmosdr         	       0        5        0        0        5
59934 soapysdr0.7-module-redpitaya       	       0        5        0        0        5
59935 soapysdr0.7-module-remote          	       0        5        0        0        5
59936 soapysdr0.7-module-rfspace         	       0        5        0        0        5
59937 soapysdr0.7-module-rtlsdr          	       0        6        0        0        6
59938 soapysdr0.7-module-uhd             	       0        5        0        0        5
59939 soapysdr0.8-module-airspy          	       0       44        0        3       41
59940 soapysdr0.8-module-all             	       0       43        0        0       43
59941 soapysdr0.8-module-audio           	       0       44        0        3       41
59942 soapysdr0.8-module-bladerf         	       0       44        0        3       41
59943 soapysdr0.8-module-hackrf          	       0       44        0        3       41
59944 soapysdr0.8-module-lms7            	       0       44        0        3       41
59945 soapysdr0.8-module-mirisdr         	       0       44        0        3       41
59946 soapysdr0.8-module-osmosdr         	       0       44        0        3       41
59947 soapysdr0.8-module-redpitaya       	       0       44        0        3       41
59948 soapysdr0.8-module-remote          	       0       44        0        3       41
59949 soapysdr0.8-module-rfspace         	       0       44        0        3       41
59950 soapysdr0.8-module-rtlsdr          	       0       44        0        3       41
59951 soapysdr0.8-module-uhd             	       0       44        0        3       41
59952 socket                             	       0        3        0        3        0
59953 socklog                            	       0       10        0       10        0
59954 socklog-run                        	       0        4        0        0        4
59955 sockperf                           	       0        2        0        2        0
59956 socks4-server                      	       0        1        0        1        0
59957 sockstat                           	       0       13        0       13        0
59958 sodipodi                           	       0        1        0        1        0
59959 sofa-apps                          	       0        1        0        1        0
59960 sofa-data                          	       0        1        0        0        1
59961 sofia-sip-bin                      	       0        1        0        1        0
59962 sofia-sip-doc                      	       0        2        0        0        2
59963 softether-common                   	       0        7        0        0        7
59964 softether-vpnclient                	       0        6        0        6        0
59965 softether-vpncmd                   	       0        7        0        7        0
59966 softether-vpnserver                	       0        1        0        1        0
59967 softflowd                          	       0       14        4       10        0
59968 softhsm2                           	       0        6        0        6        0
59969 softhsm2-common                    	       0        6        0        0        6
59970 softmaker-freeoffice-2018          	       0        2        0        2        0
59971 softmaker-freeoffice-2021          	       0        1        0        1        0
59972 softmaker-freeoffice-2024          	       0        2        0        2        0
59973 softmaker-office-2018              	       0        1        0        1        0
59974 softmaker-office-2024              	       0        6        1        5        0
59975 softmaker-office-nx                	       0        1        0        1        0
59976 solaar                             	       0       36        6       30        0
59977 solaar-gnome3                      	       0        2        0        0        2
59978 solarc-theme                       	       0        1        0        0        1
59979 solarwolf                          	       0        6        0        6        0
59980 solfege-doc                        	       0       17        0        0       17
59981 solid-pop3d                        	       0        2        0        2        0
59982 solr                               	       0        1        0        1        0
59983 solrdump                           	       0        1        0        1        0
59984 solvespace                         	       0       28        1       27        0
59985 songbook                           	       0        1        0        1        0
59986 songbook-doc                       	       0        1        0        0        1
59987 songbook-font-arabia               	       0        1        0        0        1
59988 songbook-font-bigtop               	       0        1        0        0        1
59989 songbook-font-casper               	       0        1        0        0        1
59990 songbook-font-castanet             	       0        1        0        0        1
59991 songbook-font-crescent             	       0        1        0        0        1
59992 songbook-font-dawncastle           	       0        1        0        0        1
59993 songbook-font-expo                 	       0        1        0        0        1
59994 songbook-font-florence             	       0        1        0        0        1
59995 songbook-font-frankenstein         	       0        1        0        0        1
59996 songbook-font-hoboe                	       0        1        0        0        1
59997 songbook-font-koala                	       0        1        0        0        1
59998 songbook-font-lincoln              	       0        1        0        0        1
59999 songbook-font-linus                	       0        1        0        0        1
60000 songbook-font-merlin               	       0        1        0        0        1
60001 songbook-font-paradise             	       0        1        0        0        1
60002 songbook-font-paragon              	       0        1        0        0        1
60003 songbook-font-prose                	       0        1        0        0        1
60004 songbook-font-shogun               	       0        1        0        0        1
60005 songbook-style-all                 	       0        1        0        0        1
60006 songbook-style-arabia              	       0        1        0        0        1
60007 songbook-style-bigtop              	       0        1        0        0        1
60008 songbook-style-casper              	       0        1        0        0        1
60009 songbook-style-castanet            	       0        1        0        0        1
60010 songbook-style-crescent            	       0        1        0        0        1
60011 songbook-style-dawncastle          	       0        1        0        0        1
60012 songbook-style-expo                	       0        1        0        0        1
60013 songbook-style-florence            	       0        1        0        0        1
60014 songbook-style-frankenstein        	       0        1        0        0        1
60015 songbook-style-hoboe               	       0        1        0        0        1
60016 songbook-style-koala               	       0        1        0        0        1
60017 songbook-style-lincoln             	       0        1        0        0        1
60018 songbook-style-linus               	       0        1        0        0        1
60019 songbook-style-merlin              	       0        1        0        0        1
60020 songbook-style-palatino            	       0        1        0        0        1
60021 songbook-style-paradise            	       0        1        0        0        1
60022 songbook-style-paragon             	       0        1        0        0        1
60023 songbook-style-prose               	       0        1        0        0        1
60024 songbook-style-shogun              	       0        1        0        0        1
60025 songwrite                          	       0        4        0        4        0
60026 sonic                              	       0        3        0        3        0
60027 sonic-pi-samples                   	       0        3        0        0        3
60028 sonic-pi-server-doc                	       0        1        0        0        1
60029 sonnet6-plugins                    	       0       46        1        2       43
60030 sonobus                            	       0        1        0        1        0
60031 sooperlooper                       	       0        3        0        3        0
60032 soosl                              	       0        1        0        1        0
60033 soprano-daemon                     	       0       25        1       24        0
60034 sopwith                            	       0        8        0        8        0
60035 sorcer                             	       0        1        0        1        0
60036 sortmail                           	       0        1        0        1        0
60037 sosreport                          	       0        3        0        3        0
60038 sound-icons                        	       0     2460        0        0     2460
60039 sound-theme-freedesktop            	       0     3047        0        0     3047
60040 sound-theme-phosh                  	       0        1        0        0        1
60041 soundbraid                         	       0        1        0        1        0
60042 soundconverter                     	       0       65        2       63        0
60043 soundgrain                         	       0        4        0        4        0
60044 soundkonverter-amarok-trinity      	       0        1        0        0        1
60045 soundkonverter-trinity             	       0        2        0        2        0
60046 soundmodem                         	       0        8        0        8        0
60047 soundscaperenderer                 	       0        2        0        2        0
60048 soundscaperenderer-common          	       0        3        0        3        0
60049 soundscaperenderer-nox             	       0        2        0        2        0
60050 soundstretch                       	       0       11        0       11        0
60051 source-highlight                   	       0       23        1       22        0
60052 sozi                               	       0        1        0        0        1
60053 sp                                 	       0       15        0       15        0
60054 sp-admin-tools                     	       0        1        0        1        0
60055 sp-cacerts                         	       0        1        0        0        1
60056 space-orbit                        	       0        1        0        1        0
60057 space-orbit-common                 	       0        1        0        0        1
60058 spacearyarya                       	       0        2        0        2        0
60059 spacebar                           	       0        1        0        1        0
60060 spacecadetpinball                  	       0        1        0        1        0
60061 spacechart                         	       0        1        0        1        0
60062 spaced                             	       0        1        0        1        0
60063 spacefm                            	       0       42        3       39        0
60064 spacefm-common                     	       0       46        1        3       42
60065 spacefm-gtk3                       	       0        7        0        6        1
60066 spacenavd                          	       0        4        0        4        0
60067 spacezero                          	       0        3        0        3        0
60068 spamass-milter                     	       0        4        1        3        0
60069 spamassassin                       	       0      116       18       98        0
60070 spamassassin-heatu                 	       0        1        0        1        0
60071 spamc                              	       0      112        7      105        0
60072 spamd                              	       0       62        4       58        0
60073 spampd                             	       0        1        0        1        0
60074 spamprobe                          	       0        4        1        3        0
60075 spark                              	       0        2        0        2        0
60076 spark-store                        	       0        2        0        2        0
60077 sparky-keyring                     	       0        1        0        0        1
60078 sparrow                            	       0        1        0        1        0
60079 spass                              	       0        1        0        1        0
60080 spatialite-bin                     	       0        8        0        8        0
60081 spatialite-gui                     	       0        7        0        7        0
60082 spawx11                            	       0        1        0        1        0
60083 spchcat                            	       0        2        0        2        0
60084 spdx-licenses                      	       0        2        0        0        2
60085 speakup-tools                      	       0        6        0        6        0
60086 spectemu-common                    	       0        7        0        7        0
60087 spectemu-x11                       	       0        7        0        7        0
60088 spectools                          	       0        3        0        3        0
60089 spectral                           	       0        2        0        0        2
60090 spectrum-roms                      	       0       15        0        0       15
60091 spectrwm                           	       0        8        0        8        0
60092 speech-dispatcher-cicero           	       0        3        0        0        3
60093 speech-dispatcher-doc-cs           	       0        1        0        0        1
60094 speech-dispatcher-espeak           	       0        3        0        0        3
60095 speech-dispatcher-festival         	       0       31        0        0       31
60096 speech-dispatcher-flite            	       0        3        0        0        3
60097 speech-dispatcher-rhvoice          	       0        1        0        0        1
60098 speech-tools                       	       0        3        0        3        0
60099 speech-tools-doc                   	       0        4        0        0        4
60100 speechd-up                         	       0        1        1        0        0
60101 speedata-publisher                 	       0        1        0        1        0
60102 speedcrunch                        	       0       32        0       32        0
60103 speedometer                        	       0       33        0       33        0
60104 speex-doc                          	       0        3        0        0        3
60105 spek                               	       0       11        0       11        0
60106 spellutils                         	       0       11        0       11        0
60107 spew                               	       0        2        0        2        0
60108 spf-tools-perl                     	       0       22        3       19        0
60109 sphash                             	       0        1        0        1        0
60110 sphinx-basic-ng                    	       0        7        0        7        0
60111 sphinx-doc                         	       0       22        0        0       22
60112 sphinx-intl                        	       0        5        0        5        0
60113 sphinx-rtd-theme-common            	       0     1750        0        0     1750
60114 sphinx2-bin                        	       0        1        0        1        0
60115 sphinx2-hmm-6k                     	       0        1        0        0        1
60116 sphinxbase                         	       0        1        1        0        0
60117 sphinxbase-utils                   	       0        5        0        5        0
60118 sphinxsearch                       	       0        1        0        1        0
60119 sphinxtrain                        	       0        6        1        5        0
60120 spi-tools                          	       0        1        0        1        0
60121 spice                              	       0        1        0        1        0
60122 spice-client                       	       0        1        0        1        0
60123 spice-client-glib-usb-acl-helper   	       0      462        0       57      405
60124 spice-html5                        	       0        6        0        0        6
60125 spice-vdagent                      	       0       19        1       18        0
60126 spice-webdavd                      	       0        3        0        3        0
60127 spidermonkey-bin                   	       0        1        0        1        0
60128 spideroak                          	       0        1        0        1        0
60129 spideroakone                       	       0        6        0        6        0
60130 spigot                             	       0        3        0        3        0
60131 spim                               	       0        3        0        3        0
60132 spin                               	       0        2        0        2        0
60133 spinner                            	       0        2        2        0        0
60134 spiped                             	       0        1        0        1        0
60135 spirv-cross                        	       0        3        0        3        0
60136 spirv-cross-dev                    	       0        2        0        2        0
60137 spirv-headers                      	       0       10        0       10        0
60138 spirv-reflect                      	       0        1        0        1        0
60139 spirv-tools                        	       0       36        0       36        0
60140 spl                                	       0        8        0        2        6
60141 spl-dkms                           	       0        5        0        1        4
60142 splash                             	       0        1        0        1        0
60143 splashtop-business                 	       0        2        0        0        2
60144 splat                              	       0        6        0        6        0
60145 splay                              	       0        4        0        4        0
60146 spline                             	       0        1        0        1        0
60147 splint                             	       0       23        0       23        0
60148 splint-data                        	       0       23        0       23        0
60149 splint-doc-html                    	       0        5        0        0        5
60150 split-select                       	       0       30        0       30        0
60151 splitpatch                         	       0        1        0        1        0
60152 splitvt                            	       0        3        0        3        0
60153 sploitscan                         	       0        1        0        1        0
60154 splunk                             	       0        1        0        1        0
60155 splunkforwarder                    	       0       10        1        9        0
60156 spoa                               	       0        1        0        1        0
60157 spotify-client-gnome-support       	       0        2        0        0        2
60158 spotify-client-qt                  	       0        1        0        0        1
60159 spotify-tui                        	       0        1        0        1        0
60160 spotube                            	       0        1        0        0        1
60161 spout                              	       0        2        0        2        0
60162 spread-phy                         	       0        1        0        1        0
60163 spring                             	       0       11        0       11        0
60164 spring-common                      	       0       11        0        0       11
60165 spring-installer                   	       0        1        0        1        0
60166 spring-javaai                      	       0        1        0        1        0
60167 spring-maps                        	       0        1        0        0        1
60168 spring-maps-1v1                    	       0        1        0        0        1
60169 spring-maps-default                	       0        1        0        0        1
60170 spring-maps-deltasiege             	       0        1        0        0        1
60171 spring-maps-hunterw                	       0        1        0        0        1
60172 spring-maps-kernelpanic            	       0        1        0        0        1
60173 spring-maps-smallsupreme           	       0        1        0        0        1
60174 spring-maps-teamplay               	       0        1        0        0        1
60175 springlobby                        	       0       11        0       11        0
60176 sptk                               	       0        1        0        1        0
60177 spyder-common                      	       0       27        0        0       27
60178 spyder3                            	       0        1        0        1        0
60179 spytrap-adb                        	       0        1        0        1        0
60180 sq                                 	       0        5        0        5        0
60181 sq-keyring-linter                  	       0        4        1        3        0
60182 sq-wot                             	       0        1        0        1        0
60183 sqitch                             	       0        2        0        2        0
60184 sql-ledger                         	       0        2        0        2        0
60185 sql-migrate                        	       0        1        0        1        0
60186 sqlcmd                             	       0        1        0        1        0
60187 sqlfluff                           	       0        1        0        1        0
60188 sqlfluff-doc                       	       0        1        0        0        1
60189 sqlformat                          	       0        2        0        2        0
60190 sqlite                             	       0       31        1       30        0
60191 sqlite-doc                         	       0        6        0        0        6
60192 sqlite-modern-cpp-dev              	       0        2        0        2        0
60193 sqlite3-doc                        	       0       54        0        0       54
60194 sqlite3-pcre                       	       0        9        0        9        0
60195 sqlmap                             	       0        5        0        5        0
60196 sqlsmith                           	       0        2        0        2        0
60197 sqop                               	       0        1        0        1        0
60198 sqsh                               	       0        1        0        1        0
60199 squareness                         	       0        2        0        0        2
60200 squashfs-modules-2.6.26-486-voyage 	       0        1        0        0        1
60201 squashfs-mount                     	       0        3        0        3        0
60202 squashfuse                         	       0       18        1       17        0
60203 squeak-sources                     	       0        1        0        0        1
60204 squeekboard                        	       0        1        0        1        0
60205 squeezelite                        	       0        1        1        0        0
60206 squid                              	       0       44        9       35        0
60207 squid-cgi                          	       0        5        0        5        0
60208 squid-common                       	       0       49        0        0       49
60209 squid-deb-proxy                    	       0        1        0        0        1
60210 squid-deb-proxy-client             	       0        2        0        0        2
60211 squid-langpack                     	       0       50        0        0       50
60212 squid-openssl                      	       0        5        1        4        0
60213 squid-purge                        	       0        4        0        4        0
60214 squid3                             	       0        3        0        1        2
60215 squid3-common                      	       0        1        0        0        1
60216 squidclient                        	       0        9        0        9        0
60217 squidguard                         	       0        4        0        4        0
60218 squidguard-doc                     	       0        1        0        0        1
60219 squidtaild                         	       0        2        0        2        0
60220 squidview                          	       0        6        0        6        0
60221 squirrel3                          	       0        2        0        2        0
60222 squirrelmail                       	       0        1        0        1        0
60223 squirrelmail-compatibility         	       0        1        0        1        0
60224 squirrelmail-decode                	       0        1        0        1        0
60225 squirrelmail-locales               	       0        1        0        1        0
60226 squirrelmail-lockout               	       0        1        0        1        0
60227 squirrelmail-logger                	       0        1        0        1        0
60228 squirrelmail-quicksave             	       0        1        0        1        0
60229 squirrelmail-secure-login          	       0        1        0        1        0
60230 squirrelmail-sent-confirmation     	       0        1        0        1        0
60231 squirrelmail-spam-buttons          	       0        1        0        1        0
60232 squirrelmail-viewashtml            	       0        1        0        1        0
60233 squizz                             	       0        1        0        1        0
60234 sqv                                	       0        7        3        4        0
60235 sqwebmail                          	       0        1        1        0        0
60236 srain                              	       0        1        0        1        0
60237 src2tex                            	       0        4        0        4        0
60238 srecord                            	       0       15        0       15        0
60239 srg                                	       0        1        0        1        0
60240 srs                                	       0        3        1        2        0
60241 srst2                              	       0        1        0        1        0
60242 srt-tools                          	       0        4        0        4        0
60243 srtp-docs                          	       0        1        0        0        1
60244 srtp-utils                         	       0        2        0        2        0
60245 srvadmin-all                       	       0        1        0        0        1
60246 srvadmin-base                      	       0        2        0        0        2
60247 srvadmin-bossnvmelib               	       0        1        0        0        1
60248 srvadmin-broadcomlib               	       0        1        0        0        1
60249 srvadmin-cm                        	       0        2        0        2        0
60250 srvadmin-deng                      	       0        2        0        2        0
60251 srvadmin-deng-snmp                 	       0        1        0        1        0
60252 srvadmin-hapi                      	       0        2        0        2        0
60253 srvadmin-idrac-ivmcli              	       0        1        0        1        0
60254 srvadmin-idrac-snmp                	       0        1        0        0        1
60255 srvadmin-idrac-vmcli               	       0        1        0        1        0
60256 srvadmin-idracadm7                 	       0        1        0        1        0
60257 srvadmin-idracadm8                 	       0        1        0        0        1
60258 srvadmin-isvc                      	       0        2        0        2        0
60259 srvadmin-isvc-snmp                 	       0        1        0        0        1
60260 srvadmin-itunnelprovider           	       0        1        0        1        0
60261 srvadmin-jre                       	       0        2        0        2        0
60262 srvadmin-marvell                   	       0        2        0        1        1
60263 srvadmin-nvme                      	       0        2        0        0        2
60264 srvadmin-omacore                   	       0        2        0        2        0
60265 srvadmin-omacs                     	       0        2        0        0        2
60266 srvadmin-omaksmig                  	       0        2        0        0        2
60267 srvadmin-omcommon                  	       0        2        0        2        0
60268 srvadmin-omilcore                  	       0        2        0        2        0
60269 srvadmin-ominst                    	       0        2        0        2        0
60270 srvadmin-oslog                     	       0        2        0        0        2
60271 srvadmin-rac-components            	       0        2        0        0        2
60272 srvadmin-racdrsc                   	       0        2        0        0        2
60273 srvadmin-realssd                   	       0        1        0        0        1
60274 srvadmin-server-cli                	       0        1        0        0        1
60275 srvadmin-server-snmp               	       0        1        0        0        1
60276 srvadmin-smcommon                  	       0        2        0        2        0
60277 srvadmin-smweb                     	       0        1        0        1        0
60278 srvadmin-standardagent             	       0        1        0        0        1
60279 srvadmin-storage                   	       0        2        0        2        0
60280 srvadmin-storage-cli               	       0        1        0        0        1
60281 srvadmin-storage-snmp              	       0        1        0        0        1
60282 srvadmin-storageservices           	       0        1        0        0        1
60283 srvadmin-storageservices-cli       	       0        1        0        0        1
60284 srvadmin-storageservices-snmp      	       0        1        0        0        1
60285 srvadmin-storelib                  	       0        2        0        1        1
60286 srvadmin-storelib-sysfs            	       0        2        0        2        0
60287 srvadmin-tomcat                    	       0        2        0        2        0
60288 srvadmin-webserver                 	       0        1        0        0        1
60289 srvadmin-xmlsup                    	       0        2        0        0        2
60290 ss-dev                             	       0        1        0        1        0
60291 ssa                                	       0        3        0        3        0
60292 ssacli                             	       0        9        0        9        0
60293 ssaducli                           	       0        3        0        3        0
60294 ssake                              	       0        1        0        1        0
60295 ssb-patchwork                      	       0        1        0        1        0
60296 ssc                                	       0        1        0        1        0
60297 sscg                               	       0        3        0        3        0
60298 ssdeep                             	       0       24        0       24        0
60299 sse2-support                       	       0       31        0        0       31
60300 sse3-support                       	       0      274        0        0      274
60301 sse4.1-support                     	       0        1        0        0        1
60302 sse4.2-support                     	       0        6        0        0        6
60303 ssed                               	       0        5        0        5        0
60304 ssg-applications                   	       0        1        0        0        1
60305 ssg-base                           	       0        1        0        0        1
60306 ssg-debderived                     	       0        1        0        0        1
60307 ssg-debian                         	       0        1        0        0        1
60308 ssg-nondebian                      	       0        1        0        0        1
60309 ssh                                	       0      385        0        0      385
60310 ssh-askpass                        	       0      210        0       49      161
60311 ssh-askpass-fullscreen             	       0        6        0        6        0
60312 ssh-askpass-gnome                  	       0       17        0       17        0
60313 ssh-contact                        	       0        1        0        0        1
60314 ssh-contact-client                 	       0        1        0        1        0
60315 ssh-contact-service                	       0        1        0        1        0
60316 ssh-cron                           	       0        1        0        1        0
60317 ssh-import-id                      	       0        5        0        5        0
60318 sshcommand                         	       0        1        0        1        0
60319 sshesame                           	       0        1        0        1        0
60320 sshguard                           	       0       25        4       21        0
60321 ssimulacra                         	       0        1        0        1        0
60322 ssl-cert-check                     	       0       13        0       13        0
60323 ssldump                            	       0       31        0       31        0
60324 sslh                               	       0        1        0        1        0
60325 sslscan                            	       0       32        1       31        0
60326 sslsniff                           	       0        5        0        5        0
60327 sslstrip                           	       0        1        0        1        0
60328 ssmping                            	       0        4        0        4        0
60329 ssmtp                              	       0       28        5       23        0
60330 ssocr                              	       0        3        0        3        0
60331 sspace                             	       0        1        0        1        0
60332 sssd                               	       0       27        0        0       27
60333 sssd-ad                            	       0       27        0        1       26
60334 sssd-dbus                          	       0        8        0        8        0
60335 sssd-krb5                          	       0       27        0        3       24
60336 sssd-krb5-common                   	       0       27        0        0       27
60337 sssd-ldap                          	       0       27        0        4       23
60338 sssd-passkey                       	       0       11        0        0       11
60339 sssd-proxy                         	       0       27        0        0       27
60340 sssd-tools                         	       0       10        0       10        0
60341 ssshtest                           	       0        2        0        2        0
60342 ssss                               	       0        2        0        2        0
60343 ssvnc                              	       0       36        2       34        0
60344 ssw-align                          	       0        1        0        1        0
60345 ssystem                            	       0        1        0        1        0
60346 st                                 	       0        1        0        1        0
60347 st-stlink-server                   	       0        7        0        7        0
60348 st-stlink-udev-rules               	       0        6        0        0        6
60349 st-stm32cubeide-1.11.2             	       0        1        0        1        0
60350 st-stm32cubeide-1.14.0             	       0        1        0        1        0
60351 st-stm32cubeide-1.16.1             	       0        2        0        2        0
60352 st-stm32cubeide-1.4.0              	       0        1        0        1        0
60353 st-stm32cubeide-1.8.0              	       0        1        0        0        1
60354 stackrpms-acer-chromebook          	       0        1        0        1        0
60355 stackrpms-thinkpad-p50s            	       0        4        0        4        0
60356 stacks                             	       0        2        0        2        0
60357 staden                             	       0        1        0        1        0
60358 staden-common                      	       0        1        0        0        1
60359 staden-io-lib-utils                	       0        1        0        1        0
60360 stalag13-keyring                   	       0        4        0        0        4
60361 stalag13-utils                     	       0        1        0        1        0
60362 stalonetray                        	       0       19        1       18        0
60363 standardskriver                    	       0        2        0        2        0
60364 star                               	       0        2        0        2        0
60365 stardata-common                    	       0       10        1        9        0
60366 stardict                           	       0        6        0        0        6
60367 stardict-common                    	       0        9        0        1        8
60368 stardict-gnome                     	       0        1        0        1        0
60369 stardict-gtk                       	       0        8        0        8        0
60370 stardict-plugin                    	       0        9        0        2        7
60371 stardict-plugin-espeak             	       0        6        0        2        4
60372 stardict-plugin-festival           	       0        6        0        2        4
60373 stardict-plugin-fortune            	       0        1        0        0        1
60374 stardict-plugin-info               	       0        1        0        0        1
60375 stardict-plugin-spell              	       0        5        0        2        3
60376 stardict-tools                     	       0        3        0        3        0
60377 stardict-xmlittre                  	       0        1        0        0        1
60378 starfighter                        	       0        5        0        5        0
60379 starfighter-data                   	       0        5        0        0        5
60380 starlink-array-java                	       0        1        0        0        1
60381 starlink-connect-java              	       0        1        0        0        1
60382 starlink-datanode-java             	       0        1        0        0        1
60383 starlink-dpac-java                 	       0        1        0        0        1
60384 starlink-fits-java                 	       0        1        0        0        1
60385 starlink-pal-java                  	       0        1        0        0        1
60386 starlink-registry-java             	       0        1        0        0        1
60387 starlink-table-java                	       0        1        0        0        1
60388 starlink-task-java                 	       0        1        0        0        1
60389 starlink-util-java                 	       0        1        0        0        1
60390 starlink-vo-java                   	       0        1        0        0        1
60391 starlink-votable-java              	       0        1        0        0        1
60392 starman                            	       0        3        1        2        0
60393 starplot                           	       0        8        0        8        0
60394 staruml                            	       0        3        0        0        3
60395 starvoyager                        	       0        4        0        4        0
60396 starvoyager-data                   	       0        4        0        0        4
60397 statcvs                            	       0        5        0        5        0
60398 statgrab                           	       0        1        0        1        0
60399 statnews                           	       0        2        0        2        0
60400 statserial                         	       0        8        0        8        0
60401 statsprocessor                     	       0       23        0       23        0
60402 statsvn                            	       0        3        0        3        0
60403 status-bar                         	       0        1        1        0        0
60404 stax                               	       0       11        0       11        0
60405 stbaithreshold                     	       0        1        0        1        0
60406 stbdjvul                           	       0        1        0        1        0
60407 stbidespeckle                      	       0        1        0        1        0
60408 stbimfrpf2                         	       0        1        0        1        0
60409 stbimmetrics                       	       0        1        0        1        0
60410 stbiscalenx                        	       0        1        0        1        0
60411 stbresize                          	       0        1        0        1        0
60412 stbsrcnn                           	       0        1        0        1        0
60413 stderred                           	       0        1        0        1        0
60414 stdsyslog                          	       0        4        0        4        0
60415 ste-plugins                        	       0       15        1       14        0
60416 stealth-doc                        	       0        2        0        0        2
60417 steam                              	       0       96        0       20       76
60418 steam-dependencies                 	       0        1        0        0        1
60419 steam-libs                         	       0      165        0        0      165
60420 steam-libs-amd64                   	       0      134        0        0      134
60421 steam-libs-i386                    	       0      261        0        0      261
60422 steamcmd                           	       0       25        0       25        0
60423 steampipe                          	       0        1        0        0        1
60424 stegcracker                        	       0       23        0       23        0
60425 stegdetect                         	       0        2        0        2        0
60426 steghide-doc                       	       0        3        0        0        3
60427 stegosuite                         	       0       12        0       12        0
60428 stegseek                           	       0        2        0        2        0
60429 stegsnow                           	       0       24        0       24        0
60430 stella                             	       0       15        0       15        0
60431 stellarium                         	       0      102        1      101        0
60432 stellarium-data                    	       0      103        0        0      103
60433 stenc                              	       0        1        0        1        0
60434 step-ca                            	       0        3        0        3        0
60435 step-cli                           	       0        3        0        3        0
60436 stetl                              	       0        3        0        3        0
60437 steve-completion                   	       0        1        0        0        1
60438 stex                               	       0        1        0        0        1
60439 stgit                              	       0        7        2        5        0
60440 sticky                             	       0        1        0        1        0
60441 stiff                              	       0        3        0        3        0
60442 stl-manual                         	       0        3        0        3        0
60443 stlcmd                             	       0        3        0        3        0
60444 stlink                             	       0        1        1        0        0
60445 stlink-gui                         	       0        2        0        2        0
60446 stlink-tools                       	       0       15        0       15        0
60447 stm32flash                         	       0        8        0        8        0
60448 stoken                             	       0        1        0        1        0
60449 stone                              	       0        2        0        2        0
60450 stopmotion                         	       0        2        0        2        0
60451 stops                              	       0       12        0        0       12
60452 stopwatch                          	       0       20        0       20        0
60453 storcli                            	       0        1        0        0        1
60454 store.spark-app.wechat-linux-spark 	       0        2        0        2        0
60455 storebackup                        	       0        1        0        1        0
60456 storj                              	       0        2        0        2        0
60457 storjshare                         	       0        1        0        0        1
60458 storm-lang-layout                  	       0        1        0        1        0
60459 storman                            	       0        1        0        1        0
60460 stormbaancoureur                   	       0        1        0        1        0
60461 stormbaancoureur-data              	       0        1        0        0        1
60462 storymaps                          	       0        1        0        1        0
60463 strace64                           	       0        1        0        1        0
60464 stratagus-gl                       	       0        1        0        1        0
60465 streamer                           	       0       11        0       11        0
60466 streamripper                       	       0       22        1       21        0
60467 streamtuner2                       	       0       13        0       13        0
60468 stremio                            	       0        3        0        1        2
60469 stress                             	       0       55        0       55        0
60470 stressant-doc                      	       0        1        0        0        1
60471 stressant-meta                     	       0        3        0        0        3
60472 stressapptest                      	       0        2        0        2        0
60473 strife-data                        	       0        1        0        0        1
60474 strongswan                         	       0       69        0        0       69
60475 strongswan-pki                     	       0        9        0        9        0
60476 strongswan-scepclient              	       0        1        0        1        0
60477 strongswan-swanctl                 	       0       11        2        9        0
60478 stroq                              	       0        1        0        1        0
60479 stubby                             	       0        4        0        4        0
60480 stuffit                            	       0        1        0        0        1
60481 stumpwm                            	       0       19        1       18        0
60482 stun                               	       0        2        0        2        0
60483 stun-client                        	       0        4        0        4        0
60484 stunnel                            	       0        1        0        0        1
60485 stunnel4                           	       0       88        7       81        0
60486 stutter                            	       0        1        0        1        0
60487 stx2any                            	       0        3        0        3        0
60488 stylish-haskell                    	       0        3        0        3        0
60489 styx                               	       0        1        0        1        0
60490 styx-dev                           	       0        1        0        1        0
60491 styx-doc                           	       0        1        0        0        1
60492 subdownloader                      	       0        8        0        8        0
60493 subfinder                          	       0        1        0        1        0
60494 sublime-merge                      	       0        6        0        6        0
60495 subliminal                         	       0        5        1        4        0
60496 submux-dvd                         	       0        1        0        1        0
60497 subnetcalc                         	       0       21        0       21        0
60498 subread                            	       0        1        0        1        0
60499 substance                          	       0        5        0        0        5
60500 substance-flamingo                 	       0        3        0        0        3
60501 subtitlecomposer                   	       0        5        0        5        0
60502 subtitleeditor                     	       0       24        1       23        0
60503 subtitleripper                     	       0        2        0        2        0
60504 subtle                             	       0        2        0        2        0
60505 subunit                            	       0        5        0        5        0
60506 suck                               	       0        3        0        3        0
60507 sucrack                            	       0       24        0       24        0
60508 sudo-ldap                          	       0       16        7        9        0
60509 sudo-trinity                       	       0       29        0        0       29
60510 sudoku-solver                      	       0        7        0        7        0
60511 sugar-browse-activity              	       0      294        0        0      294
60512 sugar-icon-theme                   	       0       11        0        0       11
60513 sugar-terminal-activity            	       0        1        0        0        1
60514 sugar-themes                       	       0        2        0        0        2
60515 sugarjar                           	       0        1        0        1        0
60516 sugarplum                          	       0        1        0        1        0
60517 suitename                          	       0        1        0        1        0
60518 suld-driver2-1.00.29               	       0        1        0        1        0
60519 suld-driver2-1.00.39               	       0        3        0        3        0
60520 suld-driver2-common-1              	       0        4        0        0        4
60521 suld-ppd-4                         	       0        4        0        0        4
60522 suldr-keyring                      	       0        4        0        0        4
60523 sumaclust                          	       0        1        0        1        0
60524 sumatra                            	       0        1        0        1        0
60525 sumo                               	       0        2        0        2        0
60526 sumo-doc                           	       0        1        0        0        1
60527 sumo-tools                         	       0        1        0        0        1
60528 sumtrees                           	       0        1        0        1        0
60529 sun-java5-bin                      	       0        2        0        2        0
60530 sun-java5-jre                      	       0        2        0        0        2
60531 sun-java6-bin                      	       0        4        0        4        0
60532 sun-java6-jre                      	       0        4        0        0        4
60533 sun-java6-plugin                   	       0        3        0        0        3
60534 sunclock                           	       0       16        0       16        0
60535 sunclock-maps                      	       0       16        0        0       16
60536 sunflow                            	       0        1        0        1        0
60537 sunflower                          	       0        1        0        1        0
60538 sunpinyin-data                     	       0        5        0        2        3
60539 sunshine                           	       0        3        0        3        0
60540 sunvox                             	       0        1        0        1        0
60541 sunxi-tools                        	       0        6        0        6        0
60542 sup-g                              	       0        1        0        1        0
60543 sup-mail                           	       0        1        0        1        0
60544 super                              	       0        6        0        6        0
60545 supercollider                      	       0        3        0        0        3
60546 supercollider-common               	       0        7        0        0        7
60547 supercollider-emacs                	       0        1        0        1        0
60548 supercollider-gedit                	       0        1        0        1        0
60549 supercollider-ide                  	       0        5        0        5        0
60550 supercollider-language             	       0        6        0        6        0
60551 supercollider-supernova            	       0        3        0        3        0
60552 supercollider-vim                  	       0        1        0        0        1
60553 superiotool                        	       0        3        0        3        0
60554 supermicro-update-manager          	       0        1        0        1        0
60555 superproductivity                  	       0        3        0        0        3
60556 supertransball2                    	       0        7        0        7        0
60557 supertransball2-data               	       0        7        0        0        7
60558 supertux                           	       0       49        0       49        0
60559 supertux-data                      	       0       51        0        0       51
60560 supervisor-doc                     	       0        1        0        0        1
60561 supysonic                          	       0        1        0        1        0
60562 surankco                           	       0        1        0        1        0
60563 surf-alggeo                        	       0       12        0        0       12
60564 surf-alggeo-nox                    	       0       12        1       11        0
60565 surf-dbgsym                        	       0        1        0        1        0
60566 surf2                              	       0        7        0        7        0
60567 surface-go-wifi                    	       0        1        0        0        1
60568 surfer                             	       0        1        0        1        0
60569 surfraw                            	       0       13        0       13        0
60570 surfraw-extra                      	       0       11        0       11        0
60571 surfshark                          	       0        2        0        2        0
60572 surfshark-release                  	       0        3        0        0        3
60573 surfshark-vpn                      	       0        3        0        3        0
60574 surge                              	       0        2        0        2        0
60575 surge-data                         	       0        1        0        0        1
60576 surge-xt                           	       0        3        0        3        0
60577 suricata                           	       0        6        1        5        0
60578 suricata-oinkmaster                	       0        3        1        2        0
60579 suricata-update                    	       0        5        1        4        0
60580 suru-icon-theme                    	       0       12        0        0       12
60581 survex                             	       0        2        0        2        0
60582 survex-aven                        	       0        2        0        2        0
60583 susv2                              	       0        2        0        0        2
60584 susv3                              	       0        3        0        0        3
60585 susv4                              	       0        3        0        0        3
60586 suwayomi-server                    	       0        1        0        1        0
60587 sux                                	       0       18        3       15        0
60588 svgalib-bin                        	       0        1        0        1        0
60589 svgcarve                           	       0        1        0        1        0
60590 svgpart                            	       0       47        0        1       46
60591 svgtoipe                           	       0        1        0        1        0
60592 svkbd                              	       0        1        0        1        0
60593 svn-all-fast-export                	       0        1        0        1        0
60594 svn-buildpackage                   	       0       16        0       16        0
60595 svn-load                           	       0        3        0        3        0
60596 svn-workbench                      	       0        4        0        4        0
60597 svn2git                            	       0        5        0        5        0
60598 svncviewer                         	       0        1        0        1        0
60599 svnkit                             	       0        1        0        1        0
60600 svt-av1                            	       0        4        0        4        0
60601 svtools                            	       0        8        1        7        0
60602 svtplay-dl                         	       0        4        0        4        0
60603 svxlink-calibration-tools          	       0        2        0        2        0
60604 svxlink-gpio                       	       0        2        0        2        0
60605 svxlink-server                     	       0        2        0        2        0
60606 svxreflector                       	       0        2        0        2        0
60607 swach                              	       0        1        0        1        0
60608 swapspace                          	       0        3        0        3        0
60609 swarm                              	       0        2        0        2        0
60610 swarp                              	       0        2        0        2        0
60611 swatch                             	       0        4        0        4        0
60612 swath                              	       0        7        0        7        0
60613 swath-data                         	       0        7        0        0        7
60614 sway-backgrounds                   	       0       49        0        0       49
60615 swayimg                            	       0        9        0        9        0
60616 swaykbdd                           	       0        3        0        3        0
60617 swe-basic-data                     	       0        1        0        0        1
60618 swe-standard-data                  	       0        1        0        0        1
60619 sweethome3d                        	       0       34        0       34        0
60620 sweethome3d-furniture              	       0       34        0        0       34
60621 sweethome3d-furniture-editor       	       0       15        0       15        0
60622 sweethome3d-furniture-nonfree      	       0       15        0        0       15
60623 sweethome3d-textures-editor        	       0       15        0       15        0
60624 swfdec-mozilla                     	       0        1        0        0        1
60625 swfmill                            	       0        2        0        2        0
60626 swftools                           	       0        1        0        1        0
60627 swh-lv2                            	       0       12        0       12        0
60628 swi-prolog                         	       0        7        0        0        7
60629 swi-prolog-bdb                     	       0        2        0        2        0
60630 swi-prolog-core                    	       0       13        0       13        0
60631 swi-prolog-core-packages           	       0       13        0       13        0
60632 swi-prolog-doc                     	       0       10        0        0       10
60633 swi-prolog-full                    	       0        1        0        0        1
60634 swi-prolog-java                    	       0        3        0        3        0
60635 swi-prolog-nox                     	       0       13        0       13        0
60636 swi-prolog-odbc                    	       0        2        0        2        0
60637 swi-prolog-x                       	       0        8        0        8        0
60638 swiagent                           	       0        6        0        6        0
60639 swift-doc                          	       0        1        0        0        1
60640 swig-doc                           	       0        7        0        0        7
60641 swig-examples                      	       0        7        0        1        6
60642 swig-pocketsphinx                  	       0        2        0        0        2
60643 swig-sphinxbase                    	       0        3        0        0        3
60644 swig2.0                            	       0        1        0        1        0
60645 swig3.0                            	       0       12        0       12        0
60646 swig3.0-doc                        	       0        2        0        0        2
60647 swig3.0-examples                   	       0        2        0        2        0
60648 swig4.0-doc                        	       0        6        0        0        6
60649 swig4.0-examples                   	       0        5        0        5        0
60650 swish++                            	       0       33        5       28        0
60651 swish-e                            	       0        6        1        5        0
60652 swisswatch                         	       0       18        1       17        0
60653 switchconf                         	       0        1        0        1        0
60654 sword-comm-mhcc                    	       0        1        0        0        1
60655 sword-comm-scofield                	       0        2        0        0        2
60656 sword-comm-tdavid                  	       0        1        0        0        1
60657 sword-dict-naves                   	       0        2        0        0        2
60658 sword-dict-strongs-greek           	       0        4        0        0        4
60659 sword-dict-strongs-hebrew          	       0        4        0        0        4
60660 sword-text-kjv                     	       0        5        0        0        5
60661 sword-text-sparv                   	       0        1        0        0        1
60662 sword-text-web                     	       0        3        0        0        3
60663 swscanner                          	       0        1        0        1        0
60664 swtpm-libs                         	       0      284        5        0      279
60665 sxhkd                              	       0       37        6       31        0
60666 sxid                               	       0        1        0        1        0
60667 sylfilter                          	       0       40        0       40        0
60668 sylph-searcher                     	       0        5        1        4        0
60669 sylpheed                           	       0       53        1       52        0
60670 sylpheed-doc                       	       0       16        0        0       16
60671 sylpheed-i18n                      	       0       46        0        7       39
60672 sylpheed-plugins                   	       0       19        0        3       16
60673 symbol-desktop-wallet              	       0        2        0        0        2
60674 sympa                              	       0        2        0        2        0
60675 sympathy                           	       0        1        0        1        0
60676 symphytum                          	       0        1        0        1        0
60677 sympow                             	       0       11        0       11        0
60678 sympow-data                        	       0       11        0       11        0
60679 synaesthesia                       	       0        1        0        1        0
60680 synapse                            	       0        5        0        5        0
60681 synaptic-trinity                   	       0       25        0        0       25
60682 synaptics-repository-keyring       	       0        2        0        0        2
60683 syncevolution                      	       0        1        0        1        0
60684 syncevolution-common               	       0        3        1        2        0
60685 syncevolution-libs                 	       0        1        0        0        1
60686 syncevolution-libs-gnome           	       0        1        0        0        1
60687 syncfiles                          	       0        1        0        1        0
60688 syncplay                           	       0        4        0        4        0
60689 syncplay-common                    	       0        1        0        1        0
60690 syncthing-discosrv                 	       0        6        2        4        0
60691 syncthing-gtk                      	       0       47        4       43        0
60692 syncthingtray                      	       0       11        1       10        0
60693 syncthingtray-kde-plasma           	       0        5        0        4        1
60694 syndie                             	       0        1        0        1        0
60695 synergy                            	       0        2        0        2        0
60696 synfig                             	       0        6        0        6        0
60697 synfig-examples                    	       0       11        0        0       11
60698 synfigstudio                       	       0        8        0        8        0
60699 synology-assistant                 	       0        3        0        0        3
60700 synology-drive                     	       0        1        0        1        0
60701 synology-presto                    	       0        1        0        1        0
60702 synthv1                            	       0        7        0        7        0
60703 synthv1-common                     	       0        7        0        0        7
60704 synthv1-lv2                        	       0        3        0        3        0
60705 syrep                              	       0        1        0        1        0
60706 syrthes                            	       0        1        0        1        0
60707 syrthes-tools                      	       0        1        0        1        0
60708 sysadmin-guide                     	       0        2        0        0        2
60709 sysbench                           	       0       70        1       69        0
60710 sysconftool                        	       0        4        0        4        0
60711 sysdig                             	       0        1        0        1        0
60712 sysdig-dkms                        	       0        1        0        1        0
60713 sysfsutils                         	       0       71        5       66        0
60714 sysinfo                            	       0        6        0        6        0
60715 sysinternalsebpf                   	       0        1        0        1        0
60716 sysklogd                           	       0        2        1        1        0
60717 syslinux-efi                       	       0       25        0       25        0
60718 syslinux-themes-debian             	       0        2        0        0        2
60719 syslinux-themes-debian-lenny       	       0        1        0        0        1
60720 syslinux-themes-debian-squeeze     	       0        2        0        0        2
60721 syslinux-themes-debian-wheezy      	       0        3        0        0        3
60722 syslinux-themes-linuxmint          	       0        3        0        0        3
60723 syslinux-themes-lmde               	       0        1        0        0        1
60724 syslog-ng                          	       0       31        0        0       31
60725 syslog-ng-core                     	       0       31        6       25        0
60726 syslog-ng-mod-add-contextual-data  	       0       26        5       21        0
60727 syslog-ng-mod-amqp                 	       0       24        4       20        0
60728 syslog-ng-mod-examples             	       0       23        4       19        0
60729 syslog-ng-mod-extra                	       0        6        0        0        6
60730 syslog-ng-mod-geoip                	       0        2        1        1        0
60731 syslog-ng-mod-geoip2               	       0       23        4       19        0
60732 syslog-ng-mod-getent               	       0        6        2        4        0
60733 syslog-ng-mod-graphite             	       0       26        5       21        0
60734 syslog-ng-mod-http                 	       0       22        4       18        0
60735 syslog-ng-mod-journal              	       0        3        1        2        0
60736 syslog-ng-mod-json                 	       0        2        1        1        0
60737 syslog-ng-mod-map-value-pairs      	       0        6        2        4        0
60738 syslog-ng-mod-mongodb              	       0       31        5       26        0
60739 syslog-ng-mod-pacctformat          	       0        1        0        1        0
60740 syslog-ng-mod-python               	       0       26        5       21        0
60741 syslog-ng-mod-rdkafka              	       0       22        4       18        0
60742 syslog-ng-mod-redis                	       0       24        4       20        0
60743 syslog-ng-mod-riemann              	       0       26        5       21        0
60744 syslog-ng-mod-slog                 	       0       22        4       18        0
60745 syslog-ng-mod-smtp                 	       0       24        4       20        0
60746 syslog-ng-mod-snmp                 	       0       22        4       18        0
60747 syslog-ng-mod-snmptrapd-parser     	       0        1        0        1        0
60748 syslog-ng-mod-sql                  	       0       31        5       26        0
60749 syslog-ng-mod-stardate             	       0       22        4       18        0
60750 syslog-ng-mod-stomp                	       0       24        4       20        0
60751 syslog-ng-mod-tag-parser           	       0        1        0        1        0
60752 syslog-ng-mod-xml-parser           	       0       22        4       18        0
60753 syslog-ng-scl                      	       0       23        0        0       23
60754 syslog-summary                     	       0        8        1        7        0
60755 syslogout                          	       0        2        0        0        2
60756 sysnews                            	       0        1        0        1        0
60757 sysprof                            	       0        9        0        9        0
60758 sysprofile                         	       0        3        0        0        3
60759 sysrqd                             	       0        2        0        2        0
60760 system-config-lvm                  	       0        2        0        2        0
60761 system76-acpi-dkms                 	       0        1        0        1        0
60762 system76-dkms                      	       0        1        0        1        0
60763 system76-io-dkms                   	       0        1        0        0        1
60764 system76-wallpapers                	       0        1        0        0        1
60765 systemback                         	       0        3        0        3        0
60766 systemback-cli                     	       0        4        0        4        0
60767 systemback-cli-dbg                 	       0        1        0        1        0
60768 systemback-efiboot-amd64           	       0        4        0        0        4
60769 systemback-locales                 	       0        4        0        2        2
60770 systemback-scheduler               	       0        4        0        4        0
60771 systemback-scheduler-dbg           	       0        1        0        1        0
60772 systemd                            	       0        2        0        1        1
60773 systemd-bootchart                  	       0        2        0        2        0
60774 systemd-cryptsetup                 	       0        1        0        1        0
60775 systemd-dummy                      	       0        1        0        1        0
60776 systemd-oomd                       	       0        1        0        1        0
60777 systemd-shim                       	       0        5        0        5        0
60778 systemd-sysv                       	       0        1        0        1        0
60779 systemd-timesyncd                  	       0        1        0        1        0
60780 systempreferences.app              	       0        8        0        8        0
60781 systempreferences.app-dbg          	       0        1        0        1        0
60782 systemtap                          	       0       12        0       12        0
60783 systemtap-client                   	       0        1        0        1        0
60784 systemtap-common                   	       0       12        0       12        0
60785 systemtap-doc                      	       0        3        0        3        0
60786 systemtap-runtime                  	       0       12        0       12        0
60787 systemtap-sdt-dev                  	       0       18        0       18        0
60788 systemtap-server                   	       0        1        0        1        0
60789 systray-mdstat                     	       0        2        2        0        0
60790 systray-x-minimal                  	       0        1        1        0        0
60791 systune                            	       0        7        0        7        0
60792 sysutils                           	       0        4        0        0        4
60793 sysvbanner                         	       0       25        0       25        0
60794 sysvinit-build-deps                	       0        1        0        0        1
60795 sysvinit-core-dbgsym               	       0        3        0        3        0
60796 sysvinit-utils-dbgsym              	       0        3        0        3        0
60797 szip                               	       0        1        0        1        0
60798 t-coffee                           	       0        4        0        4        0
60799 t-prot                             	       0        4        0        4        0
60800 t1-cyrillic                        	       0      121        1        1      119
60801 t1-oldslavic                       	       0        7        0        0        7
60802 t1-teams                           	       0      113        0        2      111
60803 t1-thai-arundina                   	       0        2        0        0        2
60804 t1-thai-tlwg                       	       0        2        0        1        1
60805 t1-xfree86-nonfree                 	       0       14        0        4       10
60806 t1lib-bin                          	       0        2        0        2        0
60807 t2html                             	       0        1        0        1        0
60808 t38modem                           	       0        2        0        2        0
60809 t3highlight                        	       0        2        0        2        0
60810 t50                                	       0        1        0        1        0
60811 tabby-terminal                     	       0        2        0        2        0
60812 tabix                              	       0        6        0        6        0
60813 tableau-parm                       	       0       23        0       23        0
60814 tablet-encode                      	       0        1        0        1        0
60815 tachidesk-server                   	       0        1        0        1        0
60816 tachidesk-sorayomi                 	       0        2        0        2        0
60817 tachidesk-vaaui                    	       0        1        0        1        0
60818 tachyon                            	       0       10        0        0       10
60819 tachyon-bin-nox                    	       0       11        0       11        0
60820 tads3                              	       0        1        0        1        0
60821 tagainijisho                       	       0        5        0        5        0
60822 tagainijisho-common                	       0        7        0        0        7
60823 tagainijisho-dic-en                	       0        7        0        0        7
60824 tagainijisho-dic-es                	       0        1        0        0        1
60825 tagainijisho-dic-fr                	       0        1        0        0        1
60826 tagainijisho-dic-pt                	       0        1        0        0        1
60827 tagainijisho-dic-ru                	       0        2        0        0        2
60828 tagcoll                            	       0        4        1        3        0
60829 taggrepper                         	       0        1        0        1        0
60830 tagtool                            	       0        3        0        3        0
60831 tagua                              	       0        3        0        3        0
60832 tagua-data                         	       0        3        0        0        3
60833 tai64n                             	       0        1        0        1        0
60834 tailscale-archive-keyring          	       0       12        0        0       12
60835 tal-plugins-lv2                    	       0        1        0        1        0
60836 talksoup.app                       	       0        1        0        1        0
60837 tandem-mass                        	       0        1        0        1        0
60838 tangerine-icon-theme               	       0       10        0        0       10
60839 tanglet                            	       0        7        0        7        0
60840 tanglet-data                       	       0        7        0        0        7
60841 tango-common                       	       0        2        0        0        2
60842 tango-icon-theme                   	       0     1803        0        0     1803
60843 taopm                              	       0        4        0        4        0
60844 tap-lv2                            	       0        2        0        2        0
60845 tap-plugins-doc                    	       0        4        0        0        4
60846 tapecalc                           	       0        1        0        1        0
60847 tapps2                             	       0        2        0        2        0
60848 tappy                              	       0        1        0        1        0
60849 tar-doc                            	       0       18        0        0       18
60850 tar-scripts                        	       0        7        0        7        0
60851 tar-split                          	       0        3        0        3        0
60852 tar2deb                            	       0        1        0        1        0
60853 tardiff                            	       0        5        0        5        0
60854 tardy                              	       0        3        0        3        0
60855 targetcli-fb                       	       0        1        0        1        0
60856 tarlz                              	       0        8        0        8        0
60857 tarsnap                            	       0        2        0        2        0
60858 tarsnap-archive-keyring            	       0        2        0        0        2
60859 tartarus                           	       0        1        0        1        0
60860 task-albanian-desktop              	       0        2        0        0        2
60861 task-amharic                       	       0        2        0        0        2
60862 task-amharic-desktop               	       0        1        0        0        1
60863 task-arabic                        	       0        3        0        0        3
60864 task-arabic-desktop                	       0        1        0        0        1
60865 task-asturian                      	       0        2        0        0        2
60866 task-asturian-desktop              	       0        1        0        0        1
60867 task-basque                        	       0        3        0        0        3
60868 task-basque-desktop                	       0        2        0        0        2
60869 task-basque-kde-desktop            	       0        1        0        0        1
60870 task-belarusian                    	       0        4        0        0        4
60871 task-belarusian-desktop            	       0        3        0        0        3
60872 task-bengali                       	       0        2        0        0        2
60873 task-bengali-desktop               	       0        1        0        0        1
60874 task-bosnian                       	       0        2        0        0        2
60875 task-bosnian-desktop               	       0        1        0        0        1
60876 task-brazilian-portuguese          	       0       50        0        0       50
60877 task-brazilian-portuguese-desktop  	       0       34        0        0       34
60878 task-brazilian-portuguese-kde-desktop	       0        6        0        0        6
60879 task-british-desktop               	       0      195        0        0      195
60880 task-british-kde-desktop           	       0       28        0        0       28
60881 task-bulgarian                     	       0        2        0        0        2
60882 task-bulgarian-desktop             	       0        1        0        0        1
60883 task-catalan                       	       0        6        0        0        6
60884 task-catalan-desktop               	       0        4        0        0        4
60885 task-catalan-kde-desktop           	       0        1        0        0        1
60886 task-chinese-s                     	       0       12        0        0       12
60887 task-chinese-s-desktop             	       0        7        0        0        7
60888 task-chinese-s-kde-desktop         	       0        2        0        0        2
60889 task-chinese-t                     	       0        4        0        0        4
60890 task-chinese-t-desktop             	       0        1        0        0        1
60891 task-chinese-t-kde-desktop         	       0        1        0        0        1
60892 task-cinnamon-desktop              	       0      231        0        0      231
60893 task-console-productivity          	       0     1004        0        0     1004
60894 task-croatian                      	       0        3        0        0        3
60895 task-croatian-desktop              	       0        2        0        0        2
60896 task-cyrillic                      	       0      159        0        0      159
60897 task-cyrillic-desktop              	       0      105        0        0      105
60898 task-cyrillic-kde-desktop          	       0        9        0        0        9
60899 task-czech                         	       0       39        0        0       39
60900 task-czech-desktop                 	       0       22        0        0       22
60901 task-czech-kde-desktop             	       0        2        0        0        2
60902 task-danish                        	       0        4        0        0        4
60903 task-danish-desktop                	       0        3        0        0        3
60904 task-desktop                       	       0     2603        0        0     2603
60905 task-dns-server                    	       0        4        0        0        4
60906 task-dutch                         	       0       18        0        0       18
60907 task-dutch-desktop                 	       0        9        0        0        9
60908 task-dutch-kde-desktop             	       0        2        0        0        2
60909 task-dzongkha-desktop              	       0        1        0        0        1
60910 task-english                       	       0     2180        0        0     2180
60911 task-esperanto                     	       0        5        0        0        5
60912 task-esperanto-desktop             	       0        4        0        0        4
60913 task-esperanto-kde-desktop         	       0        1        0        0        1
60914 task-estonian                      	       0        4        0        0        4
60915 task-estonian-desktop              	       0        3        0        0        3
60916 task-file-server                   	       0        4        0        0        4
60917 task-finnish                       	       0        8        0        0        8
60918 task-finnish-desktop               	       0        6        0        0        6
60919 task-finnish-kde-desktop           	       0        1        0        0        1
60920 task-french                        	       0      107        0        0      107
60921 task-french-desktop                	       0       76        0        0       76
60922 task-french-kde-desktop            	       0       16        0        0       16
60923 task-galician                      	       0        5        0        0        5
60924 task-galician-desktop              	       0        4        0        0        4
60925 task-georgian-desktop              	       0        1        0        0        1
60926 task-german                        	       0      347        0        0      347
60927 task-german-desktop                	       0      243        0        0      243
60928 task-german-kde-desktop            	       0       39        0        0       39
60929 task-gnome-desktop                 	       0       71        0        0       71
60930 task-gnome-flashback-desktop       	       0       40        0        0       40
60931 task-greek                         	       0       22        0        0       22
60932 task-greek-desktop                 	       0       18        0        0       18
60933 task-greek-kde-desktop             	       0        2        0        0        2
60934 task-gujarati                      	       0        2        0        0        2
60935 task-gujarati-desktop              	       0        1        0        0        1
60936 task-hebrew                        	       0        2        0        0        2
60937 task-hebrew-desktop                	       0        2        0        0        2
60938 task-hindi                         	       0        2        0        0        2
60939 task-hindi-desktop                 	       0        1        0        0        1
60940 task-hungarian                     	       0       19        0        0       19
60941 task-hungarian-desktop             	       0       13        0        0       13
60942 task-hungarian-kde-desktop         	       0        2        0        0        2
60943 task-icelandic                     	       0        2        0        0        2
60944 task-icelandic-desktop             	       0        1        0        0        1
60945 task-indonesian-desktop            	       0        1        0        0        1
60946 task-irish                         	       0        2        0        0        2
60947 task-irish-desktop                 	       0        1        0        0        1
60948 task-italian                       	       0      119        0        0      119
60949 task-italian-desktop               	       0       79        0        0       79
60950 task-italian-kde-desktop           	       0       18        0        0       18
60951 task-japanese                      	       0       36        0        0       36
60952 task-japanese-desktop              	       0       31        0        0       31
60953 task-japanese-kde-desktop          	       0        8        0        0        8
60954 task-kannada-desktop               	       0        1        0        0        1
60955 task-kazakh                        	       0        2        0        0        2
60956 task-kazakh-desktop                	       0        1        0        0        1
60957 task-kde-desktop                   	       0      392        0        0      392
60958 task-khmer                         	       0        2        0        0        2
60959 task-khmer-desktop                 	       0        1        0        0        1
60960 task-korean                        	       0        7        0        0        7
60961 task-korean-desktop                	       0        6        0        0        6
60962 task-korean-gnome-desktop          	       0        1        0        0        1
60963 task-kurdish                       	       0        2        0        0        2
60964 task-kurdish-desktop               	       0        1        0        0        1
60965 task-laptop                        	       0     1492        0        0     1492
60966 task-latvian                       	       0        2        0        0        2
60967 task-latvian-desktop               	       0        1        0        0        1
60968 task-lithuanian                    	       0        2        0        0        2
60969 task-lithuanian-desktop            	       0        1        0        0        1
60970 task-lxde-desktop                  	       0      107        0        0      107
60971 task-lxqt-desktop                  	       0      181        0        0      181
60972 task-macedonian                    	       0        2        0        0        2
60973 task-macedonian-desktop            	       0        1        0        0        1
60974 task-mail-server                   	       0        1        0        0        1
60975 task-malayalam                     	       0        2        0        0        2
60976 task-malayalam-desktop             	       0        1        0        0        1
60977 task-marathi                       	       0        2        0        0        2
60978 task-marathi-desktop               	       0        1        0        0        1
60979 task-mate-desktop                  	       0      420        0        0      420
60980 task-nepali-desktop                	       0        1        0        0        1
60981 task-northern-sami                 	       0        2        0        0        2
60982 task-northern-sami-desktop         	       0        1        0        0        1
60983 task-norwegian                     	       0       43        0        0       43
60984 task-norwegian-desktop             	       0       38        0        0       38
60985 task-norwegian-kde-desktop         	       0       11        0        0       11
60986 task-persian                       	       0        2        0        0        2
60987 task-persian-desktop               	       0        1        0        0        1
60988 task-polish                        	       0       66        0        0       66
60989 task-polish-desktop                	       0       43        0        0       43
60990 task-polish-kde-desktop            	       0        6        0        0        6
60991 task-portuguese                    	       0        2        0        0        2
60992 task-portuguese-desktop            	       0        1        0        0        1
60993 task-print-server                  	       0       64        0        0       64
60994 task-print-service                 	       0       75        0        0       75
60995 task-punjabi                       	       0        2        0        0        2
60996 task-punjabi-desktop               	       0        1        0        0        1
60997 task-romanian                      	       0        5        0        0        5
60998 task-romanian-desktop              	       0        4        0        0        4
60999 task-romanian-kde-desktop          	       0        1        0        0        1
61000 task-russian                       	       0      157        0        0      157
61001 task-russian-desktop               	       0      104        0        0      104
61002 task-russian-kde-desktop           	       0       10        0        0       10
61003 task-serbian                       	       0        2        0        0        2
61004 task-serbian-desktop               	       0        1        0        0        1
61005 task-sinhala-desktop               	       0        1        0        0        1
61006 task-slovak                        	       0        8        0        0        8
61007 task-slovak-desktop                	       0        3        0        0        3
61008 task-slovenian                     	       0        2        0        0        2
61009 task-slovenian-desktop             	       0        1        0        0        1
61010 task-south-african-english-desktop 	       0       15        0        0       15
61011 task-spanish                       	       0      267        0        0      267
61012 task-spanish-desktop               	       0      191        0        0      191
61013 task-spanish-kde-desktop           	       0       36        0        0       36
61014 task-speech-accessibility          	       0        1        0        0        1
61015 task-spooler                       	       0        4        1        3        0
61016 task-ssh-server                    	       0     2146        0        0     2146
61017 task-swedish                       	       0       13        0        0       13
61018 task-swedish-desktop               	       0        7        0        0        7
61019 task-tagalog                       	       0        2        0        0        2
61020 task-tamil                         	       0        2        0        0        2
61021 task-tamil-desktop                 	       0        1        0        0        1
61022 task-telugu                        	       0        2        0        0        2
61023 task-telugu-desktop                	       0        1        0        0        1
61024 task-thai                          	       0        2        0        0        2
61025 task-thai-desktop                  	       0        1        0        0        1
61026 task-turkish                       	       0       10        0        0       10
61027 task-turkish-desktop               	       0        7        0        0        7
61028 task-turkish-kde-desktop           	       0        1        0        0        1
61029 task-ukrainian                     	       0       16        0        0       16
61030 task-ukrainian-desktop             	       0       13        0        0       13
61031 task-uyghur-desktop                	       0        1        0        0        1
61032 task-vietnamese-desktop            	       0        1        0        0        1
61033 task-web-server                    	       0      314        0        0      314
61034 task-welsh                         	       0        2        0        0        2
61035 task-welsh-desktop                 	       0        1        0        0        1
61036 task-xfce-desktop                  	       0     1511        0        0     1511
61037 task-xhosa-desktop                 	       0        1        0        0        1
61038 tasks                              	       0        1        0        1        0
61039 tasque                             	       0        1        0        1        0
61040 tatan                              	       0        2        0        2        0
61041 tataranovich-keyring               	       0        1        0        0        1
61042 tatctae                            	       0        1        0        0        1
61043 tau                                	       0        1        0        1        0
61044 tau-examples                       	       0        1        0        1        0
61045 tau-racy                           	       0        1        0        1        0
61046 taupython                          	       0        1        0        1        0
61047 tauthon                            	       0        1        1        0        0
61048 taxbird                            	       0        1        0        1        0
61049 tayga                              	       0        3        0        3        0
61050 tcc                                	       0       39        1       38        0
61051 tcl-awthemes                       	       0       17        0        0       17
61052 tcl-combat                         	       0        2        0        2        0
61053 tcl-doc                            	       0       24        0        0       24
61054 tcl-expect                         	       0      188        2        1      185
61055 tcl-fitstcl                        	       0       10        0        0       10
61056 tcl-funtools                       	       0        3        0        3        0
61057 tcl-hamlib                         	       0        2        0        0        2
61058 tcl-itcl4                          	       0        8        0        0        8
61059 tcl-itcl4-doc                      	       0        2        0        0        2
61060 tcl-memchan                        	       0        4        0        0        4
61061 tcl-signal                         	       0       23        0        0       23
61062 tcl-snack                          	       0       23        0       23        0
61063 tcl-snack-dev                      	       0        1        0        1        0
61064 tcl-snack-doc                      	       0        2        0        0        2
61065 tcl-sugar                          	       0        3        0        0        3
61066 tcl-tclex                          	       0        7        0        7        0
61067 tcl-tk                             	       0        1        0        0        1
61068 tcl-tls                            	       0       51        0        1       50
61069 tcl-trf                            	       0        7        0        0        7
61070 tcl-trf-doc                        	       0        2        0        0        2
61071 tcl-ttkthemes                      	       0       18        0        0       18
61072 tcl-udp                            	       0        6        0        0        6
61073 tcl-vfs                            	       0       11        0        1       10
61074 tcl-vtk6                           	       0        1        0        1        0
61075 tcl-xmlrpc                         	       0        2        0        0        2
61076 tcl-xpa                            	       0       14        0        0       14
61077 tcl3270                            	       0        2        0        2        0
61078 tcl8.4                             	       0        2        0        2        0
61079 tcl8.4-doc                         	       0        2        0        0        2
61080 tcl8.5                             	       0       41        1       40        0
61081 tcl8.5-dev                         	       0        9        1        8        0
61082 tcl8.5-doc                         	       0        1        0        0        1
61083 tcl8.6-doc                         	       0       24        0        0       24
61084 tcl8.6-tdbc                        	       0        5        0        5        0
61085 tcl8.6-tdbc-mysql                  	       0        1        0        1        0
61086 tcl8.6-tdbc-odbc                   	       0        4        0        4        0
61087 tcl8.6-tdbc-postgres               	       0        2        0        2        0
61088 tcl8.6-tdbc-sqlite3                	       0        1        0        0        1
61089 tcl9.0                             	       0        3        0        3        0
61090 tcl9.0-dev                         	       0        1        0        1        0
61091 tcl9.0-doc                         	       0        2        0        0        2
61092 tclcl-dev                          	       0        1        0        0        1
61093 tclcurl                            	       0        9        0        9        0
61094 tclfitsy                           	       0       11        0        0       11
61095 tclgeoip                           	       0        2        0        2        0
61096 tclicq                             	       0        1        1        0        0
61097 tcliis                             	       0        6        0        0        6
61098 tcllib-critcl                      	       0        8        0        0        8
61099 tclodbc                            	       0        3        0        3        0
61100 tclparser                          	       0        1        0        1        0
61101 tclreadline                        	       0        3        1        2        0
61102 tclspice                           	       0       10        0        0       10
61103 tclx8.4-doc                        	       0        5        0        0        5
61104 tclxapian                          	       0        1        0        1        0
61105 tclxml                             	       0       14        0        1       13
61106 tclxml-dev                         	       0        1        0        1        0
61107 tcm                                	       0        2        0        2        0
61108 tcm-doc                            	       0        2        0        0        2
61109 tcpflow-nox                        	       0        2        0        2        0
61110 tcpick                             	       0       28        0       28        0
61111 tcpreen                            	       0        2        0        2        0
61112 tcpser                             	       0        1        0        1        0
61113 tcpslice                           	       0        2        0        2        0
61114 tcpspy                             	       0        5        0        5        0
61115 tcpstat                            	       0       11        0       11        0
61116 tcptrack                           	       0       25        1       24        0
61117 tcputils                           	       0        7        0        7        0
61118 tcs                                	       0        2        0        2        0
61119 tcsh                               	       0      115        8      107        0
61120 tcvt                               	       0        1        0        1        0
61121 tdappdesktop                       	       0        1        0        0        1
61122 tdc                                	       0        6        0        6        0
61123 tde-core-trinity                   	       0       24        0        0       24
61124 tde-devel-trinity                  	       0        1        0        0        1
61125 tde-guidance-trinity               	       0        2        0        2        0
61126 tde-i18n-be-trinity                	       0        1        0        1        0
61127 tde-i18n-cs-trinity                	       0        2        0        1        1
61128 tde-i18n-de-trinity                	       0        7        0        6        1
61129 tde-i18n-engb-trinity              	       0        1        0        0        1
61130 tde-i18n-es-trinity                	       0        1        0        0        1
61131 tde-i18n-fr-trinity                	       0        1        0        0        1
61132 tde-i18n-hu-trinity                	       0        1        0        0        1
61133 tde-i18n-it-trinity                	       0        1        0        0        1
61134 tde-i18n-pl-trinity                	       0        2        0        1        1
61135 tde-i18n-ru-trinity                	       0        3        0        0        3
61136 tde-i18n-sk-trinity                	       0        1        0        0        1
61137 tde-icons-mono-trinity             	       0       25        0        0       25
61138 tde-style-baghira-trinity          	       0        2        0        2        0
61139 tde-style-domino-trinity           	       0        3        0        3        0
61140 tde-style-ia-ora-trinity           	       0        2        0        2        0
61141 tde-style-lipstik-trinity          	       0        2        0        2        0
61142 tde-style-polyester-trinity        	       0        1        0        1        0
61143 tde-style-q4oststyle02-trinity     	       0        2        0        2        0
61144 tde-style-qtcurve-trinity          	       0        6        1        5        0
61145 tde-systemsettings-trinity         	       0        3        0        3        0
61146 tde-trinity                        	       0       19        0        0       19
61147 tdeaccessibility-trinity           	       0       22        0        0       22
61148 tdeaccessibility-trinity-doc-html  	       0        2        0        0        2
61149 tdeaddons-trinity                  	       0       21        0        0       21
61150 tdeaddons-trinity-doc-html         	       0        2        0        0        2
61151 tdeadmin-trinity                   	       0       24        0        0       24
61152 tdeadmin-trinity-doc-html          	       0        2        0        0        2
61153 tdeartwork-emoticons-trinity       	       0       25        0        0       25
61154 tdeartwork-misc-trinity            	       0       26        0        0       26
61155 tdeartwork-theme-icon-trinity      	       0       27        0        0       27
61156 tdeartwork-trinity                 	       0       24        0        0       24
61157 tdeartwork-trinity-dbg             	       0        1        0        1        0
61158 tdebase-data-trinity               	       0       35        0        0       35
61159 tdebase-runtime-data-common-trinity	       0       34        0        0       34
61160 tdebase-trinity                    	       0       31        0        0       31
61161 tdebase-trinity-dbg                	       0        1        0        1        0
61162 tdebase-trinity-dev                	       0        1        0        1        0
61163 tdebase-trinity-doc-html           	       0        2        0        0        2
61164 tdebluez-trinity                   	       0        2        0        2        0
61165 tdecachegrind-converters-trinity   	       0        1        0        1        0
61166 tdecachegrind-trinity              	       0        2        0        2        0
61167 tdeedu-data-trinity                	       0       23        0        0       23
61168 tdeedu-trinity                     	       0       19        0        0       19
61169 tdeedu-trinity-doc-html            	       0        2        0        0        2
61170 tdefifteen-trinity                 	       0       21        0        0       21
61171 tdegames-card-data-trinity         	       0       23        0        0       23
61172 tdegames-trinity                   	       0       23        0        0       23
61173 tdegames-trinity-doc-html          	       0        1        0        0        1
61174 tdegraphics-trinity                	       0       22        0        0       22
61175 tdegraphics-trinity-doc-html       	       0        2        0        0        2
61176 tdeio-appinfo-trinity              	       0        1        0        1        0
61177 tdeio-apt-trinity                  	       0        2        0        2        0
61178 tdeio-ftps-trinity                 	       0        2        0        2        0
61179 tdeio-gopher-trinity               	       0        2        0        2        0
61180 tdeio-locate-trinity               	       0        2        0        2        0
61181 tdeio-umountwrapper-trinity        	       0        2        0        2        0
61182 tdelibs-trinity                    	       0       29        0        0       29
61183 tdelibs-trinity-dbg                	       0        2        0        2        0
61184 tdelibs14-imagetops-trinity        	       0        1        0        1        0
61185 tdelibs14-trinity-dev              	       0        1        0        1        0
61186 tdelibs14-trinity-doc              	       0        2        0        0        2
61187 tdemultimedia-kappfinder-data-trinity	       0       24        0        0       24
61188 tdemultimedia-trinity              	       0       22        0        0       22
61189 tdemultimedia-trinity-doc-html     	       0        2        0        0        2
61190 tdenetwork-trinity                 	       0       24        0        0       24
61191 tdenetwork-trinity-dbg             	       0        1        0        1        0
61192 tdenetwork-trinity-doc-html        	       0        2        0        0        2
61193 tdepacman-trinity                  	       0        1        0        1        0
61194 tdepim-trinity                     	       0       23        0        0       23
61195 tdepim-trinity-doc-html            	       0        1        0        0        1
61196 tdescreensaver-xsavers-extra-trinity	       0        2        0        0        2
61197 tdescreensaver-xsavers-webcollage-trinity	       0        1        0        0        1
61198 tdesdk-misc-trinity                	       0        1        0        1        0
61199 tdesdk-scripts-trinity             	       0        1        0        1        0
61200 tdesdk-tdefile-plugins-trinity     	       0        2        0        2        0
61201 tdesdk-tdeio-plugins-trinity       	       0        1        0        1        0
61202 tdesdk-trinity                     	       0        1        0        0        1
61203 tdesdk-trinity-doc-html            	       0        2        0        0        2
61204 tdesshaskpass-trinity              	       0        3        0        3        0
61205 tdesshaskpass-trinity-dbgsym       	       0        1        0        1        0
61206 tdesudo-trinity                    	       0        5        0        5        0
61207 tdesvn-tdeio-plugins-trinity       	       0        1        0        1        0
61208 tdesvn-trinity                     	       0        1        0        1        0
61209 tdetoys-trinity                    	       0       23        0        0       23
61210 tdetoys-trinity-doc-html           	       0        1        0        0        1
61211 tdeunittest-trinity                	       0        1        0        1        0
61212 tdeutils-trinity                   	       0       22        0        0       22
61213 tdeutils-trinity-doc-html          	       0        1        0        0        1
61214 tdevelop-data-trinity              	       0        3        0        3        0
61215 tdevelop-trinity                   	       0        3        0        3        0
61216 tdevelop-trinity-doc               	       0        3        0        3        0
61217 tdewallpapers-trinity              	       0       27        0        0       27
61218 tdewebdev-trinity                  	       0       24        0        0       24
61219 tdewebdev-trinity-doc-html         	       0        1        0        0        1
61220 tdfsb                              	       0        8        0        8        0
61221 tdmtheme-trinity                   	       0        4        0        4        0
61222 tdom                               	       0       30        0        1       29
61223 tdsodbc                            	       0       17        1        0       16
61224 tea                                	       0       13        0       13        0
61225 tea-data                           	       0       13        0        0       13
61226 tealdeer                           	       0        7        0        7        0
61227 teams                              	       0       57        3       54        0
61228 teams-for-linux                    	       0       16        2        3       11
61229 teams-insiders                     	       0        2        0        2        0
61230 teamviewer                         	       0       60        5       55        0
61231 teamviewer-host                    	       0        2        0        2        0
61232 tecnoballz                         	       0        8        0        8        0
61233 tecnoballz-data                    	       0        8        0        0        8
61234 ted                                	       0        1        0        1        0
61235 ted-common                         	       0        1        0        0        1
61236 tedia2sql                          	       0        1        0        1        0
61237 teem-apps                          	       0        3        1        2        0
61238 teensy-loader-cli                  	       0        6        0        6        0
61239 teeworlds                          	       0       11        0       11        0
61240 teeworlds-data                     	       0       13        0        0       13
61241 teeworlds-server                   	       0        3        0        3        0
61242 teg                                	       0        4        0        4        0
61243 tegaki-recognize                   	       0        1        0        1        0
61244 tegaki-zinnia-japanese             	       0       16        0        0       16
61245 teighafileconverter                	       0        1        0        1        0
61246 teilfeil                           	       0        1        0        1        0
61247 teilfeil-cli                       	       0        1        0        1        0
61248 teilfeil-common                    	       0        1        0        1        0
61249 teilfeil-libsodium                 	       0        1        0        1        0
61250 telegnome                          	       0        1        0        1        0
61251 telegraf                           	       0        9        1        8        0
61252 telegram                           	       0        1        0        0        1
61253 telegram-cli                       	       0        8        0        8        0
61254 telegram-purple                    	       0        3        0        3        0
61255 telegram-send                      	       0        2        0        2        0
61256 telepathy-accounts-signon          	       0       11        0        6        5
61257 telepathy-gabble                   	       0       12        0       12        0
61258 telepathy-haze                     	       0       20        0       20        0
61259 telepathy-idle                     	       0       22        0       22        0
61260 telepathy-logger                   	       0       27        0       27        0
61261 telepathy-rakia                    	       0        9        0        9        0
61262 teleport                           	       0        1        0        1        0
61263 telepresence                       	       0        1        0        1        0
61264 telescope                          	       0        1        0        1        0
61265 tellico-data                       	       0       12        0        0       12
61266 tellico-data-trinity               	       0        2        0        0        2
61267 tellico-doc                        	       0       10        0        0       10
61268 tellico-scripts                    	       0        9        0        0        9
61269 tellico-scripts-trinity            	       0        2        0        0        2
61270 tellico-trinity                    	       0        2        0        2        0
61271 telnet-ssl                         	       0       27        2       25        0
61272 telnetd                            	       0       32        0       10       22
61273 telnetd-ssl                        	       0        7        1        6        0
61274 telxcc                             	       0        1        0        1        0
61275 tempest-for-eliza                  	       0        2        0        2        0
61276 temurin-11-jdk                     	       0        4        0        4        0
61277 temurin-11-jre                     	       0        2        0        2        0
61278 temurin-17-jdk                     	       0        9        0        9        0
61279 temurin-17-jre                     	       0        5        2        3        0
61280 temurin-19-jdk                     	       0        1        0        1        0
61281 temurin-20-jdk                     	       0        1        0        1        0
61282 temurin-21-jdk                     	       0        3        0        3        0
61283 temurin-22-jdk                     	       0        3        0        3        0
61284 temurin-22-jre                     	       0        1        0        1        0
61285 temurin-23-jdk                     	       0        1        0        1        0
61286 temurin-8-jdk                      	       0        3        0        3        0
61287 temurin-8-jre                      	       0        2        0        2        0
61288 tenace                             	       0        9        0        9        0
61289 tenmado                            	       0        2        0        2        0
61290 tenshi                             	       0        1        0        1        0
61291 terabox                            	       0        1        0        1        0
61292 teragonaudio-plugins-lv2           	       0        1        0        1        0
61293 tercpp                             	       0        1        0        1        0
61294 termdebug                          	       0        1        0        1        0
61295 terminal.app                       	       0        6        0        6        0
61296 terminatorx                        	       0        9        2        7        0
61297 termineter                         	       0        1        0        1        0
61298 terminfo                           	       0        6        0        6        0
61299 terminology-data                   	       0       18        0        3       15
61300 terminus                           	       0        9        1        8        0
61301 termius-app                        	       0        1        0        0        1
61302 termrec                            	       0        5        0        5        0
61303 termsaver                          	       0       67        1       66        0
61304 termscp                            	       0        2        0        2        0
61305 termshark                          	       0        5        0        5        0
61306 terraform                          	       0        6        0        6        0
61307 terraform-ls                       	       0        3        0        3        0
61308 terraform-stacks-cli               	       0        1        0        1        0
61309 terraintool                        	       0        1        0        1        0
61310 teseq                              	       0        4        0        4        0
61311 tesseract-build-deps               	       0        1        0        0        1
61312 tesseract-lang-build-deps          	       0        1        0        0        1
61313 tesseract-ocr-afr                  	       0        8        0        0        8
61314 tesseract-ocr-all                  	       0        8        0        0        8
61315 tesseract-ocr-amh                  	       0        8        0        0        8
61316 tesseract-ocr-ara                  	       0        9        0        0        9
61317 tesseract-ocr-asm                  	       0        8        0        0        8
61318 tesseract-ocr-aze                  	       0        9        0        0        9
61319 tesseract-ocr-aze-cyrl             	       0        9        0        0        9
61320 tesseract-ocr-bel                  	       0        8        0        0        8
61321 tesseract-ocr-ben                  	       0        8        0        0        8
61322 tesseract-ocr-bod                  	       0        8        0        0        8
61323 tesseract-ocr-bos                  	       0        8        0        0        8
61324 tesseract-ocr-bre                  	       0        7        0        0        7
61325 tesseract-ocr-bul                  	       0        8        0        0        8
61326 tesseract-ocr-cat                  	       0        8        0        0        8
61327 tesseract-ocr-ceb                  	       0        8        0        0        8
61328 tesseract-ocr-ces                  	       0       13        0        0       13
61329 tesseract-ocr-chi-sim              	       0       10        0        0       10
61330 tesseract-ocr-chi-sim-vert         	       0        9        0        0        9
61331 tesseract-ocr-chi-tra              	       0       10        0        0       10
61332 tesseract-ocr-chi-tra-vert         	       0        9        0        0        9
61333 tesseract-ocr-chr                  	       0        8        0        0        8
61334 tesseract-ocr-cos                  	       0        7        0        0        7
61335 tesseract-ocr-cym                  	       0        8        0        0        8
61336 tesseract-ocr-dan                  	       0        9        0        0        9
61337 tesseract-ocr-dan-frak             	       0        1        0        0        1
61338 tesseract-ocr-data                 	       0        1        0        0        1
61339 tesseract-ocr-deu                  	       0       59        0        0       59
61340 tesseract-ocr-deu-f                	       0        1        0        0        1
61341 tesseract-ocr-deu-frak             	       0        2        0        0        2
61342 tesseract-ocr-div                  	       0        7        0        0        7
61343 tesseract-ocr-dzo                  	       0        8        0        0        8
61344 tesseract-ocr-ell                  	       0       11        0        0       11
61345 tesseract-ocr-eng                  	       0      252        0        0      252
61346 tesseract-ocr-enm                  	       0       10        0        0       10
61347 tesseract-ocr-epo                  	       0        8        0        0        8
61348 tesseract-ocr-equ                  	       0       12        0        0       12
61349 tesseract-ocr-est                  	       0        8        0        0        8
61350 tesseract-ocr-eus                  	       0        8        0        0        8
61351 tesseract-ocr-fao                  	       0        7        0        0        7
61352 tesseract-ocr-fas                  	       0        8        0        0        8
61353 tesseract-ocr-fil                  	       0        7        0        0        7
61354 tesseract-ocr-fin                  	       0        9        0        0        9
61355 tesseract-ocr-fra                  	       0       31        0        0       31
61356 tesseract-ocr-frk                  	       0       13        0        0       13
61357 tesseract-ocr-frm                  	       0        9        0        0        9
61358 tesseract-ocr-fry                  	       0        8        0        0        8
61359 tesseract-ocr-gla                  	       0        7        0        0        7
61360 tesseract-ocr-gle                  	       0        9        0        0        9
61361 tesseract-ocr-gle-uncial           	       0        1        0        0        1
61362 tesseract-ocr-glg                  	       0        9        0        0        9
61363 tesseract-ocr-grc                  	       0        9        0        0        9
61364 tesseract-ocr-guj                  	       0        8        0        0        8
61365 tesseract-ocr-hat                  	       0        8        0        0        8
61366 tesseract-ocr-heb                  	       0        9        0        0        9
61367 tesseract-ocr-hin                  	       0        9        0        0        9
61368 tesseract-ocr-hrv                  	       0        8        0        0        8
61369 tesseract-ocr-hun                  	       0        8        0        0        8
61370 tesseract-ocr-hye                  	       0        7        0        0        7
61371 tesseract-ocr-iku                  	       0        8        0        0        8
61372 tesseract-ocr-ind                  	       0        8        0        0        8
61373 tesseract-ocr-isl                  	       0        8        0        0        8
61374 tesseract-ocr-ita                  	       0       20        0        0       20
61375 tesseract-ocr-ita-old              	       0       11        0        0       11
61376 tesseract-ocr-jav                  	       0        8        0        0        8
61377 tesseract-ocr-jpn                  	       0       16        0        0       16
61378 tesseract-ocr-jpn-vert             	       0       10        0        0       10
61379 tesseract-ocr-kan                  	       0        8        0        0        8
61380 tesseract-ocr-kat                  	       0        8        0        0        8
61381 tesseract-ocr-kat-old              	       0        8        0        0        8
61382 tesseract-ocr-kaz                  	       0        8        0        0        8
61383 tesseract-ocr-khm                  	       0        8        0        0        8
61384 tesseract-ocr-kir                  	       0        8        0        0        8
61385 tesseract-ocr-kmr                  	       0        7        0        0        7
61386 tesseract-ocr-kor                  	       0        8        0        0        8
61387 tesseract-ocr-kor-vert             	       0        7        0        0        7
61388 tesseract-ocr-kur                  	       0        1        0        0        1
61389 tesseract-ocr-lao                  	       0        8        0        0        8
61390 tesseract-ocr-lat                  	       0       14        0        0       14
61391 tesseract-ocr-lav                  	       0        8        0        0        8
61392 tesseract-ocr-lit                  	       0        8        0        0        8
61393 tesseract-ocr-ltz                  	       0        7        0        0        7
61394 tesseract-ocr-mal                  	       0        8        0        0        8
61395 tesseract-ocr-mar                  	       0        8        0        0        8
61396 tesseract-ocr-mkd                  	       0        8        0        0        8
61397 tesseract-ocr-mlt                  	       0        8        0        0        8
61398 tesseract-ocr-mon                  	       0        7        0        0        7
61399 tesseract-ocr-mri                  	       0        7        0        0        7
61400 tesseract-ocr-msa                  	       0        8        0        0        8
61401 tesseract-ocr-mya                  	       0        8        0        0        8
61402 tesseract-ocr-nep                  	       0        8        0        0        8
61403 tesseract-ocr-nld                  	       0       16        0        0       16
61404 tesseract-ocr-nor                  	       0        8        0        0        8
61405 tesseract-ocr-oci                  	       0        7        0        0        7
61406 tesseract-ocr-ori                  	       0        8        0        0        8
61407 tesseract-ocr-osd                  	       0      251        0        0      251
61408 tesseract-ocr-pan                  	       0        8        0        0        8
61409 tesseract-ocr-pol                  	       0       16        0        0       16
61410 tesseract-ocr-por                  	       0        9        0        0        9
61411 tesseract-ocr-pus                  	       0        8        0        0        8
61412 tesseract-ocr-que                  	       0        7        0        0        7
61413 tesseract-ocr-ron                  	       0       11        0        0       11
61414 tesseract-ocr-rus                  	       0       20        0        0       20
61415 tesseract-ocr-san                  	       0        8        0        0        8
61416 tesseract-ocr-script-arab          	       0        7        0        0        7
61417 tesseract-ocr-script-armn          	       0        7        0        0        7
61418 tesseract-ocr-script-beng          	       0        7        0        0        7
61419 tesseract-ocr-script-cans          	       0        8        0        0        8
61420 tesseract-ocr-script-cher          	       0        8        0        0        8
61421 tesseract-ocr-script-cyrl          	       0       10        0        0       10
61422 tesseract-ocr-script-deva          	       0        7        0        0        7
61423 tesseract-ocr-script-ethi          	       0        7        0        0        7
61424 tesseract-ocr-script-frak          	       0        8        0        0        8
61425 tesseract-ocr-script-geor          	       0        7        0        0        7
61426 tesseract-ocr-script-grek          	       0        9        0        0        9
61427 tesseract-ocr-script-gujr          	       0        7        0        0        7
61428 tesseract-ocr-script-guru          	       0        7        0        0        7
61429 tesseract-ocr-script-hang          	       0        7        0        0        7
61430 tesseract-ocr-script-hang-vert     	       0        7        0        0        7
61431 tesseract-ocr-script-hans          	       0        8        0        0        8
61432 tesseract-ocr-script-hans-vert     	       0        8        0        0        8
61433 tesseract-ocr-script-hant          	       0        8        0        0        8
61434 tesseract-ocr-script-hant-vert     	       0        8        0        0        8
61435 tesseract-ocr-script-hebr          	       0        8        0        0        8
61436 tesseract-ocr-script-jpan          	       0       10        0        0       10
61437 tesseract-ocr-script-jpan-vert     	       0       10        0        0       10
61438 tesseract-ocr-script-khmr          	       0        7        0        0        7
61439 tesseract-ocr-script-knda          	       0        7        0        0        7
61440 tesseract-ocr-script-laoo          	       0        7        0        0        7
61441 tesseract-ocr-script-latn          	       0       16        0        0       16
61442 tesseract-ocr-script-mlym          	       0        7        0        0        7
61443 tesseract-ocr-script-mymr          	       0        7        0        0        7
61444 tesseract-ocr-script-orya          	       0        7        0        0        7
61445 tesseract-ocr-script-sinh          	       0        7        0        0        7
61446 tesseract-ocr-script-syrc          	       0        7        0        0        7
61447 tesseract-ocr-script-taml          	       0        7        0        0        7
61448 tesseract-ocr-script-telu          	       0        7        0        0        7
61449 tesseract-ocr-script-thaa          	       0        7        0        0        7
61450 tesseract-ocr-script-thai          	       0        7        0        0        7
61451 tesseract-ocr-script-tibt          	       0        7        0        0        7
61452 tesseract-ocr-script-viet          	       0        7        0        0        7
61453 tesseract-ocr-sin                  	       0        8        0        0        8
61454 tesseract-ocr-slk                  	       0       10        0        0       10
61455 tesseract-ocr-slk-frak             	       0        2        0        0        2
61456 tesseract-ocr-slv                  	       0        8        0        0        8
61457 tesseract-ocr-snd                  	       0        7        0        0        7
61458 tesseract-ocr-spa                  	       0       25        0        0       25
61459 tesseract-ocr-spa-old              	       0       14        0        0       14
61460 tesseract-ocr-sqi                  	       0        8        0        0        8
61461 tesseract-ocr-srp                  	       0        8        0        0        8
61462 tesseract-ocr-srp-latn             	       0        8        0        0        8
61463 tesseract-ocr-sun                  	       0        7        0        0        7
61464 tesseract-ocr-swa                  	       0        8        0        0        8
61465 tesseract-ocr-swe                  	       0       12        0        0       12
61466 tesseract-ocr-syr                  	       0        8        0        0        8
61467 tesseract-ocr-tam                  	       0        8        0        0        8
61468 tesseract-ocr-tat                  	       0        7        0        0        7
61469 tesseract-ocr-tel                  	       0        8        0        0        8
61470 tesseract-ocr-tgk                  	       0        8        0        0        8
61471 tesseract-ocr-tgl                  	       0        1        0        0        1
61472 tesseract-ocr-tha                  	       0        9        0        0        9
61473 tesseract-ocr-tir                  	       0        8        0        0        8
61474 tesseract-ocr-ton                  	       0        7        0        0        7
61475 tesseract-ocr-tur                  	       0        8        0        0        8
61476 tesseract-ocr-uig                  	       0        8        0        0        8
61477 tesseract-ocr-ukr                  	       0       10        0        0       10
61478 tesseract-ocr-urd                  	       0        8        0        0        8
61479 tesseract-ocr-uzb                  	       0        8        0        0        8
61480 tesseract-ocr-uzb-cyrl             	       0        8        0        0        8
61481 tesseract-ocr-vie                  	       0        9        0        0        9
61482 tesseract-ocr-yid                  	       0        9        0        0        9
61483 tesseract-ocr-yor                  	       0        7        0        0        7
61484 tesseract2djvused                  	       0        1        0        1        0
61485 testdisk-dbg                       	       0        2        0        2        0
61486 testng                             	       0       76        0        0       76
61487 testng-doc                         	       0        1        0        0        1
61488 testssl.sh                         	       0       26        0       26        0
61489 testu01-bin                        	       0        1        0        1        0
61490 testu01-data                       	       0        1        0        0        1
61491 tetex-base                         	       0        2        0        0        2
61492 tetex-bin                          	       0        1        0        0        1
61493 tetex-brev                         	       0        1        0        0        1
61494 tetex-doc-nonfree                  	       0        1        0        0        1
61495 tetgen                             	       0        2        0        2        0
61496 tetradraw                          	       0        4        0        4        0
61497 tetraproc                          	       0        1        0        1        0
61498 tetrinet-client                    	       0       17        0       17        0
61499 tetrinet-server                    	       0        1        0        1        0
61500 tetrinetx                          	       0        9        0        9        0
61501 tetzle                             	       0       11        0       11        0
61502 tex-gyre                           	       0      469        0        0      469
61503 tex-pscyr                          	       0        1        0        0        1
61504 tex4ht                             	       0        3        0        1        2
61505 tex4ht-common                      	       0        1        0        0        1
61506 texi2html                          	       0       35        1       34        0
61507 texify                             	       0        4        1        3        0
61508 texinfo-doc-nonfree                	       0        5        0        0        5
61509 texinfo-lib                        	       0       74        1       73        0
61510 texlive                            	       0      239        0        0      239
61511 texlive-binaries-dbgsym            	       0        1        0        1        0
61512 texlive-doc-ar                     	       0        1        0        0        1
61513 texlive-doc-bg                     	       0        1        0        0        1
61514 texlive-doc-cs+sk                  	       0        1        0        0        1
61515 texlive-doc-de                     	       0        2        0        0        2
61516 texlive-doc-en                     	       0        2        0        0        2
61517 texlive-doc-es                     	       0        1        0        0        1
61518 texlive-doc-fi                     	       0        1        0        0        1
61519 texlive-doc-fr                     	       0        1        0        0        1
61520 texlive-doc-it                     	       0        1        0        0        1
61521 texlive-doc-ja                     	       0        1        0        0        1
61522 texlive-doc-ko                     	       0        1        0        0        1
61523 texlive-doc-mn                     	       0        1        0        0        1
61524 texlive-doc-nl                     	       0        1        0        0        1
61525 texlive-doc-pl                     	       0        1        0        0        1
61526 texlive-doc-pt                     	       0        1        0        0        1
61527 texlive-doc-rs                     	       0        1        0        0        1
61528 texlive-doc-ru                     	       0        1        0        0        1
61529 texlive-doc-si                     	       0        1        0        0        1
61530 texlive-doc-th                     	       0        1        0        0        1
61531 texlive-doc-tr                     	       0        1        0        0        1
61532 texlive-doc-uk                     	       0        1        0        0        1
61533 texlive-doc-vi                     	       0        1        0        0        1
61534 texlive-doc-zh                     	       0        2        0        0        2
61535 texlive-fonts-extra                	       0      189        0        1      188
61536 texlive-fonts-extra-doc            	       0      124        0        0      124
61537 texlive-fonts-extra-links          	       0      158        0        0      158
61538 texlive-fonts-recommended          	       0      499       12       56      431
61539 texlive-fonts-recommended-doc      	       0      184        0        0      184
61540 texlive-full                       	       0       79        0        0       79
61541 texlive-generic-extra              	       0       27        0        0       27
61542 texlive-generic-recommended        	       0       40        0        0       40
61543 texlive-htmlxml                    	       0       30        0        6       24
61544 texlive-humanities-doc             	       0       89        0        0       89
61545 texlive-lang-african               	       0        4        0        1        3
61546 texlive-lang-all                   	       0       14        0        0       14
61547 texlive-lang-arabic                	       0       90        0        1       89
61548 texlive-lang-armenian              	       0        2        0        0        2
61549 texlive-lang-chinese               	       0       98        0        1       97
61550 texlive-lang-croatian              	       0        3        0        0        3
61551 texlive-lang-danish                	       0        3        0        0        3
61552 texlive-lang-dutch                 	       0        3        0        0        3
61553 texlive-lang-english               	       0      143        0        0      143
61554 texlive-lang-european              	       0      113        0        1      112
61555 texlive-lang-finnish               	       0        3        0        0        3
61556 texlive-lang-german                	       0      145        0        0      145
61557 texlive-lang-hebrew                	       0        2        0        0        2
61558 texlive-lang-hungarian             	       0        3        0        0        3
61559 texlive-lang-indic                 	       0        3        0        3        0
61560 texlive-lang-italian               	       0       95        0        0       95
61561 texlive-lang-latin                 	       0        3        0        0        3
61562 texlive-lang-latvian               	       0        2        0        0        2
61563 texlive-lang-lithuanian            	       0        2        0        0        2
61564 texlive-lang-mongolian             	       0        3        0        0        3
61565 texlive-lang-norwegian             	       0        3        0        0        3
61566 texlive-lang-other                 	       0      100        0        8       92
61567 texlive-lang-portuguese            	       0       92        0        0       92
61568 texlive-lang-spanish               	       0      111        0        0      111
61569 texlive-lang-swedish               	       0        3        0        0        3
61570 texlive-lang-tibetan               	       0        2        0        0        2
61571 texlive-lang-vietnamese            	       0        3        0        0        3
61572 texlive-latex-base-doc             	       0      200        0        0      200
61573 texlive-latex-extra-doc            	       0      160        0        0      160
61574 texlive-latex-recommended-doc      	       0      180        0        0      180
61575 texlive-latex3                     	       0        1        0        0        1
61576 texlive-local                      	       0        2        0        0        2
61577 texlive-math-extra                 	       0        3        0        3        0
61578 texlive-metapost                   	       0      122        0        1      121
61579 texlive-omega                      	       0        6        0        3        3
61580 texlive-pictures-doc               	       0      170        0        0      170
61581 texlive-plain-extra                	       0        9        0        0        9
61582 texlive-pstricks-doc               	       0      170        0        0      170
61583 texlive-publishers                 	       0      120        0        0      120
61584 texlive-science-doc                	       0      126        0        0      126
61585 texmacs                            	       0        1        0        1        0
61586 texmacs-common                     	       0        2        0        0        2
61587 texmacs-extra-fonts                	       0        1        0        0        1
61588 texmaker-data                      	       0       42        0        0       42
61589 texpower                           	       0        2        0        0        2
61590 texpower-examples                  	       0        2        0        0        2
61591 texpower-manual                    	       0        3        0        0        3
61592 texstudio-doc                      	       0       66        0        0       66
61593 texstudio-l10n                     	       0       66        0        1       65
61594 textdraw                           	       0        6        0        6        0
61595 textedit.app                       	       0        8        0        8        0
61596 textql                             	       0        1        0        1        0
61597 texturepacker                      	       0        1        0        1        0
61598 texworks-help-en                   	       0       13        0        0       13
61599 texworks-help-fr                   	       0        1        0        0        1
61600 tf                                 	       0        7        0        7        0
61601 tf-tools                           	       0        2        0        2        0
61602 tf2-tools                          	       0        2        0        2        0
61603 tf5                                	       0        7        0        7        0
61604 tfortune                           	       0        5        0        5        0
61605 tfortunes                          	       0        5        0        0        5
61606 tftp                               	       0       46        1       15       30
61607 tftpd                              	       0       11        3        8        0
61608 tftpd-hpa                          	       0       56        8       48        0
61609 tgif                               	       0        7        0        7        0
61610 tgn                                	       0        1        0        1        0
61611 tgt                                	       0        2        0        2        0
61612 thailatex                          	       0        2        0        0        2
61613 thc-ipv6                           	       0        5        0        5        0
61614 the                                	       0        4        0        4        0
61615 the-doc                            	       0        2        0        0        2
61616 theano-doc                         	       0        1        0        0        1
61617 thefuck                            	       0        6        0        6        0
61618 theli                              	       0        1        0        1        0
61619 themedetector                      	       0        1        0        1        0
61620 themole                            	       0        1        0        1        0
61621 therion                            	       0        1        0        1        0
61622 therion-viewer                     	       0        1        0        1        0
61623 thermald                           	       0       31        2       29        0
61624 theseus                            	       0        1        0        1        0
61625 thin                               	       0        3        2        1        0
61626 thinkfan                           	       0       16        0       16        0
61627 threadscope                        	       0        1        1        0        0
61628 threeb-imagej                      	       0        1        0        1        0
61629 thrift-compiler                    	       0       10        0       10        0
61630 thrust                             	       0        2        0        2        0
61631 thunar-build-deps                  	       0        1        0        0        1
61632 thunar-dbgsym                      	       0        1        0        1        0
61633 thunar-dropbox-plugin              	       0        7        0        2        5
61634 thunar-font-manager                	       0       25        3       11       11
61635 thunar-gtkhash                     	       0       27        3       10       14
61636 thunar-megasync                    	       0        5        1        3        1
61637 thunar-vcs-plugin                  	       0       21        5        7        9
61638 thunar-volman-build-deps           	       0        1        0        0        1
61639 thunarx-python                     	       0        5        0        2        3
61640 thunderbird-bidiui                 	       0        4        0        0        4
61641 thunderbird-l10n-af                	       0        1        0        1        0
61642 thunderbird-l10n-all               	       0        1        0        0        1
61643 thunderbird-l10n-ar                	       0        1        0        1        0
61644 thunderbird-l10n-ast               	       0        1        0        1        0
61645 thunderbird-l10n-be                	       0        1        0        1        0
61646 thunderbird-l10n-bg                	       0        1        0        1        0
61647 thunderbird-l10n-br                	       0        1        0        1        0
61648 thunderbird-l10n-ca                	       0        3        0        3        0
61649 thunderbird-l10n-cak               	       0        1        0        1        0
61650 thunderbird-l10n-cs                	       0        7        1        6        0
61651 thunderbird-l10n-cy                	       0        1        0        1        0
61652 thunderbird-l10n-da                	       0        2        0        2        0
61653 thunderbird-l10n-dsb               	       0        1        0        1        0
61654 thunderbird-l10n-el                	       0        1        0        1        0
61655 thunderbird-l10n-es-mx             	       0        3        0        3        0
61656 thunderbird-l10n-et                	       0        2        0        2        0
61657 thunderbird-l10n-eu                	       0        1        0        1        0
61658 thunderbird-l10n-fi                	       0        1        0        1        0
61659 thunderbird-l10n-fy-nl             	       0        1        0        1        0
61660 thunderbird-l10n-ga-ie             	       0        1        0        1        0
61661 thunderbird-l10n-gd                	       0        1        0        1        0
61662 thunderbird-l10n-gl                	       0        1        0        1        0
61663 thunderbird-l10n-he                	       0        1        0        1        0
61664 thunderbird-l10n-hr                	       0        1        0        1        0
61665 thunderbird-l10n-hsb               	       0        1        0        1        0
61666 thunderbird-l10n-hu                	       0        3        0        3        0
61667 thunderbird-l10n-hy-am             	       0        1        0        1        0
61668 thunderbird-l10n-id                	       0        1        0        1        0
61669 thunderbird-l10n-is                	       0        1        0        1        0
61670 thunderbird-l10n-ja                	       0        2        0        2        0
61671 thunderbird-l10n-ka                	       0        1        0        1        0
61672 thunderbird-l10n-kab               	       0        1        0        1        0
61673 thunderbird-l10n-kk                	       0        1        0        1        0
61674 thunderbird-l10n-ko                	       0        1        0        1        0
61675 thunderbird-l10n-lt                	       0        1        0        1        0
61676 thunderbird-l10n-lv                	       0        1        0        1        0
61677 thunderbird-l10n-ms                	       0        1        0        1        0
61678 thunderbird-l10n-nb-no             	       0        1        0        1        0
61679 thunderbird-l10n-nn-no             	       0        1        0        1        0
61680 thunderbird-l10n-pa-in             	       0        1        0        1        0
61681 thunderbird-l10n-pl                	       0       13        0       13        0
61682 thunderbird-l10n-pt-br             	       0        4        0        4        0
61683 thunderbird-l10n-pt-pt             	       0        1        0        1        0
61684 thunderbird-l10n-rm                	       0        1        0        1        0
61685 thunderbird-l10n-ro                	       0        2        0        2        0
61686 thunderbird-l10n-sk                	       0        1        0        1        0
61687 thunderbird-l10n-sl                	       0        1        0        1        0
61688 thunderbird-l10n-sq                	       0        1        0        1        0
61689 thunderbird-l10n-sr                	       0        1        0        1        0
61690 thunderbird-l10n-sv-se             	       0        8        0        8        0
61691 thunderbird-l10n-th                	       0        1        0        1        0
61692 thunderbird-l10n-tr                	       0        2        0        2        0
61693 thunderbird-l10n-uk                	       0        1        0        1        0
61694 thunderbird-l10n-uz                	       0        1        0        1        0
61695 thunderbird-l10n-vi                	       0        1        0        1        0
61696 thunderbird-l10n-zh-cn             	       0        3        0        3        0
61697 thunderbird-l10n-zh-tw             	       0        2        0        2        0
61698 thunderbird-mozilla-build          	       0        1        0        1        0
61699 thunk-gen                          	       0        1        0        0        1
61700 tic80                              	       0        2        0        2        0
61701 ticgit                             	       0        1        0        1        0
61702 ticker                             	       0        2        0        2        0
61703 tickr                              	       0        1        0        1        0
61704 tidal-hifi                         	       0        2        0        0        2
61705 tidy-doc                           	       0        3        0        0        3
61706 tiemu                              	       0       12        0       12        0
61707 tiemu-skinedit                     	       0        9        0        9        0
61708 tif22pnm                           	       0        1        0        1        0
61709 tifffile                           	       0        1        0        1        0
61710 tig                                	       0       54        1       53        0
61711 tigervnc-scraping-server           	       0       34        2       32        0
61712 tigervnc-viewer                    	       0      164       13      151        0
61713 tigervnc-xorg-extension            	       0       27        3       24        0
61714 tightvnc-java                      	       0       15        1       14        0
61715 tigr-glimmer                       	       0        4        0        4        0
61716 tikzit                             	       0       10        0       10        0
61717 tiled                              	       0        7        0        7        0
61718 tilem                              	       0        7        0        7        0
61719 tilem-data                         	       0        7        0        0        7
61720 tilemaker                          	       0        1        0        1        0
61721 tilequant                          	       0        1        0        1        0
61722 tilestache                         	       0        1        0        1        0
61723 tilix-common                       	       0       21        1        3       17
61724 tilp2                              	       0       20        1       19        0
61725 timbl                              	       0        2        0        2        0
61726 timblserver                        	       0        2        0        2        0
61727 time-decode                        	       0       16        0       16        0
61728 timeit                             	       0        1        0        1        0
61729 timelimit                          	       0        9        0        9        0
61730 timemachine                        	       0        4        0        4        0
61731 timemon.app                        	       0        2        0        2        0
61732 timeoutd                           	       0        1        0        1        0
61733 timescaledb-tools                  	       0        1        0        1        0
61734 timewarrior                        	       0        8        0        8        0
61735 timezoneconf                       	       0        1        0        0        1
61736 timg                               	       0        5        0        5        0
61737 timgm6mb-soundfont                 	       0     2874        0        0     2874
61738 timidity-daemon                    	       0       32        0        0       32
61739 timidity-el                        	       0        5        1        4        0
61740 timidity-interfaces-extra          	       0       10        0       10        0
61741 tinc                               	       0       17        0       17        0
61742 tine20                             	       0        1        0        0        1
61743 tine20-activesync                  	       0        1        0        1        0
61744 tine20-calendar                    	       0        1        0        1        0
61745 tine20-crm                         	       0        1        0        1        0
61746 tine20-felamimail                  	       0        1        0        1        0
61747 tine20-filemanager                 	       0        1        0        1        0
61748 tine20-humanresources              	       0        1        0        1        0
61749 tine20-inventory                   	       0        1        0        1        0
61750 tine20-libraries                   	       0        1        0        1        0
61751 tine20-sales                       	       0        1        0        1        0
61752 tine20-tasks                       	       0        1        0        1        0
61753 tine20-timetracker                 	       0        1        0        1        0
61754 tine20-tinebase                    	       0        1        0        1        0
61755 tine20-webstack                    	       0        1        0        0        1
61756 tintin++                           	       0        9        0        9        0
61757 tiny-initramfs                     	       0        3        0        3        0
61758 tiny-initramfs-core                	       0        3        0        3        0
61759 tinyca                             	       0        2        0        2        0
61760 tinycdb                            	       0        7        0        7        0
61761 tinydns                            	       0        2        0        2        0
61762 tinydyndns                         	       0        1        0        1        0
61763 tinyirc                            	       0        3        0        3        0
61764 tinyjsd                            	       0        1        0        0        1
61765 tinymce                            	       0        4        0        0        4
61766 tinymce2                           	       0        2        0        0        2
61767 tinymux                            	       0        4        0        4        0
61768 tinyos-source                      	       0        1        0        0        1
61769 tinyos-tools                       	       0        1        0        1        0
61770 tinyows                            	       0        1        0        1        0
61771 tinyproxy                          	       0       10        0       10        0
61772 tinyproxy-bin                      	       0       11        3        8        0
61773 tinyscheme                         	       0        2        0        2        0
61774 tinysshd                           	       0        4        0        4        0
61775 tinyusb-source                     	       0        1        0        1        0
61776 tinywm                             	       0        3        0        3        0
61777 tio                                	       0       10        0       10        0
61778 tipa                               	       0      484        0        1      483
61779 tipa-doc                           	       0        8        0        0        8
61780 tippecanoe                         	       0        1        0        1        0
61781 tipptrainer                        	       0        1        0        1        0
61782 tipptrainer-data-de                	       0        1        0        0        1
61783 tiptop                             	       0        7        0        7        0
61784 titanion                           	       0        5        0        5        0
61785 titanion-data                      	       0        6        0        0        6
61786 tix-dev                            	       0        2        0        2        0
61787 tixati                             	       0        1        0        1        0
61788 tj3                                	       0        4        0        4        0
61789 tk-brief                           	       0        6        0        6        0
61790 tk-dev                             	       0       69        0        0       69
61791 tk-doc                             	       0       20        0        0       20
61792 tk-fsdialog                        	       0        3        0        0        3
61793 tk-html1                           	       0       15        0        0       15
61794 tk-html3                           	       0       19        0       19        0
61795 tk-itk4                            	       0        5        0        0        5
61796 tk-itk4-doc                        	       0        2        0        0        2
61797 tk-mpeg                            	       0       15        0        0       15
61798 tk-table                           	       0       23        0        0       23
61799 tk-tktray                          	       0        3        0        0        3
61800 tk2                                	       0        2        0        2        0
61801 tk5                                	       0        2        0        2        0
61802 tk707                              	       0        3        0        3        0
61803 tk8.4                              	       0        1        0        1        0
61804 tk8.4-doc                          	       0        1        0        0        1
61805 tk8.5                              	       0       27        1       26        0
61806 tk8.5-dev                          	       0        7        1        6        0
61807 tk8.5-doc                          	       0        3        0        0        3
61808 tk8.6-doc                          	       0       18        0        0       18
61809 tk9.0                              	       0        3        0        3        0
61810 tk9.0-doc                          	       0        2        0        0        2
61811 tkabber                            	       0        6        0        6        0
61812 tkabber-plugins                    	       0        2        0        0        2
61813 tkagif                             	       0        1        0        0        1
61814 tkblt                              	       0       19        0        0       19
61815 tkcalendar                         	       0        2        0        2        0
61816 tkcvs                              	       0       12        0       12        0
61817 tkdesk                             	       0        5        0        5        0
61818 tkdnd                              	       0        3        0        3        0
61819 tkdvi                              	       0        1        1        0        0
61820 tkfont                             	       0        1        0        1        0
61821 tkgate                             	       0       15        0       15        0
61822 tkgate-data                        	       0       17        0        0       17
61823 tkgate-doc                         	       0       17        0        0       17
61824 tkinspect                          	       0        3        0        3        0
61825 tkmib                              	       0       10        0       10        0
61826 tkpng                              	       0        9        0        9        0
61827 tkps                               	       0        1        0        1        0
61828 tkrzw-utils                        	       0        1        0        1        0
61829 tksao                              	       0       13        0        0       13
61830 tksvg                              	       0        2        0        0        2
61831 tktreectrl                         	       0        2        0        2        0
61832 tkvnc                              	       0        1        0        1        0
61833 tkwice                             	       0        1        0        0        1
61834 tla                                	       0        6        0        6        0
61835 tla-doc                            	       0        6        0        0        6
61836 tldextract                         	       0        1        0        1        0
61837 tldr                               	       0       30        2       23        5
61838 tldr-py                            	       0        1        0        1        0
61839 tleds                              	       0        1        0        1        0
61840 tlf                                	       0        6        0        6        0
61841 tlog                               	       0        1        0        1        0
61842 tlsh-tools                         	       0        3        0        3        0
61843 tlslookup                          	       0        1        0        1        0
61844 tlswrapper                         	       0        1        0        1        0
61845 tm-align                           	       0        4        0        4        0
61846 tmake                              	       0        1        0        1        0
61847 tmate                              	       0        5        0        5        0
61848 tmd710-tncsetup                    	       0        2        0        2        0
61849 tmdbv3api                          	       0        2        0        2        0
61850 tmexpand                           	       0        1        0        1        0
61851 tmfs                               	       0        1        0        1        0
61852 tmpl                               	       0        1        0        1        0
61853 tmpreaper                          	       0       22        2       20        0
61854 tmux-plugin-manager                	       0       14        0       14        0
61855 tmux-themepack-jimeh               	       0        9        0        0        9
61856 tmuxinator                         	       0       14        1       13        0
61857 tmuxp                              	       0        8        0        8        0
61858 tmw                                	       0        1        0        1        0
61859 tmw-music                          	       0        1        0        0        1
61860 tmwcetki-archive-keyring           	       0        1        0        0        1
61861 tmx-cups                           	       0        1        0        1        0
61862 tmx-cups-backend                   	       0        1        0        1        0
61863 tnef                               	       0       35        0       35        0
61864 tnt-wad                            	       0        3        0        0        3
61865 tntdb-mysql4                       	       0        1        0        1        0
61866 tntdb-sqlite4                      	       0        1        0        1        0
61867 tntnet-demos                       	       0        1        0        1        0
61868 tntnet-runtime                     	       0        4        0        4        0
61869 todo.txt-base                      	       0        2        0        2        0
61870 todo.txt-gtd                       	       0        2        0        2        0
61871 todoman                            	       0        1        0        1        0
61872 todotxt-cli                        	       0        7        0        7        0
61873 todour                             	       0        2        1        1        0
61874 tofi                               	       0        6        0        6        0
61875 tofu                               	       0        2        0        2        0
61876 toga2                              	       0        6        0        6        0
61877 toggldesktop                       	       0        1        0        1        0
61878 togl-demos                         	       0        3        0        0        3
61879 toilet-fonts                       	       0     1297        0        0     1297
61880 tokei                              	       0        2        0        2        0
61881 tokentool                          	       0        2        0        2        0
61882 tokodon                            	       0        1        0        1        0
61883 tokyocabinet-bin                   	       0        3        0        3        0
61884 tokyocabinet-doc                   	       0        2        0        0        2
61885 tokyotyrant                        	       0        1        0        1        0
61886 tokyotyrant-utils                  	       0        1        0        1        0
61887 tomatoes                           	       0        3        0        3        0
61888 tomatoes-data                      	       0        3        0        0        3
61889 tomboy                             	       0        6        0        6        0
61890 tomboy-ng                          	       0        5        0        5        0
61891 tomcat-jakartaee-migration         	       0        2        0        2        0
61892 tomcat10                           	       0        7        2        5        0
61893 tomcat10-common                    	       0        7        1        6        0
61894 tomcat7                            	       0        1        0        0        1
61895 tomcat7-common                     	       0        1        0        1        0
61896 tomcat8                            	       0        5        0        0        5
61897 tomcat8-admin                      	       0        3        0        0        3
61898 tomcat8-common                     	       0        5        1        4        0
61899 tomcat8-docs                       	       0        3        0        0        3
61900 tomcat8-examples                   	       0        1        0        0        1
61901 tomcat8-user                       	       0        1        0        1        0
61902 tomcat9-admin                      	       0        6        0        0        6
61903 tomcat9-docs                       	       0        1        0        0        1
61904 tomcat9-user                       	       0        1        0        1        0
61905 tome                               	       0        3        0        3        0
61906 tomoyo-tools                       	       0       29        0       29        0
61907 tonkeeper                          	       0        1        0        1        0
61908 toolame                            	       0        4        0        4        0
61909 toot                               	       0       14        0       14        0
61910 tootle                             	       0        8        0        8        0
61911 topal                              	       0        1        0        1        0
61912 topcom                             	       0       12        2       10        0
61913 topcom-examples                    	       0       12        0        0       12
61914 topgit                             	       0        1        0        1        0
61915 tophat-recondition                 	       0        1        0        1        0
61916 topic-tools                        	       0        1        0        1        0
61917 topline                            	       0        2        0        2        0
61918 topmenu-gtk-common                 	       0        3        0        0        3
61919 toppler                            	       0        2        0        2        0
61920 toppred                            	       0        1        0        1        0
61921 topydo                             	       0        2        1        1        0
61922 tor-arm                            	       0       13        0        1       12
61923 tor-dbg                            	       0        1        0        1        0
61924 tor-dbgsym                         	       0        1        0        1        0
61925 tor-geoipdb                        	       0      241        0        0      241
61926 tora                               	       0        2        0        2        0
61927 torch-core-free                    	       0        1        0        0        1
61928 torch-trepl                        	       0        1        0        1        0
61929 torchat                            	       0        3        0        3        0
61930 torchlight                         	       0        2        0        0        2
61931 torcs                              	       0       15        0       15        0
61932 torcs-data                         	       0       15        0        0       15
61933 torcs-data-cars                    	       0        1        0        0        1
61934 torcs-data-tracks                  	       0        1        0        0        1
61935 torguard                           	       0        1        0        1        0
61936 torreadwrite                       	       0        1        0        1        0
61937 torrentcheck                       	       0        1        0        1        0
61938 torsmo                             	       0        1        0        1        0
61939 tortoisehg                         	       0       19        0       19        0
61940 tortoisehg-caja                    	       0        3        0        0        3
61941 tortoisehg-nautilus                	       0        1        0        0        1
61942 torus-trooper                      	       0        7        0        7        0
61943 torus-trooper-data                 	       0        7        0        0        7
61944 toshset                            	       0        1        0        1        0
61945 totalopenstation                   	       0        4        0        4        0
61946 totem-common                       	       0      399        0        5      394
61947 totem-gstreamer                    	       0        1        0        0        1
61948 totem-mozilla                      	       0        1        0        1        0
61949 totem-plugin-arte                  	       0        1        0        1        0
61950 totem-plugins                      	       0      390        0        5      385
61951 totem-xine                         	       0        1        0        0        1
61952 touch-keyboard                     	       0        1        0        1        0
61953 touchegg                           	       0        2        0        2        0
61954 toulbar2                           	       0        1        0        1        0
61955 toulbar2-doc                       	       0        1        0        0        1
61956 tourney-manager                    	       0        4        0        4        0
61957 tox                                	       0       12        0       12        0
61958 tox-uv                             	       0        1        0        1        0
61959 toxcore-utils                      	       0        1        0        1        0
61960 toxic                              	       0        5        0        5        0
61961 toybox                             	       0        3        1        2        0
61962 tpb                                	       0       18        1       17        0
61963 tpconfig                           	       0        1        0        1        0
61964 tpm2-abrmd                         	       0        7        0        7        0
61965 tpm2-initramfs-tool                	       0        1        0        1        0
61966 tpm2-openssl                       	       0        1        0        0        1
61967 tpm2-tools                         	       0       14        1       13        0
61968 tpm2-tss-engine-tools              	       0        1        0        1        0
61969 tpp                                	       0        1        0        1        0
61970 tqca-tls                           	       0       26        0        3       23
61971 tqsllib-dev                        	       0        1        0        1        0
61972 tqt-x11-free-dbg                   	       0        2        0        2        0
61973 tqt3-apps-dev                      	       0        3        0        3        0
61974 tqt3-assistant                     	       0        1        0        1        0
61975 tqt3-designer                      	       0        2        0        2        0
61976 tqt3-designer-plugins              	       0        2        0        0        2
61977 tqt3-dev-tools                     	       0        3        0        3        0
61978 tqt3-doc                           	       0        2        0        0        2
61979 tqt3-qtconfig                      	       0        2        0        2        0
61980 tra                                	       0        1        0        1        0
61981 trac                               	       0        3        0        3        0
61982 trac-accountmanager                	       0        2        0        2        0
61983 trac-customfieldadmin              	       0        1        0        1        0
61984 trac-httpauth                      	       0        1        0        1        0
61985 trac-roadmap                       	       0        1        0        1        0
61986 trace-cmd                          	       0        9        0        9        0
61987 traceproto                         	       0        2        0        2        0
61988 traceroute-nanog                   	       0        2        0        0        2
61989 traceshark                         	       0        4        1        3        0
61990 trackballs                         	       0        7        0        7        0
61991 trackballs-data                    	       0        7        0        0        7
61992 tracker-gui                        	       0        5        0        5        0
61993 tracpaththeme                      	       0        1        0        1        0
61994 trader                             	       0        3        0        3        0
61995 tradingview                        	       0        6        0        0        6
61996 trafficserver                      	       0        2        0        2        0
61997 trafficserver-dev                  	       0        1        0        1        0
61998 trafficserver-experimental-plugins 	       0        1        0        1        0
61999 trang                              	       0        4        0        4        0
62000 trans-de-en                        	       0       28        0        0       28
62001 transcalc                          	       0        8        0        8        0
62002 transcend                          	       0        2        0        2        0
62003 transcode                          	       0        3        0        3        0
62004 transcode-doc                      	       0        9        0        9        0
62005 transcode-utils                    	       0        3        0        3        0
62006 transcriber                        	       0        4        0        4        0
62007 transdecoder                       	       0        1        0        1        0
62008 transdecoder-doc                   	       0        1        0        1        0
62009 transfert                          	       0        1        0        1        0
62010 transfig                           	       0       74        0        6       68
62011 transfuse                          	       0        5        0        5        0
62012 transgui                           	       0        9        0        9        0
62013 transifex-client                   	       0        1        0        1        0
62014 translate                          	       0        2        0        2        0
62015 translate-docformat                	       0        1        0        1        0
62016 translate-shell                    	       0       14        0       14        0
62017 translate-toolkit-doc              	       0       46        0        0       46
62018 transmageddon                      	       0        3        0        3        0
62019 transmission                       	       0      184        0        0      184
62020 transmission-cli                   	       0       70        4       66        0
62021 transmission-common                	       0      668        0        0      668
62022 transmission-daemon                	       0       42        9       33        0
62023 transmission-qt                    	       0       37        1       36        0
62024 transmission-remote-cli            	       0        4        0        4        0
62025 transmission-remote-gtk            	       0       22        2       20        0
62026 transrate-tools                    	       0        1        0        1        0
62027 transtermhp                        	       0        1        0        1        0
62028 trash-cli                          	       0       26        2       24        0
62029 tray-mixer-plus                    	       0        1        0        1        0
62030 trayer                             	       0       24        1       23        0
62031 tre-agrep                          	       0       16        0       16        0
62032 tree-puzzle                        	       0        3        0        3        0
62033 tree-puzzle-doc                    	       0        3        0        2        1
62034 tree-sitter-cli                    	       0        2        0        2        0
62035 treesheets                         	       0       10        0       10        0
62036 treetop                            	       0        5        0        5        0
62037 treeview                           	       0        2        0        2        0
62038 treeviewx                          	       0        1        0        1        0
62039 tremulous                          	       0        1        0        1        0
62040 tremulous-data                     	       0        1        0        0        1
62041 tremulous-doc                      	       0        1        0        0        1
62042 tremulous-server                   	       0        1        0        1        0
62043 trend                              	       0        4        0        4        0
62044 trezor-udev                        	       0        2        0        2        0
62045 triangle-bin                       	       0        1        0        1        0
62046 tribler                            	       0        5        0        5        0
62047 trickle                            	       0       20        1       19        0
62048 triehash                           	       0        2        0        2        0
62049 trigger-rally                      	       0        7        0        7        0
62050 trigger-rally-data                 	       0        8        0        0        8
62051 triggerhappy                       	       0        6        1        5        0
62052 trilinos-dev                       	       0        3        0        3        0
62053 trilium                            	       0        3        0        3        0
62054 trimage                            	       0        9        1        8        0
62055 trimmomatic                        	       0        1        0        1        0
62056 trinity                            	       0        3        0        3        0
62057 trinity-apt-archive                	       0        2        0        0        2
62058 trinity-keyring                    	       0       42        0        0       42
62059 trinityrnaseq-examples             	       0        1        0        0        1
62060 triplane                           	       0        3        0        3        0
62061 triplea                            	       0        3        0        3        0
62062 trisquel-keyring                   	       0        1        0        0        1
62063 trn4                               	       0        4        1        3        0
62064 troffcvt                           	       0        1        0        1        0
62065 trollsched-bin                     	       0        1        0        1        0
62066 trophy                             	       0        3        0        3        0
62067 trophy-data                        	       0        3        0        0        3
62068 trousers                           	       0       10        1        9        0
62069 trscripts                          	       0        1        0        1        0
62070 truecrypt                          	       0        1        0        1        0
62071 trueprint                          	       0        6        0        6        0
62072 trufont                            	       0        2        0        2        0
62073 trurl                              	       0        1        0        1        0
62074 trustedqsl                         	       0       10        0       10        0
62075 trx                                	       0        1        0        1        0
62076 trydiffoscope                      	       0        2        0        2        0
62077 tryton-client-doc                  	       0        1        0        0        1
62078 tryton-server-doc                  	       0        1        0        0        1
62079 ts-jest                            	       0        1        0        1        0
62080 ts-node                            	       0        2        0        2        0
62081 tsclient                           	       0        1        0        1        0
62082 tsconf                             	       0       18        0        0       18
62083 tsdecrypt                          	       0        4        0        4        0
62084 tsmuxer                            	       0        1        0        1        0
62085 tsocks                             	       0       14        2       12        0
62086 tss2                               	       0        3        0        3        0
62087 tstools                            	       0        6        0        6        0
62088 tsung                              	       0        1        0        1        0
62089 ttaenc                             	       0        2        0        2        0
62090 ttf-adf-accanthis                  	       0       13        0        0       13
62091 ttf-adf-baskervald                 	       0        3        0        0        3
62092 ttf-adf-berenis                    	       0        3        0        0        3
62093 ttf-adf-gillius                    	       0       14        0        0       14
62094 ttf-adf-ikarius                    	       0        3        0        0        3
62095 ttf-adf-irianis                    	       0        3        0        0        3
62096 ttf-adf-libris                     	       0        4        0        0        4
62097 ttf-adf-mekanus                    	       0        3        0        0        3
62098 ttf-adf-oldania                    	       0        3        0        0        3
62099 ttf-adf-romande                    	       0        3        0        0        3
62100 ttf-adf-switzera                   	       0        3        0        0        3
62101 ttf-adf-tribun                     	       0        3        0        0        3
62102 ttf-adf-universalis                	       0       14        0        0       14
62103 ttf-adf-verana                     	       0        3        0        0        3
62104 ttf-aenigma                        	       0       16        0        3       13
62105 ttf-alee                           	       0        2        0        0        2
62106 ttf-ancient-fonts                  	       0       25        0        0       25
62107 ttf-ancient-fonts-symbola          	       0       15        0        0       15
62108 ttf-anonymous-pro                  	       0       18        0        0       18
62109 ttf-aoyagi-kouzan-t                	       0        1        0        1        0
62110 ttf-arabeyes                       	       0        1        0        0        1
62111 ttf-arhangai                       	       0        1        0        0        1
62112 ttf-arphic-bkai00mp                	       0        2        0        0        2
62113 ttf-arphic-bsmi00lp                	       0        1        0        0        1
62114 ttf-arphic-gbsn00lp                	       0        2        0        0        2
62115 ttf-arphic-gkai00mp                	       0        2        0        0        2
62116 ttf-arphic-ukai                    	       0        1        0        0        1
62117 ttf-arphic-uming                   	       0        2        0        0        2
62118 ttf-atarismall                     	       0        3        0        0        3
62119 ttf-baekmuk                        	       0        6        0        0        6
62120 ttf-bengali-fonts                  	       0        3        0        0        3
62121 ttf-beteckna                       	       0        1        0        0        1
62122 ttf-bitstream-vera                 	       0      450       32      145      273
62123 ttf-bpg-georgian-fonts             	       0        2        0        0        2
62124 ttf-breip                          	       0        2        0        1        1
62125 ttf-century-catalogue              	       0        2        0        0        2
62126 ttf-dejavu                         	       0       52        0        0       52
62127 ttf-dejavu-core                    	       0      113        0        0      113
62128 ttf-dejavu-extra                   	       0       62        0        0       62
62129 ttf-dejima-mincho                  	       0        1        0        0        1
62130 ttf-denemo                         	       0        5        0        2        3
62131 ttf-devanagari-fonts               	       0        3        0        0        3
62132 ttf-dustin                         	       0        3        0        0        3
62133 ttf-dzongkha                       	       0        2        0        1        1
62134 ttf-ecolier-court                  	       0        2        0        1        1
62135 ttf-ecolier-lignes-court           	       0        2        0        0        2
62136 ttf-engadget                       	       0        9        0        0        9
62137 ttf-essays1743                     	       0        1        0        0        1
62138 ttf-evertype-conakry               	       0        2        0        0        2
62139 ttf-f500                           	       0        2        0        0        2
62140 ttf-farsiweb                       	       0        1        0        0        1
62141 ttf-femkeklaver                    	       0        7        0        0        7
62142 ttf-fifthhorseman-dkg-handwriting  	       0        2        0        0        2
62143 ttf-freefarsi                      	       0        2        0        0        2
62144 ttf-freefont                       	       0       29        0        0       29
62145 ttf-georgewilliams                 	       0        3        0        0        3
62146 ttf-gfs-artemisia                  	       0        2        0        0        2
62147 ttf-gfs-baskerville                	       0        1        0        0        1
62148 ttf-gfs-bodoni-classic             	       0        1        0        0        1
62149 ttf-gfs-complutum                  	       0        1        0        0        1
62150 ttf-gfs-didot                      	       0        1        0        0        1
62151 ttf-gfs-didot-classic              	       0        1        0        0        1
62152 ttf-gfs-gazis                      	       0        1        0        0        1
62153 ttf-gfs-neohellenic                	       0        1        0        0        1
62154 ttf-gfs-olga                       	       0        1        0        0        1
62155 ttf-gfs-porson                     	       0        1        0        0        1
62156 ttf-gfs-solomos                    	       0        1        0        0        1
62157 ttf-gfs-theokritos                 	       0        1        0        0        1
62158 ttf-goudybookletter                	       0        4        0        0        4
62159 ttf-gujarati-fonts                 	       0        3        0        0        3
62160 ttf-hanazono                       	       0        2        0        0        2
62161 ttf-inconsolata                    	       0        3        0        0        3
62162 ttf-indic-fonts                    	       0        5        0        0        5
62163 ttf-ipafont-gothic                 	       0        1        0        0        1
62164 ttf-ipafont-jisx0208               	       0        2        0        0        2
62165 ttf-ipafont-uigothic               	       0        1        0        0        1
62166 ttf-isabella                       	       0        5        0        0        5
62167 ttf-jsmath                         	       0        3        0        0        3
62168 ttf-junicode                       	       0        3        0        0        3
62169 ttf-jura                           	       0        1        0        0        1
62170 ttf-kacst                          	       0        1        0        0        1
62171 ttf-kacst-one                      	       0        1        0        0        1
62172 ttf-kanjistrokeorders              	       0        1        0        0        1
62173 ttf-kannada-fonts                  	       0        4        0        0        4
62174 ttf-khmeros                        	       0        1        0        0        1
62175 ttf-kiloji                         	       0        2        0        0        2
62176 ttf-kochi-gothic                   	       0       10        1        3        6
62177 ttf-kochi-gothic-naga10            	       0        1        0        0        1
62178 ttf-kochi-mincho                   	       0        6        0        0        6
62179 ttf-kochi-mincho-naga10            	       0        2        0        0        2
62180 ttf-konatu                         	       0        1        0        0        1
62181 ttf-lao                            	       0        1        0        0        1
62182 ttf-levien-museum                  	       0        1        0        0        1
62183 ttf-levien-typoscript              	       0        2        0        1        1
62184 ttf-lg-aboriginal                  	       0        1        0        0        1
62185 ttf-liberation                     	       0       17        0        0       17
62186 ttf-linex                          	       0        1        0        0        1
62187 ttf-linux-libertine                	       0        4        0        0        4
62188 ttf-littledays                     	       0        1        0        0        1
62189 ttf-lyx                            	       0        8        0        0        8
62190 ttf-manchufont                     	       0        2        0        0        2
62191 ttf-marvosym                       	       0       14        0        1       13
62192 ttf-mathematica4.1                 	       0        3        0        0        3
62193 ttf-mgopen                         	       0        1        0        0        1
62194 ttf-misaki                         	       0        1        0        0        1
62195 ttf-mona                           	       0        2        0        0        2
62196 ttf-monapo                         	       0        1        0        0        1
62197 ttf-mph-2b-damase                  	       0        2        0        0        2
62198 ttf-mplus                          	       0        1        0        0        1
62199 ttf-nafees                         	       0        1        0        0        1
62200 ttf-nanum                          	       0        1        0        0        1
62201 ttf-nanum-coding                   	       0        1        0        0        1
62202 ttf-ocr-a                          	       0        1        0        0        1
62203 ttf-oflb-asana-math                	       0        1        0        0        1
62204 ttf-oflb-euterpe                   	       0        1        0        0        1
62205 ttf-okolaks                        	       0        2        0        1        1
62206 ttf-oldstandard                    	       0        1        0        0        1
62207 ttf-opendin                        	       0        1        0        0        1
62208 ttf-opensymbol                     	       0        5        0        0        5
62209 ttf-oriya-fonts                    	       0        3        0        0        3
62210 ttf-paktype                        	       0        1        0        0        1
62211 ttf-pelikan-schulschriften         	       0        1        0        1        0
62212 ttf-punjabi-fonts                  	       0        3        0        0        3
62213 ttf-radisnoir                      	       0        2        0        0        2
62214 ttf-root-installer                 	       0        3        0        0        3
62215 ttf-rufscript                      	       0        2        0        0        2
62216 ttf-sawarabi-gothic                	       0        1        0        0        1
62217 ttf-sawarabi-mincho                	       0        1        0        0        1
62218 ttf-sazanami-gothic                	       0        2        0        1        1
62219 ttf-sazanami-mincho                	       0        4        0        1        3
62220 ttf-sil-abyssinica                 	       0        2        0        0        2
62221 ttf-sil-dai-banna                  	       0        1        0        0        1
62222 ttf-sil-ezra                       	       0        1        0        0        1
62223 ttf-sil-galatia                    	       0        1        0        0        1
62224 ttf-sil-gentium                    	       0        1        0        0        1
62225 ttf-sil-gentium-basic              	       0        7        0        0        7
62226 ttf-sil-nuosusil                   	       0        1        0        0        1
62227 ttf-sil-scheherazade               	       0        1        0        0        1
62228 ttf-sil-sophia-nubian              	       0        2        0        0        2
62229 ttf-sil-yi                         	       0        1        0        0        1
62230 ttf-sil-zaghawa-beria              	       0        2        0        0        2
62231 ttf-sinhala-lklug                  	       0        1        0        1        0
62232 ttf-sjfonts                        	       0       54        0        0       54
62233 ttf-staypuft                       	       0       22        0        0       22
62234 ttf-summersby                      	       0       17        0        0       17
62235 ttf-symbol-replacement-wine        	       0        1        0        1        0
62236 ttf-tagbanwa                       	       0       11        0        0       11
62237 ttf-takao                          	       0        1        0        0        1
62238 ttf-takao-gothic                   	       0        1        0        0        1
62239 ttf-takao-mincho                   	       0        1        0        0        1
62240 ttf-tamil-fonts                    	       0        2        0        0        2
62241 ttf-telugu-fonts                   	       0        2        0        0        2
62242 ttf-thai-arundina                  	       0        2        0        0        2
62243 ttf-thai-tlwg                      	       0        2        0        1        1
62244 ttf-thryomanes                     	       0        1        0        0        1
62245 ttf-tiresias                       	       0        6        0        0        6
62246 ttf-tmuni                          	       0        1        0        0        1
62247 ttf-tomsontalks                    	       0        1        0        0        1
62248 ttf-tuffy                          	       0        1        0        0        1
62249 ttf-ubuntu-font-family             	       0        6        0        0        6
62250 ttf-ubuntu-title                   	       0        1        0        0        1
62251 ttf-umefont                        	       0        2        0        0        2
62252 ttf-umeplus                        	       0        1        0        0        1
62253 ttf-unfonts-core                   	       0        3        0        0        3
62254 ttf-unfonts-extra                  	       0        3        0        1        2
62255 ttf-unifont                        	       0       46        0        0       46
62256 ttf-unikurdweb                     	       0        2        0        1        1
62257 ttf-uralic                         	       0        1        0        0        1
62258 ttf-vlgothic                       	       0        2        0        0        2
62259 ttf-wqy-microhei                   	       0        8        0        0        8
62260 ttf-wqy-zenhei                     	       0       10        0        0       10
62261 ttf-xfree86-nonfree                	       0       37        0        2       35
62262 ttf-xfree86-nonfree-syriac         	       0       10        0        1        9
62263 ttf2pt1                            	       0        1        0        1        0
62264 ttf2pt1-chinese                    	       0        1        0        0        1
62265 ttf2ufm                            	       0        6        1        5        0
62266 ttfautohint                        	       0        6        1        5        0
62267 tth                                	       0        5        0        5        0
62268 tth-common                         	       0        6        2        4        0
62269 tthsum                             	       0        1        0        1        0
62270 ttm                                	       0        4        1        3        0
62271 ttmkfdir                           	       0        2        0        2        0
62272 ttv                                	       0        1        0        1        0
62273 tty-clock                          	       0       14        2       12        0
62274 tty-solitaire                      	       0        4        0        4        0
62275 ttygif                             	       0        2        0        2        0
62276 ttyload                            	       0        9        0        9        0
62277 ttylog                             	       0        4        0        4        0
62278 ttysnoop                           	       0        8        0        8        0
62279 tuareg-mode                        	       0        2        0        0        2
62280 tuba                               	       0        2        0        2        0
62281 tucnak                             	       0        7        0        7        0
62282 tuigreet                           	       0        2        0        2        0
62283 tulip                              	       0        2        0        2        0
62284 tumbler-plugins-extra              	       0       33        0        2       31
62285 tumiki-fighters                    	       0        5        0        5        0
62286 tumiki-fighters-data               	       0        7        0        0        7
62287 tunapie                            	       0        1        0        1        0
62288 tuned-gtk                          	       0        2        0        2        0
62289 tuned-ppd                          	       0        1        0        1        0
62290 tuned-utils                        	       0        2        0        2        0
62291 tuned-utils-systemtap              	       0        2        0        2        0
62292 tunefish-lv2                       	       0        1        0        1        0
62293 tunix-keyring                      	       0        1        0        0        1
62294 tunnelx                            	       0        1        0        1        0
62295 tup                                	       0        1        0        1        0
62296 tupi                               	       0        4        0        4        0
62297 tupi-data                          	       0        5        0        0        5
62298 tuptime                            	       0        6        0        6        0
62299 turbocase                          	       0        1        0        1        0
62300 turbovnc                           	       0        2        0        2        0
62301 turbowarp-desktop                  	       0        1        0        0        1
62302 turtlesport                        	       0        3        0        3        0
62303 tusk                               	       0        1        0        0        1
62304 tut                                	       0        1        0        1        0
62305 tuxboot                            	       0        2        0        2        0
62306 tuxcmd                             	       0        7        0        7        0
62307 tuxcmd-modules                     	       0        2        0        2        0
62308 tuxedo-control-center              	       0        1        0        1        0
62309 tuxedo-keyboard                    	       0        2        0        2        0
62310 tuxedo-micfix1                     	       0        1        0        1        0
62311 tuxedo-wmi-dkms                    	       0        1        0        0        1
62312 tuxfootball                        	       0       10        0       10        0
62313 tuxguitar-alsa                     	       0       13        0       13        0
62314 tuxguitar-jack                     	       0        4        0        4        0
62315 tuxguitar-jsa                      	       0        5        0        0        5
62316 tuxguitar-oss                      	       0        7        0        7        0
62317 tuxmath-data                       	       0       31        2        6       23
62318 tuxonice-userui                    	       0        4        0        4        0
62319 tuxpaint-stamps-default            	       0       44        0        0       44
62320 tuxpuck                            	       0       12        0       12        0
62321 tuxtype                            	       0       29        0       29        0
62322 tuxtype-data                       	       0       29        1        7       21
62323 tv-fonts                           	       0       12        0        0       12
62324 tvbrowser                          	       0        5        0        5        0
62325 tvbrowser-aquatheme                	       0        1        0        1        0
62326 tvbrowser-bbjtheme                 	       0        1        0        1        0
62327 tvbrowser-beostheme                	       0        1        0        1        0
62328 tvbrowser-macostheme               	       0        1        0        1        0
62329 tvbrowser-moderntheme              	       0        1        0        1        0
62330 tvheadend                          	       0        9        2        7        0
62331 tvheadend-data                     	       0        2        0        0        2
62332 tvnamer                            	       0        3        0        3        0
62333 tvprog                             	       0        1        0        1        0
62334 tvtime                             	       0        8        0        8        0
62335 twatch                             	       0        2        0        2        0
62336 twclock                            	       0        8        0        8        0
62337 tweak                              	       0       11        0       11        0
62338 twidge                             	       0        1        0        1        0
62339 twiggy                             	       0        4        0        4        0
62340 twin                               	       0        5        0        5        0
62341 twin-style-crystal-trinity         	       0        1        0        1        0
62342 twin-style-dekorator-trinity       	       0        3        0        3        0
62343 twin-style-fahrenheit-trinity      	       0        2        0        2        0
62344 twin-style-machbunt-trinity        	       0        2        0        2        0
62345 twin-style-suse2-trinity           	       0        2        0        2        0
62346 twin-x11                           	       0        2        0        2        0
62347 twin4-trinity                      	       0       24        0        0       24
62348 twine                              	       0        5        0        5        0
62349 twinkle                            	       0       19        2       17        0
62350 twinkle-common                     	       0       17        0        0       17
62351 twinkle-console                    	       0        5        0        5        0
62352 twittering-mode                    	       0        3        0        3        0
62353 twms                               	       0        2        1        1        0
62354 twolame                            	       0       52        2       50        0
62355 tworld                             	       0        8        0        8        0
62356 tworld-data                        	       0        8        0        8        0
62357 twpsk                              	       0        9        0        9        0
62358 txt2html                           	       0       11        0       11        0
62359 txt2man                            	       0       13        0       13        0
62360 txt2pdbdoc                         	       0        3        0        3        0
62361 txt2regex                          	       0        8        0        8        0
62362 txt2tags                           	       0       12        0       12        0
62363 txtreader                          	       0        1        0        1        0
62364 type-handling                      	       0        4        0        4        0
62365 typecatcher                        	       0        4        0        4        0
62366 typespeed                          	       0       13        2       11        0
62367 tyrian-data                        	       0        2        0        0        2
62368 tzc                                	       0        1        0        1        0
62369 tzdata-java                        	       0       16        0        0       16
62370 tzdata-legacy                      	       0       69        0        0       69
62371 tzdiff                             	       0        2        0        2        0
62372 tzwatch                            	       0        8        0        8        0
62373 u-boot                             	       0        2        1        0        1
62374 u-boot-exynos                      	       0        1        0        1        0
62375 u-boot-menu                        	       0        5        0        5        0
62376 u-boot-odroid                      	       0        1        0        1        0
62377 u-boot-odroidm1s                   	       0        1        0        1        0
62378 u-boot-olinuxino                   	       0        1        0        1        0
62379 u-boot-rockchip                    	       0        4        0        4        0
62380 u-boot-rpi                         	       0        1        0        1        0
62381 u-boot-tools-olinuxino             	       0        1        0        1        0
62382 u2f-host                           	       0        6        0        6        0
62383 u2f-server                         	       0        3        0        3        0
62384 u3-tool                            	       0        3        0        3        0
62385 u8loc                              	       0        2        0        2        0
62386 uacme                              	       0        6        0        6        0
62387 uae                                	       0        1        0        1        0
62388 uanytun                            	       0        1        1        0        0
62389 uap-core                           	       0        1        0        0        1
62390 ubertooth-firmware                 	       0        5        0        0        5
62391 ubiquity-slideshow-mint            	       0        1        0        1        0
62392 ublock-origin-doc                  	       0        5        0        0        5
62393 uboot-envtools                     	       0        2        0        0        2
62394 uboot-mkimage                      	       0        5        0        0        5
62395 ubports-installer                  	       0        5        0        5        0
62396 ubridge                            	       0        2        0        2        0
62397 ubuntu-archive-keyring             	       0       13        0        0       13
62398 ubuntu-cloud-keyring               	       0       12        0        0       12
62399 ubuntu-cloudimage-keyring          	       0        1        0        0        1
62400 ubuntu-core-launcher               	       0        1        0        1        0
62401 ubuntu-dbgsym-keyring              	       0       11        0        0       11
62402 ubuntu-dev-tools                   	       0        3        0        3        0
62403 ubuntu-drivers-common              	       0        2        0        2        0
62404 ubuntu-keyring                     	       0       19        0        0       19
62405 ubuntu-packaging-guide             	       0        2        0        0        2
62406 ubuntu-packaging-guide-common      	       0        3        0        0        3
62407 ubuntu-packaging-guide-epub        	       0        1        0        0        1
62408 ubuntu-packaging-guide-epub-es     	       0        1        0        0        1
62409 ubuntu-packaging-guide-epub-pt-br  	       0        1        0        0        1
62410 ubuntu-packaging-guide-epub-ru     	       0        1        0        0        1
62411 ubuntu-packaging-guide-html        	       0        2        0        0        2
62412 ubuntu-packaging-guide-html-es     	       0        1        0        0        1
62413 ubuntu-packaging-guide-html-pt-br  	       0        1        0        0        1
62414 ubuntu-packaging-guide-html-ru     	       0        1        0        0        1
62415 ubuntu-packaging-guide-pdf         	       0        3        0        0        3
62416 ubuntu-packaging-guide-pdf-de      	       0        1        0        0        1
62417 ubuntu-packaging-guide-pdf-es      	       0        1        0        0        1
62418 ubuntu-packaging-guide-pdf-pt-br   	       0        1        0        0        1
62419 ubuntu-packaging-guide-pdf-ru      	       0        1        0        0        1
62420 ubus                               	       0        1        0        1        0
62421 uc-echo                            	       0        1        0        1        0
62422 ucarp                              	       0        2        0        2        0
62423 ucblogo                            	       0        7        0        7        0
62424 uchardet                           	       0       19        0       19        0
62425 uci2wb                             	       0        4        0        4        0
62426 ucimf                              	       0        1        0        1        0
62427 uclibc-source                      	       0        1        0        0        1
62428 ucommon-doc                        	       0        1        0        0        1
62429 ucommon-utils                      	       0        3        0        3        0
62430 ucspi-tcp                          	       0       16        1       15        0
62431 ucspi-tcp-ipv6                     	       0        4        0        4        0
62432 ucspi-unix                         	       0        6        0        6        0
62433 ucto                               	       0        4        0        4        0
62434 uctodata                           	       0        4        0        4        0
62435 ud                                 	       0        1        0        1        0
62436 udav                               	       0        2        0        2        0
62437 udcli                              	       0        1        0        1        0
62438 ude                                	       0        2        0        0        2
62439 udhcpc                             	       0        8        1        7        0
62440 udhcpd                             	       0       11        0       11        0
62441 udisks                             	       0       16        1       15        0
62442 udisks-doc                         	       0        3        0        0        3
62443 udisks2-bcache                     	       0        5        0        0        5
62444 udisks2-btrfs                      	       0       17        0        0       17
62445 udisks2-dbgsym                     	       0        1        0        1        0
62446 udisks2-doc                        	       0       16        0        0       16
62447 udisks2-lvm2                       	       0       10        0        0       10
62448 udisks2-zram                       	       0        2        0        0        2
62449 udns-utils                         	       0        1        0        1        0
62450 udo                                	       0        2        0        2        0
62451 udo-doc-en                         	       0        2        0        0        2
62452 udpcast                            	       0        3        0        3        0
62453 udptunnel                          	       0        6        1        5        0
62454 udsclient3                         	       0        1        0        1        0
62455 uefitool                           	       0       11        0       11        0
62456 uefitool-cli                       	       0        7        0        7        0
62457 ufficiozero-keyring                	       0        1        0        0        1
62458 ufficiozero-patches-dummy          	       0        1        0        0        1
62459 ufficiozerorepo                    	       0        1        0        0        1
62460 ufiformat                          	       0        8        0        8        0
62461 ufo-core-doc                       	       0        2        0        0        2
62462 ufo-filters                        	       0        1        0        0        1
62463 ufo-filters-data                   	       0        1        0        0        1
62464 ufo-filters-doc                    	       0        2        0        0        2
62465 ufo2otf                            	       0        1        0        1        0
62466 ufoai-build-deps                   	       0        1        0        0        1
62467 ufoai-common                       	       0       19        0        0       19
62468 ufoai-data                         	       0       19        0        0       19
62469 ufoai-dbgsym                       	       0        1        0        1        0
62470 ufoai-maps                         	       0       19        0        0       19
62471 ufoai-misc                         	       0       19        0        0       19
62472 ufoai-music                        	       0       19        0        0       19
62473 ufoai-server                       	       0        1        1        0        0
62474 ufoai-server-dbgsym                	       0        1        0        1        0
62475 ufoai-sound                        	       0       19        0        0       19
62476 ufoai-textures                     	       0       19        0        0       19
62477 ufoai-tools                        	       0        1        0        1        0
62478 ufoai-tools-dbgsym                 	       0        1        0        1        0
62479 ufoai-uforadiant                   	       0        1        1        0        0
62480 ufoai-uforadiant-data              	       0        1        0        0        1
62481 ufoai-uforadiant-dbgsym            	       0        1        0        1        0
62482 ufraw                              	       0       24        0       24        0
62483 ufraw-batch                        	       0      109        2      107        0
62484 ufsutils                           	       0        3        0        3        0
62485 uftp                               	       0        2        0        2        0
62486 uftrace                            	       0        3        0        3        0
62487 uglifyjs                           	       0        2        1        1        0
62488 uhd-host                           	       0       22        1       21        0
62489 uhd-soapysdr                       	       0        5        0        0        5
62490 uhexen2                            	       0        3        0        3        0
62491 uhexen2-common                     	       0        3        0        0        3
62492 uhub                               	       0        1        0        1        0
62493 uhubctl                            	       0        7        0        7        0
62494 ui-auto                            	       0        1        0        1        0
62495 uim-anthy                          	       0        6        0        0        6
62496 uim-byeoru                         	       0        1        0        0        1
62497 uim-el                             	       0        1        0        1        0
62498 uim-gtk2.0-immodule                	       0       35        0        1       34
62499 uim-gtk3-immodule                  	       0       34        2       15       17
62500 uim-pinyin                         	       0        1        0        0        1
62501 uim-skk                            	       0        1        0        0        1
62502 uinit                              	       0        1        0        1        0
62503 uisp                               	       0        8        0        8        0
62504 ukopp                              	       0        4        0        4        0
62505 ukui-bluetooth                     	       0        1        0        1        0
62506 ukui-control-center                	       0        2        0        2        0
62507 ukui-greeter                       	       0        3        0        3        0
62508 ukui-indicators                    	       0        1        0        1        0
62509 ukui-media                         	       0        1        0        1        0
62510 ukui-media-common                  	       0        1        0        0        1
62511 ukui-menu                          	       0        1        0        1        0
62512 ukui-menus                         	       0        1        0        0        1
62513 ukui-panel                         	       0        3        0        3        0
62514 ukui-polkit                        	       0        6        0        1        5
62515 ukui-power-manager-common          	       0        1        0        0        1
62516 ukui-screensaver                   	       0        3        0        3        0
62517 ukui-screensaverserver             	       0        1        0        1        0
62518 ukui-session-manager               	       0        3        0        3        0
62519 ukui-settings-daemon               	       0        5        0        5        0
62520 ukui-settings-daemon-common        	       0        5        0        0        5
62521 ukui-sidebar                       	       0        1        0        1        0
62522 ukui-themes                        	       0        6        0        0        6
62523 ukui-touchpadserver                	       0        1        0        1        0
62524 ukui-wallpapers                    	       0        2        0        0        2
62525 ukui-window-switch                 	       0        5        0        5        0
62526 ukwm                               	       0        5        0        5        0
62527 ukwm-common                        	       0        5        0        0        5
62528 ulauncher                          	       0        2        0        2        0
62529 ulc-codec                          	       0        1        0        1        0
62530 ulcc                               	       0        3        0        3        0
62531 uligo                              	       0        3        0        3        0
62532 ulogd2                             	       0       11        4        7        0
62533 ulogd2-dbi                         	       0        1        0        0        1
62534 ulogd2-json                        	       0        1        0        0        1
62535 ulogd2-mysql                       	       0        1        0        0        1
62536 ulogd2-pcap                        	       0        1        0        1        0
62537 ulogd2-pgsql                       	       0        1        0        0        1
62538 ulogd2-sqlite3                     	       0        1        0        0        1
62539 ultima4-data                       	       0        1        0        0        1
62540 ultima4-graphics-upgrade           	       0        1        0        0        1
62541 ultracopier                        	       0        3        0        3        0
62542 umbrello-trinity                   	       0        1        0        1        0
62543 umis                               	       0        1        0        1        0
62544 umis-examples                      	       0        1        0        0        1
62545 umlet                              	       0        7        0        7        0
62546 umoci                              	       0        1        0        1        0
62547 umsdos                             	       0        1        0        1        0
62548 umtp-responder                     	       0        3        0        3        0
62549 umu-launcher                       	       0        1        0        0        1
62550 umview                             	       0        2        0        2        0
62551 umview-mod-umdevtap                	       0        1        0        1        0
62552 umview-mod-umfuseext2              	       0        1        0        1        0
62553 umview-mod-umfusefat               	       0        2        0        2        0
62554 umview-mod-umfuseiso9660           	       0        1        0        1        0
62555 umview-mod-umlwip                  	       0        1        0        1        0
62556 umview-mod-viewfs                  	       0        1        0        1        0
62557 unaccent                           	       0        3        0        3        0
62558 unace-nonfree                      	       0       16        1       15        0
62559 unagi                              	       0        2        0        2        0
62560 unalz                              	       0       28        0       28        0
62561 unbound                            	       0       42        9       33        0
62562 unbound-anchor                     	       0       29        0       29        0
62563 unbound-anchor-dbgsym              	       0        1        0        1        0
62564 unbound-dbgsym                     	       0        1        0        1        0
62565 unbound-host                       	       0        7        0        7        0
62566 unburden-home-dir                  	       0        2        0        2        0
62567 unburden-home-dir-doc              	       0        2        0        0        2
62568 unclutter                          	       0       24        7       17        0
62569 unclutter-startup                  	       0       21        0        0       21
62570 unclutter-xfixes                   	       0        6        3        3        0
62571 uncrustify                         	       0       13        0       13        0
62572 undbx                              	       0       29        0       29        0
62573 undertime                          	       0        5        0        5        0
62574 undistract-me                      	       0        2        0        0        2
62575 undup                              	       0        1        0        1        0
62576 unetbootin                         	       0        7        0        7        0
62577 unetbootin-dbgsym                  	       0        1        0        1        0
62578 unetbootin-translations            	       0        9        0        0        9
62579 ungoogled-chromium                 	       0       11        0       11        0
62580 ungoogled-chromium-build-deps      	       0        1        0        0        1
62581 ungoogled-chromium-common          	       0        1        0        1        0
62582 ungoogled-chromium-driver          	       0        1        0        1        0
62583 unhide-gui                         	       0        7        0        7        0
62584 unhtml                             	       0        4        0        4        0
62585 unibetacode                        	       0        1        0        1        0
62586 unicode-cldr-core                  	       0        4        0        0        4
62587 unicode-data                       	       0      506        0        0      506
62588 unicode-idna                       	       0        1        0        0        1
62589 unicode-screensaver                	       0       12        0        2       10
62590 unicon-imc2                        	       0        5        0        5        0
62591 unicycler-data                     	       0        1        0        0        1
62592 unidic-mecab                       	       0        5        0        5        0
62593 unifdef                            	       0        6        0        6        0
62594 unifi                              	       0       19        4       15        0
62595 unifont                            	       0      110        0        0      110
62596 unifont-bin                        	       0       13        1       12        0
62597 unifont-build-deps                 	       0        1        0        0        1
62598 unionfs-fuse                       	       0       12        0       12        0
62599 unison-2.51+4.11.1                 	       0       13        0       13        0
62600 unison-2.51+4.11.1-gtk             	       0        4        0        4        0
62601 unison-2.51+4.13.1                 	       0        2        0        2        0
62602 unison-2.51+4.13.1-gtk             	       0        2        0        2        0
62603 unison-2.52-gtk                    	       0       24        1       23        0
62604 unison-2.53-gtk                    	       0        6        1        5        0
62605 unison-all                         	       0        4        0        0        4
62606 unison-all-gtk                     	       0        1        0        0        1
62607 unison-gtk                         	       0       30        2       28        0
62608 unison2.27.57                      	       0        1        0        1        0
62609 unison2.32.52                      	       0        2        0        2        0
62610 unison2.32.52-gtk                  	       0        1        0        1        0
62611 unison2.9.1                        	       0        1        0        1        0
62612 units-filter                       	       0        4        0        4        0
62613 units-master                       	       0        3        0        3        0
62614 uniutils                           	       0        6        0        6        0
62615 unixodbc                           	       0       79        1       78        0
62616 unixodbc-bin                       	       0        1        0        1        0
62617 unixodbc-common                    	       0      575        0        0      575
62618 unknown-horizons                   	       0        6        0        6        0
62619 unlzx                              	       0        1        0        1        0
62620 unmass                             	       0        9        0        9        0
62621 unmo3                              	       0       17        0       17        0
62622 unoconv                            	       0       60        4       56        0
62623 unreal-libfmod                     	       0        1        0        1        0
62624 unreal-libmikmod2                  	       0        1        0        1        0
62625 unreal-ut99-shared-data            	       0        1        0        0        1
62626 unrtf                              	       0       39        2       37        0
62627 unscd                              	       0       16        8        8        0
62628 unshield                           	       0       28        0       28        0
62629 unsort                             	       0        3        0        3        0
62630 unworkable                         	       0        5        0        5        0
62631 unyaffs                            	       0        3        0        3        0
62632 unzoo                              	       0        2        0        2        0
62633 upass                              	       0        1        0        1        0
62634 update                             	       0        1        0        1        0
62635 update-manager-core                	       0        1        0        0        1
62636 update-manager-gnome               	       0        1        0        1        0
62637 update-notifier-common             	       0        1        0        1        0
62638 update-notifier-kde                	       0        1        0        1        0
62639 update-sun-jre                     	       0        1        0        1        0
62640 upgrade-system                     	       0       12        0       12        0
62641 uphpmvault                         	       0        1        0        1        0
62642 upnp-router-control                	       0        2        0        2        0
62643 upower-doc                         	       0       13        0        0       13
62644 upplay                             	       0        3        0        3        0
62645 uprecords-cgi                      	       0        1        0        1        0
62646 uprightdiff                        	       0        3        0        3        0
62647 upscayl                            	       0        1        0        1        0
62648 upse123                            	       0        2        0        2        0
62649 uptimed                            	       0       55       12       43        0
62650 upx-ucl                            	       0       20        1       19        0
62651 uqm                                	       0       11        0       11        0
62652 uqm-content                        	       0       11        0        0       11
62653 uqm-music                          	       0       11        0        0       11
62654 uqm-voice                          	       0        7        0        0        7
62655 uranium-plugins                    	       0       22        1       21        0
62656 urbackup-server                    	       0        1        1        0        0
62657 urfkill                            	       0        7        0        7        0
62658 urjtag                             	       0        2        0        2        0
62659 urlextractor                       	       0        1        0        1        0
62660 urlscan                            	       0        9        1        8        0
62661 urlwatch                           	       0        2        0        2        0
62662 uronode                            	       0        3        0        3        0
62663 uruk                               	       0        2        0        2        0
62664 urw                                	       0        4        0        4        0
62665 urweb                              	       0        1        0        1        0
62666 urweb-doc                          	       0        2        0        0        2
62667 urweb-mode                         	       0        2        0        2        0
62668 usb-pack-efi                       	       0        2        0        2        0
62669 usbguard                           	       0        5        1        4        0
62670 usbguard-notifier                  	       0        1        0        1        0
62671 usbimager                          	       0        2        0        2        0
62672 usbip                              	       0       22        1       21        0
62673 usbmount                           	       0       11        0       11        0
62674 usbprog                            	       0        2        0        2        0
62675 usbprog-gui                        	       0        3        0        3        0
62676 usbredirserver                     	       0        5        1        4        0
62677 usbrelay                           	       0        3        0        3        0
62678 usbsdmux                           	       0        1        0        1        0
62679 usbtop                             	       0       17        0       17        0
62680 usbutils-py                        	       0        1        0        1        0
62681 usbview                            	       0       36        0       36        0
62682 user-de                            	       0        1        0        0        1
62683 user-manager                       	       0       21        0        0       21
62684 user-mode-linux-doc                	       0        4        0        0        4
62685 userinfo                           	       0        7        0        7        0
62686 userland-scripts                   	       0        1        0        1        0
62687 usermin                            	       0        4        0        4        0
62688 userv                              	       0        2        0        2        0
62689 userver                            	       0        1        0        1        0
62690 usplash-theme-debian               	       0        1        0        1        0
62691 usr-is-merged                      	       0       79        0        0       79
62692 ussp-push                          	       0        5        0        5        0
62693 ustreamer                          	       0        3        0        3        0
62694 usvg                               	       0        1        0        1        0
62695 uswsusp                            	       0       43        1       42        0
62696 utf8-migration-tool                	       0        3        0        3        0
62697 utf8gen                            	       0        2        0        2        0
62698 utf8script                         	       0        1        0        0        1
62699 utfcheck                           	       0        4        0        4        0
62700 util-linux-dbgsym                  	       0        2        0        2        0
62701 util-linux-extra-dbgsym            	       0        2        0        2        0
62702 util-linux-legacy                  	       0        1        1        0        0
62703 util-linux-locales                 	       0     2922        1        0     2921
62704 util-vserver                       	       0       21        3       18        0
62705 util-vserver-build                 	       0       21        1       20        0
62706 util-vserver-core                  	       0       21        3       18        0
62707 util-vserver-legacy                	       0        1        0        1        0
62708 util-vserver-sysv                  	       0       21        0        0       21
62709 utopia                             	       0        1        0        0        1
62710 utox                               	       0        6        1        5        0
62711 utsu                               	       0        1        0        1        0
62712 uucpsend                           	       0        1        0        1        0
62713 uudeview                           	       0       28        2       26        0
62714 uuid                               	       0       67        2       65        0
62715 uuidcdef                           	       0        4        0        4        0
62716 uuu                                	       0        2        0        2        0
62717 uvccapture                         	       0       15        0       15        0
62718 uvcdynctrl-data                    	       0       76        0        0       76
62719 uvicorn                            	       0        3        0        3        0
62720 uw-imapd                           	       0        4        0        4        0
62721 uw-mailutils                       	       0        4        0        4        0
62722 uwm                                	       0        1        0        1        0
62723 uwsc                               	       0        2        0        2        0
62724 uwsgi                              	       0       14        0        0       14
62725 uwsgi-core                         	       0       16        3       13        0
62726 uwsgi-plugin-psgi                  	       0        1        1        0        0
62727 uwsgi-plugin-python                	       0        1        0        1        0
62728 uwsgi-plugin-python3               	       0        9        2        7        0
62729 uxplay                             	       0        3        0        3        0
62730 uzbl                               	       0        3        0        3        0
62731 v-sim                              	       0        2        0        2        0
62732 v-sim-common                       	       0        2        0        0        2
62733 v2mplayer                          	       0        1        0        1        0
62734 v2mplayer-samples                  	       0        1        0        0        1
62735 v2ray                              	       0        1        0        1        0
62736 v2raya                             	       0        1        0        1        0
62737 v4l2loopback-modules-4.14.0-0.bpo.3-amd64	       0        1        0        0        1
62738 v4l2loopback-modules-5.10.0-7-amd64	       0        1        0        0        1
62739 v4l2loopback-source                	       0        9        0        0        9
62740 v4l2sink                           	       0        1        0        0        1
62741 v4l2ucp                            	       0        3        0        3        0
62742 v86d                               	       0        8        0        8        0
62743 va-amdgpu-driver-all               	       0        2        0        0        2
62744 va-driver-all                      	       0     3147        0        0     3147
62745 vacation                           	       0       16        2       14        0
62746 vagrant-bindfs                     	       0        1        0        1        0
62747 vagrant-cachier                    	       0        1        0        1        0
62748 vagrant-hostmanager                	       0        3        0        3        0
62749 vagrant-librarian-puppet           	       0        1        0        1        0
62750 vagrant-lxc                        	       0        4        0        4        0
62751 vagrant-mutate                     	       0        3        0        3        0
62752 val-and-rick                       	       0        1        0        1        0
62753 val-and-rick-data                  	       0        1        0        0        1
62754 vala-panel-appmenu-common          	       0       57        0        0       57
62755 vala-sntray-plugin                 	       0        4        0        4        0
62756 valac                              	       0       37        1       36        0
62757 valac-0.34-vapi                    	       0        2        0        0        2
62758 valac-0.42-vapi                    	       0        3        0        0        3
62759 valac-0.48-vapi                    	       0        9        0        0        9
62760 valac-0.56-vapi                    	       0       27        0        0       27
62761 valac-bin                          	       0       35        0       35        0
62762 valadoc                            	       0        1        0        1        0
62763 valentina                          	       0        3        0        3        0
62764 valgrind-dbg                       	       0      161        2      159        0
62765 valgrind-if-available              	       0        3        0        0        3
62766 valgrind-mpi                       	       0       10        0        1        9
62767 validns                            	       0        3        1        2        0
62768 valkyrie                           	       0        1        0        1        0
62769 vamp-examples                      	       0        1        0        1        0
62770 vamp-plugin-sdk-doc                	       0        1        0        0        1
62771 vamps                              	       0        5        0        5        0
62772 vanguards                          	       0        4        0        4        0
62773 vapoursynth-bm3d                   	       0        1        0        0        1
62774 vapoursynth-ctmf                   	       0        1        0        0        1
62775 vapoursynth-editor                 	       0        1        0        1        0
62776 vapoursynth-ffms2                  	       0       34        0        0       34
62777 vapoursynth-fmtconv                	       0        1        0        0        1
62778 vapoursynth-genericfilters         	       0        1        0        0        1
62779 vapoursynth-hqdn3d                 	       0        1        0        0        1
62780 vapoursynth-mvtools                	       0        1        0        0        1
62781 vapoursynth-nnedi3                 	       0        1        0        0        1
62782 vapoursynth-removegrain            	       0        1        0        0        1
62783 varicad                            	       0        2        0        1        1
62784 varicad-view                       	       0        1        0        0        1
62785 varicad2012-view-en                	       0        1        0        1        0
62786 varicad2022-en                     	       0        2        0        1        1
62787 varicad2022-view-en                	       0        1        0        0        1
62788 varicad2023-en                     	       0        1        0        0        1
62789 varicad2023-view-en                	       0        1        0        1        0
62790 variety                            	       0       27        1       26        0
62791 varna                              	       0        2        0        2        0
62792 varnish                            	       0        5        3        2        0
62793 vault                              	       0        2        0        2        0
62794 vault-benchmark                    	       0        1        0        1        0
62795 vavoom                             	       0        1        0        1        0
62796 vbackup                            	       0        2        0        2        0
62797 vblade                             	       0        2        0        2        0
62798 vboot-kernel-utils                 	       0        7        0        7        0
62799 vboot-utils                        	       0        6        0        6        0
62800 vbpp                               	       0        1        0        1        0
62801 vbrfix                             	       0       11        0       11        0
62802 vcard-studio                       	       0        1        0        1        0
62803 vcdtools                           	       0        2        0        2        0
62804 vcftools                           	       0        4        0        4        0
62805 vche                               	       0        1        0        1        0
62806 vcheck                             	       0        1        0        1        0
62807 vclt-tools                         	       0        1        0        1        0
62808 vcmi                               	       0        3        0        3        0
62809 vco-plugins                        	       0       19        1       18        0
62810 vcs                                	       0        1        0        1        0
62811 vcsh                               	       0       11        0       11        0
62812 vcvrack                            	       0        1        0        1        0
62813 vdev                               	       0        1        0        1        0
62814 vdirsyncer-doc                     	       0        6        0        0        6
62815 vdk2-tutorial                      	       0        1        0        0        1
62816 vdo                                	       0        1        0        1        0
62817 vdpau-driver-all                   	       0     3204        0        0     3204
62818 vdpau-va-driver                    	       0      152        0        1      151
62819 vdr                                	       0        8        0        8        0
62820 vdr-dev                            	       0        2        0        2        0
62821 vdr-plugin-dvbsddevice             	       0        1        0        1        0
62822 vdr-plugin-dvd                     	       0        1        0        1        0
62823 vdr-plugin-examples                	       0        1        0        1        0
62824 vdr-plugin-femon                   	       0        2        0        2        0
62825 vdr-plugin-mplayer                 	       0        1        0        1        0
62826 vdr-plugin-streamdev-server        	       0        1        0        1        0
62827 vdr-plugin-svdrpservice            	       0        1        0        1        0
62828 vdr-plugin-vnsiserver              	       0        1        0        1        0
62829 vdr-plugin-xineliboutput           	       0        2        0        2        0
62830 vector                             	       0        1        0        1        0
62831 vectoroids                         	       0        4        0        4        0
62832 veeam                              	       0        4        0        4        0
62833 veeam-libs                         	       0        1        0        1        0
62834 veeam-release-deb                  	       0        5        0        0        5
62835 veeamdeployment                    	       0        1        0        0        1
62836 veeamsnap                          	       0        4        0        4        0
62837 veit-kannegieser-archive-keyring   	       0        5        0        0        5
62838 velocity                           	       0       20        0        0       20
62839 velocity-doc                       	       0        1        0        0        1
62840 ventoy                             	       0        4        0        0        4
62841 vera                               	       0        2        0        0        2
62842 vera++                             	       0        1        0        1        0
62843 veracrypt-console                  	       0        4        0        4        0
62844 verbiste                           	       0        3        0        3        0
62845 verbiste-gnome                     	       0        1        0        0        1
62846 verbiste-gtk                       	       0        2        0        2        0
62847 verdigris                          	       0        1        0        1        0
62848 verilator                          	       0       13        0       13        0
62849 veromix                            	       0        2        0        2        0
62850 veromix-common                     	       0        2        0        0        2
62851 veroroute                          	       0       11        0       11        0
62852 veroroute-data                     	       0        5        0        0        5
62853 verse                              	       0        6        0        6        0
62854 vertex-theme                       	       0        1        0        0        1
62855 vesktop                            	       0        5        0        2        3
62856 vessel                             	       0        1        0        0        1
62857 veusz                              	       0        3        0        3        0
62858 veusz-helpers                      	       0        1        0        1        0
62859 vf1                                	       0        1        0        1        0
62860 vfe-qt                             	       0        1        0        1        0
62861 vflib3                             	       0        3        0        3        0
62862 vfu                                	       0       24        1       20        3
62863 vfu-yascreen                       	       0        3        0        3        0
62864 vgabios                            	       0       23        0        0       23
62865 vgrabbj                            	       0        9        0        9        0
62866 vhba-dkms                          	       0        2        0        2        0
62867 via                                	       0        1        0        0        1
62868 viagee                             	       0        2        0        2        0
62869 viber                              	       0        7        0        0        7
62870 vibrancy-colors                    	       0        1        0        0        1
62871 vice                               	       0       28        1       27        0
62872 vico                               	       0        1        0        1        0
62873 victoria-metrics                   	       0        1        0        1        0
62874 video-downloader                   	       0        2        1        1        0
62875 video2x                            	       0        1        0        1        0
62876 videogen                           	       0        6        0        6        0
62877 videolan-doc                       	       0        5        0        0        5
62878 videotrans                         	       0        7        0        7        0
62879 vidkicks                           	       0        5        0        5        0
62880 vieb                               	       0        1        0        0        1
62881 view3dscene                        	       0        6        0        6        0
62882 viewflif                           	       0        1        0        1        0
62883 viewmol                            	       0        1        0        1        0
62884 viewnior                           	       0       53        3       50        0
62885 viewpdf.app                        	       0        7        0        7        0
62886 viewvc                             	       0        1        0        1        0
62887 viewvc-query                       	       0        1        0        1        0
62888 vifm                               	       0       32        2       30        0
62889 vigor                              	       0        4        0        4        0
62890 viking                             	       0       20        0       20        0
62891 vile                               	       0        3        0        3        0
62892 vile-common                        	       0        3        0        3        0
62893 vile-filters                       	       0        3        0        0        3
62894 vilistextum                        	       0        3        0        3        0
62895 vim-addon-mw-utils                 	       0        8        0        0        8
62896 vim-airline                        	       0       10        0        0       10
62897 vim-airline-themes                 	       0       10        0        0       10
62898 vim-ale                            	       0       10        0        0       10
62899 vim-asciidoc                       	       0       17        0        0       17
62900 vim-athena                         	       0       11        0        4        7
62901 vim-autopairs                      	       0        1        0        0        1
62902 vim-autopep8                       	       0        9        0        0        9
62903 vim-command-t                      	       0        2        0        0        2
62904 vim-conque                         	       0        1        0        0        1
62905 vim-ctrlp                          	       0        9        0        0        9
62906 vim-doc                            	       0       70        0        0       70
62907 vim-eblook                         	       0        1        0        0        1
62908 vim-editorconfig                   	       0        8        0        0        8
62909 vim-fugitive                       	       0       10        0        0       10
62910 vim-git-hub                        	       0        7        0        0        7
62911 vim-gitgutter                      	       0        3        0        0        3
62912 vim-gnome                          	       0        1        0        0        1
62913 vim-gtk                            	       0       64        1        9       54
62914 vim-haproxy                        	       0        2        0        0        2
62915 vim-icinga2                        	       0        6        0        0        6
62916 vim-julia                          	       0        7        0        0        7
62917 vim-lastplace                      	       0        5        0        0        5
62918 vim-latexsuite                     	       0       19        0        0       19
62919 vim-ledger                         	       0        3        0        0        3
62920 vim-lesstif                        	       0        2        0        0        2
62921 vim-link-vim                       	       0        1        0        0        1
62922 vim-nftables                       	       0        1        0        0        1
62923 vim-pathogen                       	       0       16        0        0       16
62924 vim-poke                           	       0        1        0        0        1
62925 vim-puppet                         	       0        5        0        0        5
62926 vim-python-jedi                    	       0        8        0        0        8
62927 vim-rails                          	       0        2        0        0        2
62928 vim-rainbow                        	       0        1        0        0        1
62929 vim-snipmate                       	       0        4        0        0        4
62930 vim-snippets                       	       0       15        0        0       15
62931 vim-solarized                      	       0        8        0        0        8
62932 vim-subtitles                      	       0        2        0        0        2
62933 vim-syntastic                      	       0       27        0        0       27
62934 vim-syntax-go                      	       0        1        0        0        1
62935 vim-syntax-gtk                     	       0       10        0        0       10
62936 vim-tabular                        	       0       12        0        0       12
62937 vim-textobj-user                   	       0        3        0        0        3
62938 vim-tlib                           	       0        4        0        0        4
62939 vim-ultisnips                      	       0        9        0        0        9
62940 vim-vimerl                         	       0        2        0        0        2
62941 vim-vimerl-syntax                  	       0        4        0        0        4
62942 vim-vimoutliner                    	       0        2        0        2        0
62943 vim-voom                           	       0        7        0        0        7
62944 vim-youcompleteme                  	       0       15        0        0       15
62945 vimb                               	       0        1        0        1        0
62946 vinagre                            	       0       68        1       67        0
62947 vinetto                            	       0       24        0       24        0
62948 vipnetclient                       	       0        1        0        1        0
62949 virgl-server                       	       0        4        0        4        0
62950 virt-goodies                       	       0        1        0        1        0
62951 virt-top                           	       0       26        0       26        0
62952 virt-v2v                           	       0        2        0        2        0
62953 virtaal                            	       0        2        0        2        0
62954 virtualbox                         	       0       21        1       20        0
62955 virtualbox-5.1                     	       0        3        0        3        0
62956 virtualbox-5.2                     	       0        4        1        3        0
62957 virtualbox-6.0                     	       0        2        0        2        0
62958 virtualbox-6.1                     	       0       55        4       51        0
62959 virtualbox-7.0                     	       0      132       23      109        0
62960 virtualbox-dkms                    	       0       31        1       30        0
62961 virtualbox-ext-pack                	       0       10        0        0       10
62962 virtualbox-guest-additions-iso     	       0       27        0        0       27
62963 virtualbox-guest-dkms              	       0        5        0        5        0
62964 virtualbox-guest-source            	       0        1        0        0        1
62965 virtualbox-guest-utils             	       0        8        1        7        0
62966 virtualbox-guest-x11               	       0        3        0        3        0
62967 virtualbox-qt                      	       0       21        0       21        0
62968 virtualbox-source                  	       0        2        0        0        2
62969 virtualenv                         	       0       84        3       19       62
62970 virtualenv-clone                   	       0        1        0        1        0
62971 virtualenvwrapper                  	       0       10        0        1        9
62972 virtualenvwrapper-doc              	       0        1        0        0        1
62973 virtualgl                          	       0        3        0        3        0
62974 virtualjaguar                      	       0        3        0        3        0
62975 virtualmin-config                  	       0        1        0        1        0
62976 virtualmin-core                    	       0        1        0        0        1
62977 virtualplanet                      	       0        1        0        1        0
62978 virtuoso-minimal                   	       0       12        0        0       12
62979 virtuoso-opensource                	       0        1        0        0        1
62980 virtuoso-opensource-6.1-bin        	       0        6        0        6        0
62981 virtuoso-opensource-6.1-common     	       0        6        0        6        0
62982 virtuoso-opensource-7              	       0        2        0        1        1
62983 virtuoso-opensource-7-bin          	       0        9        0        9        0
62984 virtuoso-opensource-7-common       	       0       10        0       10        0
62985 virtuoso-server                    	       0        1        0        0        1
62986 virtuoso-vad-bpel                  	       0        1        0        0        1
62987 virtuoso-vad-conductor             	       0        1        0        0        1
62988 virtuoso-vad-demo                  	       0        1        0        0        1
62989 virtuoso-vad-doc                   	       0        1        0        0        1
62990 virtuoso-vad-isparql               	       0        1        0        0        1
62991 virtuoso-vad-ods                   	       0        1        0        0        1
62992 virtuoso-vad-rdfmappers            	       0        1        0        0        1
62993 virtuoso-vad-sparqldemo            	       0        1        0        0        1
62994 virtuoso-vad-syncml                	       0        1        0        0        1
62995 virtuoso-vad-tutorial              	       0        1        0        0        1
62996 virtuoso-vsp-startpage             	       0        1        0        0        1
62997 viruskiller                        	       0        4        0        4        0
62998 vis                                	       0       10        1        9        0
62999 vish                               	       0        1        0        1        0
63000 visidata                           	       0        7        0        7        0
63001 visolate                           	       0        7        0        7        0
63002 visual-regexp                      	       0        5        0        5        0
63003 visualboyadvance                   	       0        8        0        8        0
63004 vital                              	       0        2        0        2        0
63005 vitalium-vst                       	       0        1        0        1        0
63006 vite                               	       0        2        0        2        0
63007 vitetris                           	       0       13        0       13        0
63008 vivaldi-snapshot                   	       0        6        0        6        0
63009 vivid                              	       0        4        0        4        0
63010 vivid-musl                         	       0        1        0        1        0
63011 vk                                 	       0        2        0        2        0
63012 vk-messenger                       	       0        1        0        0        1
63013 vkbasalt                           	       0       13        0        0       13
63014 vkd3d-compiler                     	       0       49        0       49        0
63015 vkd3d-demos                        	       0        7        0        7        0
63016 vkeybd                             	       0       19        1       18        0
63017 vkmark                             	       0        2        0        2        0
63018 vl805fw                            	       0        1        0        0        1
63019 vlc-bin-dbgsym                     	       0        1        0        1        0
63020 vlc-l10n                           	       0     1097        7       21     1069
63021 vlc-plugin-access-extra            	       0      972        0        1      971
63022 vlc-plugin-base                    	       0     1626       12       47     1567
63023 vlc-plugin-base-dbgsym             	       0        1        0        1        0
63024 vlc-plugin-bittorrent              	       0       47        0        2       45
63025 vlc-plugin-fluidsynth              	       0       66        1        2       63
63026 vlc-plugin-jack                    	       0       68        0        1       67
63027 vlc-plugin-notify-dbgsym           	       0        1        0        1        0
63028 vlc-plugin-pipewire                	       0       67        0        0       67
63029 vlc-plugin-pulse                   	       0        6        0        0        6
63030 vlc-plugin-qt-dbgsym               	       0        1        0        1        0
63031 vlc-plugin-sdl                     	       0        4        0        1        3
63032 vlc-plugin-svg                     	       0       65        0        1       64
63033 vlc-plugin-video-output            	       0     1618        6       22     1590
63034 vlc-plugin-video-output-dbgsym     	       0        1        0        1        0
63035 vlc-plugin-video-splitter          	       0     1085        0        1     1084
63036 vlc-plugin-visualization           	       0     1085        0        1     1084
63037 vlc-plugin-vlsub                   	       0       11        0        0       11
63038 vlc-plugin-zvbi                    	       0        3        0        1        2
63039 vlevel                             	       0        6        0        6        0
63040 vlock                              	       0       33        2       31        0
63041 vlogger                            	       0        1        0        1        0
63042 vm                                 	       0        2        0        2        0
63043 vma                                	       0        1        0        1        0
63044 vmdb2                              	       0        9        1        8        0
63045 vmdk-stream-converter              	       0        2        0        0        2
63046 vmfs-tools                         	       0       10        0       10        0
63047 vmfs6-tools                        	       0        6        0        6        0
63048 vmg                                	       0        5        0        5        0
63049 vmm-doc                            	       0        1        0        0        1
63050 vmpk                               	       0       21        0       21        0
63051 vmtouch                            	       0        9        0        9        0
63052 vmware-horizon-client              	       0        5        1        4        0
63053 vmware-manager                     	       0        7        0        7        0
63054 vnc-common                         	       0        2        0        2        0
63055 vnc-java                           	       0        1        0        1        0
63056 vnc4server                         	       0       22        0        2       20
63057 vncserver                          	       0        1        0        1        0
63058 vncsnapshot                        	       0        4        0        4        0
63059 vnstat                             	       0       46        9       37        0
63060 vnstati                            	       0        4        0        4        0
63061 vobcopy                            	       0       26        1       25        0
63062 vobsub2srt                         	       0        3        0        3        0
63063 vocproc                            	       0        4        0        4        0
63064 voctomix                           	       0        5        0        0        5
63065 voctomix-core                      	       0        5        0        5        0
63066 voctomix-gui                       	       0        5        0        5        0
63067 vodovod                            	       0        9        0        9        0
63068 vokoscreen                         	       0        6        0        2        4
63069 volatility                         	       0        5        0        5        0
63070 volatility-tools                   	       0        6        0        6        0
63071 volk                               	       0        1        0        1        0
63072 volti                              	       0        1        0        1        0
63073 voltron                            	       0        2        0        2        0
63074 volumecontrol.app                  	       0        3        0        3        0
63075 voms-dev                           	       0        1        1        0        0
63076 vor                                	       0        2        0        2        0
63077 voro++                             	       0        2        0        2        0
63078 voro++-dev                         	       0        2        0        0        2
63079 vorta                              	       0        9        0        9        0
63080 voxbo                              	       0        1        0        1        0
63081 voyage-util                        	       0        1        0        1        0
63082 vpb-driver-source                  	       0       11        0        0       11
63083 vpb-utils                          	       0        1        0        1        0
63084 vpcs                               	       0        2        0        2        0
63085 vpkedit                            	       0        1        0        1        0
63086 vpnc-scripts                       	       0      131        0        0      131
63087 vrfy                               	       0        2        1        1        0
63088 vsd2odg                            	       0        3        0        3        0
63089 vsdump                             	       0        1        0        1        0
63090 vsearch                            	       0        2        0        2        0
63091 vsftpd                             	       0       63       15       48        0
63092 vsmartcard-vpcd                    	       0        2        0        2        0
63093 vsmartcard-vpicc                   	       0        2        0        2        0
63094 vsound                             	       0        1        0        1        0
63095 vstream-client-dev                 	       0        8        0        8        0
63096 vstudio                            	       0        1        0        1        0
63097 vsync                              	       0        1        0        1        0
63098 vtable-dumper                      	       0        5        0        5        0
63099 vtgamma                            	       0        1        0        1        0
63100 vtgrab                             	       0        4        0        4        0
63101 vtk-dicom-tools                    	       0        2        0        2        0
63102 vtk6                               	       0        1        0        1        0
63103 vtk6-doc                           	       0        1        0        0        1
63104 vtk6-examples                      	       0        1        0        1        0
63105 vtk7-doc                           	       0        1        0        0        1
63106 vtk9                               	       0       12        0       12        0
63107 vtk9-doc                           	       0        3        0        0        3
63108 vtk9-examples                      	       0        4        0        4        0
63109 vtprint                            	       0        2        0        2        0
63110 vttest                             	       0        8        0        8        0
63111 vtwm                               	       0        6        1        5        0
63112 vuescan                            	       0        4        0        4        0
63113 vufind                             	       0        1        1        0        0
63114 vulkan-amdgpu                      	       0        3        0        1        2
63115 vulkan-amdgpu-pro                  	       0        1        0        0        1
63116 vulkan-extensionlayer              	       0        1        0        0        1
63117 vulkan-headers                     	       0        1        0        1        0
63118 vulkan-memory-allocator-doc        	       0        1        0        0        1
63119 vulkan-profiles                    	       0        1        0        1        0
63120 vulkan-sdk                         	       0        2        0        0        2
63121 vulkan-utility-libraries           	       0        1        0        0        1
63122 vulkan-utility-libraries-dev       	       0        3        0        3        0
63123 vulkan-utils                       	       0       21        0        1       20
63124 vulkan-validationlayers            	       0       35        0        0       35
63125 vulkan-validationlayers-dev        	       0        8        0        8        0
63126 vulkancapsviewer                   	       0        1        0        1        0
63127 vuls                               	       0        5        2        3        0
63128 vulture                            	       0        2        0        2        0
63129 vux                                	       0        1        0        1        0
63130 vym                                	       0       23        2       21        0
63131 vz-guest-udev                      	       0        1        0        1        0
63132 vzctl                              	       0        1        0        1        0
63133 vzlogger                           	       0        1        0        1        0
63134 vzquota                            	       0        1        0        1        0
63135 w-scan                             	       0       23        0       23        0
63136 w-scan-cpp                         	       0        1        0        1        0
63137 w2do                               	       0        1        0        1        0
63138 w3-doc-e21                         	       0        1        0        0        1
63139 w3-dtd-mathml                      	       0        4        0        0        4
63140 w3-recs                            	       0        6        0        0        6
63141 w3-recs-2002                       	       0        1        0        0        1
63142 w3-recs-2003                       	       0        1        0        0        1
63143 w32codecs                          	       0        5        0        5        0
63144 w3c-dtd-xhtml                      	       0        3        0        0        3
63145 w3c-linkchecker                    	       0        3        0        3        0
63146 w3c-markup-validator               	       0        2        0        0        2
63147 w3c-sgml-lib                       	       0        9        0        0        9
63148 w3cam                              	       0        1        0        1        0
63149 w3m-el                             	       0       12        2       10        0
63150 w64codecs                          	       0        8        0        8        0
63151 w9wm                               	       0        4        0        4        0
63152 waagent                            	       0        1        0        1        0
63153 wadc                               	       0        2        0        2        0
63154 waffle-utils                       	       0        2        0        2        0
63155 wafw00f                            	       0        2        0        2        0
63156 wah-plugins                        	       0       17        1       16        0
63157 waimea                             	       0        1        0        1        0
63158 wait-for-it                        	       0        1        0        1        0
63159 wajig                              	       0       10        0       10        0
63160 wallace                            	       0        1        0        1        0
63161 wallstreet                         	       0        2        0        2        0
63162 wammu                              	       0        4        0        4        0
63163 wap-wml-tools                      	       0        1        0        1        0
63164 wapiti                             	       0       28        0       28        0
63165 warmux-data                        	       0       24        0        0       24
63166 warmux-servers                     	       0        2        0        2        0
63167 warp                               	       0        1        0        1        0
63168 warp-terminal                      	       0        2        0        0        2
63169 warpinator                         	       0        1        1        0        0
63170 warsaw                             	       0        2        0        2        0
63171 warzone2100-data                   	       0       17        0        0       17
63172 warzone2100-music                  	       0       18        0        0       18
63173 wassabee                           	       0        2        0        2        0
63174 watchcatd                          	       0        2        0        2        0
63175 watchman                           	       0        2        0        2        0
63176 waterfox-classic-i18n-en-gb        	       0        1        0        1        0
63177 waterfox-classic-i18n-ru           	       0        1        0        1        0
63178 waterfox-classic-kde               	       0        1        0        0        1
63179 waterfox-classic-kpe               	       0        3        0        3        0
63180 waterfox-g                         	       0        1        0        1        0
63181 waterfox-g-i18n-ru                 	       0        1        0        1        0
63182 waterfox-g-kde                     	       0        1        0        0        1
63183 waterfox-g-kpe                     	       0        2        0        2        0
63184 waterfox-g3-kde                    	       0        1        0        0        1
63185 waterfox-g3-kpe                    	       0        1        0        0        1
63186 waterfox-kde                       	       0        2        0        2        0
63187 waterfox-kde-full                  	       0        3        0        2        1
63188 watson                             	       0        1        0        1        0
63189 wattconfig-eco                     	       0        1        0        0        1
63190 wav2cdr                            	       0        5        0        5        0
63191 wavbreaker                         	       0        5        0        5        0
63192 wavegain                           	       0        1        0        1        0
63193 waves-exchange                     	       0        1        0        0        1
63194 wavesurfer                         	       0        1        0        1        0
63195 wavtool-pl                         	       0        1        0        1        0
63196 wayback                            	       0        1        0        1        0
63197 wayfire-plugin-winshadows          	       0        1        0        0        1
63198 wayland-protocols-amdgpu           	       0        1        0        0        1
63199 wayland-scanner++                  	       0        7        0        7        0
63200 waylandpp-dev                      	       0        4        0        0        4
63201 waylandpp-doc                      	       0        4        0        0        4
63202 wayvnc                             	       0        5        0        5        0
63203 wbar                               	       0       13        3       10        0
63204 wcalc                              	       0       16        1       15        0
63205 wcc                                	       0        3        0        3        0
63206 wcd                                	       0        1        0        1        0
63207 wcslib-dev                         	       0        6        0        6        0
63208 wcslib-tools                       	       0        4        0        4        0
63209 wcstools                           	       0        7        0        7        0
63210 wdanish                            	       0        7        0        7        0
63211 wdg-html-validator                 	       0        1        0        1        0
63212 wdiff-doc                          	       0       11        0        0       11
63213 wdisplays                          	       0       13        0       13        0
63214 wdm                                	       0       25        3       22        0
63215 wdutch                             	       0       25        0       25        0
63216 weasyprint                         	       0       11        0       11        0
63217 weather                            	       0        1        0        0        1
63218 weather-util                       	       0       10        0       10        0
63219 weather-util-data                  	       0       11        0        0       11
63220 weathermap4rrd                     	       0        2        0        2        0
63221 web-eid                            	       0        1        0        0        1
63222 web-eid-chrome                     	       0        1        0        0        1
63223 web-eid-firefox                    	       0        1        0        0        1
63224 web-eid-native                     	       0        1        0        1        0
63225 webalizer                          	       0       24        3       21        0
63226 webapps-metainfo                   	       0        1        0        0        1
63227 webcam                             	       0       13        0       13        0
63228 webcamd                            	       0        5        0        5        0
63229 webcamoid-data                     	       0       47        0        0       47
63230 webcamoid-plugins                  	       0       46        0        0       46
63231 webcpp                             	       0        1        1        0        0
63232 webex                              	       0        8        1        7        0
63233 webext-allow-html-temp             	       0        2        1        1        0
63234 webext-browserpass                 	       0        5        0        5        0
63235 webext-bulk-media-downloader       	       0        5        0        0        5
63236 webext-dav4tbsync                  	       0        6        2        4        0
63237 webext-debianbuttons               	       0        2        0        0        2
63238 webext-eas4tbsync                  	       0        5        1        4        0
63239 webext-form-history-control        	       0        1        0        0        1
63240 webext-foxyproxy                   	       0        1        0        0        1
63241 webext-https-everywhere            	       0        6        0        0        6
63242 webext-indie-wiki-buddy-firefox    	       0        1        0        0        1
63243 webext-keepassxc-browser           	       0       27        0        0       27
63244 webext-lightbeam                   	       0        5        0        0        5
63245 webext-noscript                    	       0        8        0        0        8
63246 webext-privacy-badger              	       0        9        3        6        0
63247 webext-proxy-switcher              	       0        1        0        0        1
63248 webext-sogo-connector              	       0        4        0        0        4
63249 webext-tbsync                      	       0        6        2        4        0
63250 webext-treestyletab                	       0        3        0        0        3
63251 webext-ublock-origin               	       0       18        0        0       18
63252 webext-ublock-origin-chromium      	       0       40        2       38        0
63253 webext-ublock-origin-firefox       	       0       47        5       42        0
63254 webext-umatrix                     	       0        3        0        2        1
63255 webfs                              	       0        9        0        9        0
63256 webhook                            	       0        1        0        1        0
63257 webhttrack                         	       0       28        0       28        0
63258 webhttrack-common                  	       0       28        0        0       28
63259 webkit-image-gtk                   	       0        1        0        1        0
63260 webkit2pdf                         	       0        1        0        1        0
63261 weblint-perl                       	       0       10        0       10        0
63262 webmagick                          	       0        2        0        2        0
63263 webmin-cloudmin-services           	       0        1        0        0        1
63264 webmin-jailkit                     	       0        1        0        0        1
63265 webmin-php-pear                    	       0        1        0        0        1
63266 webmin-ruby-gems                   	       0        1        0        0        1
63267 webmin-security-updates            	       0        2        0        0        2
63268 webmin-server-manager              	       0        4        2        2        0
63269 webmin-virtual-server              	       0        2        0        2        0
63270 webmin-virtual-server-mobile       	       0        2        0        0        2
63271 webmin-virtual-server-theme        	       0        4        0        0        4
63272 webmin-virtualmin-awstats          	       0        1        0        0        1
63273 webmin-virtualmin-dav              	       0        1        0        0        1
63274 webmin-virtualmin-git              	       0        1        0        0        1
63275 webmin-virtualmin-htpasswd         	       0        1        0        0        1
63276 webmin-virtualmin-init             	       0        1        0        0        1
63277 webmin-virtualmin-password-recovery	       0        1        0        0        1
63278 webmin-virtualmin-registrar        	       0        1        0        1        0
63279 webmin-virtualmin-slavedns         	       0        1        0        0        1
63280 webmin-virtualmin-sqlite           	       0        1        0        0        1
63281 weborf                             	       0        6        0        6        0
63282 webots                             	       0        2        0        2        0
63283 websocketd                         	       0        8        1        7        0
63284 websockify                         	       0       13        0       13        0
63285 websockify-common                  	       0        2        0        0        2
63286 webtorrent-desktop                 	       0        3        0        3        0
63287 webulldesktop                      	       0        1        0        1        0
63288 webvtt                             	       0        2        0        2        0
63289 wechat-beta                        	       0        1        0        0        1
63290 weechat                            	       0       51        0        0       51
63291 weechat-core                       	       0       54        2        5       47
63292 weechat-devel-core                 	       0        1        0        0        1
63293 weechat-devel-curses               	       0        1        0        1        0
63294 weechat-devel-perl                 	       0        1        0        0        1
63295 weechat-devel-plugins              	       0        1        0        0        1
63296 weechat-devel-python               	       0        1        0        0        1
63297 weechat-devel-ruby                 	       0        1        0        0        1
63298 weechat-doc                        	       0        4        0        0        4
63299 weechat-lua                        	       0        1        0        0        1
63300 weechat-matrix                     	       0        7        0        1        6
63301 weechat-perl                       	       0       48        2        2       44
63302 weechat-php                        	       0        1        0        0        1
63303 weechat-plugins                    	       0       54        2        5       47
63304 weechat-python                     	       0       53        2        3       48
63305 weechat-ruby                       	       0       47        2        2       43
63306 weechat-scripts                    	       0        7        0        0        7
63307 weechat-tcl                        	       0        1        0        0        1
63308 weektodo                           	       0        1        0        1        0
63309 weevely                            	       0        1        0        1        0
63310 weewx                              	       0        2        1        1        0
63311 weex                               	       0        1        0        1        0
63312 weightwatcher                      	       0        2        0        2        0
63313 weixin                             	       0        1        0        0        1
63314 weka                               	       0        3        0        3        0
63315 weka-doc                           	       0        1        0        0        1
63316 welcome2l                          	       0        2        1        1        0
63317 welle.io                           	       0        3        0        3        0
63318 wesnoth                            	       0       43        0        0       43
63319 wesnoth-1.10                       	       0        1        0        0        1
63320 wesnoth-1.10-aoi                   	       0        1        0        0        1
63321 wesnoth-1.10-core                  	       0        1        0        1        0
63322 wesnoth-1.10-data                  	       0        1        0        0        1
63323 wesnoth-1.10-did                   	       0        1        0        0        1
63324 wesnoth-1.10-dm                    	       0        1        0        0        1
63325 wesnoth-1.10-dw                    	       0        1        0        0        1
63326 wesnoth-1.10-ei                    	       0        1        0        0        1
63327 wesnoth-1.10-httt                  	       0        1        0        0        1
63328 wesnoth-1.10-l                     	       0        1        0        0        1
63329 wesnoth-1.10-low                   	       0        1        0        0        1
63330 wesnoth-1.10-music                 	       0        1        0        0        1
63331 wesnoth-1.10-nr                    	       0        1        0        0        1
63332 wesnoth-1.10-sof                   	       0        1        0        0        1
63333 wesnoth-1.10-sotbe                 	       0        1        0        0        1
63334 wesnoth-1.10-thot                  	       0        1        0        0        1
63335 wesnoth-1.10-trow                  	       0        1        0        0        1
63336 wesnoth-1.10-tsg                   	       0        1        0        0        1
63337 wesnoth-1.10-ttb                   	       0        1        0        0        1
63338 wesnoth-1.10-utbs                  	       0        1        0        0        1
63339 wesnoth-1.11                       	       0        1        0        0        1
63340 wesnoth-1.11-aoi                   	       0        1        0        0        1
63341 wesnoth-1.11-core                  	       0        1        0        1        0
63342 wesnoth-1.11-data                  	       0        1        0        0        1
63343 wesnoth-1.11-did                   	       0        1        0        0        1
63344 wesnoth-1.11-dm                    	       0        1        0        0        1
63345 wesnoth-1.11-dw                    	       0        1        0        0        1
63346 wesnoth-1.11-ei                    	       0        1        0        0        1
63347 wesnoth-1.11-httt                  	       0        1        0        0        1
63348 wesnoth-1.11-l                     	       0        1        0        0        1
63349 wesnoth-1.11-low                   	       0        1        0        0        1
63350 wesnoth-1.11-music                 	       0        1        0        0        1
63351 wesnoth-1.11-nr                    	       0        1        0        0        1
63352 wesnoth-1.11-sof                   	       0        1        0        0        1
63353 wesnoth-1.11-sotbe                 	       0        1        0        0        1
63354 wesnoth-1.11-thot                  	       0        1        0        0        1
63355 wesnoth-1.11-tools                 	       0        1        0        0        1
63356 wesnoth-1.11-trow                  	       0        1        0        0        1
63357 wesnoth-1.11-tsg                   	       0        1        0        0        1
63358 wesnoth-1.11-ttb                   	       0        1        0        0        1
63359 wesnoth-1.11-utbs                  	       0        1        0        0        1
63360 wesnoth-1.12                       	       0        4        0        0        4
63361 wesnoth-1.12-aoi                   	       0        4        0        0        4
63362 wesnoth-1.12-core                  	       0        4        0        4        0
63363 wesnoth-1.12-data                  	       0        4        0        0        4
63364 wesnoth-1.12-did                   	       0        4        0        0        4
63365 wesnoth-1.12-dm                    	       0        4        0        0        4
63366 wesnoth-1.12-dw                    	       0        4        0        0        4
63367 wesnoth-1.12-ei                    	       0        4        0        0        4
63368 wesnoth-1.12-httt                  	       0        4        0        0        4
63369 wesnoth-1.12-l                     	       0        4        0        0        4
63370 wesnoth-1.12-low                   	       0        4        0        0        4
63371 wesnoth-1.12-music                 	       0        4        0        0        4
63372 wesnoth-1.12-nr                    	       0        4        0        0        4
63373 wesnoth-1.12-sof                   	       0        4        0        0        4
63374 wesnoth-1.12-sotbe                 	       0        4        0        0        4
63375 wesnoth-1.12-thot                  	       0        4        0        0        4
63376 wesnoth-1.12-trow                  	       0        4        0        0        4
63377 wesnoth-1.12-tsg                   	       0        4        0        0        4
63378 wesnoth-1.12-ttb                   	       0        4        0        0        4
63379 wesnoth-1.12-utbs                  	       0        4        0        0        4
63380 wesnoth-1.14                       	       0        8        0        0        8
63381 wesnoth-1.14-aoi                   	       0        8        0        0        8
63382 wesnoth-1.14-core                  	       0        8        0        8        0
63383 wesnoth-1.14-data                  	       0       11        0        0       11
63384 wesnoth-1.14-did                   	       0        8        0        0        8
63385 wesnoth-1.14-dm                    	       0        8        0        0        8
63386 wesnoth-1.14-dw                    	       0        8        0        0        8
63387 wesnoth-1.14-ei                    	       0        8        0        0        8
63388 wesnoth-1.14-httt                  	       0        8        0        0        8
63389 wesnoth-1.14-l                     	       0        8        0        0        8
63390 wesnoth-1.14-low                   	       0        8        0        0        8
63391 wesnoth-1.14-music                 	       0       13        0        0       13
63392 wesnoth-1.14-nr                    	       0        8        0        0        8
63393 wesnoth-1.14-server                	       0        3        0        3        0
63394 wesnoth-1.14-sof                   	       0        8        0        0        8
63395 wesnoth-1.14-sota                  	       0        8        0        0        8
63396 wesnoth-1.14-sotbe                 	       0        8        0        0        8
63397 wesnoth-1.14-thot                  	       0        8        0        0        8
63398 wesnoth-1.14-tools                 	       0        1        0        1        0
63399 wesnoth-1.14-trow                  	       0        8        0        0        8
63400 wesnoth-1.14-tsg                   	       0        8        0        0        8
63401 wesnoth-1.14-ttb                   	       0        8        0        0        8
63402 wesnoth-1.14-utbs                  	       0        8        0        0        8
63403 wesnoth-1.16                       	       0       28        0        0       28
63404 wesnoth-1.16-build-deps            	       0        1        0        0        1
63405 wesnoth-1.16-data                  	       0       31        0        0       31
63406 wesnoth-1.16-did                   	       0       28        0        0       28
63407 wesnoth-1.16-dm                    	       0       28        0        0       28
63408 wesnoth-1.16-dw                    	       0       28        0        0       28
63409 wesnoth-1.16-ei                    	       0       28        0        0       28
63410 wesnoth-1.16-httt                  	       0       28        0        0       28
63411 wesnoth-1.16-l                     	       0       28        0        0       28
63412 wesnoth-1.16-low                   	       0       28        0        0       28
63413 wesnoth-1.16-music                 	       0       30        0        0       30
63414 wesnoth-1.16-nr                    	       0       28        0        0       28
63415 wesnoth-1.16-sof                   	       0       28        0        0       28
63416 wesnoth-1.16-sota                  	       0       28        0        0       28
63417 wesnoth-1.16-sotbe                 	       0       28        0        0       28
63418 wesnoth-1.16-thot                  	       0       28        0        0       28
63419 wesnoth-1.16-trow                  	       0       28        0        0       28
63420 wesnoth-1.16-tsg                   	       0       28        0        0       28
63421 wesnoth-1.16-ttb                   	       0       28        0        0       28
63422 wesnoth-1.16-utbs                  	       0       28        0        0       28
63423 wesnoth-1.18                       	       0       12        1       11        0
63424 wesnoth-1.18-data                  	       0       13        0        0       13
63425 wesnoth-1.18-did                   	       0       11        0        0       11
63426 wesnoth-1.18-dm                    	       0       11        0        0       11
63427 wesnoth-1.18-dw                    	       0       11        0        0       11
63428 wesnoth-1.18-ei                    	       0       11        0        0       11
63429 wesnoth-1.18-httt                  	       0       11        0        0       11
63430 wesnoth-1.18-l                     	       0       11        0        0       11
63431 wesnoth-1.18-low                   	       0       11        0        0       11
63432 wesnoth-1.18-music                 	       0       13        0        0       13
63433 wesnoth-1.18-nr                    	       0       11        0        0       11
63434 wesnoth-1.18-sof                   	       0       11        0        0       11
63435 wesnoth-1.18-sota                  	       0       11        0        0       11
63436 wesnoth-1.18-sotbe                 	       0       11        0        0       11
63437 wesnoth-1.18-thot                  	       0       11        0        0       11
63438 wesnoth-1.18-tools                 	       0        1        0        1        0
63439 wesnoth-1.18-trow                  	       0       11        0        0       11
63440 wesnoth-1.18-tsg                   	       0       11        0        0       11
63441 wesnoth-1.18-ttb                   	       0       11        0        0       11
63442 wesnoth-1.18-utbs                  	       0       11        0        0       11
63443 wesnoth-1.18-wof                   	       0       11        0        0       11
63444 wesnoth-core                       	       0        2        0        0        2
63445 wesnoth-music                      	       0        7        0        0        7
63446 wesperanto                         	       0       15        0       12        3
63447 weston                             	       0       24        0       24        0
63448 wev                                	       0        6        1        5        0
63449 weylus                             	       0        1        0        1        0
63450 wezterm                            	       0        2        0        2        0
63451 wf-recorder                        	       0        5        0        5        0
63452 wfrog                              	       0        1        0        1        0
63453 wfuzz                              	       0       25        0       25        0
63454 wfview                             	       0        7        0        7        0
63455 wgalician-minimos                  	       0        2        0        2        0
63456 wgdd-archive-keyring               	       0        1        0        0        1
63457 wget2-dev                          	       0        1        0        1        0
63458 whalebird                          	       0        1        0        0        1
63459 whatmaps                           	       0        1        0        1        0
63460 whatsdesk                          	       0        8        0        3        5
63461 when                               	       0        7        0        7        0
63462 whereami                           	       0        2        0        2        0
63463 which                              	       0        1        0        1        0
63464 whichman                           	       0        3        0        3        0
63465 whichwayisup                       	       0        1        0        1        0
63466 whipper                            	       0        7        0        7        0
63467 whitakers-words-data               	       0        2        0        0        2
63468 whiteboard                         	       0        1        0        1        0
63469 whitedune                          	       0        5        0        5        0
63470 whitedune-docs                     	       0        2        0        2        0
63471 whitesur-gtk-theme                 	       0        1        0        0        1
63472 whizzytex                          	       0        2        0        2        0
63473 whohas                             	       0        5        1        4        0
63474 whowatch                           	       0        4        0        4        0
63475 whysynth                           	       0        9        0        9        0
63476 wicd                               	       0      152        0        0      152
63477 wicd-build-deps                    	       0        1        0        0        1
63478 wicd-cli                           	       0        7        0        7        0
63479 wicd-gtk                           	       0      125       13      112        0
63480 wicreset                           	       0        1        0        1        0
63481 wide-dhcpv6-client                 	       0        8        0        8        0
63482 wide-dhcpv6-relay                  	       0        1        0        1        0
63483 widelands                          	       0       15        0       15        0
63484 widelands-data                     	       0       15        0        0       15
63485 widemargin                         	       0        2        0        2        0
63486 wifi-host-ap-bash                  	       0        1        0        1        0
63487 wifi-radar                         	       0        3        0        3        0
63488 wifite                             	       0       29        0       29        0
63489 wigeon                             	       0        1        0        1        0
63490 wiggle                             	       0        1        0        1        0
63491 wike                               	       0        2        0        2        0
63492 wiki2beamer                        	       0        2        0        2        0
63493 wikiman                            	       0        1        0        1        0
63494 wikipedia2text                     	       0        6        0        6        0
63495 wikipediafs                        	       0        1        0        1        0
63496 wildmidi                           	       0        3        0        3        0
63497 wily                               	       0        5        0        5        0
63498 wily-dbgsym                        	       0        1        0        1        0
63499 wimtools                           	       0       33        0       33        0
63500 win-iconv-mingw-w64-dev            	       0        2        0        2        0
63501 win31games                         	       0        4        0        4        0
63502 win31games-oregon-trail            	       0        2        0        0        2
63503 win31games-typing-instructor       	       0        3        0        0        3
63504 win31games-zoombinis               	       0        3        0        0        3
63505 win32-loader                       	       0        8        0        0        8
63506 window-size                        	       0        2        0        2        0
63507 windowlab                          	       0        3        0        3        0
63508 windows-el                         	       0        1        0        1        0
63509 windows-entertainment-pack         	       0        1        0        1        0
63510 windows95                          	       0        1        0        1        0
63511 windsurf                           	       0        1        0        1        0
63512 wine-bin                           	       0        1        0        0        1
63513 wine-devel                         	       0        9        2        7        0
63514 wine-devel-amd64                   	       0        9        1        8        0
63515 wine-devel-i386                    	       0       10        2        8        0
63516 wine-development                   	       0       15        0       15        0
63517 wine-doc                           	       0        1        0        0        1
63518 wine-staging                       	       0       12        1       11        0
63519 wine-staging-amd64                 	       0       12        1       11        0
63520 wine-staging-i386                  	       0       12        1       11        0
63521 wine1.6                            	       0        1        0        1        0
63522 wine1.6-amd64                      	       0        1        0        1        0
63523 wine1.6-i386                       	       0        1        0        1        0
63524 wine32-development                 	       0        6        0        6        0
63525 wine32-development-preloader       	       0        3        0        3        0
63526 wine32-tools                       	       0        1        0        1        0
63527 wine64-bin                         	       0        3        0        0        3
63528 wine64-dev-tools                   	       0        2        0        0        2
63529 wine64-development                 	       0       16        0       16        0
63530 wine64-development-preloader       	       0        5        0        5        0
63531 wine64-development-tools           	       0        4        0        4        0
63532 wineasio                           	       0        1        0        0        1
63533 wineasio-amd64                     	       0        1        0        0        1
63534 wineasio-data                      	       0        1        0        1        0
63535 wineasio-i386                      	       0        1        0        1        0
63536 winegui                            	       0        1        0        1        0
63537 winehq-devel                       	       0        8        1        7        0
63538 winehq-staging                     	       0        9        0        9        0
63539 winexe                             	       0        1        1        0        0
63540 winff                              	       0       37        0        0       37
63541 winff-data                         	       0       47        0        2       45
63542 winff-doc                          	       0       12        0        0       12
63543 winff-gtk2                         	       0       36        0       36        0
63544 winff-qt                           	       0       11        0       11        0
63545 wing                               	       0        5        0        5        0
63546 wing-data                          	       0        5        0        0        5
63547 wingpro10                          	       0        4        0        4        0
63548 wingpro7                           	       0        4        0        4        0
63549 wininfo                            	       0        4        0        4        0
63550 winpdb                             	       0        2        0        2        0
63551 winregfs                           	       0       24        0       24        0
63552 winsol                             	       0        1        0        1        0
63553 winswitch                          	       0        1        0        1        0
63554 wipe                               	       0       65        3       62        0
63555 wipe2fs                            	       0        1        0        1        0
63556 wire                               	       0        2        0        2        0
63557 wire-desktop                       	       0        3        0        1        2
63558 wiredpanda                         	       0        4        0        4        0
63559 wireguard                          	       0      155        0        0      155
63560 wireguard-dkms                     	       0       10        0       10        0
63561 wireguard-go                       	       0        3        0        3        0
63562 wireguard-tools                    	       0      195       11      184        0
63563 wireguird                          	       0        1        0        1        0
63564 wireplumber-doc                    	       0       16        0        0       16
63565 wireshark-dev                      	       0        2        0        2        0
63566 wireshark-doc                      	       0       39        0        0       39
63567 wireshark-gtk                      	       0       19        0        3       16
63568 wise                               	       0        2        0        2        0
63569 wise-data                          	       0        2        0        0        2
63570 wit                                	       0        3        0        3        0
63571 wixl                               	       0        1        0        1        0
63572 wixl-data                          	       0        1        0        0        1
63573 wizznic                            	       0        6        0        6        0
63574 wizznic-data                       	       0        6        0        0        6
63575 wkhtmltopdf                        	       0       64        0       64        0
63576 wkhtmltox                          	       0        7        0        7        0
63577 wkrt-lin                           	       0        1        0        1        0
63578 wks2ods                            	       0        2        0        2        0
63579 wl                                 	       0        2        0        2        0
63580 wlassistant-trinity                	       0        1        0        1        0
63581 wlc                                	       0        1        0        1        0
63582 wlcs                               	       0        3        0        3        0
63583 wlogout                            	       0        5        0        5        0
63584 wlr-randr                          	       0       11        0       11        0
63585 wlsunset                           	       0        5        0        5        0
63586 wm-icons                           	       0       16        2       14        0
63587 wm2                                	       0        5        0        5        0
63588 wmacpi                             	       0        7        2        5        0
63589 wmail                              	       0        3        0        3        0
63590 wmaker-data                        	       0       34        0        0       34
63591 wmakerconf                         	       0        1        0        1        0
63592 wmakerconf-data                    	       0        2        0        0        2
63593 wmanager                           	       0        1        0        1        0
63594 wmauda                             	       0        5        1        4        0
63595 wmbattery                          	       0       13        1       12        0
63596 wmbiff                             	       0        6        0        6        0
63597 wmbinclock                         	       0        1        0        1        0
63598 wmbubble                           	       0        5        1        4        0
63599 wmbutton                           	       0        6        1        5        0
63600 wmcalc                             	       0        5        0        5        0
63601 wmcalclock                         	       0       16        1       15        0
63602 wmcdplay                           	       0        1        0        1        0
63603 wmcliphist                         	       0        5        0        5        0
63604 wmclock                            	       0       21        2       19        0
63605 wmclockmon                         	       0       12        3        9        0
63606 wmcoincoin                         	       0        1        0        1        0
63607 wmcore                             	       0        3        0        3        0
63608 wmcpu                              	       0        5        0        5        0
63609 wmcpuload                          	       0       11        0       11        0
63610 wmcube                             	       0        6        1        5        0
63611 wmdate                             	       0        2        0        2        0
63612 wmdiskmon                          	       0        5        0        5        0
63613 wmdrawer                           	       0        6        0        6        0
63614 wmenu                              	       0        9        0        9        0
63615 wmfire                             	       0        2        0        2        0
63616 wmforecast                         	       0        1        0        1        0
63617 wmforkplop                         	       0        1        0        1        0
63618 wmfrog                             	       0        2        0        2        0
63619 wmfsm                              	       0        3        1        2        0
63620 wmget                              	       0        3        0        3        0
63621 wmgtemp                            	       0        3        0        3        0
63622 wmhdplop                           	       0        5        0        5        0
63623 wmibam                             	       0        1        0        1        0
63624 wmifinfo                           	       0        4        0        4        0
63625 wmifs                              	       0        5        0        5        0
63626 wminput                            	       0        4        0        4        0
63627 wmitime                            	       0        4        0        4        0
63628 wmix                               	       0       10        1        9        0
63629 wml                                	       0        4        0        4        0
63630 wmload                             	       0        9        0        9        0
63631 wmlongrun                          	       0        2        0        2        0
63632 wmmail                             	       0        3        0        3        0
63633 wmmand                             	       0        1        0        1        0
63634 wmmatrix                           	       0        4        0        4        0
63635 wmmemload                          	       0       10        1        9        0
63636 wmmisc                             	       0        2        0        2        0
63637 wmmixer                            	       0       12        0       12        0
63638 wmmon                              	       0       11        2        9        0
63639 wmmount                            	       0        2        0        2        0
63640 wmnd-snmp                          	       0        1        0        1        0
63641 wmnetselect                        	       0        1        0        1        0
63642 wmnut                              	       0        3        0        3        0
63643 wmpinboard                         	       0        5        0        5        0
63644 wmppp.app                          	       0        1        0        1        0
63645 wmpuzzle                           	       0        2        0        2        0
63646 wmrack                             	       0        2        0        2        0
63647 wmressel                           	       0        2        0        2        0
63648 wmsensors                          	       0        1        0        1        0
63649 wmshutdown                         	       0        5        0        5        0
63650 wmsmpmon                           	       0        1        0        1        0
63651 wmstickynotes                      	       0        9        1        8        0
63652 wmsun                              	       0        8        0        8        0
63653 wmsysmon                           	       0        4        0        4        0
63654 wmsystemtray                       	       0        9        1        8        0
63655 wmtemp                             	       0       10        1        9        0
63656 wmtime                             	       0        6        0        6        0
63657 wmtop                              	       0        6        0        6        0
63658 wmtv                               	       0        3        0        3        0
63659 wmtz                               	       0        1        0        1        0
63660 wmwave                             	       0        3        0        3        0
63661 wmweather                          	       0       10        0       10        0
63662 wmweather+                         	       0        5        0        5        0
63663 wmwork                             	       0        5        0        5        0
63664 wmxmms2                            	       0        4        1        3        0
63665 wmxres                             	       0        2        0        2        0
63666 woeusb                             	       0        3        0        3        0
63667 woeusb-build-deps                  	       0        1        0        0        1
63668 woeusb-frontend-wxgtk              	       0        1        0        1        0
63669 woeusb-ng                          	       0        1        0        1        0
63670 woff-tools                         	       0        8        0        8        0
63671 woff2                              	       0        9        0        9        0
63672 wofi                               	       0       14        1       13        0
63673 wogerman                           	       0       16        0       16        0
63674 wolf3d-v12-data                    	       0        1        0        0        1
63675 wolf4sdl                           	       0        5        0        5        0
63676 wolframscript                      	       0        7        0        7        0
63677 wolpertinger-lv2                   	       0        1        0        1        0
63678 wonderdraft                        	       0        1        0        0        1
63679 wondershaper                       	       0       12        1       11        0
63680 woob                               	       0        1        0        1        0
63681 woof-doom                          	       0        3        0        3        0
63682 wordgrinder                        	       0       17        0        0       17
63683 wordgrinder-doc                    	       0       17        0        0       17
63684 wordgrinder-x11                    	       0        2        0        2        0
63685 wordnet                            	       0       23        2       21        0
63686 wordnet-base                       	       0       23        0        0       23
63687 wordnet-dev                        	       0        1        0        1        0
63688 wordnet-gui                        	       0       16        1       15        0
63689 wordnet-sense-index                	       0        9        0        0        9
63690 wordplay                           	       0       11        0       11        0
63691 wordpress                          	       0        9        0        9        0
63692 wordpress-l10n                     	       0        9        0        0        9
63693 wordpress-theme-twentyfifteen      	       0        1        0        0        1
63694 wordpress-theme-twentynineteen     	       0        2        0        2        0
63695 wordpress-theme-twentyseventeen    	       0        4        0        3        1
63696 wordpress-theme-twentysixteen      	       0        1        0        0        1
63697 wordpress-theme-twentytwenty       	       0        2        0        2        0
63698 wordpress-theme-twentytwentyone    	       0        3        0        2        1
63699 wordpress-theme-twentytwentythree  	       0        1        0        1        0
63700 wordwarvi                          	       0        4        0        4        0
63701 wordwarvi-build-deps               	       0        1        0        0        1
63702 wordwarvi-dbgsym                   	       0        1        0        1        0
63703 wordwarvi-sound                    	       0        4        0        0        4
63704 workbone                           	       0        1        0        1        0
63705 worker                             	       0       10        0       10        0
63706 worker-data                        	       0       10        0        0       10
63707 worklog                            	       0        1        0        1        0
63708 workrave-data                      	       0       16        0        0       16
63709 workrave-xfce4                     	       0        5        0        0        5
63710 worldofgoo                         	       0        2        0        2        0
63711 worldographer                      	       0        1        0        1        0
63712 worldpainter                       	       0        1        0        1        0
63713 wotsap                             	       0        1        0        1        0
63714 wound-up                           	       0        1        0        1        0
63715 wp2latex-styles                    	       0        3        0        0        3
63716 wp2x                               	       0       13        0       13        0
63717 wpd2epub                           	       0        2        0        2        0
63718 wpd2odt                            	       0        6        1        5        0
63719 wpd2sxw                            	       0        1        0        1        0
63720 wpewebkit-driver                   	       0        1        0        1        0
63721 wpg2odg                            	       0        3        1        2        0
63722 wps-office                         	       0        6        1        5        0
63723 wps2epub                           	       0        1        0        1        0
63724 wps2odt                            	       0        4        1        3        0
63725 wps2sxw                            	       0        1        0        1        0
63726 wput                               	       0       18        1       17        0
63727 wraplinux                          	       0        1        0        1        0
63728 wrapperfactory.app                 	       0        2        0        2        0
63729 wrapsrv                            	       0        1        0        1        0
63730 wreport-common                     	       0        2        0        0        2
63731 writer2latex                       	       0       21        1       20        0
63732 writer2latex-manual                	       0        3        0        0        3
63733 wrk                                	       0        5        0        5        0
63734 wsclean                            	       0        5        0        5        0
63735 wsclean-dev                        	       0        2        0        2        0
63736 wsdd2                              	       0        3        0        3        0
63737 wsjtx                              	       0       16        0       16        0
63738 wsjtx-data                         	       0       17        0        0       17
63739 wsjtx-doc                          	       0       13        0        0       13
63740 wsl                                	       0        1        0        1        0
63741 wsynth-dssi                        	       0        6        0        6        0
63742 wtav                               	       0        1        0        1        0
63743 wterm                              	       0        1        0        1        0
63744 wterm-ml                           	       0        1        0        1        0
63745 wtf-debian-keyring                 	       0        1        0        0        1
63746 wv                                 	       0       39        3       36        0
63747 wvdial                             	       0       28        0       28        0
63748 wwl                                	       0       10        0       10        0
63749 wwwconfig-common                   	       0       36        0        0       36
63750 wx2.6-headers                      	       0        1        0        1        0
63751 wx2.6-i18n                         	       0        2        0        0        2
63752 wx2.8-headers                      	       0        5        0        5        0
63753 wx3.0-doc                          	       0       14        0        0       14
63754 wx3.0-examples                     	       0        6        0        6        0
63755 wx3.0-headers                      	       0       71        2       69        0
63756 wx3.0-i18n                         	       0        9        0        0        9
63757 wx3.1-headers                      	       0        1        0        1        0
63758 wx3.2-doc                          	       0        8        0        0        8
63759 wx3.2-examples                     	       0        2        0        2        0
63760 wx3.2-headers                      	       0       66        0       66        0
63761 wx3.2-i18n                         	       0        7        0        0        7
63762 wxastrocapture                     	       0        3        0        3        0
63763 wxglade                            	       0        4        0        4        0
63764 wxhexeditor                        	       0       35        0       35        0
63765 wxmaxima                           	       0       29        0       29        0
63766 wxmp3gain                          	       0        1        0        1        0
63767 wxpython-tools                     	       0       12        0       12        0
63768 wxsmith-headers                    	       0        2        0        2        0
63769 wxsqlite3-doc                      	       0        2        0        0        2
63770 wyrd                               	       0       10        0       10        0
63771 x-dev                              	       0        3        0        0        3
63772 x-face-el                          	       0        3        0        3        0
63773 x-pgp-sig-el                       	       0        1        0        1        0
63774 x-tile                             	       0        2        0        2        0
63775 x-ttcidfont-conf                   	       0        3        0        0        3
63776 x-window-system-core               	       0        1        0        0        1
63777 x11-common                         	       0     3924        0        0     3924
63778 x11-touchscreen-calibrator         	       0        4        0        4        0
63779 x11proto-bigreqs-dev               	       0        7        0        2        5
63780 x11proto-composite-dev             	       0       53        0        9       44
63781 x11proto-core-dev                  	       0      499        2       46      451
63782 x11proto-damage-dev                	       0       90        1       25       64
63783 x11proto-dmx-dev                   	       0        7        0        2        5
63784 x11proto-dri2-dev                  	       0       55        1       23       31
63785 x11proto-dri3-dev                  	       0       12        0        4        8
63786 x11proto-evie-dev                  	       0        1        0        1        0
63787 x11proto-fixes-dev                 	       0       90        1       26       63
63788 x11proto-fontcache-dev             	       0        1        0        1        0
63789 x11proto-fonts-dev                 	       0       23        0        5       18
63790 x11proto-gl-dev                    	       0       55        1       23       31
63791 x11proto-input-dev                 	       0      223        2       46      175
63792 x11proto-kb-dev                    	       0      110        2       46       62
63793 x11proto-present-dev               	       0       13        0        4        9
63794 x11proto-print-dev                 	       0        8        0        7        1
63795 x11proto-randr-dev                 	       0      179        1       17      161
63796 x11proto-record-dev                	       0      184        0        5      179
63797 x11proto-render-dev                	       0      167        2       24      141
63798 x11proto-resource-dev              	       0       12        0        4        8
63799 x11proto-scrnsaver-dev             	       0      205        2       14      189
63800 x11proto-trap-dev                  	       0        2        0        2        0
63801 x11proto-video-dev                 	       0       60        1       11       48
63802 x11proto-xcmisc-dev                	       0        7        0        2        5
63803 x11proto-xext-dev                  	       0      353        2       31      320
63804 x11proto-xf86bigfont-dev           	       0       10        0        4        6
63805 x11proto-xf86dga-dev               	       0       41        0        3       38
63806 x11proto-xf86dri-dev               	       0       15        0        4       11
63807 x11proto-xf86misc-dev              	       0        2        0        2        0
63808 x11proto-xf86vidmode-dev           	       0      235        1       23      211
63809 x11proto-xinerama-dev              	       0      178        1       17      160
63810 x11vnc-data                        	       0       27        0        0       27
63811 x13as                              	       0        1        0        1        0
63812 x2go-keyring                       	       0       14        0        0       14
63813 x2goagent                          	       0        1        0        1        0
63814 x2gobroker-common                  	       0        1        0        0        1
63815 x2godesktopsharing                 	       0        7        2        5        0
63816 x2gohtmlclient                     	       0        1        0        0        1
63817 x2gokdriveclient                   	       0        6        1        5        0
63818 x2goplugin                         	       0        3        0        1        2
63819 x2goserver-x2gokdrive              	       0        8        0        0        8
63820 x2goserver-xsession                	       0       64        0        0       64
63821 x2gothinclient-smartcardrules      	       0        1        0        1        0
63822 x2gothinclient-usbmount            	       0        1        0        1        0
63823 x2gowebrpc                         	       0        1        0        1        0
63824 x2gowswrapper                      	       0        1        0        1        0
63825 x2vnc                              	       0       12        0       12        0
63826 x3270                              	       0        9        1        8        0
63827 x3270-doc                          	       0        3        0        0        3
63828 x42-gmsynth.lv2                    	       0        1        0        1        0
63829 x42-plugins                        	       0       14        1       13        0
63830 x4d-icons                          	       0        2        0        0        2
63831 x86-64-v2-support                  	       0        3        0        0        3
63832 x86-64-v3-support                  	       0        1        0        0        1
63833 x86info                            	       0       22        1       21        0
63834 xa65                               	       0        7        0        7        0
63835 xabacus                            	       0        4        0        4        0
63836 xalan                              	       0       10        0       10        0
63837 xamarin-gtk-theme                  	       0        5        0        5        0
63838 xamarin.android-oss                	       0        1        0        1        0
63839 xandikos                           	       0        1        0        1        0
63840 xanmod-repository                  	       0        2        0        0        2
63841 xaos                               	       0       21        0       21        0
63842 xapers                             	       0        2        0        2        0
63843 xapian-doc                         	       0       10        0        0       10
63844 xapian-examples                    	       0        4        1        3        0
63845 xapian-omega                       	       0        1        0        1        0
63846 xapian-tools                       	       0       14        0       14        0
63847 xapm                               	       0        5        0        5        0
63848 xapp                               	       0        1        1        0        0
63849 xapps-doc                          	       0        2        0        0        2
63850 xapt                               	       0        1        1        0        0
63851 xara-gtk                           	       0        4        0        4        0
63852 xaralx                             	       0        2        0        2        0
63853 xaralx-examples                    	       0        1        0        0        1
63854 xaralx-svg                         	       0        2        0        2        0
63855 xarchon                            	       0        1        0        1        0
63856 xarchon-theme-default              	       0        1        0        0        1
63857 xarclock                           	       0        3        0        3        0
63858 xasteroids                         	       0        1        0        1        0
63859 xastir                             	       0       12        0       12        0
63860 xastir-data                        	       0       12        0       12        0
63861 xautolock                          	       0       23        3       20        0
63862 xavante                            	       0        1        0        0        1
63863 xaw3dg                             	       0      215        1        5      209
63864 xaw3dg-dev                         	       0        9        1        8        0
63865 xawtv-plugin-qt                    	       0        6        0        0        6
63866 xawtv-plugins                      	       0       44        0        0       44
63867 xawtv-tools                        	       0        5        0        5        0
63868 xbanish                            	       0        4        0        4        0
63869 xbase-clients                      	       0       83        0        0       83
63870 xbattbar                           	       0        9        0        9        0
63871 xbattle                            	       0        1        0        1        0
63872 xbill                              	       0       12        0       12        0
63873 xbindkeys-config                   	       0       18        0       18        0
63874 xbitmaps                           	       0     3414        0        0     3414
63875 xblast-tnt                         	       0        5        0        5        0
63876 xblast-tnt-images                  	       0        6        0        0        6
63877 xblast-tnt-levels                  	       0        5        0        0        5
63878 xblast-tnt-models                  	       0        5        0        0        5
63879 xblast-tnt-musics                  	       0        4        0        0        4
63880 xblast-tnt-sounds                  	       0        4        0        0        4
63881 xbmc                               	       0        3        0        0        3
63882 xbmc-addons-dev                    	       0        1        0        0        1
63883 xbmc-skin-confluence               	       0        1        0        0        1
63884 xboard                             	       0       55        2       53        0
63885 xboing                             	       0        1        0        1        0
63886 xbomb                              	       0       20        0       20        0
63887 xboxdrv                            	       0       14        0       14        0
63888 xbreaky                            	       0        1        0        1        0
63889 xbrzscale                          	       0        3        0        3        0
63890 xbs                                	       0        1        0        1        0
63891 xbubble                            	       0       14        0       14        0
63892 xbubble-data                       	       0       14        0        0       14
63893 xbuilder                           	       0        1        0        1        0
63894 xc                                 	       0        2        0        2        0
63895 xca                                	       0       20        0       20        0
63896 xcaddy                             	       0        3        0        3        0
63897 xcal                               	       0        5        0        5        0
63898 xcape                              	       0       14        4       10        0
63899 xcas                               	       0       14        0       14        0
63900 xcb                                	       0       31        1       30        0
63901 xcb-proto                          	       0       21        0        0       21
63902 xcb-proto-build-deps               	       0        1        0        0        1
63903 xcb-util-build-deps                	       0        2        0        0        2
63904 xcdroast                           	       0        1        0        1        0
63905 xcftools                           	       0        4        0        4        0
63906 xchain                             	       0        3        0        3        0
63907 xchainkeys                         	       0        1        0        1        0
63908 xchat                              	       0        9        0        9        0
63909 xchat-common                       	       0       11        0       11        0
63910 xchpst                             	       0        1        0        1        0
63911 xcircuit                           	       0        7        0        7        0
63912 xclass-common                      	       0        1        0        0        1
63913 xcolmix                            	       0        1        0        1        0
63914 xcolors                            	       0       13        0       13        0
63915 xcolorsel                          	       0       19        0       19        0
63916 xcompmgr                           	       0       36        2       34        0
63917 xconq                              	       0        1        0        1        0
63918 xconq-common                       	       0        1        0        0        1
63919 xcowsay                            	       0       18        0       18        0
63920 xcruise                            	       0        2        0        2        0
63921 xcrysden                           	       0        1        0        1        0
63922 xcrysden-data                      	       0        1        0        0        1
63923 xcscope-el                         	       0        5        0        0        5
63924 xcsoar                             	       0        1        0        1        0
63925 xcursor-themes                     	       0       46        0        0       46
63926 xcwcp                              	       0       16        0       16        0
63927 xcwd                               	       0        2        0        2        0
63928 xd                                 	       0        5        0        5        0
63929 xdaliclock                         	       0       28        2       26        0
63930 xdd                                	       0        1        0        1        0
63931 xde-menu                           	       0        1        0        1        0
63932 xdeb                               	       0        1        0        1        0
63933 xdemineur                          	       0       19        0       19        0
63934 xdemorse                           	       0       16        0       16        0
63935 xdesktopwaves                      	       0        5        0        5        0
63936 xdeview                            	       0        5        0        5        0
63937 xdg-dbus-proxy-tests               	       0        3        0        0        3
63938 xdg-desktop-portal-dev             	       0        2        0        0        2
63939 xdg-desktop-portal-hyprland        	       0        2        0        2        0
63940 xdg-desktop-portal-lxqt            	       0        5        0        1        4
63941 xdg-desktop-portal-regolith        	       0        1        0        1        0
63942 xdg-desktop-portal-regolith-x11-config	       0        1        0        0        1
63943 xdg-desktop-portal-tests           	       0        3        0        0        3
63944 xdg-terminal-exec                  	       0        1        0        1        0
63945 xdg-themes-stackrpms               	       0       14        0        0       14
63946 xdg-utils-cxx                      	       0        5        0        1        4
63947 xdg-utils-cxx-dev                  	       0        4        0        4        0
63948 xdialog                            	       0        1        0        1        0
63949 xdigger                            	       0        1        0        1        0
63950 xdiskusage                         	       0       30        1       29        0
63951 xdkcal                             	       0        1        0        1        0
63952 xdman                              	       0        1        0        1        0
63953 xdms                               	       0       11        0       11        0
63954 xdmx                               	       0        2        0        2        0
63955 xdmx-tools                         	       0        2        0        2        0
63956 xdot                               	       0       31        3       28        0
63957 xdp-tests                          	       0        1        0        0        1
63958 xdp-tools                          	       0        1        0        1        0
63959 xdrawchem                          	       0        1        0        1        0
63960 xdtv                               	       0        1        0        1        0
63961 xdtv-fonts                         	       0        1        0        1        0
63962 xdu                                	       0       13        0       13        0
63963 xdvik-ja                           	       0        1        0        1        0
63964 xdx                                	       0        4        0        4        0
63965 xe                                 	       0        8        0        8        0
63966 xearth                             	       0        1        0        1        0
63967 xed                                	       0        2        0        2        0
63968 xed-common                         	       0        2        0        0        2
63969 xeji                               	       0        1        0        1        0
63970 xemacs21                           	       0       15        0        0       15
63971 xemacs21-basesupport               	       0      283        0        0      283
63972 xemacs21-mule                      	       0       15        1       14        0
63973 xemacs21-mulesupport               	       0      281        0        0      281
63974 xemacs21-nomule                    	       0        2        0        2        0
63975 xemacs21-supportel                 	       0        2        0        0        2
63976 xemeraldia                         	       0        1        0        1        0
63977 xemu                               	       0        1        0        1        0
63978 xen-doc                            	       0        4        0        0        4
63979 xen-hypervisor-4.1-amd64           	       0        1        0        0        1
63980 xen-hypervisor-4.11-amd64          	       0        1        0        1        0
63981 xen-hypervisor-4.14-amd64          	       0        2        0        2        0
63982 xen-hypervisor-4.17-amd64          	       0        8        0        0        8
63983 xen-hypervisor-4.4-amd64           	       0        3        0        0        3
63984 xen-hypervisor-4.8-amd64           	       0        1        0        1        0
63985 xen-hypervisor-common              	       0       11        0        0       11
63986 xen-linux-system-3.16.0-11-amd64   	       0        2        0        0        2
63987 xen-linux-system-3.16.0-6-amd64    	       0        1        0        0        1
63988 xen-linux-system-amd64             	       0        3        0        0        3
63989 xen-system-amd64                   	       0       11        0        0       11
63990 xen-tools                          	       0        6        0        6        0
63991 xen-utils-4.1                      	       0        1        0        1        0
63992 xen-utils-4.11                     	       0        1        0        1        0
63993 xen-utils-4.14                     	       0        2        1        1        0
63994 xen-utils-4.17                     	       0        8        0        8        0
63995 xen-utils-4.4                      	       0        3        0        3        0
63996 xen-utils-4.8                      	       0        1        0        1        0
63997 xen-utils-common                   	       0       14        1       13        0
63998 xengine                            	       0        2        0        2        0
63999 xenlism-minimalism-theme           	       0        1        0        0        1
64000 xenstore-utils                     	       0       16        1       15        0
64001 xenwatch                           	       0        1        0        1        0
64002 xephem                             	       0        2        0        2        0
64003 xerox-phaser-6000-6010             	       0        1        0        1        0
64004 xerox-workcentre-6015b-6015n-6015ni	       0        1        0        1        0
64005 xeroxofficeprtdrv                  	       0        3        0        3        0
64006 xeroxprtdrv                        	       0        2        0        2        0
64007 xevil                              	       0        4        0        4        0
64008 xfaces                             	       0        3        0        3        0
64009 xfce-keyboard-shortcuts            	       0       36        0        0       36
64010 xfce4                              	       0     1724        0        0     1724
64011 xfce4-appfinder-build-deps         	       0        1        0        0        1
64012 xfce4-appfinder-dbgsym             	       0        2        0        2        0
64013 xfce4-appmenu-plugin-dbgsym        	       0        1        0        1        0
64014 xfce4-artwork                      	       0       21        0        0       21
64015 xfce4-battery-plugin               	       0     1664       12       51     1601
64016 xfce4-battery-plugin-dbgsym        	       0        1        0        1        0
64017 xfce4-clipman-dbgsym               	       0        1        0        1        0
64018 xfce4-clipman-plugin-dbgsym        	       0        1        0        1        0
64019 xfce4-cpufreq-plugin-build-deps    	       0        1        0        0        1
64020 xfce4-cpufreq-plugin-dbgsym        	       0        2        0        2        0
64021 xfce4-cpugraph-plugin-dbgsym       	       0        1        0        1        0
64022 xfce4-datetime-plugin              	       0      466        2       29      435
64023 xfce4-datetime-plugin-dbgsym       	       0        1        0        1        0
64024 xfce4-dev-tools-dbgsym             	       0        1        0        1        0
64025 xfce4-dict-dbgsym                  	       0        1        0        1        0
64026 xfce4-diskperf-plugin              	       0     1656        1       30     1625
64027 xfce4-diskperf-plugin-dbgsym       	       0        1        0        1        0
64028 xfce4-docklike                     	       0        1        0        1        0
64029 xfce4-embed-plugin                 	       0        1        0        0        1
64030 xfce4-equake-plugin                	       0        1        0        0        1
64031 xfce4-eyes-plugin                  	       0       39        0        6       33
64032 xfce4-eyes-plugin-dbgsym           	       0        1        0        1        0
64033 xfce4-fsguard-plugin               	       0     1658        3       16     1639
64034 xfce4-fsguard-plugin-dbgsym        	       0        1        0        1        0
64035 xfce4-genmon-plugin                	       0     1655        2        9     1644
64036 xfce4-genmon-plugin-dbgsym         	       0        1        0        1        0
64037 xfce4-goodies                      	       0     1635        0        0     1635
64038 xfce4-hdaps                        	       0        1        0        0        1
64039 xfce4-helpers                      	       0     1694        0        0     1694
64040 xfce4-icon-theme                   	       0        1        0        1        0
64041 xfce4-indicator-plugin             	       0       48        0        4       44
64042 xfce4-indicator-plugin-dbgsym      	       0        1        0        1        0
64043 xfce4-mailwatch-plugin             	       0     1651        1        5     1645
64044 xfce4-mailwatch-plugin-dbgsym      	       0        1        0        1        0
64045 xfce4-mcs-manager                  	       0        2        0        2        0
64046 xfce4-messenger-plugin             	       0        3        0        0        3
64047 xfce4-minicmd-plugin               	       0        1        0        1        0
64048 xfce4-mixer-alsa                   	       0        1        0        1        0
64049 xfce4-mount-plugin                 	       0      113        1       11      101
64050 xfce4-mount-plugin-dbgsym          	       0        1        0        1        0
64051 xfce4-mpc-plugin                   	       0       28        0        1       27
64052 xfce4-mpc-plugin-dbgsym            	       0        1        0        1        0
64053 xfce4-multiload-ng-plugin          	       0        1        1        0        0
64054 xfce4-multiload-plugin             	       0        1        0        1        0
64055 xfce4-netload-plugin               	       0     1662       12       66     1584
64056 xfce4-netload-plugin-dbgsym        	       0        1        0        1        0
64057 xfce4-notes-plugin                 	       0      143        0        1      142
64058 xfce4-notifyd-dbgsym               	       0        1        0        1        0
64059 xfce4-panel-build-deps             	       0        1        0        0        1
64060 xfce4-panel-dbgsym                 	       0        2        0        2        0
64061 xfce4-panel-dev                    	       0        1        0        1        0
64062 xfce4-places-plugin-dbgsym         	       0        1        0        1        0
64063 xfce4-power-manager-dbgsym         	       0        1        0        1        0
64064 xfce4-power-manager-plugins-dbgsym 	       0        1        0        1        0
64065 xfce4-pulseaudio-plugin-dbgsym     	       0        1        0        1        0
64066 xfce4-quicklauncher-plugin         	       0       11        0        0       11
64067 xfce4-radio-plugin                 	       0        1        0        0        1
64068 xfce4-screensaver                  	       0       15        2       13        0
64069 xfce4-screenshooter-dbgsym         	       0        1        0        1        0
64070 xfce4-screenshooter-plugin         	       0        5        0        0        5
64071 xfce4-sensors-plugin-build-deps    	       0        1        0        0        1
64072 xfce4-sensors-plugin-dbgsym        	       0        2        0        2        0
64073 xfce4-session-build-deps           	       0        1        0        0        1
64074 xfce4-settings-build-deps          	       0        1        0        0        1
64075 xfce4-settings-dbgsym              	       0        2        0        2        0
64076 xfce4-shutdown-disable             	       0        1        0        0        1
64077 xfce4-smartbookmark-plugin         	       0     1656        0        2     1654
64078 xfce4-smartbookmark-plugin-dbgsym  	       0        1        0        1        0
64079 xfce4-sntray-plugin                	       0       30        0        0       30
64080 xfce4-sntray-plugin-common         	       0       40        0        0       40
64081 xfce4-sntray-plugin-dbgsym         	       0        1        0        1        0
64082 xfce4-statusnotifier-plugin        	       0       11        0        0       11
64083 xfce4-statusnotifier-plugin-dbgsym 	       0        1        0        1        0
64084 xfce4-systemload-plugin            	       0     1665       15       76     1574
64085 xfce4-systemload-plugin-dbgsym     	       0        1        0        1        0
64086 xfce4-taskmanager-dbgsym           	       0        1        0        1        0
64087 xfce4-terminal-build-deps          	       0        1        0        0        1
64088 xfce4-terminal-dbgsym              	       0        2        0        2        0
64089 xfce4-timer-plugin                 	       0     1658        1       19     1638
64090 xfce4-timer-plugin-dbgsym          	       0        1        0        1        0
64091 xfce4-verve-plugin-dbgsym          	       0        1        0        1        0
64092 xfce4-wavelan-plugin               	       0     1653        3       15     1635
64093 xfce4-wavelan-plugin-dbgsym        	       0        1        0        1        0
64094 xfce4-wayland-session              	       0        1        0        0        1
64095 xfce4-weather-plugin-dbgsym        	       0        1        0        1        0
64096 xfce4-whiskermenu-plugin-dbgsym    	       0        1        0        1        0
64097 xfce4-windowck-plugin              	       0       16        0        2       14
64098 xfce4-xkb-plugin-dbgsym            	       0        1        0        1        0
64099 xfconf-build-deps                  	       0        1        0        0        1
64100 xfconf-dbgsym                      	       0        1        0        1        0
64101 xfconf-gsettings-backend           	       0        2        0        2        0
64102 xfdesktop4-build-deps              	       0        1        0        0        1
64103 xfdesktop4-dbgsym                  	       0        1        0        1        0
64104 xfe-i18n                           	       0       55        0        1       54
64105 xfe-themes                         	       0       77        0        0       77
64106 xfig-doc                           	       0       24        0        5       19
64107 xfig-libs                          	       0       75        0        0       75
64108 xfireworks                         	       0        8        0        8        0
64109 xfishtank                          	       0       20        0       20        0
64110 xfkc                               	       0        1        0        1        0
64111 xflip                              	       0        4        0        4        0
64112 xflr5-doc                          	       0        1        0        0        1
64113 xfm                                	       0        1        0        1        0
64114 xfmedia                            	       0        1        0        1        0
64115 xfoil                              	       0        4        0        4        0
64116 xfonts-100dpi                      	       0     3075        8        9     3058
64117 xfonts-100dpi-transcoded           	       0       35        0        0       35
64118 xfonts-75dpi                       	       0     3047        7        8     3032
64119 xfonts-75dpi-transcoded            	       0       27        0        0       27
64120 xfonts-a12k12                      	       0        8        0        0        8
64121 xfonts-artwiz                      	       0        1        0        0        1
64122 xfonts-ay                          	       0        1        0        0        1
64123 xfonts-ayu                         	       0        9        0        0        9
64124 xfonts-baekmuk                     	       0       14        0        0       14
64125 xfonts-base                        	       0     3238        3        1     3234
64126 xfonts-base-transcoded             	       0        1        0        1        0
64127 xfonts-bitmap-mule                 	       0        6        0        0        6
64128 xfonts-biznet-100dpi               	       0       14        0        0       14
64129 xfonts-biznet-75dpi                	       0       11        0        0       11
64130 xfonts-biznet-base                 	       0       12        0        0       12
64131 xfonts-bolkhov-75dpi               	       0        8        0        1        7
64132 xfonts-bolkhov-cp1251-75dpi        	       0        8        0        0        8
64133 xfonts-bolkhov-cp1251-misc         	       0        9        0        0        9
64134 xfonts-bolkhov-isocyr-75dpi        	       0        7        0        0        7
64135 xfonts-bolkhov-isocyr-misc         	       0        8        0        0        8
64136 xfonts-bolkhov-koi8r-75dpi         	       0        9        0        0        9
64137 xfonts-bolkhov-koi8r-misc          	       0       10        0        0       10
64138 xfonts-bolkhov-koi8u-75dpi         	       0        7        0        0        7
64139 xfonts-bolkhov-koi8u-misc          	       0        8        0        0        8
64140 xfonts-bolkhov-misc                	       0        9        0        0        9
64141 xfonts-cmex-big5p                  	       0        2        0        0        2
64142 xfonts-cronyx-100dpi               	       0       11        0        1       10
64143 xfonts-cronyx-75dpi                	       0       10        0        1        9
64144 xfonts-cronyx-cp1251-100dpi        	       0        8        0        0        8
64145 xfonts-cronyx-cp1251-75dpi         	       0        7        0        0        7
64146 xfonts-cronyx-cp1251-misc          	       0        8        0        0        8
64147 xfonts-cronyx-isocyr-100dpi        	       0        8        0        0        8
64148 xfonts-cronyx-isocyr-75dpi         	       0        7        0        0        7
64149 xfonts-cronyx-isocyr-misc          	       0        8        0        0        8
64150 xfonts-cronyx-koi8r-100dpi         	       0       10        0        0       10
64151 xfonts-cronyx-koi8r-75dpi          	       0        9        0        0        9
64152 xfonts-cronyx-koi8r-misc           	       0       10        0        0       10
64153 xfonts-cronyx-koi8u-100dpi         	       0        8        0        0        8
64154 xfonts-cronyx-koi8u-75dpi          	       0        7        0        0        7
64155 xfonts-cronyx-koi8u-misc           	       0        8        0        0        8
64156 xfonts-cronyx-misc                 	       0        7        0        0        7
64157 xfonts-cyrillic                    	       0       26        0        0       26
64158 xfonts-dosemu                      	       0        1        0        0        1
64159 xfonts-efont-unicode               	       0       21        0        0       21
64160 xfonts-efont-unicode-ib            	       0       21        0        0       21
64161 xfonts-encodings                   	       0     3522        0        0     3522
64162 xfonts-intl-arabic                 	       0       10        0        0       10
64163 xfonts-intl-asian                  	       0       10        0        0       10
64164 xfonts-intl-chinese                	       0       14        0        0       14
64165 xfonts-intl-chinese-big            	       0       12        0        0       12
64166 xfonts-intl-european               	       0       22        0        0       22
64167 xfonts-intl-japanese               	       0       16        0        0       16
64168 xfonts-intl-japanese-big           	       0       15        0        0       15
64169 xfonts-intl-phonetic               	       0       20        0        0       20
64170 xfonts-jisx0213                    	       0        7        0        0        7
64171 xfonts-jmk                         	       0       12        0        0       12
64172 xfonts-kaname                      	       0        8        0        0        8
64173 xfonts-kapl                        	       0       10        0        0       10
64174 xfonts-kappa20                     	       0       10        0        0       10
64175 xfonts-knickers                    	       0        2        0        0        2
64176 xfonts-konsole                     	       0        1        0        1        0
64177 xfonts-libdockapp                  	       0        8        0        0        8
64178 xfonts-marumoji                    	       0        9        0        0        9
64179 xfonts-mathml                      	       0       12        0        0       12
64180 xfonts-mona                        	       0       13        0        0       13
64181 xfonts-mplus                       	       0       14        0        0       14
64182 xfonts-naga10                      	       0        5        0        0        5
64183 xfonts-nexus                       	       0       11        0        0       11
64184 xfonts-scalable                    	       0     3052       14       49     2989
64185 xfonts-shinonome                   	       0       11        0        0       11
64186 xfonts-terminus                    	       0      211        1        0      210
64187 xfonts-terminus-dos                	       0       47        0        0       47
64188 xfonts-terminus-oblique            	       0       65        0        0       65
64189 xfonts-thai                        	       0       10        0        0       10
64190 xfonts-thai-etl                    	       0       10        0        0       10
64191 xfonts-thai-manop                  	       0       10        0        0       10
64192 xfonts-thai-nectec                 	       0       10        0        0       10
64193 xfonts-thai-poonlap                	       0        9        0        0        9
64194 xfonts-thai-vor                    	       0       10        0        0       10
64195 xfonts-tipa                        	       0       18        0        0       18
64196 xfonts-traditional                 	       0       23        2       21        0
64197 xfonts-unifont                     	       0      121        0        1      120
64198 xfonts-wqy                         	       0        9        0        0        9
64199 xfonts-x3270-misc                  	       0       22        0        0       22
64200 xfprint4                           	       0        3        0        3        0
64201 xfpt                               	       0        1        0        1        0
64202 xfractint                          	       0       11        0       11        0
64203 xfrisk                             	       0        6        0        6        0
64204 xfslibs-dev                        	       0       11        0       11        0
64205 xfstt                              	       0       10        2        8        0
64206 xfwm4-build-deps                   	       0        1        0        0        1
64207 xfwm4-dbgsym                       	       0        1        0        1        0
64208 xfwm4-theme-breeze                 	       0      283        0        0      283
64209 xfwm4-themes                       	       0       25        0        0       25
64210 xgalaga                            	       0       15        0       15        0
64211 xgalaga++                          	       0        9        0        9        0
64212 xgammon                            	       0        8        0        8        0
64213 xgnokii                            	       0        7        0        7        0
64214 xgraph                             	       0        1        0        1        0
64215 xgridfit                           	       0        5        0        5        0
64216 xgterm                             	       0        3        0        3        0
64217 xhtml2ps                           	       0       11        0       11        0
64218 xicc                               	       0        1        0        1        0
64219 xidle                              	       0        4        0        4        0
64220 ximtool                            	       0        1        0        1        0
64221 xindy-rules                        	       0       21        0        0       21
64222 xine-console                       	       0       14        0       14        0
64223 xine-plugin                        	       0        1        0        1        0
64224 xineliboutput-sxfe                 	       0        2        0        2        0
64225 xininfo                            	       0        4        0        4        0
64226 xinv3d                             	       0        5        0        5        0
64227 xiphos                             	       0       14        0       14        0
64228 xiphos-data                        	       0       14        0        0       14
64229 xipmsg                             	       0        1        0        1        0
64230 xiterm+thai                        	       0        2        0        2        0
64231 xjdic                              	       0        2        0        2        0
64232 xjig                               	       0        8        0        8        0
64233 xjokes                             	       0        1        0        1        0
64234 xjump                              	       0        3        0        3        0
64235 xkb-switch                         	       0        1        0        1        0
64236 xkbind                             	       0        4        0        4        0
64237 xkblayout-state                    	       0        1        0        1        0
64238 xkbsel                             	       0        3        0        3        0
64239 xkcdpass                           	       0       26        0       26        0
64240 xlassie                            	       0        2        0        2        0
64241 xlax                               	       0        1        0        1        0
64242 xlennart                           	       0        7        1        6        0
64243 xless                              	       0       13        1       12        0
64244 xletters                           	       0        4        0        4        0
64245 xlhtml                             	       0        3        1        2        0
64246 xli                                	       0       48        1       47        0
64247 xlib6g                             	       0        1        0        0        1
64248 xlibmesa-gl                        	       0        2        0        0        2
64249 xlibs                              	       0        1        0        0        1
64250 xlibs-data                         	       0        2        0        0        2
64251 xloadimage                         	       0       40        3       37        0
64252 xlockmore                          	       0        1        0        1        0
64253 xlog                               	       0       11        0       11        0
64254 xlog-data                          	       0       11        0        0       11
64255 xlsx2csv                           	       0        7        1        6        0
64256 xmabacus                           	       0        8        2        6        0
64257 xmake                              	       0        1        0        1        0
64258 xmake-data                         	       0        1        0        1        0
64259 xmakemol                           	       0        1        0        1        0
64260 xmakemol-gl                        	       0        2        0        2        0
64261 xmame-extras                       	       0        1        0        0        1
64262 xmame-sdl                          	       0        1        0        0        1
64263 xmame-tools                        	       0        1        0        0        1
64264 xmame-x                            	       0        1        0        0        1
64265 xmaxima                            	       0       13        0       13        0
64266 xmbmon                             	       0        7        0        7        0
64267 xmcd                               	       0        1        0        1        0
64268 xmedcon                            	       0        7        0        7        0
64269 xmem                               	       0        1        0        1        0
64270 xmhtml1                            	       0        1        0        0        1
64271 xmille                             	       0        7        0        7        0
64272 xmind-vana                         	       0        7        0        7        0
64273 xmix                               	       0        1        0        1        0
64274 xml-security-c-utils               	       0        1        0        1        0
64275 xml-twig-tools                     	       0        8        0        8        0
64276 xml2                               	       0       31        2       29        0
64277 xml2rfc                            	       0        4        0        4        0
64278 xmlcopyeditor                      	       0       10        0       10        0
64279 xmldiff                            	       0        6        0        6        0
64280 xmlformat-doc                      	       0        2        0        0        2
64281 xmlformat-perl                     	       0        2        0        2        0
64282 xmlformat-ruby                     	       0        2        0        2        0
64283 xmlindent                          	       0        5        0        5        0
64284 xmlrpc-api-utils                   	       0        2        0        2        0
64285 xmlsec1                            	       0       10        1        9        0
64286 xmlstarlet                         	       0       65        3       62        0
64287 xmlto-dbgsym                       	       0        1        0        1        0
64288 xmltoman                           	       0        5        0        5        0
64289 xmltooling-schemas                 	       0        1        0        0        1
64290 xmltreenav                         	       0        1        0        1        0
64291 xmltv                              	       0        4        0        0        4
64292 xmltv-gui                          	       0        5        2        3        0
64293 xmltv-util                         	       0       16        2       14        0
64294 xmms                               	       0        3        0        3        0
64295 xmms-xmmplayer                     	       0        1        0        1        0
64296 xmms2                              	       0       28        0        0       28
64297 xmms2-client-avahi                 	       0        3        0        3        0
64298 xmms2-client-cli                   	       0       30        0       30        0
64299 xmms2-client-medialib-updater      	       0        4        0        4        0
64300 xmms2-client-nycli                 	       0        9        0        9        0
64301 xmms2-core                         	       0       97        0       97        0
64302 xmms2-dev                          	       0        3        0        3        0
64303 xmms2-icon                         	       0       29        0        0       29
64304 xmms2-plugin-airplay               	       0        8        0        8        0
64305 xmms2-plugin-all                   	       0        4        0        0        4
64306 xmms2-plugin-alsa                  	       0       90        0       90        0
64307 xmms2-plugin-ao                    	       0        9        0        9        0
64308 xmms2-plugin-apefile               	       0        8        0        8        0
64309 xmms2-plugin-asf                   	       0       10        0       10        0
64310 xmms2-plugin-asx                   	       0        9        0        9        0
64311 xmms2-plugin-avcodec               	       0        9        0        9        0
64312 xmms2-plugin-cdda                  	       0        9        0        9        0
64313 xmms2-plugin-cue                   	       0        9        0        9        0
64314 xmms2-plugin-curl                  	       0       13        0       13        0
64315 xmms2-plugin-daap                  	       0       10        0       10        0
64316 xmms2-plugin-faad                  	       0       11        0       11        0
64317 xmms2-plugin-flac                  	       0       16        0       16        0
64318 xmms2-plugin-flv                   	       0       11        0       11        0
64319 xmms2-plugin-gme                   	       0       10        0       10        0
64320 xmms2-plugin-gvfs                  	       0        6        0        6        0
64321 xmms2-plugin-html                  	       0       11        0       11        0
64322 xmms2-plugin-ices                  	       0       12        0       12        0
64323 xmms2-plugin-icymetaint            	       0       13        0       13        0
64324 xmms2-plugin-id3v2                 	       0       90        0       90        0
64325 xmms2-plugin-jack                  	       0       10        0       10        0
64326 xmms2-plugin-karaoke               	       0        8        0        8        0
64327 xmms2-plugin-m3u                   	       0       13        0       13        0
64328 xmms2-plugin-mad                   	       0       89        0       89        0
64329 xmms2-plugin-mms                   	       0        9        0        9        0
64330 xmms2-plugin-modplug               	       0       10        0       10        0
64331 xmms2-plugin-mp4                   	       0       13        0       13        0
64332 xmms2-plugin-mpg123                	       0       12        0       12        0
64333 xmms2-plugin-musepack              	       0        9        0        9        0
64334 xmms2-plugin-normalize             	       0        9        0        9        0
64335 xmms2-plugin-ofa                   	       0        7        0        7        0
64336 xmms2-plugin-oss                   	       0       10        0       10        0
64337 xmms2-plugin-pls                   	       0       11        0       11        0
64338 xmms2-plugin-pulse                 	       0       13        0       13        0
64339 xmms2-plugin-rss                   	       0        9        0        9        0
64340 xmms2-plugin-sid                   	       0       10        0       10        0
64341 xmms2-plugin-smb                   	       0        6        0        6        0
64342 xmms2-plugin-sndfile               	       0        4        0        4        0
64343 xmms2-plugin-speex                 	       0        9        0        9        0
64344 xmms2-plugin-tta                   	       0        8        0        8        0
64345 xmms2-plugin-vocoder               	       0        9        0        9        0
64346 xmms2-plugin-vorbis                	       0       89        0       89        0
64347 xmms2-plugin-wavpack               	       0        9        0        9        0
64348 xmms2-plugin-xml                   	       0       10        0       10        0
64349 xmms2-plugin-xspf                  	       0        8        0        8        0
64350 xmms2-scrobbler                    	       0        1        0        1        0
64351 xmms2tray                          	       0        3        0        3        0
64352 xmobar                             	       0       11        1       10        0
64353 xmorph                             	       0        3        0        3        0
64354 xmotd                              	       0        2        0        2        0
64355 xmoto                              	       0       11        0       11        0
64356 xmoto-data                         	       0       11        0        0       11
64357 xmount                             	       0       32        0       32        0
64358 xmountains                         	       0       13        0       13        0
64359 xmp                                	       0       18        0       18        0
64360 xmp-audacious                      	       0        1        0        0        1
64361 xmp-common                         	       0        2        0        0        2
64362 xmpi                               	       0        1        0        1        0
64363 xmpp-dns                           	       0        1        0        1        0
64364 xmppc                              	       0        3        0        3        0
64365 xmpsolve                           	       0        1        0        1        0
64366 xmpuzzles                          	       0        9        0        9        0
64367 xmrig                              	       0        1        0        1        0
64368 xnbd-common                        	       0        1        0        1        0
64369 xnbd-server                        	       0        1        0        1        0
64370 xnc                                	       0        1        0        1        0
64371 xnconvert                          	       0        9        0        9        0
64372 xnec2c                             	       0       12        0       12        0
64373 xnecview                           	       0        1        0        1        0
64374 xnedit                             	       0        1        0        1        0
64375 xnee                               	       0        7        0        0        7
64376 xnee-doc                           	       0        5        0        0        5
64377 xnetcardconfig                     	       0        1        0        1        0
64378 xneur                              	       0        1        0        1        0
64379 xnview                             	       0       12        0       12        0
64380 xoids                              	       0        1        0        1        0
64381 xombrero                           	       0        4        0        4        0
64382 xonix                              	       0        6        0        6        0
64383 xonix-jahu                         	       0        1        0        1        0
64384 xonsh-doc                          	       0        1        0        0        1
64385 xorg                               	       0     2965        0        0     2965
64386 xorg-build-deps                    	       0        1        0        0        1
64387 xorg-dev                           	       0       50        0        0       50
64388 xorg-docs                          	       0       31        0        0       31
64389 xorg-docs-core                     	       0     3032        0        0     3032
64390 xorg-edit                          	       0        1        0        1        0
64391 xorg-server-source                 	       0        6        0        0        6
64392 xorg-sgml-doctools                 	       0     1194        0        0     1194
64393 xorg-video-abi-24                  	       0        1        0        0        1
64394 xorriso-dd-target                  	       0        1        0        1        0
64395 xoscope                            	       0       20        0       20        0
64396 xosd-bin                           	       0       16        0       16        0
64397 xosview                            	       0       33        3       30        0
64398 xotcl                              	       0        2        0        2        0
64399 xotcl-dev                          	       0        2        0        2        0
64400 xotcl-doc                          	       0        1        0        0        1
64401 xotcl-shells                       	       0        2        0        2        0
64402 xournal                            	       0       85        8       77        0
64403 xournal-build-deps                 	       0        1        0        0        1
64404 xournal-dbgsym                     	       0        1        0        1        0
64405 xpa-tools                          	       0        3        0        3        0
64406 xpad                               	       0       22        1       21        0
64407 xpat2                              	       0       12        0       12        0
64408 xphoon                             	       0       11        1       10        0
64409 xpilot-ng                          	       0        1        0        0        1
64410 xpilot-ng-client-sdl               	       0        1        0        1        0
64411 xpilot-ng-client-x11               	       0        1        0        1        0
64412 xpilot-ng-common                   	       0        1        0        1        0
64413 xpilot-ng-server                   	       0        3        0        3        0
64414 xpilot-ng-utils                    	       0        1        0        1        0
64415 xplanet                            	       0       58        1       57        0
64416 xplanet-images                     	       0       59        0        0       59
64417 xplot                              	       0        2        0        2        0
64418 xpm2wico                           	       0        1        0        1        0
64419 xpmutils                           	       0        6        0        6        0
64420 xpn                                	       0        2        0        2        0
64421 xpng                               	       0        1        0        1        0
64422 xpp                                	       0        8        0        8        0
64423 xppaut                             	       0        1        1        0        0
64424 xpra                               	       0       76        4       72        0
64425 xpra-html5                         	       0        1        0        1        0
64426 xprint-common                      	       0        2        0        0        2
64427 xprint-utils                       	       0        3        0        3        0
64428 xprintidle                         	       0       18        2       16        0
64429 xprobe                             	       0        7        0        7        0
64430 xpuyopuyo                          	       0        1        0        1        0
64431 xpuzzles                           	       0        5        0        5        0
64432 xpython                            	       0        1        0        1        0
64433 xq                                 	       0        4        0        4        0
64434 xqf                                	       0        1        0        1        0
64435 xracer                             	       0        6        0        6        0
64436 xracer-tools                       	       0        1        0        1        0
64437 xray                               	       0        1        0        1        0
64438 xrdesktop                          	       0        1        0        1        0
64439 xrdp-build-deps                    	       0        1        0        0        1
64440 xrescat                            	       0        1        0        1        0
64441 xresprobe                          	       0        6        0        6        0
64442 xrestop                            	       0       18        0       18        0
64443 xrick                              	       0        1        0        1        0
64444 xrick-data                         	       0        1        0        0        1
64445 xrootconsole                       	       0        8        0        8        0
64446 xrootd-client-plugins              	       0        2        0        0        2
64447 xrootd-fuse                        	       0        1        0        1        0
64448 xrootd-plugins                     	       0        2        0        0        2
64449 xrootd-server-plugins              	       0        1        0        0        1
64450 xrsh                               	       0        1        0        1        0
64451 xsane-common                       	       0     1869        0        0     1869
64452 xsane-dbg                          	       0        1        0        1        0
64453 xscavenger                         	       0        2        0        2        0
64454 xschem                             	       0        4        0        4        0
64455 xscope                             	       0        1        0        1        0
64456 xscorch                            	       0       11        0       11        0
64457 xscreensaver-data-extra            	       0      180        1       33      146
64458 xscreensaver-gl                    	       0      320        3       74      243
64459 xscreensaver-gl-extra              	       0      159        0       24      135
64460 xscreensaver-screensaver-bsod      	       0       61        0        5       56
64461 xscreensaver-screensaver-dizzy     	       0       38        0       38        0
64462 xsct                               	       0       10        3        7        0
64463 xsdcxx                             	       0        2        0        2        0
64464 xsddiagram                         	       0        2        0        2        0
64465 xsecurelock                        	       0        9        2        7        0
64466 xserver-x2gokdrive                 	       0        8        1        7        0
64467 xserver-xorg-amdgpu-video-amdgpu   	       0        6        2        4        0
64468 xserver-xorg-input-aiptek          	       0        3        0        3        0
64469 xserver-xorg-input-all             	       0     3135        0        0     3135
64470 xserver-xorg-input-elographics     	       0        2        0        2        0
64471 xserver-xorg-input-evdev-dev       	       0        5        0        5        0
64472 xserver-xorg-input-joystick        	       0       17        1       16        0
64473 xserver-xorg-input-joystick-dev    	       0        1        0        1        0
64474 xserver-xorg-input-mtrack          	       0        6        0        6        0
64475 xserver-xorg-input-multitouch      	       0       11        1       10        0
64476 xserver-xorg-input-mutouch         	       0        3        0        3        0
64477 xserver-xorg-input-synaptics-dev   	       0        6        0        6        0
64478 xserver-xorg-input-void            	       0       32        0       32        0
64479 xserver-xorg-input-xwiimote        	       0        3        0        3        0
64480 xserver-xorg-legacy-dbgsym         	       0        1        0        1        0
64481 xserver-xorg-video-all             	       0     3057        0        0     3057
64482 xserver-xorg-video-glide           	       0        2        0        2        0
64483 xserver-xorg-video-intel-git20180925-2-amd64	       0        1        0        1        0
64484 xserver-xorg-video-ivtv            	       0        1        0        1        0
64485 xserver-xorg-video-nvidia-legacy-340xx	       0        8        1        7        0
64486 xserver-xorg-video-nvidia-legacy-390xx	       0       14        3       11        0
64487 xserver-xorg-video-nvidia-tesla-450	       0        2        0        2        0
64488 xserver-xorg-video-nvidia-tesla-470	       0       15        4       11        0
64489 xserver-xorg-video-via             	       0        1        0        0        1
64490 xserver-xspice                     	       0        4        0        4        0
64491 xseticon                           	       0        5        0        5        0
64492 xsettings-kde                      	       0       20        0       20        0
64493 xshisen                            	       0       10        0       10        0
64494 xshogi                             	       0        8        0        8        0
64495 xskat                              	       0       17        0       17        0
64496 xsnow                              	       0       29        0       29        0
64497 xsok                               	       0       14        0       14        0
64498 xsol                               	       0       23        0       23        0
64499 xsoldier                           	       0        8        0        8        0
64500 xspecs                             	       0        2        0        0        2
64501 xssproxy                           	       0        2        0        2        0
64502 xstarfish                          	       0        4        0        4        0
64503 xsteg                              	       0        1        0        1        0
64504 xstow                              	       0       10        2        8        0
64505 xsupplicant                        	       0        1        0        1        0
64506 xsynth-dssi                        	       0        9        0        9        0
64507 xsysinfo                           	       0        5        0        5        0
64508 xsystem35                          	       0        4        0        4        0
64509 xtail                              	       0        9        0        9        0
64510 xteddy                             	       0       10        0       10        0
64511 xtensor-dev                        	       0        2        0        0        2
64512 xtermset                           	       0       17        0       17        0
64513 xtide                              	       0        1        0        1        0
64514 xtide-coastline                    	       0        2        0        0        2
64515 xtide-data                         	       0        1        0        0        1
64516 xtitle                             	       0        3        0        3        0
64517 xtl-dev                            	       0       14        0        0       14
64518 xtokkaetama                        	       0        1        0        1        0
64519 xtomp-cat                          	       0        2        0        2        0
64520 xtrace                             	       0       10        1        9        0
64521 xtrkcad                            	       0        5        0        5        0
64522 xtrkcad-common                     	       0        5        0        0        5
64523 xtrs                               	       0        6        0        6        0
64524 xtrx-dkms                          	       0       26        2       24        0
64525 xtrx-fft                           	       0        1        0        1        0
64526 xtux-client                        	       0        1        0        1        0
64527 xtux-common                        	       0        1        0        0        1
64528 xtux-levels                        	       0        1        0        0        1
64529 xtux-server                        	       0        1        0        1        0
64530 xtv                                	       0        5        0        5        0
64531 xu4                                	       0        1        0        1        0
64532 xubuntu-icon-theme                 	       0        1        0        0        1
64533 xul-ext-adblock-plus               	       0        5        0        5        0
64534 xul-ext-adblock-plus-element-hiding-helper	       0        1        0        0        1
64535 xul-ext-autofill-forms             	       0        1        0        0        1
64536 xul-ext-certificatepatrol          	       0        2        0        0        2
64537 xul-ext-cookie-monster             	       0        2        0        0        2
64538 xul-ext-cookieculler               	       0        2        0        0        2
64539 xul-ext-dom-inspector              	       0        1        0        0        1
64540 xul-ext-downloadstatusbar          	       0        1        0        0        1
64541 xul-ext-downthemall                	       0        4        0        0        4
64542 xul-ext-flashblock                 	       0        1        0        0        1
64543 xul-ext-flashgot                   	       0        1        0        0        1
64544 xul-ext-gnome-keyring              	       0        2        0        0        2
64545 xul-ext-greasemonkey               	       0        1        0        0        1
64546 xul-ext-historyblock               	       0        1        0        0        1
64547 xul-ext-iceweasel-branding         	       0        1        0        0        1
64548 xul-ext-livehttpheaders            	       0        1        0        0        1
64549 xul-ext-lyz                        	       0        1        0        0        1
64550 xul-ext-noscript                   	       0        3        0        0        3
64551 xul-ext-notify                     	       0        1        0        0        1
64552 xul-ext-scrapbook                  	       0        1        0        0        1
64553 xul-ext-sogo-connector             	       0        4        0        0        4
64554 xul-ext-sync                       	       0        1        0        1        0
64555 xul-ext-tabmixplus                 	       0        2        0        0        2
64556 xul-ext-ublock-origin              	       0        5        0        0        5
64557 xul-ext-useragentswitcher          	       0        2        0        0        2
64558 xul-ext-video-without-flash        	       0        2        0        0        2
64559 xul-ext-wot                        	       0        1        0        0        1
64560 xulrunner-1.9.1                    	       0        1        0        1        0
64561 xulrunner-17.0                     	       0        4        1        3        0
64562 xulrunner-24.0                     	       0        7        0        7        0
64563 xulrunner-26.0                     	       0        1        0        1        0
64564 xulrunner-29                       	       0        1        0        1        0
64565 xulrunner-gnome-support            	       0        1        0        1        0
64566 xutils                             	       0       47        0        0       47
64567 xutils-dev                         	       0       77        1       76        0
64568 xv                                 	       0        1        0        1        0
64569 xvattr                             	       0        1        0        1        0
64570 xvid4conf                          	       0        5        0        5        0
64571 xvidenc                            	       0        2        0        1        1
64572 xvier                              	       0        4        0        4        0
64573 xview-clients                      	       0        2        0        2        0
64574 xview-examples                     	       0        2        0        2        0
64575 xviewer-build-deps                 	       0        1        0        0        1
64576 xviewg                             	       0        2        0        2        0
64577 xviewg-dev                         	       0        1        0        1        0
64578 xvile                              	       0        2        0        2        0
64579 xvnc4viewer                        	       0       26        0        1       25
64580 xvncviewer                         	       0        2        0        2        0
64581 xvt                                	       0        2        0        2        0
64582 xwelltris                          	       0       13        0       13        0
64583 xwiimote                           	       0        2        0        2        0
64584 xwinwrap                           	       0        1        0        1        0
64585 xwit                               	       0        6        0        6        0
64586 xword                              	       0        2        0        2        0
64587 xwpe                               	       0        5        0        5        0
64588 xwrited                            	       0        3        1        2        0
64589 xwrits                             	       0        1        0        1        0
64590 xxdiff                             	       0       52        1       51        0
64591 xxdiff-scripts                     	       0        5        0        5        0
64592 xxgdb                              	       0       12        0       12        0
64593 xxhash                             	       0        8        0        8        0
64594 xxxterm                            	       0        1        0        0        1
64595 xye                                	       0        8        0        8        0
64596 xye-data                           	       0        8        0        0        8
64597 xygrib                             	       0        7        0        7        0
64598 xygrib-maps                        	       0        8        0        0        8
64599 xymon                              	       0        3        0        3        0
64600 xymon-client                       	       0       10        4        6        0
64601 xyscan                             	       0        5        0        5        0
64602 xzip                               	       0       14        0       14        0
64603 xzoom                              	       0       18        0       18        0
64604 y-ppa-manager                      	       0        1        0        1        0
64605 yabar                              	       0        4        0        4        0
64606 yabause                            	       0        6        0        0        6
64607 yabause-common                     	       0        7        0        0        7
64608 yabause-gtk                        	       0        1        0        1        0
64609 yabause-qt                         	       0        7        0        7        0
64610 yacas                              	       0        4        0        4        0
64611 yacas-doc                          	       0        4        0        0        4
64612 yacpi                              	       0        9        0        9        0
64613 yacreader                          	       0        1        1        0        0
64614 yacy                               	       0        1        0        1        0
64615 yadicons                           	       0        6        0        0        6
64616 yadm                               	       0        4        0        4        0
64617 yafaray                            	       0        1        0        1        0
64618 yafc                               	       0        4        0        4        0
64619 yagf                               	       0       15        0       15        0
64620 yagiuda                            	       0        9        0        9        0
64621 yaglom                             	       0        2        2        0        0
64622 yaglom-dbgsym                      	       0        2        1        1        0
64623 yagv                               	       0        4        0        4        0
64624 yahtzeesharp                       	       0        1        0        1        0
64625 yajl-tools                         	       0        2        0        2        0
64626 yakuake-trinity                    	       0        3        0        3        0
64627 yakyak                             	       0        1        0        1        0
64628 yale                               	       0        4        0        0        4
64629 yamagi-quake2                      	       0        9        0        9        0
64630 yamagi-quake2-core                 	       0        9        0        9        0
64631 yambar                             	       0        1        0        1        0
64632 yaml-cpp-sourcedep                 	       0        1        0        1        0
64633 yamllint                           	       0       16        0       16        0
64634 yandex-browser-beta                	       0        2        1        1        0
64635 yandex-disk                        	       0        3        0        3        0
64636 yang-tools                         	       0        1        0        0        1
64637 yangdump                           	       0        1        0        1        0
64638 yank                               	       0        2        0        2        0
64639 yap                                	       0        3        0        3        0
64640 yapps2                             	       0        3        0        3        0
64641 yapps2-runtime                     	       0        1        0        1        0
64642 yara                               	       0       27        0       27        0
64643 yard                               	       0        3        0        3        0
64644 yard-doc                           	       0        1        0        0        1
64645 yaret                              	       0        3        0        3        0
64646 yarn                               	       0       11        1       10        0
64647 yarnpkg                            	       0       26        0       26        0
64648 yaru-cinnamon-theme-gtk            	       0        2        0        0        2
64649 yaru-cinnamon-theme-icon           	       0        2        0        0        2
64650 yaru-theme-gnome-shell             	       0        1        0        0        1
64651 yaru-theme-gtk                     	       0       13        1        6        6
64652 yaru-theme-icon                    	       0       10        0        0       10
64653 yaru-theme-sound                   	       0        7        0        0        7
64654 yaru-theme-unity                   	       0        2        0        0        2
64655 yarxi                              	       0        1        0        1        0
64656 yasat                              	       0        4        0        4        0
64657 yash                               	       0        7        0        7        0
64658 yasm                               	       0       98        2       96        0
64659 yasnippet                          	       0        2        0        0        2
64660 yasnippet-snippets                 	       0        1        0        0        1
64661 yasw                               	       0        2        0        2        0
64662 yatex                              	       0        2        0        2        0
64663 yatm                               	       0        2        0        2        0
64664 yauap                              	       0        1        0        1        0
64665 yavta                              	       0        3        0        3        0
64666 yaws                               	       0        4        0        4        0
64667 yaws-doc                           	       0        3        0        0        3
64668 yaz                                	       0        9        1        8        0
64669 yazc                               	       0        1        0        1        0
64670 ydotool                            	       0        7        0        7        0
64671 ydotoold                           	       0        1        0        1        0
64672 yeahconsole                        	       0        1        0        1        0
64673 yealink-module-6.1.0-0.deb11.17-amd64	       0        1        0        0        1
64674 yealink-module-6.1.0-0.deb11.21-amd64	       0        1        0        0        1
64675 yelp-tools                         	       0       15        0       15        0
64676 yelp-tools-build-deps              	       0        1        0        0        1
64677 yelp-xsl                           	       0     1676        0        0     1676
64678 yencode                            	       0        1        0        1        0
64679 yersinia                           	       0        2        0        2        0
64680 yforth                             	       0        2        0        2        0
64681 yget                               	       0        1        0        1        0
64682 yggdrasil                          	       0        3        0        3        0
64683 ygraph                             	       0        1        0        1        0
64684 yhsm-tools                         	       0        1        0        1        0
64685 yi                                 	       0        1        0        1        0
64686 ykcs11                             	       0        6        0        0        6
64687 ykls                               	       0        5        0        5        0
64688 ykneomgr                           	       0        1        0        1        0
64689 ylva                               	       0        1        0        1        0
64690 ymuse                              	       0        2        0        2        0
64691 yodl                               	       0        3        1        2        0
64692 yodl-doc                           	       0        1        0        0        1
64693 yogabook-support                   	       0        1        0        1        0
64694 yokadi                             	       0        2        0        2        0
64695 yorick                             	       0        6        0        6        0
64696 yorick-av                          	       0        3        0        3        0
64697 yorick-cubeview                    	       0        2        0        2        0
64698 yorick-curses                      	       0        4        0        4        0
64699 yorick-data                        	       0        6        0        0        6
64700 yorick-dev                         	       0        2        0        2        0
64701 yorick-doc                         	       0        2        0        2        0
64702 yorick-full                        	       0        2        0        0        2
64703 yorick-gl                          	       0        3        0        3        0
64704 yorick-gy                          	       0        3        0        3        0
64705 yorick-gyoto                       	       0        2        0        2        0
64706 yorick-hdf5                        	       0        2        0        2        0
64707 yorick-imutil                      	       0        4        0        4        0
64708 yorick-mira                        	       0        3        0        3        0
64709 yorick-ml4                         	       0        3        0        3        0
64710 yorick-mpeg                        	       0        3        0        3        0
64711 yorick-optimpack                   	       0        4        0        4        0
64712 yorick-soy                         	       0        3        0        3        0
64713 yorick-svipc                       	       0        2        0        2        0
64714 yorick-yeti                        	       0        5        0        5        0
64715 yorick-yeti-fftw                   	       0        4        0        4        0
64716 yorick-yeti-regex                  	       0        3        0        3        0
64717 yorick-yeti-tiff                   	       0        4        0        4        0
64718 yorick-ygsl                        	       0        3        0        3        0
64719 yorick-ynfft                       	       0        3        0        3        0
64720 yorick-yutils                      	       0        5        0        0        5
64721 yoshimi-data                       	       0       18        0        0       18
64722 yoshimi-doc                        	       0       17        0        0       17
64723 yosys                              	       0       11        1       10        0
64724 yosys-abc                          	       0        2        0        2        0
64725 yosys-plugin-ghdl                  	       0        1        0        1        0
64726 youtube-dlg                        	       0        2        0        2        0
64727 youtube-downloader                 	       0        2        0        0        2
64728 youtube-music-desktop-app          	       0        1        0        1        0
64729 youtube-to-mp3                     	       0        8        0        0        8
64730 youtubedl-gui                      	       0       53        6       47        0
64731 yp-tools                           	       0        9        0        9        0
64732 ypbind-mt                          	       0        9        0        9        0
64733 ypserv                             	       0        8        1        7        0
64734 ytalk                              	       0       10        0       10        0
64735 ytcc                               	       0        3        0        3        0
64736 ytfzf                              	       0       11        1       10        0
64737 ytnef                              	       0        1        0        1        0
64738 ytnef-tools                        	       0        9        0        9        0
64739 ytree                              	       0        9        0        9        0
64740 yubico-piv-tool                    	       0       15        0       15        0
64741 yubihsm-auth                       	       0        3        0        3        0
64742 yubihsm-pkcs11                     	       0        2        0        0        2
64743 yubihsm-shell                      	       0        3        0        3        0
64744 yubihsm-wrap                       	       0        3        0        3        0
64745 yubikey-agent                      	       0        4        0        4        0
64746 yubikey-luks                       	       0        3        0        3        0
64747 yubikey-manager                    	       0       26        0       26        0
64748 yubikey-manager-qt                 	       0       12        0       12        0
64749 yubikey-personalization            	       0       24        0       24        0
64750 yubikey-personalization-gui        	       0       11        0       11        0
64751 yubikey-val                        	       0        1        0        1        0
64752 yubioath-desktop                   	       0       22        0       22        0
64753 yudit-common                       	       0      312        0        0      312
64754 yudit-doc                          	       0        8        0        0        8
64755 yui-compressor                     	       0        4        0        4        0
64756 yuki-iptv                          	       0        1        1        0        0
64757 yum                                	       0        1        0        1        0
64758 yuview                             	       0        2        0        2        0
64759 yuzu                               	       0        4        0        4        0
64760 yydecode                           	       0        2        0        2        0
64761 z-library                          	       0        1        0        0        1
64762 z-push-backend-kopano              	       0        1        1        0        0
64763 z-push-common                      	       0        1        1        0        0
64764 z-push-config-apache               	       0        1        0        0        1
64765 z-push-ipc-sharedmemory            	       0        1        1        0        0
64766 z-push-kopano                      	       0        1        0        0        1
64767 z3                                 	       0       10        0       10        0
64768 z80asm                             	       0       11        0       11        0
64769 z80dasm                            	       0        9        0        9        0
64770 z88                                	       0        2        0        2        0
64771 z88-data                           	       0        2        0        0        2
64772 z88-doc                            	       0        2        0        0        2
64773 zabbix-agent2                      	       0        6        1        5        0
64774 zabbix-agent2-plugin-ember-plus    	       0        1        0        1        0
64775 zabbix-agent2-plugin-mongodb       	       0        2        0        2        0
64776 zabbix-agent2-plugin-mssql         	       0        1        0        1        0
64777 zabbix-agent2-plugin-postgresql    	       0        1        0        1        0
64778 zabbix-apache-conf                 	       0        3        0        0        3
64779 zabbix-frontend-php                	       0        7        0        7        0
64780 zabbix-get                         	       0        2        0        2        0
64781 zabbix-proxy-mysql                 	       0        1        0        1        0
64782 zabbix-proxy-sqlite3               	       0        1        0        1        0
64783 zabbix-release                     	       0       28        0        0       28
64784 zabbix-sender                      	       0        1        0        1        0
64785 zabbix-server-mysql                	       0        4        0        4        0
64786 zabbix-server-pgsql                	       0        1        0        1        0
64787 zabbix-sql-scripts                 	       0        3        0        0        3
64788 zam-plugins                        	       0       47        7       40        0
64789 zandronum                          	       0        2        0        0        2
64790 zandronum-client                   	       0        2        0        2        0
64791 zandronum-pk3                      	       0        2        0        2        0
64792 zandronum-server                   	       0        2        0        2        0
64793 zandronum-ssl                      	       0        1        0        1        0
64794 zangband                           	       0        5        0        5        0
64795 zangband-data                      	       0        5        0        5        0
64796 zanshin                            	       0        1        0        1        0
64797 zapping                            	       0        2        0        2        0
64798 zarchive-tools                     	       0        3        0        3        0
64799 zatacka                            	       0        3        0        3        0
64800 zathura-cb                         	       0       20        0        2       18
64801 zathura-dev                        	       0        1        0        1        0
64802 zathura-djvu                       	       0       38        0        3       35
64803 zathura-pdf-poppler                	       0      124        0        9      115
64804 zathura-ps                         	       0       39        0        2       37
64805 zaubberer-archive-keyring          	       0        1        0        0        1
64806 zaubberer-keyring                  	       0        1        0        0        1
64807 zaz                                	       0        9        0        9        0
64808 zaz-data                           	       0        9        0        0        9
64809 zbackup                            	       0        3        0        3        0
64810 zbarcam-gtk                        	       0        8        0        8        0
64811 zbarcam-qt                         	       0        5        0        5        0
64812 zcfan                              	       0        6        0        6        0
64813 zchunk                             	       0        2        0        2        0
64814 zd1211-firmware                    	       0        2        0        1        1
64815 zdbsp                              	       0        1        0        1        0
64816 zdkimfilter                        	       0        1        0        1        0
64817 zdoom                              	       0        1        0        1        0
64818 zeal                               	       0        6        0        6        0
64819 zebra-scanner-corescanner          	       0        1        0        1        0
64820 zebra-scanner-corescanner-dbg      	       0        1        0        1        0
64821 zebra-scanner-devel                	       0        1        0        1        0
64822 zebra-scanner-javapos              	       0        1        0        1        0
64823 zec                                	       0        3        0        3        0
64824 zecwallet                          	       0        1        0        1        0
64825 zecwallet-lite                     	       0        1        0        0        1
64826 zegrapher                          	       0        7        0        7        0
64827 zeitgeist                          	       0       16        0        0       16
64828 zeitgeist-datahub                  	       0       17        2       15        0
64829 zelcore                            	       0        1        0        0        1
64830 zen                                	       0        1        0        1        0
64831 zencash-desktop-gui-wallet         	       0        1        0        1        0
64832 zendframework                      	       0        2        0        2        0
64833 zenity-common                      	       0     1513        0        0     1513
64834 zenkit                             	       0        1        0        0        1
64835 zenlisp                            	       0        2        0        2        0
64836 zenmap                             	       0       19        0       19        0
64837 zenpower-dkms                      	       0        1        0        0        1
64838 zephyr-clients                     	       0        2        0        2        0
64839 zephyr-server                      	       0        1        0        1        0
64840 zeroc-ice-compilers                	       0        4        0        4        0
64841 zeroc-ice-slice                    	       0        4        0        0        4
64842 zeroconf                           	       0        1        0        1        0
64843 zerotier-one                       	       0        8        2        6        0
64844 zettlr                             	       0        4        0        3        1
64845 zfs                                	       0        1        0        1        0
64846 zfs-fuse                           	       0       11        2        9        0
64847 zfs-initramfs                      	       0       17        0        0       17
64848 zfs-test                           	       0        2        0        2        0
64849 zfsnap                             	       0        5        1        4        0
64850 zfsonlinux                         	       0        1        0        0        1
64851 zgen                               	       0        6        0        0        6
64852 zgv                                	       0        1        0        1        0
64853 zh-autoconvert                     	       0        1        0        1        0
64854 zhcon-data                         	       0       18        0        0       18
64855 zhumu                              	       0        1        0        1        0
64856 zim                                	       0       62        2       60        0
64857 zim-tools                          	       0       10        0       10        0
64858 zinnia-utils                       	       0        1        0        1        0
64859 zint                               	       0        6        0        6        0
64860 zipalign                           	       0       34        0       34        0
64861 zipper.app                         	       0        7        0        7        0
64862 ziptime                            	       0        1        0        1        0
64863 zita-ajbridge                      	       0       11        0       11        0
64864 zita-alsa-pcmi-utils               	       0        9        0        9        0
64865 zita-at1                           	       0        6        0        6        0
64866 zita-bls1                          	       0        9        0        9        0
64867 zita-dc1                           	       0        4        0        4        0
64868 zita-dpl1                          	       0        1        0        1        0
64869 zita-lrx                           	       0        8        0        8        0
64870 zita-mu1                           	       0        9        0        9        0
64871 zita-njbridge                      	       0        9        0        9        0
64872 zita-resampler                     	       0        8        0        8        0
64873 zita-rev1                          	       0        5        0        5        0
64874 zivot                              	       0        4        0        4        0
64875 zlib-bin                           	       0        3        0        3        0
64876 zlibc                              	       0       12        0        0       12
64877 zmakebas                           	       0        2        0        2        0
64878 zmap                               	       0       10        0       10        0
64879 zmf2epub                           	       0        2        0        2        0
64880 zmf2odg                            	       0        1        0        1        0
64881 zmk                                	       0        3        0        0        3
64882 zmk-doc                            	       0        1        0        1        0
64883 zmusic-build-deps                  	       0        1        0        0        1
64884 znc                                	       0       14        2       12        0
64885 znc-backlog                        	       0        3        0        3        0
64886 znc-perl                           	       0       13        0       13        0
64887 znc-python                         	       0       13        0       13        0
64888 znc-tcl                            	       0       13        0       13        0
64889 zoiper5                            	       0        1        0        1        0
64890 zombietrackergps                   	       0        1        0        1        0
64891 zonecheck                          	       0        4        1        3        0
64892 zonecheck-cgi                      	       0        2        1        1        0
64893 zoneminder                         	       0       10        3        7        0
64894 zoneminder-dbg                     	       0        1        0        1        0
64895 zoneminder-doc                     	       0        8        0        0        8
64896 zoo                                	       0       34        1       33        0
64897 zookeeper                          	       0        3        0        3        0
64898 zoom-player                        	       0        9        0        9        0
64899 zoomvdi-universal-plugin           	       0        1        0        1        0
64900 zopfli                             	       0        9        0        9        0
64901 zotero                             	       0        5        0        5        0
64902 zotero-standalone                  	       0        1        0        1        0
64903 zotero6                            	       0        1        0        1        0
64904 zp                                 	       0        6        0        6        0
64905 zpaqfranz                          	       0        1        0        1        0
64906 zplug                              	       0        7        0        7        0
64907 zram-tools                         	       0       54        2       52        0
64908 zramen                             	       0        3        0        3        0
64909 zre-17                             	       0        1        0        1        0
64910 zsh-antidote                       	       0        1        0        1        0
64911 zsh-antigen                        	       0       12        0        0       12
64912 zsh-autosuggestions                	       0       35        0        0       35
64913 zsh-common                         	       0     1234       23       66     1145
64914 zsh-completions                    	       0        1        0        0        1
64915 zsh-doc                            	       0       41        0        0       41
64916 zsh-lovers                         	       0        2        0        0        2
64917 zsh-syntax-highlighting            	       0       36        0        0       36
64918 zsh-theme-powerlevel9k             	       0        7        0        0        7
64919 zshdb                              	       0        1        0        1        0
64920 zsnes                              	       0        7        0        7        0
64921 zssh                               	       0       12        0       12        0
64922 zst                                	       0        3        0        3        0
64923 zstd-dbgsym                        	       0        1        0        1        0
64924 zulip                              	       0        1        0        0        1
64925 zulu-11                            	       0        2        0        1        1
64926 zulu-17                            	       0        1        0        1        0
64927 zulu-6                             	       0        5        0        0        5
64928 zulu-8                             	       0        1        0        1        0
64929 zulu-fx-17                         	       0        1        0        1        0
64930 zulu11                             	       0        1        0        0        1
64931 zulu11-ca                          	       0        1        0        0        1
64932 zulu11-ca-doc                      	       0        1        0        0        1
64933 zulu11-ca-jdk                      	       0        1        0        0        1
64934 zulu11-ca-jdk-headless             	       0        1        0        0        1
64935 zulu11-ca-jre                      	       0        1        0        0        1
64936 zulu11-ca-jre-headless             	       0        1        0        1        0
64937 zulu11-doc                         	       0        1        0        0        1
64938 zulu11-jdk                         	       0        1        0        0        1
64939 zulu11-jdk-headless                	       0        1        0        0        1
64940 zulu11-jre                         	       0        1        0        0        1
64941 zulu11-jre-headless                	       0        1        0        0        1
64942 zulu17-ca-doc                      	       0        2        0        0        2
64943 zulu17-ca-jdk                      	       0        2        0        0        2
64944 zulu17-ca-jdk-headless             	       0        2        0        0        2
64945 zulu17-ca-jre                      	       0        2        0        0        2
64946 zulu17-ca-jre-headless             	       0        2        0        2        0
64947 zulu17-doc                         	       0        2        0        0        2
64948 zulu17-jdk                         	       0        2        0        0        2
64949 zulu17-jdk-headless                	       0        2        0        0        2
64950 zulu17-jre                         	       0        2        0        0        2
64951 zulu17-jre-headless                	       0        2        0        0        2
64952 zulucrypt-cli                      	       0       34        0       34        0
64953 zulucrypt-gui                      	       0       34        0       34        0
64954 zulumount-cli                      	       0       16        0       16        0
64955 zulumount-gui                      	       0       15        0       15        0
64956 zulupolkit                         	       0       35        0       35        0
64957 zulusafe-cli                       	       0        5        0        5        0
64958 zurl                               	       0        1        0        1        0
64959 zutils                             	       0       19        1       18        0
64960 zvbi                               	       0        3        0        3        0
64961 zx                                 	       0        1        0        1        0
64962 zxing-cpp-tools                    	       0        1        0        1        0
64963 zygrib                             	       0        3        0        3        0
64964 zygrib-maps                        	       0        3        0        0        3
64965 zynadd                             	       0        4        0        4        0
64966 zynaddsubfx-data                   	       0       67        0        4       63
64967 zypper                             	       0        3        0        3        0
64968 zypper-common                      	       0        3        0        3        0
64969 zypper-doc                         	       0        1        0        0        1
64970 zytrax                             	       0        4        0        4        0
64971 zziplib-bin                        	       0        3        0        3        0
64972 zzuf                               	       0        2        0        2        0