# Package statistics -- Ranking by new installations 
#-------------------------------------------------------------------------------------------
# rank Package                            	     new     inst    votes      old   nofile
#-------------------------------------------------------------------------------------------
    1 bind9-host                         	     338     3992       55     3599        0
    2 bind9-dnsutils                     	     329     3352       61     2962        0
    3 python3                            	     315     4106       44     3746        1
    4 linux-image-6.1.0-31-amd64         	     296      322       14       11        1
    5 python3-urllib3                    	     293     3924       31     3597        3
    6 ucf                                	     291     4139      120     3728        0
    7 libreoffice-base-core              	     288     2797       17     2492        0
    8 python3-pkg-resources              	     288     3982       88     3606        0
    9 locales                            	     287     4125       31     3807        0
   10 libreoffice-math                   	     284     2747       34     2429        0
   11 libreoffice-impress                	     282     2728       50     2396        0
   12 libreoffice-draw                   	     280     2740       53     2407        0
   13 libreoffice-writer                 	     278     2783       84     2421        0
   14 libreoffice-style-colibre          	     276     2772       99     2397        0
   15 libreoffice-calc                   	     275     2767       89     2403        0
   16 ure                                	     274     2835      112     2449        0
   17 libgtk-3-bin                       	     272     3678       24     3382        0
   18 libreoffice-core                   	     272     2800      113     2415        0
   19 vim-common                         	     272     4080       32     3776        0
   20 uno-libs-private                   	     271     2658      101     2286        0
   21 python3-uno                        	     270     2723       95     2358        0
   22 bsdextrautils                      	     269     3645       62     3314        0
   23 python3-idna                       	     269     3805       24     3512        0
   24 libreoffice-common                 	     268     2810      113     2429        0
   25 perl                               	     268     4134       36     3828        2
   26 vim-tiny                           	     268     3966       50     3648        0
   27 fdisk                              	     267     3761       65     3429        0
   28 eject                              	     266     3824       84     3474        0
   29 installation-report                	     264     3888       28     3596        0
   30 gpg-wks-client                     	     261     3879       16     3602        0
   31 console-setup                      	     260     4061       79     3722        0
   32 bootlogd                           	     259     3351       38     3054        0
   33 libpam-runtime                     	     259     4160       73     3828        0
   34 dictionaries-common                	     258     3993       49     3686        0
   35 python3-certifi                    	     258     3779       29     3490        2
   36 gnupg-utils                        	     257     3880       29     3594        0
   37 iputils-ping                       	     257     4128      152     3718        1
   38 tasksel-data                       	     257     4069       23     3789        0
   39 dirmngr                            	     256     3962       44     3662        0
   40 base-passwd                        	     255     4160       29     3876        0
   41 libuno-purpenvhelpergcc3-3         	     255     2473       22     2196        0
   42 wamerican                          	     255     3823       27     3541        0
   43 openssh-client                     	     254     4106      490     3362        0
   44 python3-requests                   	     254     3904       35     3613        2
   45 tasksel                            	     254     4069       34     3781        0
   46 busybox                            	     253     4029      188     3588        0
   47 ca-certificates                    	     253     4140       33     3854        0
   48 initramfs-tools-core               	     253     4050      179     3618        0
   49 nano                               	     253     3975       92     3630        0
   50 bzip2                              	     252     4126       70     3803        1
   51 libgdk-pixbuf2.0-bin               	     252     3678       70     3355        1
   52 login                              	     252     4153      178     3723        0
   53 bsdutils                           	     251     4160      358     3551        0
   54 emacsen-common                     	     251     4026       47     3728        0
   55 grub-common                        	     251     4050      172     3627        0
   56 ncurses-bin                        	     251     4160      209     3700        0
   57 python3.11                         	     251     2811       35     2524        1
   58 xz-utils                           	     251     4134       84     3798        1
   59 bash-completion                    	     250     3907       29     3627        1
   60 grub2-common                       	     250     4036      169     3617        0
   61 lsof                               	     250     4040       83     3707        0
   62 nftables                           	     250     3382       76     3056        0
   63 os-prober                          	     250     3920       67     3603        0
   64 python3-chardet                    	     249     3954       49     3656        0
   65 python3-debian                     	     249     3805       24     3532        0
   66 less                               	     248     4146      188     3710        0
   67 libuno-sal3                        	     248     2482      104     2130        0
   68 libuno-salhelpergcc3-3             	     248     2476      103     2125        0
   69 pinentry-curses                    	     248     3774       30     3496        0
   70 python3-debconf                    	     248     3593       14     3330        1
   71 python3-reportbug                  	     248     3712       17     3447        0
   72 reportbug                          	     248     3761       26     3487        0
   73 klibc-utils                        	     247     4121      181     3693        0
   74 libuno-cppu3                       	     247     2475      103     2125        0
   75 libuno-cppuhelpergcc3-3            	     247     2471      103     2121        0
   76 discover-data                      	     246     3983       18     3718        1
   77 firefox-esr                        	     246     2859      237     2376        0
   78 gpg-wks-server                     	     246     3809       26     3537        0
   79 initramfs-tools                    	     246     4056      176     3475      159
   80 netcat-traditional                 	     246     3856       49     3561        0
   81 adduser                            	     245     4160       96     3819        0
   82 cpio                               	     245     4142      209     3688        0
   83 gtk-update-icon-cache              	     245     3785      192     3348        0
   84 laptop-detect                      	     245     4015       51     3718        1
   85 libcap2-bin                        	     245     4051      111     3695        0
   86 liblockfile-bin                    	     245     3870       42     3583        0
   87 parted                             	     245     3535       38     3252        0
   88 pciutils                           	     245     4112      124     3743        0
   89 poppler-utils                      	     245     3252       36     2971        0
   90 traceroute                         	     245     3948       59     3644        0
   91 ghostscript                        	     244     3477      101     3132        0
   92 libpaper-utils                     	     244     3571      123     3204        0
   93 passwd                             	     244     4160      127     3789        0
   94 unzip                              	     244     3814       70     3499        1
   95 wget                               	     244     4092      132     3716        0
   96 xserver-common                     	     244     3221      274     2703        0
   97 aspell                             	     243     3483       39     3201        0
   98 linux-base                         	     243     4127      191     3693        0
   99 python3-debianbts                  	     243     3721       32     3446        0
  100 kbd                                	     242     4093      133     3718        0
  101 libpam-modules-bin                 	     242     4160      162     3756        0
  102 net-tools                          	     242     4121      178     3701        0
  103 sensible-utils                     	     241     4152      122     3789        0
  104 poppler-data                       	     240     3609       80     3288        1
  105 xfonts-utils                       	     240     3520       35     3245        0
  106 python3-httplib2                   	     239     3657       22     3396        0
  107 python3-six                        	     239     3881       29     3610        3
  108 discover                           	     238     3977       44     3695        0
  109 groff-base                         	     238     4114      123     3753        0
  110 gettext-base                       	     237     4134      222     3674        1
  111 libauthen-sasl-perl                	     237     3552       19     3296        0
  112 libmailtools-perl                  	     237     3561       28     3296        0
  113 linux-libc-dev                     	     237     2617       50     2330        0
  114 file                               	     236     4118      183     3699        0
  115 iw                                 	     236     3247       51     2960        0
  116 libhttp-daemon-perl                	     236     3514       15     3263        0
  117 firmware-linux-free                	     235     3997      157     3605        0
  118 libio-html-perl                    	     235     3678       17     3426        0
  119 liblwp-mediatypes-perl             	     235     3679       20     3424        0
  120 libnet-smtp-ssl-perl               	     235     3563       17     3311        0
  121 shared-mime-info                   	     235     4067      139     3693        0
  122 xml-core                           	     235     3494       51     3208        0
  123 dnsmasq-base                       	     234     2686      101     2351        0
  124 fontconfig                         	     234     3905      118     3553        0
  125 insserv                            	     234     4147      188     3725        0
  126 libtimedate-perl                   	     234     3742       35     3473        0
  127 inetutils-telnet                   	     233     2823       27     2563        0
  128 libaspell15                        	     233     3524       92     3178       21
  129 libfile-listing-perl               	     233     3581       19     3329        0
  130 libfont-afm-perl                   	     233     3508       13     3262        0
  131 libhtml-format-perl                	     233     3506       13     3260        0
  132 libhtml-tagset-perl                	     233     3690       22     3435        0
  133 python3-pycurl                     	     233     3608       41     3334        0
  134 xserver-xorg-legacy                	     233     3056      267     2556        0
  135 glib-networking-services           	     232     3432       12     3184        4
  136 gpgsm                              	     232     3897      151     3514        0
  137 hostname                           	     232     4160      327     3599        2
  138 libdata-dump-perl                  	     232     3310       12     3066        0
  139 libhtml-tree-perl                  	     232     3578       18     3328        0
  140 libhttp-negotiate-perl             	     232     3578       18     3328        0
  141 libmagic-mgc                       	     232     4064      205     3625        2
  142 perl-openssl-defaults              	     232     3609       31     3346        0
  143 sgml-base                          	     232     3500       56     3212        0
  144 libhtml-form-perl                  	     231     3515       18     3266        0
  145 libio-socket-ssl-perl              	     231     3634       51     3352        0
  146 xserver-xorg-video-nouveau         	     231     3089       29     2829        0
  147 libhttp-cookies-perl               	     230     3581       19     3332        0
  148 libjxr-tools                       	     230     3132       22     2880        0
  149 libtie-ixhash-perl                 	     230     3215       11     2974        0
  150 sudo                               	     230     3842      268     3344        0
  151 gdisk                              	     229     3247       34     2984        0
  152 libhttp-date-perl                  	     229     3678       54     3395        0
  153 libipc-system-simple-perl          	     229     3272       13     3030        0
  154 libnet-http-perl                   	     229     3577       43     3305        0
  155 liburi-perl                        	     229     3721       81     3411        0
  156 libx11-protocol-perl               	     229     3210        9     2972        0
  157 libxml-xpathengine-perl            	     229     3198       10     2959        0
  158 wireless-regdb                     	     229     3192      102     2860        1
  159 dmsetup                            	     228     4131      266     3637        0
  160 libencode-locale-perl              	     228     3686       47     3411        0
  161 libfile-desktopentry-perl          	     228     3225       13     2984        0
  162 libhttp-message-perl               	     228     3677       53     3396        0
  163 libwww-perl                        	     228     3571       64     3279        0
  164 libwww-robotrules-perl             	     228     3581       17     3336        0
  165 libxml-twig-perl                   	     228     3213       15     2970        0
  166 mesa-vulkan-drivers                	     228     3040       74     2720       18
  167 isc-dhcp-client                    	     227     4014      285     3502        0
  168 lsb-release                        	     227     4064      317     3520        0
  169 python3-charset-normalizer         	     227     2923       37     2659        0
  170 alsa-topology-conf                 	     225     3208        7     2464      512
  171 ispell                             	     225     3409       28     3156        0
  172 libio-stringy-perl                 	     225     3263       15     3023        0
  173 liblwp-protocol-https-perl         	     225     3576       35     3316        0
  174 ntfs-3g                            	     225     3189      158     2806        0
  175 python3-minimal                    	     225     4123      486     3412        0
  176 usb.ids                            	     225     3143       17     2672      229
  177 xauth                              	     225     4023      263     3535        0
  178 apt-utils                          	     224     4147      291     3629        3
  179 hunspell-en-us                     	     224     3028       18     2702       84
  180 libtry-tiny-perl                   	     224     3497       61     3212        0
  181 xserver-xorg-video-qxl             	     224     2922        9     2689        0
  182 zstd                               	     224     3006      168     2614        0
  183 cups-core-drivers                  	     223     2792       32     2537        0
  184 cups-ppdc                          	     223     2808       18     2567        0
  185 gpgv                               	     223     4160      336     3601        0
  186 libfile-mimeinfo-perl              	     223     3221       36     2962        0
  187 python3-pysimplesoap               	     223     3542       78     3241        0
  188 whiptail                           	     223     4117      243     3651        0
  189 x11-apps                           	     223     3085       39     2823        0
  190 x11-session-utils                  	     223     3037       18     2796        0
  191 bc                                 	     222     3268       63     2983        0
  192 cups-client                        	     222     2964       51     2691        0
  193 libfile-basedir-perl               	     222     3257       34     3001        0
  194 cups-ipp-utils                     	     221     2729       32     2476        0
  195 xserver-xorg-video-amdgpu          	     221     3094       58     2815        0
  196 xserver-xorg-video-intel           	     221     3081       47     2813        0
  197 exfatprogs                         	     220     2387       27     2140        0
  198 mount                              	     220     4137      428     3489        0
  199 ssl-cert                           	     220     3416       26     3170        0
  200 startpar                           	     220     4132      363     3544        5
  201 pinentry-gnome3                    	     219     2875       29     2627        0
  202 update-inetd                       	     219     3130       24     2887        0
  203 dmidecode                          	     218     4109      285     3606        0
  204 gpg                                	     218     3900      403     3279        0
  205 libreoffice-gtk3                   	     218     2111       77     1816        0
  206 mawk                               	     218     4139      313     3608        0
  207 python3-pyparsing                  	     218     2960       31     2711        0
  208 usb-modeswitch                     	     218     2869       30     2621        0
  209 acl                                	     217     3160       53     2890        0
  210 cups-filters                       	     217     2803       63     2523        0
  211 cups-filters-core-drivers          	     217     2804       74     2513        0
  212 debconf                            	     217     4160      304     3639        0
  213 libwacom-common                    	     217     3270      108     2945        0
  214 openssl                            	     217     4142      611     3314        0
  215 pkexec                             	     217     2785      112     2456        0
  216 cups                               	     216     2786       65     2505        0
  217 ppp                                	     216     2723       29     2478        0
  218 python3-brlapi                     	     216     2478       16     2245        1
  219 xdg-user-dirs                      	     216     4056      285     3554        1
  220 xinit                              	     216     3122       62     2844        0
  221 xserver-xorg-video-radeon          	     216     3107       68     2823        0
  222 xserver-xorg-video-vmware          	     216     3091       46     2829        0
  223 libtext-wrapi18n-perl              	     215     4133      301     3617        0
  224 mailcap                            	     215     3538      219     3104        0
  225 python3-speechd                    	     215     2556       13     2328        0
  226 xcvt                               	     215     2381       17     2149        0
  227 liblouisutdml-bin                  	     214     2731       13     2504        0
  228 python3-apt                        	     214     3903      317     3372        0
  229 libperl5.36                        	     213     2792      115     2463        1
  230 xserver-xorg-video-ati             	     213     3100      106     2781        0
  231 gpg-agent                          	     211     3887      359     3317        0
  232 initscripts                        	     211     4142      417     3514        0
  233 python3-xdg                        	     211     2854       48     2594        1
  234 tar                                	     211     4160      634     3315        0
  235 util-linux-extra                   	     211     3032      231     2590        0
  236 dbus                               	     210     4006      452     3342        2
  237 dpkg                               	     210     4160      669     3281        0
  238 gir1.2-packagekitglib-1.0          	     210     2707       15     2482        0
  239 kmod                               	     210     4131      463     3457        1
  240 logsave                            	     210     3659      369     3080        0
  241 orca                               	     210     2398       18     2170        0
  242 apparmor                           	     209     3725      369     3146        1
  243 apt-listchanges                    	     209     3779      266     3304        0
  244 dosfstools                         	     209     3270      161     2900        0
  245 gpgconf                            	     209     3900      417     3274        0
  246 lp-solve                           	     209     2846       18     2619        0
  247 aspell-en                          	     208     3031       61     2762        0
  248 openssh-sftp-server                	     208     2867       72     2587        0
  249 sysvinit-utils                     	     208     4160      585     3367        0
  250 x11-utils                          	     208     3437      153     3076        0
  251 console-setup-linux                	     207     4063      386     3410       60
  252 ifupdown                           	     207     4125      423     3495        0
  253 init-system-helpers                	     207     4160      626     3327        0
  254 perl-tk                            	     207     2412       11     2194        0
  255 python3-louis                      	     207     2482       31     2243        1
  256 python3-smbc                       	     207     2526       46     2272        1
  257 p7zip                              	     206     2918       18     2633       61
  258 xbrlapi                            	     206     2476       46     2224        0
  259 xkbset                             	     206     2344       13     2125        0
  260 xserver-xorg-input-wacom           	     206     3081      115     2760        0
  261 cpp                                	     205     3731      301     3225        0
  262 iproute2                           	     205     4143      508     3430        0
  263 libinput-bin                       	     205     3268      226     2837        0
  264 libregexp-ipv6-perl                	     204     2692       25     2463        0
  265 procps                             	     204     4147      551     3392        0
  266 xdg-utils                          	     204     3305      178     2923        0
  267 xterm                              	     204     2917       71     2642        0
  268 ipp-usb                            	     203     2662       95     2364        0
  269 xserver-xorg-core                  	     203     3198      436     2559        0
  270 gcr                                	     202     2895      144     2549        0
  271 lynx                               	     202     2599       37     2351        9
  272 diffutils                          	     201     4160      643     3316        0
  273 e2fsprogs                          	     201     4141      584     3356        0
  274 gtk3-nocsd                         	     201     2221       17     2003        0
  275 gzip                               	     201     4160      671     3288        0
  276 libopenni2-0                       	     201     2772       95     2475        1
  277 grep                               	     200     4160      669     3291        0
  278 python3-pyatspi                    	     200     2349        7     2141        1
  279 libmbim-utils                      	     199     2145       16     1930        0
  280 p11-kit                            	     199     2783      122     2462        0
  281 p7zip-full                         	     199     2934       52     2594       89
  282 python3-cupshelpers                	     199     2605       51     2354        1
  283 sane-airscan                       	     199     2197       13     1985        0
  284 sed                                	     199     4160      647     3313        1
  285 usb-modeswitch-data                	     199     2871       98     2574        0
  286 libqmi-utils                       	     198     2143       17     1928        0
  287 wpasupplicant                      	     198     3289      376     2715        0
  288 perl-modules-5.36                  	     197     2794      148     2448        1
  289 dbus-x11                           	     196     3831      465     3170        0
  290 findutils                          	     196     4160      665     3299        0
  291 system-config-printer-common       	     196     2595       87     2312        0
  292 alsa-utils                         	     195     3295      322     2778        0
  293 dbus-bin                           	     195     2982      303     2482        2
  294 speech-dispatcher                  	     195     2508      136     2177        0
  295 apt                                	     194     4159      663     3299        3
  296 libtasn1-6                         	     194     4159      627     2664      674
  297 enchant-2                          	     193     2581       18     2370        0
  298 libpython3.11-minimal              	     193     2829      344     2292        0
  299 dconf-service                      	     192     3758      312     2989      265
  300 ncurses-base                       	     192     4160      488     3176      304
  301 xdg-dbus-proxy                     	     192     2758       32     2534        0
  302 bash                               	     191     4156      688     3277        0
  303 libc6                              	     191     4160      806     3151       12
  304 logrotate                          	     191     4145      639     3315        0
  305 libextutils-depends-perl           	     190     2432        6     2236        0
  306 man-db                             	     190     4033      629     3214        0
  307 python3-gi                         	     190     3401      366     2843        2
  308 util-linux                         	     189     4156      787     3180        0
  309 bubblewrap                         	     188     2955      273     2494        0
  310 coreutils                          	     188     4160      712     3260        0
  311 pulseaudio-utils                   	     188     2910      242     2480        0
  312 xserver-xorg-video-vesa            	     188     3128      299     2641        0
  313 at-spi2-core                       	     187     3674      422     3043       22
  314 sysv-rc                            	     187     3616      312     2952      165
  315 x11-xserver-utils                  	     187     3335      322     2826        0
  316 libglib-object-introspection-perl  	     186     2416       21     2209        0
  317 popularity-contest                 	     186     4154      677     3291        0
  318 x11-xkb-utils                      	     186     3243      336     2721        0
  319 synaptic                           	     185     2475       63     2227        0
  320 system-config-printer-udev         	     185     2590      103     2301        1
  321 xserver-xorg-video-fbdev           	     184     3132      306     2642        0
  322 python3-cairo                      	     183     3048      327     2536        2
  323 eudev                              	     181     4065      715     3169        0
  324 libjpeg-turbo-progs                	     181     2603       17     2405        0
  325 linux-image-6.1.0-10-amd64         	     181     1263       10     1071        1
  326 polkitd-pkla                       	     181     2475      233     2061        0
  327 python3.11-minimal                 	     181     2819      389     2249        0
  328 debianutils                        	     180     4124      742     3202        0
  329 libpython3.11-stdlib               	     180     2825      389     2256        0
  330 perl-base                          	     180     4160      823     3157        0
  331 python3-dbus                       	     180     3093      308     2604        1
  332 libgtk3-perl                       	     179     2415       41     2195        0
  333 dash                               	     178     4160      819     3162        1
  334 gvfs-common                        	     178     2770      173     2418        1
  335 libblkid1                          	     178     4160      685     2743      554
  336 libc-bin                           	     176     4061      742     3143        0
  337 libgphoto2-6                       	     175     2932      244     2513        0
  338 libgnutls30                        	     174     3805      582     2442      607
  339 desktop-file-utils                 	     173     2846      182     2491        0
  340 libuuid1                           	     173     4160      684     2747      556
  341 python3-cups                       	     173     2606      268     2164        1
  342 cron                               	     172     4146      744     3230        0
  343 libgssapi-krb5-2                   	     172     4141      691     2765      513
  344 libk5crypto3                       	     172     4142      691     2765      514
  345 libkrb5-3                          	     172     4142      691     2765      514
  346 libkrb5support0                    	     172     4145      691     2766      516
  347 libpcre2-8-0                       	     172     4008      702     2799      335
  348 anacron                            	     171     3047      444     2432        0
  349 cpp-12                             	     171     2670      220     2279        0
  350 elogind                            	     171     3669      622     2876        0
  351 fuse3                              	     171     2879      382     2326        0
  352 rsyslog                            	     171     4038      736     3131        0
  353 libkmod2                           	     170     4147      698     2768      511
  354 libzstd1                           	     170     3951      677     2725      379
  355 polkitd                            	     170     2838      426     2242        0
  356 psmisc                             	     170     3545      167     3208        0
  357 udisks2                            	     170     3100      410     2520        0
  358 xdg-desktop-portal                 	     169     2745      323     2251        2
  359 xdg-desktop-portal-gtk             	     169     2727      317     2239        2
  360 colord                             	     168     2817      359     2290        0
  361 libapparmor1                       	     168     4050      674     2722      486
  362 rsync                              	     168     2841      141     2532        0
  363 libselinux1                        	     167     4160      666     2711      616
  364 avahi-daemon                       	     166     3043      474     2403        0
  365 libglib2.0-0                       	     166     3815      535     2346      768
  366 xserver-xorg-input-libinput        	     166     3151      473     2512        0
  367 dbus-daemon                        	     165     2982      543     2274        0
  368 gnome-keyring                      	     165     2719      343     2211        0
  369 libcrypt1                          	     165     3690      672     2712      141
  370 libdbus-1-3                        	     165     4114      642     2644      663
  371 libmount1                          	     165     4160      568     2470      957
  372 libaudit1                          	     164     4160      665     2697      634
  373 libcap-ng0                         	     164     4156      667     2696      629
  374 libexpat1                          	     164     4149      647     2628      710
  375 libpam0g                           	     164     4144      658     2684      638
  376 rtkit                              	     164     2876      401     2311        0
  377 zlib1g                             	     164     4160      670     2704      622
  378 libacl1                            	     163     4160      651     2662      684
  379 libestr0                           	     163     4084      674     2716      531
  380 libfastjson4                       	     163     4021      674     2716      468
  381 libidn2-0                          	     163     4102      674     2677      588
  382 liblzma5                           	     163     4160      669     2704      624
  383 libgmp10                           	     162     4160      675     2673      650
  384 libstdc++6                         	     162     4158      678     2723      595
  385 cups-browsed                       	     161     2685      398     2126        0
  386 libcom-err2                        	     161     3916      654     2669      432
  387 libp11-kit0                        	     161     4160      676     2673      650
  388 cups-daemon                        	     160     2803      447     2196        0
  389 libpolkit-gobject-elogind-1-0      	     160     3265      456     2110      539
  390 upower                             	     160     2951      411     2380        0
  391 xsane                              	     160     1857       15     1682        0
  392 libgcc-s1                          	     159     3689      654     2675      201
  393 libavahi-common3                   	     158     3968      526     2326      958
  394 sysvinit-core                      	     158     3866      754     2954        0
  395 libavahi-core7                     	     157     3064      447     2132      328
  396 libcap2                            	     157     4149      666     2693      633
  397 libelogind0                        	     157     3817      604     2594      462
  398 libkeyutils1                       	     157     4153      665     2684      647
  399 sane-utils                         	     157     2925      433     2335        0
  400 avahi-utils                        	     156     1811       13     1642        0
  401 libavahi-client3                   	     156     3964      511     2279     1018
  402 libxml2                            	     156     4140      606     2532      846
  403 libgudev-1.0-0                     	     155     3420      482     2280      503
  404 libpam-elogind                     	     155     3660      572     2508      425
  405 libpam-modules                     	     155     4160      660     2664      681
  406 libsane1                           	     155     2786      431     2200        0
  407 libsasl2-2                         	     155     4147      613     2488      891
  408 libunistring2                      	     155     3833      618     2469      591
  409 modemmanager                       	     155     2687      377     2155        0
  410 libbrotli1                         	     154     3869      592     2484      639
  411 libmd0                             	     154     3678      631     2549      344
  412 libtinfo6                          	     154     3942      567     2450      771
  413 python3-mutagen                    	     154     2091       23     1914        0
  414 libasound2                         	     153     3465      460     2114      738
  415 libbluetooth3                      	     153     3044      434     2065      392
  416 libdaemon0                         	     153     3191      454     2146      438
  417 libhogweed6                        	     153     3391      614     2461      163
  418 libnettle8                         	     153     3391      615     2463      160
  419 libssl3                            	     152     2762      503     2035       72
  420 libbz2-1.0                         	     151     4160      591     2495      923
  421 libffi8                            	     151     3075      563     2271       90
  422 libinput10                         	     151     3268      453     2201      463
  423 libjpeg62-turbo                    	     151     4039      556     2411      921
  424 system-config-printer              	     151     2338      181     2006        0
  425 libavahi-glib1                     	     150     3085      406     1987      542
  426 libcups2                           	     150     3668      417     1979     1122
  427 libdrm2                            	     150     3778      516     2295      817
  428 libfreetype6                       	     150     4131      531     2320     1130
  429 libgcrypt20                        	     150     4159      606     2489      914
  430 libglib2.0-bin                     	     150     2417      171     2096        0
  431 libxfont2                          	     150     3221      468     2211      392
  432 libbsd0                            	     149     4152      630     2531      842
  433 libduktape207                      	     149     2864      427     1935      353
  434 libevdev2                          	     149     3301      465     2208      479
  435 libgl1-mesa-dri                    	     149     3674      441     2096      988
  436 libglvnd0                          	     149     3564      479     2226      710
  437 libglx0                            	     149     3549      479     2221      700
  438 libicu72                           	     149     3045      538     2207      151
  439 libnghttp2-14                      	     149     4079      523     2201     1206
  440 libunwind8                         	     149     3424      509     2289      477
  441 libxfce4util-bin                   	     149     2079       12     1918        0
  442 libxshmfence1                      	     149     3697      473     2223      852
  443 iamerican                          	     148     2210       22     2040        0
  444 ienglish-common                    	     148     2238       13     1780      297
  445 libelf1                            	     148     3841      464     2113     1116
  446 libfontconfig1                     	     148     4031      530     2341     1012
  447 libpciaccess0                      	     148     3707      495     2264      800
  448 librtmp1                           	     148     4133      528     2216     1241
  449 libusb-1.0-0                       	     148     4000      476     2193     1183
  450 libxau6                            	     148     4111      547     2373     1043
  451 libxcb-dri3-0                      	     148     3697      473     2208      868
  452 libxcb1                            	     148     4109      547     2367     1047
  453 libxdmcp6                          	     148     4112      548     2375     1041
  454 libxrender1                        	     148     3967      495     2265     1059
  455 network-manager                    	     148     2431      382     1901        0
  456 ibritish                           	     147     2202       22     2033        0
  457 libfontenc1                        	     147     3651      470     2216      818
  458 libglapi-mesa                      	     147     3684      453     2174      910
  459 libpixman-1-0                      	     147     3962      517     2300      998
  460 libx11-6                           	     147     4100      542     2353     1058
  461 libxext6                           	     147     4083      515     2303     1118
  462 python3-pyinotify                  	     147     2012       50     1815        0
  463 libcupsfilters1                    	     146     2780      356     1798      480
  464 libdeflate0                        	     146     3601      482     2161      812
  465 libjbig0                           	     146     4031      483     2166     1236
  466 libnotify-bin                      	     146     2070       29     1895        0
  467 libwayland-client0                 	     146     3827      460     2182     1039
  468 libepoxy0                          	     145     3790      482     2205      958
  469 libgl1                             	     145     3549      436     2054      914
  470 libpng16-16                        	     145     3790      517     2252      876
  471 libsensors5                        	     145     3727      451     2093     1038
  472 libx11-xcb1                        	     145     3719      466     2200      908
  473 libz3-4                            	     145     3389      438     2048      758
  474 libdrm-amdgpu1                     	     144     3648      426     2020     1058
  475 libdrm-radeon1                     	     144     3686      445     2112      985
  476 libeudev1                          	     144     4099      541     2309     1105
  477 libexif12                          	     144     3475      424     2029      878
  478 libmm-glib0                        	     144     2823      382     1848      449
  479 libssh2-1                          	     144     3852      472     2043     1193
  480 libxcursor1                        	     144     3884      453     2130     1157
  481 pavucontrol                        	     144     2030       47     1839        0
  482 pulseaudio                         	     144     2744      380     2220        0
  483 usbutils                           	     144     2687       45     2498        0
  484 libgpg-error0                      	     143     4159      578     2412     1026
  485 libice6                            	     143     3721      450     2119     1009
  486 libmbim-glib4                      	     143     2739      360     1784      452
  487 libmtdev1                          	     143     3045      418     2050      434
  488 libndp0                            	     143     2467      365     1783      176
  489 libpaper1                          	     143     3527      397     1984     1003
  490 libqmi-glib5                       	     143     2726      360     1786      437
  491 libsm6                             	     143     3720      451     2119     1007
  492 libteamdctl0                       	     143     2476      372     1823      138
  493 libtiff6                           	     143     2977      388     1791      655
  494 libxcb-sync1                       	     143     3697      448     2124      982
  495 libxfixes3                         	     143     3919      460     2147     1169
  496 libcurl3-gnutls                    	     142     3790      384     1803     1461
  497 libxcb-present0                    	     142     3700      448     2117      993
  498 libxcb-xfixes0                     	     142     3663      451     2127      943
  499 gvfs-daemons                       	     141     2749      379     2229        0
  500 libdrm-nouveau2                    	     141     3665      399     1953     1172
  501 libedit2                           	     141     4145      513     2196     1295
  502 libharfbuzz0b                      	     141     3949      470     2161     1177
  503 liblcms2-2                         	     141     3945      473     2102     1229
  504 libnspr4                           	     141     3696      441     2002     1112
  505 libnss-mdns                        	     141     3090      442     2074      433
  506 libpsl5                            	     141     3801      482     2070     1108
  507 libudisks2-0                       	     141     3110      376     1861      732
  508 libwrap0                           	     141     4104      663     2590      710
  509 libxcb-shm0                        	     141     3962      472     2158     1191
  510 libxkbcommon0                      	     141     3799      449     2096     1113
  511 gir1.2-keybinder-3.0               	     140     1828       58     1630        0
  512 libgraphite2-3                     	     140     3962      474     2176     1172
  513 libjansson4                        	     140     3919      475     2073     1231
  514 libjson-c5                         	     140     3634      443     1988     1063
  515 libnss3                            	     140     3682      414     1923     1205
  516 libvolume-key1                     	     140     2953      371     1829      613
  517 libwacom9                          	     140     2565      385     1850      190
  518 libxcb-render0                     	     140     3957      471     2154     1192
  519 libxcvt0                           	     140     2530      393     1862      135
  520 grub-pc-bin                        	     139     2639       16     2484        0
  521 libatasmart4                       	     139     3128      382     1867      740
  522 libpam-gnome-keyring               	     139     2726      403     1929      255
  523 libwayland-cursor0                 	     139     3794      440     2080     1135
  524 grub-pc                            	     138     2528       16     2374        0
  525 libdrm-intel1                      	     138     3669      401     1904     1226
  526 libldap-2.5-0                      	     138     3007      462     2026      381
  527 libnsl2                            	     138     3662      665     2599      260
  528 libogg0                            	     138     3633      437     2021     1037
  529 libvorbis0a                        	     138     3632      437     2024     1033
  530 libwebp7                           	     138     2983      424     1928      493
  531 liblerc4                           	     137     2989      407     1814      631
  532 libsqlite3-0                       	     137     4146      505     2088     1416
  533 libupower-glib3                    	     137     2939      379     1817      606
  534 libxcb-dri2-0                      	     137     3692      417     2088     1050
  535 libxcb-randr0                      	     137     3555      416     1950     1052
  536 libxi6                             	     137     3918      446     2095     1240
  537 atril                              	     136     1993      101     1756        0
  538 dconf-gsettings-backend            	     136     3758      435     2054     1133
  539 libblockdev-loop2                  	     136     2809      348     1730      595
  540 libblockdev-part-err2              	     136     2808      348     1730      594
  541 libblockdev-part2                  	     136     2805      348     1729      592
  542 libblockdev-swap2                  	     136     2809      348     1730      595
  543 libxrandr2                         	     136     3898      471     2163     1128
  544 libxtst6                           	     136     3838      426     2041     1235
  545 libxxf86vm1                        	     136     3694      412     1945     1201
  546 libassuan0                         	     135     3953      380     1827     1611
  547 libblockdev-crypto2                	     135     2748      343     1699      571
  548 libblockdev-fs2                    	     135     2791      348     1723      585
  549 libblockdev-utils2                 	     135     2811      349     1732      595
  550 libblockdev2                       	     135     2809      349     1730      595
  551 libcairo-gobject2                  	     135     3829      457     2109     1128
  552 libcairo2                          	     135     3946      468     2139     1204
  553 libdatrie1                         	     135     3945      472     2142     1196
  554 libfribidi0                        	     135     4005      475     2155     1240
  555 libgdk-pixbuf-2.0-0                	     135     3506      448     2089      834
  556 libpango-1.0-0                     	     135     3923      466     2133     1189
  557 libpangocairo-1.0-0                	     135     3923      466     2131     1191
  558 libpangoft2-1.0-0                  	     135     3923      466     2133     1189
  559 libqrtr-glib0                      	     135     2218      305     1511      267
  560 libtdb1                            	     135     3395      452     2018      790
  561 libthai0                           	     135     3941      472     2142     1192
  562 libxcb-util1                       	     135     3055      399     1940      581
  563 libargon2-1                        	     134     3383      421     1866      962
  564 libdevmapper1.02.1                 	     134     4130      423     1936     1637
  565 libgpgme11                         	     134     3431      359     1739     1199
  566 libopus0                           	     134     3636      450     2025     1027
  567 libsndfile1                        	     134     3595      420     1971     1070
  568 python3-feedparser                 	     134     1661        7     1520        0
  569 python3-gi-cairo                   	     134     2485      305     2044        2
  570 xfce4-whiskermenu-plugin           	     134     1659       30     1495        0
  571 libasyncns0                        	     133     3595      421     1974     1067
  572 libcryptsetup12                    	     133     3201      365     1790      913
  573 libglx-mesa0                       	     133     3542      372     1796     1241
  574 libpulse0                          	     133     3578      418     1973     1054
  575 libxcb-glx0                        	     133     3691      407     1916     1235
  576 mousepad                           	     133     1796       44     1619        0
  577 python3-distro                     	     133     2276       11     2131        1
  578 usbmuxd                            	     133     2418       96     2189        0
  579 xarchiver                          	     133     1866       34     1699        0
  580 libgbm1                            	     132     3530      449     2028      921
  581 libllvm15                          	     132     2581      342     1653      454
  582 librsvg2-2                         	     132     3860      428     2019     1281
  583 libtirpc3                          	     132     3481      596     2378      375
  584 libvorbisenc2                      	     132     3625      436     2000     1057
  585 libwayland-egl1                    	     132     3686      439     2046     1069
  586 libxinerama1                       	     132     3897      445     2058     1262
  587 python3-musicbrainzngs             	     132     1533        8     1393        0
  588 quodlibet                          	     132     1519       18     1369        0
  589 thunar-data                        	     132     1839       86     1578       43
  590 libltdl7                           	     131     3820      444     1989     1256
  591 libmp3lame0                        	     131     3534      429     1978      996
  592 libwayland-server0                 	     131     3537      448     2027      931
  593 libxcomposite1                     	     131     3890      440     2046     1273
  594 libxdamage1                        	     131     3890      440     2043     1276
  595 patch                              	     131     2912       39     2742        0
  596 ristretto                          	     131     1686       30     1525        0
  597 exfalso                            	     130     1540       35     1375        0
  598 libseat1                           	     130     2522      394     1836      162
  599 lm-sensors                         	     130     2491      253     2108        0
  600 xfburn                             	     130     1684       10     1544        0
  601 xfce4-clipman                      	     130     1671       19     1522        0
  602 xfce4-dict                         	     130     1658       11     1517        0
  603 xfce4-places-plugin                	     130     1660       14     1516        0
  604 xfce4-taskmanager                  	     130     1672       17     1525        0
  605 libnotify4                         	     129     3242      366     1809      938
  606 libwebpmux3                        	     129     3546      401     1880     1136
  607 libxfce4ui-utils                   	     129     1738       15     1594        0
  608 netpbm                             	     129     2368       25     2214        0
  609 parole                             	     129     1505       21     1355        0
  610 shim-unsigned                      	     129     1501        6     1366        0
  611 xfconf                             	     129     2112      146     1837        0
  612 fonts-dejavu-core                  	     128     3991      415     1968     1480
  613 libgtk-3-common                    	     128     3738      404     1874     1332
  614 libparted-fs-resize0               	     128     2859      334     1684      713
  615 libparted2                         	     128     3318      334     1684     1172
  616 shim-signed                        	     128     1495        6     1361        0
  617 thunar-volman                      	     128     1802       88     1586        0
  618 tumbler                            	     128     1829       18     1303      380
  619 xfce4-screenshooter                	     128     1685       34     1523        0
  620 xfce4-sensors-plugin               	     128     1669       22     1519        0
  621 libmtp-runtime                     	     127     2330      154     2049        0
  622 runit-helper                       	     127     2831      125     2578        1
  623 shim-helpers-amd64-signed          	     127     1495        6     1362        0
  624 shim-signed-common                 	     127     1501       25     1349        0
  625 wireless-tools                     	     127     2561      281     2153        0
  626 xfce4-appfinder                    	     127     1753       33     1593        0
  627 libmtp-common                      	     126     2397       93     2178        0
  628 libpolkit-agent-1-0                	     126     3818      323     1656     1713
  629 curl                               	     125     2580      112     2343        0
  630 exo-utils                          	     125     1917      125     1667        0
  631 python3-sgmllib3k                  	     125     1358        5     1228        0
  632 grub-efi-amd64-bin                 	     124     1579        9     1446        0
  633 imagemagick-6.q16                  	     124     2258       50     2070       14
  634 liborc-0.4-0                       	     124     3073      361     1701      887
  635 libatspi2.0-0                      	     123     3499      390     1865     1121
  636 libnm0                             	     123     2688      307     1577      681
  637 libxkbfile1                        	     123     3419      349     1704     1243
  638 mokutil                            	     123     1527       27     1377        0
  639 network-manager-gnome              	     123     1961      233     1560       45
  640 libc-dev-bin                       	     122     2615       22     2471        0
  641 efibootmgr                         	     121     1599       16     1462        0
  642 libatk-bridge2.0-0                 	     121     3496      389     1846     1140
  643 xfce4-terminal                     	     121     1775      121     1533        0
  644 xscreensaver                       	     121     1982      219     1642        0
  645 bluez                              	     120     2214      347     1747        0
  646 libatk1.0-0                        	     120     3579      392     1854     1213
  647 libflac12                          	     120     2514      326     1524      544
  648 libgomp1                           	     120     3907      433     1957     1397
  649 libpulse-mainloop-glib0            	     120     3071      300     1548     1103
  650 libaom3                            	     119     2926      362     1627      818
  651 libgtk-3-0                         	     119     3475      378     1783     1195
  652 libmpg123-0                        	     119     3253      334     1562     1238
  653 python3-cryptography               	     119     1913       68     1725        1
  654 xfwm4                              	     119     1940      198     1623        0
  655 libdpkg-perl                       	     116     2764       37     2610        1
  656 libegl1                            	     116     3321      372     1750     1083
  657 libopenjp2-7                       	     116     3698      379     1868     1335
  658 librav1e0                          	     116     2680      330     1513      721
  659 librsvg2-common                    	     116     3847      377     1808     1546
  660 thunar                             	     116     1818      190     1512        0
  661 gvfs                               	     115     2748      345     1731      557
  662 gvfs-libs                          	     115     2761      346     1737      563
  663 libdav1d6                          	     115     2736      329     1511      781
  664 libsecret-1-0                      	     115     3487      356     1760     1256
  665 libsvtav1enc1                      	     115     2636      329     1519      673
  666 git                                	     114     2173      254     1805        0
  667 libsoxr0                           	     114     3379      404     1862      999
  668 zip                                	     114     2148       38     1996        0
  669 libdw1                             	     113     3071      355     1620      983
  670 libnuma1                           	     113     3890      495     2104     1178
  671 libspeexdsp1                       	     113     3130      360     1720      937
  672 python3-cffi-backend               	     113     2004       81     1808        2
  673 xfce4-session                      	     113     1771      185     1473        0
  674 xfce4-settings                     	     113     1801      191     1497        0
  675 liberror-perl                      	     112     2230       32     2086        0
  676 xfce4-power-manager                	     112     1707      188     1407        0
  677 libbluray2                         	     111     3265      342     1643     1169
  678 libegl-mesa0                       	     111     3312      391     1832      978
  679 libgusb2                           	     111     2932      334     1518      969
  680 libstartup-notification0           	     111     3110      332     1629     1038
  681 libudfread0                        	     111     3101      343     1639     1008
  682 xfce4-panel                        	     111     1767      186     1470        0
  683 xfdesktop4                         	     111     1772      185     1476        0
  684 libgck-1-0                         	     110     3034      346     1698      880
  685 libgcr-base-3-1                    	     110     3034      346     1698      880
  686 libsnappy1v5                       	     106     3503      424     1931     1042
  687 libxvidcore4                       	     106     3401      384     1810     1101
  688 ocl-icd-libopencl1                 	     106     3183      379     1792      906
  689 xfce4-notifyd                      	     106     1776      184     1486        0
  690 libcolord2                         	     105     3774      335     1502     1832
  691 libgsm1                            	     105     3554      389     1811     1249
  692 libshine3                          	     105     3389      386     1808     1090
  693 libspeex1                          	     105     3556      390     1813     1248
  694 libtwolame0                        	     105     3394      385     1806     1098
  695 libva-drm2                         	     105     3284      390     1842      947
  696 libva-x11-2                        	     105     3286      381     1808      992
  697 libva2                             	     105     3292      389     1843      955
  698 libvdpau1                          	     105     3395      385     1810     1095
  699 gir1.2-gdkpixbuf-2.0               	     104     3177      271     1371     1431
  700 gir1.2-glib-2.0                    	     104     3465      281     1427     1653
  701 graphviz                           	     104     1708       17     1587        0
  702 libasound2-plugins                 	     104     3025      338     1683      900
  703 libgvc6                            	     104     1728       15     1609        0
  704 packagekit-tools                   	     104     1463       11     1348        0
  705 libc-devtools                      	     103     1998       18     1877        0
  706 libgirepository-1.0-1              	     103     3468      289     1440     1636
  707 xiccd                              	     103     1566      191     1272        0
  708 g++                                	     102     2344       45     2197        0
  709 libtheora0                         	     102     3407      359     1787     1159
  710 libvpx7                            	     102     2502      305     1417      678
  711 libzvbi0                           	     102     3140      346     1672     1020
  712 dpkg-dev                           	     101     2284       33     2150        0
  713 libdbusmenu-glib4                  	     101     2692      291     1418      882
  714 libjxl0.7                          	     101     2598      299     1414      784
  715 libcrypt-dev                       	     100     2358       19     2239        0
  716 libhwy1                            	     100     2506      295     1399      712
  717 libspa-0.2-modules                 	     100     2852      330     1576      846
  718 libx265-199                        	     100     2779      331     1509      839
  719 libx264-164                        	      99     2649      327     1527      696
  720 libgtk3-nocsd0                     	      98     2230      276     1320      536
  721 gimp                               	      97     1699       48     1554        0
  722 binutils                           	      96     2833      149     2588        0
  723 iio-sensor-proxy                   	      96     1346       59     1191        0
  724 libayatana-ido3-0.4-0              	      96     2585      283     1363      843
  725 libayatana-indicator3-7            	      96     2587      283     1364      844
  726 libjson-glib-1.0-0                 	      96     3466      346     1596     1428
  727 libxklavier16                      	      96     2615      285     1378      856
  728 libavcodec59                       	      95     2346      264     1273      714
  729 libavutil57                        	      95     2433      278     1323      737
  730 libcodec2-1.0                      	      95     2472      290     1383      704
  731 libdbusmenu-gtk3-4                 	      95     2621      282     1375      869
  732 libswresample4                     	      95     2543      286     1366      796
  733 slim                               	      95     1568      209     1264        0
  734 libgts-bin                         	      94     1619       12     1513        0
  735 libpipewire-0.3-0                  	      94     2630      312     1480      744
  736 powertop                           	      94     1596        6     1496        0
  737 libalgorithm-merge-perl            	      93     2147       10     2044        0
  738 libayatana-appindicator3-1         	      93     2423      257     1288      785
  739 libproxy1v5                        	      93     3437      329     1548     1467
  740 w3m                                	      93     1844       23     1728        0
  741 binutils-x86-64-linux-gnu          	      92     2550      138     2320        0
  742 fonts-noto-mono                    	      92     3455      267     1276     1820
  743 gcc                                	      92     2543      112     2339        0
  744 gir1.2-notify-0.7                  	      92     2746      239     1205     1210
  745 glib-networking                    	      92     3429      326     1533     1478
  746 libmfx1                            	      92     2833      324     1564      853
  747 yelp                               	      92     1659       18     1549        0
  748 libfuse3-3                         	      91     2978      338     1469     1080
  749 libnl-route-3-200                  	      91     3546      309     1433     1713
  750 libnma0                            	      91     2040      240     1209      500
  751 media-player-info                  	      91     1464       62     1311        0
  752 libalgorithm-diff-perl             	      90     2156       10     2056        0
  753 python3-software-properties        	      90     1046        8      948        0
  754 avahi-autoipd                      	      89     1467       64     1314        0
  755 fakeroot                           	      89     2226       26     2111        0
  756 python3-blinker                    	      89     1001        8      904        0
  757 python3-jwt                        	      89      974        4      881        0
  758 python3-oauthlib                   	      89      947        5      853        0
  759 rpcsvc-proto                       	      89     1971       20     1862        0
  760 libnpth0                           	      88     3784      172      936     2588
  761 libpcsclite1                       	      88     3594      303     1414     1789
  762 python3-pyxattr                    	      88     1225       13     1123        1
  763 exim4-base                         	      87     1797       62     1648        0
  764 libnl-3-200                        	      86     3808      348     1544     1830
  765 libtirpc-dev                       	      86     2175       13     2075        1
  766 libxres1                           	      86     2942      243     1266     1347
  767 software-properties-common         	      86     1041       31      924        0
  768 zenity                             	      86     1498       24     1388        0
  769 liblz4-1                           	      85     4108      353     1335     2335
  770 make                               	      85     2575      105     2385        0
  771 libc6-dev                          	      84     2612      236     2292        0
  772 libnl-genl-3-200                   	      84     3679      294     1412     1889
  773 openssh-server                     	      84     2863      604     2175        0
  774 seatd                              	      84      825      114      627        0
  775 libnsl-dev                         	      83     2161       10     2068        0
  776 libproxy-tools                     	      83     1616       21     1512        0
  777 libxpresent1                       	      83     2420      230     1199      908
  778 tpm-udev                           	      83     1103       50      970        0
  779 libxml2-utils                      	      82     1294       15     1197        0
  780 python3-lazr.uri                   	      82      844        2      760        0
  781 accountsservice                    	      81     1382      153     1147        1
  782 bluez-obexd                        	      81     1282      138     1061        2
  783 jq                                 	      81     1168       33     1054        0
  784 libxft2                            	      81     3646      272     1290     2003
  785 python3-ldb                        	      81     1280       14     1185        0
  786 python3-pyqt5.sip                  	      81     1238       44     1112        1
  787 geoclue-2.0                        	      80     1493      174     1238        1
  788 htop                               	      80     2028       84     1864        0
  789 python3-distro-info                	      80      946       13      853        0
  790 python3-pyqt5                      	      80     1295       45     1169        1
  791 python3-wadllib                    	      80      844        2      762        0
  792 libc-l10n                          	      79     4071      245     1182     2565
  793 libkf5dbusaddons-bin               	      79     1160       10     1071        0
  794 libkf5iconthemes-bin               	      79     1120       10     1031        0
  795 libwnck-3-0                        	      79     2835      216     1148     1392
  796 python3-lazr.restfulclient         	      79      842        2      761        0
  797 python3-talloc                     	      79     1277       13     1184        1
  798 kpackagelauncherqml                	      78     1059       10      971        0
  799 libxfce4ui-2-0                     	      78     2072      207     1082      705
  800 libxfce4util7                      	      78     2107      208     1092      729
  801 libxfconf-0-3                      	      78     1996      207     1084      627
  802 kpackagetool5                      	      77     1127       11     1039        0
  803 libglib2.0-data                    	      77     4059      216     1127     2639
  804 libgstreamer1.0-0                  	      77     3324      235     1047     1965
  805 libreoffice-qt5                    	      77      540       10      453        0
  806 libstdc++-12-dev                   	      77     1614       21     1516        0
  807 openjdk-17-jre-headless            	      77     1132       21     1033        1
  808 systemsettings                     	      77     1018       44      897        0
  809 exim4-config                       	      76     1801      142     1583        0
  810 libkeybinder-3.0-0                 	      76     2057      174      936      871
  811 libkf5config-bin                   	      76     1234       23     1135        0
  812 libxmu6                            	      76     3616      244     1151     2145
  813 caca-utils                         	      75     1259       12     1172        0
  814 libcanberra0                       	      75     3064      287     1281     1421
  815 libkf5configwidgets-data           	      75     1221       48     1098        0
  816 libkf5service-bin                  	      75     1206       17     1114        0
  817 pipewire                           	      75     1407        7     1325        0
  818 toilet                             	      75     1294       13     1206        0
  819 bolt                               	      74      868       42      752        0
  820 ffmpeg                             	      74     1506       56     1376        0
  821 kactivities-bin                    	      74     1047       10      963        0
  822 kio                                	      74     1184       68     1042        0
  823 libevent-2.1-7                     	      74     3174      433     1716      951
  824 libvorbisfile3                     	      74     3551      325     1387     1765
  825 policykit-1-gnome                  	      74     3426      186      974     2192
  826 python3-tornado                    	      74      727        2      651        0
  827 firmware-realtek                   	      73     1521      133     1315        0
  828 libgtk-4-bin                       	      73      939        9      857        0
  829 libimobiledevice6                  	      73     2300      289     1298      640
  830 libplist3                          	      73     2321      291     1314      643
  831 libreoffice-style-breeze           	      73      505       15      417        0
  832 libusbmuxd6                        	      73     2100      288     1301      438
  833 appstream                          	      72     1120      124      924        0
  834 gnuchess                           	      72     1041        6      963        0
  835 kactivitymanagerd                  	      72     1074       76      839       87
  836 keditbookmarks                     	      72      973       11      890        0
  837 libxt6                             	      72     3420      250     1185     1913
  838 catdoc                             	      71     1009       14      924        0
  839 fonts-urw-base35                   	      71     3293      267     1266     1689
  840 g++-12                             	      71     1599       33     1495        0
  841 libgstreamer-plugins-base1.0-0     	      71     3280      203      899     2107
  842 linux-headers-6.1.0-31-common      	      71       82        6        5        0
  843 python3-markupsafe                 	      71     1143       15     1056        1
  844 libkf5guiaddons-bin                	      70      840        8      762        0
  845 thunderbird                        	      70      897       65      762        0
  846 xwayland                           	      70      758       37      651        0
  847 kded5                              	      69     1154       71     1014        0
  848 liblibreoffice-java                	      69      993       12      911        1
  849 libunoloader-java                  	      69      991       12      910        0
  850 linux-headers-6.1.0-31-amd64       	      69       79        5        5        0
  851 sshfs                              	      69     1078       35      974        0
  852 tmux                               	      69     1366       55     1242        0
  853 fwupd                              	      68      839       92      679        0
  854 libexo-2-0                         	      68     1891      167      895      761
  855 libgarcon-1-0                      	      68     1824      160      881      715
  856 libgarcon-gtk3-1-0                 	      68     1678      160      877      573
  857 libreoffice-kf5                    	      68      410       10      332        0
  858 libreoffice-plasma                 	      68      427       10      332       17
  859 python3-pil                        	      68     1730       47     1615        0
  860 python3-samba                      	      68      928       15      845        0
  861 gcc-12                             	      67     1791       89     1635        0
  862 libdb5.3                           	      67     3863      444     1573     1779
  863 libkf5globalaccel-bin              	      67     1198       70     1018       43
  864 libkf5wallet-bin                   	      67     1200       65      992       76
  865 libthunarx-3-0                     	      67     1792      165      893      667
  866 libxfce4panel-2.0-4                	      67     1865      161      877      760
  867 python3-lib2to3                    	      67     1823        9     1745        2
  868 python3-tdb                        	      67      929        7      855        0
  869 sox                                	      67     1266       17     1182        0
  870 thunar-archive-plugin              	      67     1715      152      835      661
  871 thunar-media-tags-plugin           	      67     1691      146      809      669
  872 xfce4-pulseaudio-plugin            	      67     1725      136      769      753
  873 pipewire-bin                       	      66     1408       66     1276        0
  874 python3-gpg                        	      66     1087       32      989        0
  875 python3-olefile                    	      66     1562        6     1489        1
  876 python3-yaml                       	      66     1526       61     1399        0
  877 screen                             	      66     1866       71     1729        0
  878 gettext                            	      65     1560       27     1468        0
  879 gvfs-backends                      	      65     1592      240     1287        0
  880 libtag1v5-vanilla                  	      65     3341      164      864     2248
  881 mc                                 	      65     1596       67     1464        0
  882 samba-common-bin                   	      65     1023       61      897        0
  883 zsh                                	      65     1231       46     1120        0
  884 dialog                             	      64     1474       22     1388        0
  885 ed                                 	      64     1304       17     1223        0
  886 install-info                       	      64     1420       52     1304        0
  887 libtagc0                           	      64     2218      144      802     1208
  888 mutt                               	      64     1574       29     1481        0
  889 python3-dateutil                   	      64     1345       13     1267        1
  890 whois                              	      64     1875       41     1770        0
  891 cups-bsd                           	      63     1203       26     1114        0
  892 links2                             	      63     1102       12     1027        0
  893 ncdu                               	      63     1215       18     1134        0
  894 procmail                           	      63     1494       48     1383        0
  895 xfce4-power-manager-plugins        	      63     1700      111      649      877
  896 libfile-find-rule-perl             	      62      925       12      851        0
  897 libgdk-pixbuf2.0-common            	      62     3907      202     1019     2624
  898 libnumber-compare-perl             	      62      939       10      867        0
  899 libtext-glob-perl                  	      62      943       10      871        0
  900 libxxhash0                         	      62     3720      138      728     2792
  901 time                               	      62     1390       21     1307        0
  902 yt-dlp                             	      62      832       20      750        0
  903 cracklib-runtime                   	      61      920       47      812        0
  904 entr                               	      61     1012        9      942        0
  905 fortune-mod                        	      61     1265       19     1185        0
  906 iotop                              	      61     1334       54     1218        1
  907 irssi                              	      61     1061       16      984        0
  908 khelpcenter                        	      61      632        8      563        0
  909 minicom                            	      61     1164       12     1091        0
  910 multitail                          	      61     1024        9      954        0
  911 ttyrec                             	      61     1015        9      945        0
  912 abook                              	      60     1011       10      941        0
  913 ark                                	      60      563       27      476        0
  914 breeze                             	      60      627        3      564        0
  915 bsd-mailx                          	      60     1309       63     1186        0
  916 espeakup                           	      60      973       11      902        0
  917 gnustep-base-runtime               	      60      792        8      724        0
  918 gnustep-common                     	      60      806        6      740        0
  919 libwebpdemux2                      	      60     3503      198      856     2389
  920 lrzsz                              	      60     1171       12     1099        0
  921 moc                                	      60     1029       13      956        0
  922 msmtp                              	      60     1078       17     1001        0
  923 smbclient                          	      60      804       17      727        0
  924 tin                                	      60      994        8      926        0
  925 unar                               	      60      778        9      709        0
  926 at-spi2-common                     	      59     2878      185      898     1736
  927 edbrowse                           	      59     1013        9      945        0
  928 fbi                                	      59     1018       11      948        0
  929 figlet                             	      59     1093       12     1022        0
  930 gddrescue                          	      59     1117       14     1044        0
  931 geoip-bin                          	      59     1077       10     1008        0
  932 gvfs-fuse                          	      59     1144      182      903        0
  933 lftp                               	      59     1098       12     1027        0
  934 libreoffice-style-elementary       	      59      425       14      352        0
  935 libxcb-xkb1                        	      59     3125      227     1024     1815
  936 libxkbcommon-x11-0                 	      59     3110      228     1022     1801
  937 mtr-tiny                           	      59     1148       16     1073        0
  938 rename                             	      59     1332       20     1253        0
  939 sc                                 	      59     1021        9      953        0
  940 socat                              	      59     1038       46      933        0
  941 yasr                               	      59     1001        9      933        0
  942 bastet                             	      58      979        4      917        0
  943 bsdgames                           	      58     1037       11      968        0
  944 calcurse                           	      58     1007        9      940        0
  945 cowsay                             	      58     1046        4      984        0
  946 espeak                             	      58     1039       11      970        0
  947 fetchmail                          	      58     1065       17      990        0
  948 freesweep                          	      58      980        3      919        0
  949 iftop                              	      58     1237       14     1165        0
  950 jhead                              	      58     1034       11      965        0
  951 kdoctools5                         	      58      630        6      566        0
  952 libkf5texteditor-bin               	      58      668        1      609        0
  953 libvte-2.91-0                      	      58     2946      148      801     1939
  954 mcabber                            	      58     1002        9      935        0
  955 mosh                               	      58     1067       17      992        0
  956 nethack-console                    	      58      963        4      901        0
  957 nfacct                             	      58     1262        7     1197        0
  958 python3-psutil                     	      58      992       72      862        0
  959 python3-pylibacl                   	      58      522        2      462        0
  960 python3-websockets                 	      58      855        6      791        0
  961 qtchooser                          	      58      996       24      913        1
  962 radio                              	      58      899        8      833        0
  963 rpl                                	      58     1006        9      939        0
  964 taskwarrior                        	      58     1020        9      953        0
  965 bombardier                         	      57      982        3      922        0
  966 cavezofphear                       	      57      986        3      926        0
  967 clex                               	      57     1008        9      942        0
  968 cmatrix                            	      57     1043       13      973        0
  969 crawl                              	      57      968        3      908        0
  970 debconf-kde-helper                 	      57      539        4      478        0
  971 eflite                             	      57      995        9      929        0
  972 empire                             	      57      969        3      909        0
  973 fbterm                             	      57     1041       10      974        0
  974 kmenuedit                          	      57      548        6      485        0
  975 libreoffice-gnome                  	      57      397       11      329        0
  976 libyaml-0-2                        	      57     2779      163      719     1840
  977 matanza                            	      57      973        3      913        0
  978 moria                              	      57      956        4      895        0
  979 ninvaders                          	      57      974        3      914        0
  980 omega-rpg                          	      57      974        3      914        0
  981 parallel                           	      57     1050        9      984        0
  982 partitionmanager                   	      57      541        7      477        0
  983 pente                              	      57      969        3      909        0
  984 python3-bcrypt                     	      57      774       28      689        0
  985 python3-fuse                       	      57      502        1      444        0
  986 python3-webencodings               	      57     1425        5     1363        0
  987 sudoku                             	      57     1006        3      946        0
  988 t1utils                            	      57     1108       13     1038        0
  989 tnftp                              	      57     1079       14     1008        0
  990 wavemon                            	      57     1037       12      968        0
  991 zile                               	      57     1012       11      944        0
  992 apache2-bin                        	      56     1151      181      914        0
  993 bup                                	      56      501        7      438        0
  994 calc                               	      56     1002       19      927        0
  995 chromium-sandbox                   	      56      756        5      695        0
  996 curseofwar                         	      56      980        3      921        0
  997 dvtm                               	      56     1005        9      940        0
  998 kde-cli-tools                      	      56      618       35      527        0
  999 kfind                              	      56      575        7      512        0
 1000 kinit                              	      56      863       64      743        0
 1001 ksshaskpass                        	      56      553        6      491        0
 1002 kwalletmanager                     	      56      567       12      499        0
 1003 libapt-pkg6.0                      	      56     3405       82      525     2742
 1004 libstemmer0d                       	      56     1941      138      659     1088
 1005 pacman4console                     	      56      973        9      908        0
 1006 wireplumber                        	      56      908       26      826        0
 1007 cdrdao                             	      55      831        7      769        0
 1008 greed                              	      55      972        4      913        0
 1009 hasciicam                          	      55      976       11      910        0
 1010 kde-config-sddm                    	      55      538        9      470        4
 1011 kmag                               	      55      459        5      399        0
 1012 kmousetool                         	      55      450        4      391        0
 1013 kmouth                             	      55      457        4      398        0
 1014 kontrast                           	      55      429        3      371        0
 1015 kwrite                             	      55      548        8      485        0
 1016 libkf5bluezqt-data                 	      55      806       31      720        0
 1017 mariadb-client-core                	      55      618       29      534        0
 1018 par2                               	      55      538        5      478        0
 1019 pipewire-pulse                     	      55      907       19      833        0
 1020 software-properties-qt             	      55      414        1      358        0
 1021 accountwizard                      	      54      467        4      409        0
 1022 akregator                          	      54      473        7      412        0
 1023 beep                               	      54     1070       48      968        0
 1024 cryfs                              	      54      444        2      388        0
 1025 dragonplayer                       	      54      493        8      431        0
 1026 firmware-iwlwifi                   	      54     1138       76     1008        0
 1027 gnupg2                             	      54     1597      174     1369        0
 1028 kaddressbook                       	      54      479        7      418        0
 1029 kde-style-oxygen-qt5               	      54      528        8      466        0
 1030 kdepim-themeeditors                	      54      460        3      403        0
 1031 libperl4-corelibs-perl             	      54     1116        6     1056        0
 1032 libxcb-res0                        	      54     1531      146      649      682
 1033 libxml-namespacesupport-perl       	      54     1398       15     1329        0
 1034 libxml-sax-expat-perl              	      54     1326       12     1260        0
 1035 mbox-importer                      	      54      454        3      397        0
 1036 pim-data-exporter                  	      54      455        4      397        0
 1037 pim-sieve-editor                   	      54      455        3      398        0
 1038 plasma-framework                   	      54      834       55      725        0
 1039 python3-mako                       	      54      770        7      709        0
 1040 sweeper                            	      54      496        5      437        0
 1041 gdb-minimal                        	      53      497        4      440        0
 1042 gnome-disk-utility                 	      53      681       15      613        0
 1043 gwenview                           	      53      577       29      495        0
 1044 juk                                	      53      486        7      426        0
 1045 kde-spectacle                      	      53      520       13      454        0
 1046 kdialog                            	      53      535        7      475        0
 1047 konq-plugins                       	      53      479       12      414        0
 1048 korganizer                         	      53      475       14      408        0
 1049 libical3                           	      53     1367      154      698      462
 1050 libkf5screen-bin                   	      53      734       61      620        0
 1051 libpam-kwallet-common              	      53      552        0      393      106
 1052 libxml-sax-perl                    	      53     1396       22     1321        0
 1053 okular                             	      53      670       38      579        0
 1054 python3-brotli                     	      53     1129       53     1023        0
 1055 python3-ptyprocess                 	      53     1156        8     1094        1
 1056 rtmpdump                           	      53      925       11      861        0
 1057 aha                                	      52      441        5      384        0
 1058 drkonqi                            	      52      554        4      396      102
 1059 genisoimage                        	      52     1088       12     1024        0
 1060 kate                               	      52      605       30      523        0
 1061 kdepim-addons                      	      52      467        8      407        0
 1062 konqueror                          	      52      551       18      481        0
 1063 kross                              	      52      527        3      472        0
 1064 libappstream4                      	      52     1538      110      566      810
 1065 libclass-method-modifiers-perl     	      52     1214       13     1149        0
 1066 libdynaloader-functions-perl       	      52     1218       12     1154        0
 1067 libxml-sax-base-perl               	      52     1398       28     1318        0
 1068 python3-pycryptodome               	      52     1088       22     1014        0
 1069 qdbus-qt5                          	      52      592       14      514       12
 1070 dconf-cli                          	      51      798       22      725        0
 1071 dolphin                            	      51      612       50      511        0
 1072 kcalc                              	      51      533       17      465        0
 1073 ksystemstats                       	      51      438       16      371        0
 1074 kwin-x11                           	      51      558       47      460        0
 1075 libabsl20220623                    	      51     2584      166      653     1714
 1076 libb-hooks-endofscope-perl         	      51     1131       19     1061        0
 1077 libmodule-implementation-perl      	      51     1180       29     1100        0
 1078 libmodule-runtime-perl             	      51     1256       36     1169        0
 1079 libpackagekit-glib2-18             	      51     2763       86      463     2163
 1080 libsub-exporter-progressive-perl   	      51     1169       24     1094        0
 1081 lightdm-gtk-greeter                	      51     1037       87      898        1
 1082 plasma-systemmonitor               	      51      433       12      370        0
 1083 pulseaudio-module-bluetooth        	      51      974      149      774        0
 1084 python3-bs4                        	      51     1277        3     1223        0
 1085 sddm                               	      51      638       73      514        0
 1086 akonadi-server                     	      50      509       32      427        0
 1087 kdepim-runtime                     	      50      498       29      419        0
 1088 kmail                              	      50      477       29      398        0
 1089 libdata-optlist-perl               	      50     1181       16     1115        0
 1090 libdouble-conversion3              	      50     2313      222      925     1116
 1091 libnamespace-clean-perl            	      50     1131       19     1062        0
 1092 libpackage-stash-perl              	      50     1135       19     1066        0
 1093 libreoffice-base-drivers           	      50      858        6      802        0
 1094 librole-tiny-perl                  	      50     1208       24     1134        0
 1095 libsub-exporter-perl               	      50     1178       16     1112        0
 1096 libsub-install-perl                	      50     1181       16     1115        0
 1097 libsub-quote-perl                  	      50     1131       13     1068        0
 1098 libxss1                            	      50     3442      296     1281     1815
 1099 libyuv0                            	      50     2790      161      648     1931
 1100 mesa-utils                         	      50     1338       31     1257        0
 1101 python3-lxml                       	      50     1337       30     1257        0
 1102 tree                               	      50      911       21      840        0
 1103 xsettingsd                         	      50      734       65      619        0
 1104 xxd                                	      50     2163       27     2086        0
 1105 bluedevil                          	      49      555       54      452        0
 1106 exim4-daemon-light                 	      49     1769      345     1375        0
 1107 fonts-noto-core                    	      49     1417      214      861      293
 1108 iptables                           	      49     2571      160     2362        0
 1109 knotes                             	      49      464       26      389        0
 1110 kwin-wayland                       	      49      434       21      364        0
 1111 libavif15                          	      49     2560      148      592     1771
 1112 libdevel-stacktrace-perl           	      49     1083       18     1016        0
 1113 libexception-class-perl            	      49     1041       18      974        0
 1114 libgav1-1                          	      49     2789      161      640     1939
 1115 libkf5akonadisearch-bin            	      49      479       25      405        0
 1116 libkf5baloowidgets-bin             	      49      569       29      491        0
 1117 libxmlb2                           	      49     1266       92      460      665
 1118 mariadb-server-core                	      49      589       77      463        0
 1119 plasma-discover                    	      49      513       55      409        0
 1120 python3-distutils                  	      49     1642        8     1583        2
 1121 python3-pexpect                    	      49     1155       31     1074        1
 1122 software-properties-gtk            	      49      445        9      387        0
 1123 ffmpegthumbnailer                  	      48      755       27      680        0
 1124 kinfocenter                        	      48      545       27      470        0
 1125 kscreen                            	      48      548       58      442        0
 1126 libcapture-tiny-perl               	      48      845       19      778        0
 1127 libgoa-1.0-0b                      	      48     1690      218      946      478
 1128 libpcre2-16-0                      	      48     2471      211      873     1339
 1129 libqt5core5a                       	      48     2207      179      775     1205
 1130 libqt5dbus5                        	      48     2202      171      763     1220
 1131 libreoffice-java-common            	      48      886       17      821        0
 1132 libtime-duration-perl              	      48      737        7      682        0
 1133 libxslt1.1                         	      48     3659      232      855     2524
 1134 nethack-common                     	      48      967       98      821        0
 1135 plasma-workspace-wayland           	      48      409       18      343        0
 1136 python3-click                      	      48      925        4      873        0
 1137 python3-xlib                       	      48      456        3      405        0
 1138 sysstat                            	      48     1448      236     1164        0
 1139 chromium-common                    	      47      780       47      686        0
 1140 inxi                               	      47      606        8      551        0
 1141 kdeconnect                         	      47      757      108      602        0
 1142 konsole                            	      47      612       54      511        0
 1143 kup-backup                         	      47      509       41      421        0
 1144 libarchive-zip-perl                	      47     1459       24     1388        0
 1145 libatomic1                         	      47     3572      183      737     2605
 1146 libclass-data-inheritable-perl     	      47     1067       19     1001        0
 1147 libcpanel-json-xs-perl             	      47      735       11      677        0
 1148 libkf5kdelibs4support5-bin         	      47      552       49      456        0
 1149 libqt5network5                     	      47     2199      158      716     1278
 1150 libreoffice-base                   	      47      851       23      781        0
 1151 libwnck-3-common                   	      47     2844      109      608     2080
 1152 plasma-desktop                     	      47      552       62      443        0
 1153 plasma-workspace                   	      47      553       68      438        0
 1154 transmission-gtk                   	      47      596       10      539        0
 1155 chromium                           	      46      796       52      698        0
 1156 evince                             	      46      961       45      870        0
 1157 fonts-liberation2                  	      46     2770      190      885     1649
 1158 growisofs                          	      46      702        7      649        0
 1159 libcgi-pm-perl                     	      46     1258       23     1189        0
 1160 libgpod-common                     	      46      592       22      524        0
 1161 libimport-into-perl                	      46      846        9      791        0
 1162 libio-string-perl                  	      46     1003       13      944        0
 1163 libipc-run3-perl                   	      46      709        8      655        0
 1164 liblist-utilsby-perl               	      46      741        9      686        0
 1165 libmd4c0                           	      46     2223      200      830     1147
 1166 libnet-domain-tld-perl             	      46      753       12      695        0
 1167 libqt5gui5                         	      46     2189      169      743     1231
 1168 libqt5widgets5                     	      46     2191      169      742     1234
 1169 libxcb-keysyms1                    	      46     2515      212      890     1367
 1170 powerdevil                         	      46      546       64      431        5
 1171 wodim                              	      46      753       10      697        0
 1172 cheese                             	      45      492        5      442        0
 1173 diffstat                           	      45      760       10      705        0
 1174 libqt5svg5                         	      45     2375      183      806     1341
 1175 libxcb-render-util0                	      45     2526      215      895     1371
 1176 libxcb-xinput0                     	      45     2239      196      836     1162
 1177 lightdm                            	      45     1053      143      864        1
 1178 lzop                               	      45      832       15      772        0
 1179 plasma-disks                       	      45      511       60      406        0
 1180 plasma-integration                 	      45      557       56      393       63
 1181 polkit-kde-agent-1                 	      45      575       56      408       66
 1182 print-manager                      	      45      450       51      354        0
 1183 python3-setproctitle               	      45      752       53      654        0
 1184 python3-soupsieve                  	      45     1273        3     1225        0
 1185 python3-tz                         	      45     1170        6     1103       16
 1186 seahorse                           	      45      465        6      414        0
 1187 simple-scan                        	      45      543        9      489        0
 1188 file-roller                        	      44      472       13      415        0
 1189 gjs                                	      44      528       22      462        0
 1190 libconfig-tiny-perl                	      44      715       22      649        0
 1191 libglib2.0-dev-bin                 	      44      781        7      730        0
 1192 libjson-maybexs-perl               	      44      674       13      617        0
 1193 libmoo-perl                        	      44      844       14      786        0
 1194 libreoffice-report-builder-bin     	      44      811        4      763        0
 1195 libreoffice-sdbc-firebird          	      44      711        6      661        0
 1196 libreoffice-sdbc-hsqldb            	      44      773       12      717        0
 1197 libtext-xslate-perl                	      44      615        9      562        0
 1198 libxcb-icccm4                      	      44     2507      216      897     1350
 1199 libxcb-image0                      	      44     2523      210      888     1381
 1200 libxcb-shape0                      	      44     3524      220      901     2359
 1201 libxfce4ui-common                  	      44     2086       92      538     1412
 1202 lintian                            	      44      667       12      611        0
 1203 mesa-utils-bin                     	      44     1015       26      945        0
 1204 plasma-browser-integration         	      44      436       42      350        0
 1205 python3-attr                       	      44      991        8      939        0
 1206 python3-numpy                      	      44     1162       22     1096        0
 1207 rhythmbox                          	      44      403        5      354        0
 1208 smartmontools                      	      44     1239      242      953        0
 1209 apg                                	      43      517        5      469        0
 1210 baloo-kf5                          	      43      597       70      484        0
 1211 five-or-more                       	      43      371        1      327        0
 1212 gnome-taquin                       	      43      361        1      317        0
 1213 gnome-tetravex                     	      43      374        1      330        0
 1214 iagno                              	      43      366        1      322        0
 1215 libaliased-perl                    	      43      621        9      569        0
 1216 libcgi-fast-perl                   	      43     1197       13     1141        0
 1217 libdata-dpath-perl                 	      43      619        8      568        0
 1218 libfont-ttf-perl                   	      43      682        9      630        0
 1219 libgphoto2-port12                  	      43     2912      196      870     1803
 1220 libhtml-html5-entities-perl        	      43      620        8      569        0
 1221 libiterator-perl                   	      43      619        8      568        0
 1222 libiterator-util-perl              	      43      619        8      568        0
 1223 libkf5windowsystem5                	      43     1318      129      587      559
 1224 libmoox-aliases-perl               	      43      620        8      569        0
 1225 libqt5x11extras5                   	      43     2027      159      682     1143
 1226 libqt5xml5                         	      43     1781      138      596     1004
 1227 libxcb-xinerama0                   	      43     2447      200      879     1325
 1228 mpv                                	      43      997       67      887        0
 1229 patchutils                         	      43      756       12      701        0
 1230 python3-html5lib                   	      43     1302        5     1253        1
 1231 qttranslations5-l10n               	      43     2303      160      687     1413
 1232 quadrapassel                       	      43      377        1      333        0
 1233 swell-foop                         	      43      371        1      327        0
 1234 xdg-user-dirs-gtk                  	      43      510       43      424        0
 1235 aisleriot                          	      42      420        8      370        0
 1236 four-in-a-row                      	      42      369        1      326        0
 1237 gkbd-capplet                       	      42      439        3      394        0
 1238 gnome-2048                         	      42      338        1      295        0
 1239 gnome-font-viewer                  	      42      378        4      332        0
 1240 gnome-klotski                      	      42      363        1      320        0
 1241 gnome-mahjongg                     	      42      409        2      365        0
 1242 gnome-mines                        	      42      378        1      335        0
 1243 gnome-sound-recorder               	      42      363        4      317        0
 1244 hoichess                           	      42      380        2      336        0
 1245 libapache2-mod-dnssd               	      42      447       17      388        0
 1246 libarchive13                       	      42     3145       88      437     2578
 1247 libdata-validate-domain-perl       	      42      637       10      585        0
 1248 libpath-tiny-perl                  	      42      777       13      722        0
 1249 libqt5qml5                         	      42     2200      124      542     1492
 1250 libseccomp2                        	      42     4008      228      931     2807
 1251 lightsoff                          	      42      366        1      323        0
 1252 mate-calc                          	      42      510       12      456        0
 1253 mate-desktop                       	      42      552        5      505        0
 1254 mate-notification-daemon           	      42      528        4      482        0
 1255 shotwell                           	      42      434        9      383        0
 1256 tali                               	      42      365        1      322        0
 1257 ure-java                           	      42      598       28      528        0
 1258 xapps-common                       	      42      413        3      368        0
 1259 xdg-desktop-portal-kde             	      42      436       63      331        0
 1260 eog                                	      41      451       12      398        0
 1261 gnome-calculator                   	      41      445       15      389        0
 1262 gnome-robots                       	      41      364        2      321        0
 1263 gnome-settings-daemon-common       	      41      435       20      374        0
 1264 gnome-system-monitor               	      41      407        6      360        0
 1265 hitori                             	      41      369        2      326        0
 1266 libdbusmenu-qt5-2                  	      41     1267      126      572      528
 1267 libfcgi-bin                        	      41      952        9      902        0
 1268 libkf5solid5                       	      41     1233      118      533      541
 1269 liblist-compare-perl               	      41      644       11      592        0
 1270 libnet-netmask-perl                	      41      557        8      508        0
 1271 libstrictures-perl                 	      41      754       11      702        0
 1272 libutempter0                       	      41     3486      167      753     2525
 1273 malcontent                         	      41      394        3      350        0
 1274 malcontent-gui                     	      41      392        3      348        0
 1275 mate-applets                       	      41      499       20      438        0
 1276 vlc-plugin-qt                      	      41     1190       14     1135        0
 1277 dmeventd                           	      40     1269       19     1210        0
 1278 eom                                	      40      530       19      471        0
 1279 gnome-chess                        	      40      373        3      330        0
 1280 gnome-nibbles                      	      40      359        3      316        0
 1281 gnome-screenshot                   	      40      463       12      411        0
 1282 gnome-sudoku                       	      40      383        4      339        0
 1283 gnome-terminal                     	      40      441       26      375        0
 1284 gnome-user-share                   	      40      400        0      360        0
 1285 libexpat1-dev                      	      40     1368        9     1319        0
 1286 libgnutls-dane0                    	      40     1818      255     1031      492
 1287 libkf5kiocore5                     	      40     1185      110      508      527
 1288 libkf5kiogui5                      	      40     1162      108      500      514
 1289 libkf5kiowidgets5                  	      40     1185      107      493      545
 1290 liblist-someutils-perl             	      40      648        9      599        0
 1291 libpython3-dev                     	      40     1046        7      999        0
 1292 libunbound8                        	      40     2050      310     1167      533
 1293 mate-utils                         	      40      516       15      461        0
 1294 packagekit                         	      40     1483       77      524      842
 1295 python3-dev                        	      40     1035        7      987        1
 1296 python3-setuptools                 	      40     1328      158     1129        1
 1297 rygel                              	      40      419        4      375        0
 1298 totem                              	      40      389        9      340        0
 1299 uuid-dev                           	      40      943        9      894        0
 1300 xfdesktop4-data                    	      40     1782       83      479     1180
 1301 gnome-software                     	      39      384       33      312        0
 1302 java-common                        	      39     1654       19     1596        0
 1303 libkf5archive5                     	      39     1245      122      535      549
 1304 libkf5authcore5                    	      39     1146      112      515      480
 1305 libkf5codecs5                      	      39     1223      112      510      562
 1306 libkf5completion5                  	      39     1234      110      500      585
 1307 libkf5configcore5                  	      39     1286      113      523      611
 1308 libkf5configgui5                   	      39     1253      112      511      591
 1309 libkf5configwidgets5               	      39     1212      112      510      551
 1310 libkf5coreaddons-data              	      39     1319      116      542      622
 1311 libkf5coreaddons5                  	      39     1317      116      543      619
 1312 libkf5crash5                       	      39     1241      112      518      572
 1313 libkf5dbusaddons5                  	      39     1219      112      519      549
 1314 libkf5guiaddons5                   	      39     1263      112      510      602
 1315 libkf5i18n5                        	      39     1254      112      518      585
 1316 libkf5iconthemes5                  	      39     1205      112      508      546
 1317 libkf5jobwidgets-data              	      39     1196      109      499      549
 1318 libkf5jobwidgets5                  	      39     1192      109      499      545
 1319 libkf5service5                     	      39     1206      112      516      539
 1320 libkf5widgetsaddons-data           	      39     1263      112      511      601
 1321 libkf5widgetsaddons5               	      39     1261      112      511      599
 1322 libnet-ipv6addr-perl               	      39      542        7      496        0
 1323 libqt5printsupport5                	      39     1801      118      457     1187
 1324 libreoffice-report-builder         	      39      723       12      672        0
 1325 mate-system-monitor                	      39      514       12      463        0
 1326 python3-packaging                  	      39     1144        8     1097        0
 1327 ruby                               	      39     1072       34      999        0
 1328 vlc-plugin-skins2                  	      39     1109        7     1063        0
 1329 xfce4-power-manager-data           	      39     1716       71      422     1184
 1330 apache2-utils                      	      38      830       17      775        0
 1331 gdebi                              	      38      467       10      419        0
 1332 hexchat                            	      38      373        9      326        0
 1333 libcanberra-gtk3-0                 	      38     2603      112      543     1910
 1334 libkf5itemviews5                   	      38     1250       99      437      676
 1335 libmath-base85-perl                	      38      519        7      474        0
 1336 libqt5texttospeech5                	      38     1225      113      508      566
 1337 libqt5waylandclient5               	      38     2055      116      518     1383
 1338 libsbc1                            	      38     3058      165      684     2171
 1339 plzip                              	      38      399        9      352        0
 1340 power-profiles-daemon              	      38      332        3      291        0
 1341 python3-paramiko                   	      38      414        2      374        0
 1342 python3-xapp                       	      38      326        3      285        0
 1343 smplayer                           	      38      517       21      458        0
 1344 zlib1g-dev                         	      38     1604       18     1547        1
 1345 gedit                              	      37      393       11      345        0
 1346 gnome-control-center               	      37      405        7      361        0
 1347 libconst-fast-perl                 	      37      573       12      524        0
 1348 libdata-validate-ip-perl           	      37      540       11      492        0
 1349 libfreezethaw-perl                 	      37      517        5      475        0
 1350 libkf5globalaccel5                 	      37     1200       93      413      657
 1351 libkf5notifications5               	      37     1210      111      503      559
 1352 libkf5xmlgui5                      	      37     1194       93      410      654
 1353 libqt5qmlmodels5                   	      37     2073      115      465     1456
 1354 libqt5quick5                       	      37     2187      115      465     1570
 1355 m4                                 	      37     1554       22     1495        0
 1356 mate-control-center                	      37      516       17      462        0
 1357 openntpd                           	      37      361       65      259        0
 1358 pluma                              	      37      518       20      461        0
 1359 python3-nacl                       	      37      472        9      426        0
 1360 vim-runtime                        	      37     1355       20     1298        0
 1361 vlc-bin                            	      37     1207       51     1119        0
 1362 audacious                          	      36      546       13      497        0
 1363 blueman                            	      36      689      100      553        0
 1364 duplicity                          	      36      297        8      253        0
 1365 dvdauthor                          	      36      513        2      475        0
 1366 gdebi-core                         	      36      522       28      458        0
 1367 gir1.2-atk-1.0                     	      36     3160      156      691     2277
 1368 gir1.2-gtk-3.0                     	      36     3125      151      640     2298
 1369 gnome-characters                   	      36      309        6      267        0
 1370 gnome-logs                         	      36      300        2      262        0
 1371 libblkid-dev                       	      36      788        2      750        0
 1372 libdata-validate-uri-perl          	      36      513        7      470        0
 1373 libhtml-tokeparser-simple-perl     	      36      568        7      525        0
 1374 libio-interactive-perl             	      36      532        9      487        0
 1375 libmenu-cache-bin                  	      36      553       18      499        0
 1376 libmldbm-perl                      	      36      527        8      483        0
 1377 libmount-dev                       	      36      769        2      731        0
 1378 libpurple-bin                      	      36      404        3      365        0
 1379 libregexp-wildcards-perl           	      36      507        7      464        0
 1380 libsort-versions-perl              	      36      569        9      524        0
 1381 lvm2                               	      36     1266       97     1133        0
 1382 remmina                            	      36      466       18      412        0
 1383 automake                           	      35     1296       17     1243        1
 1384 cryptsetup-bin                     	      35      900       50      815        0
 1385 firmware-amd-graphics              	      35     1000        6      959        0
 1386 gir1.2-freedesktop                 	      35     3213      156      686     2336
 1387 gir1.2-harfbuzz-0.0                	      35     2966      155      677     2099
 1388 gir1.2-pango-1.0                   	      35     3160      156      683     2286
 1389 intel-microcode                    	      35      867       39      791        2
 1390 kalendarac                         	      35      369       58      276        0
 1391 libexempi8                         	      35      971      101      491      344
 1392 libffi-dev                         	      35     1042        8      999        0
 1393 libgail-3-0                        	      35      944       86      427      396
 1394 libkf5package5                     	      35     1127       83      371      638
 1395 libmng1                            	      35     2047      129      534     1349
 1396 libqt5sql5                         	      35     1661      101      402     1123
 1397 libreoffice-sdbc-postgresql        	      35      746       36      675        0
 1398 libwww-mechanize-perl              	      35      544       12      497        0
 1399 mate-terminal                      	      35      552       35      482        0
 1400 notification-daemon                	      35     1376        7     1334        0
 1401 pidgin                             	      35      389       15      338        1
 1402 python3-fasteners                  	      35      338        1      302        0
 1403 python3-invoke                     	      35      387        6      346        0
 1404 python3-monotonic                  	      35      345        1      309        0
 1405 python3-pampy                      	      35      282        1      246        0
 1406 realmd                             	      35      408        9      364        0
 1407 gir1.2-nm-1.0                      	      34      856      104      458      260
 1408 libkf5waylandclient5               	      34     1282       75      351      822
 1409 libreoffice-sdbc-mysql             	      34      556        4      518        0
 1410 libunity9                          	      34      436        9      393        0
 1411 mutter-common                      	      34      379       16      318       11
 1412 plocate                            	      34     1205      192      979        0
 1413 python3-colorama                   	      34      921        5      882        0
 1414 python3-tinycss2                   	      34      371        4      333        0
 1415 qt5-image-formats-plugins          	      34      993      109      503      347
 1416 brltty                             	      33      773      143      597        0
 1417 gnome-bluetooth-sendto             	      33      318        2      283        0
 1418 gnome-remote-desktop               	      33      311        2      276        0
 1419 gnote                              	      33      291        4      254        0
 1420 gnutls-bin                         	      33      551       10      508        0
 1421 libgstreamer-gl1.0-0               	      33     3007       72      357     2545
 1422 libjcat1                           	      33      842       32      202      575
 1423 libnss-myhostname                  	      33      426       64      285       44
 1424 libqca-qt5-2                       	      33     1130       99      461      537
 1425 mate-settings-daemon-common        	      33      524       45      375       71
 1426 menu                               	      33      816       32      751        0
 1427 python3-ibus-1.0                   	      33      368        3      331        1
 1428 vino                               	      33      304        1      270        0
 1429 brasero                            	      32      362        2      328        0
 1430 cinnamon-control-center            	      32      282        4      246        0
 1431 deja-dup                           	      32      257       24      201        0
 1432 engrampa                           	      32      569       56      481        0
 1433 gpm                                	      32     1121      210      878        1
 1434 libenchant-2-2                     	      32     2688      103      468     2085
 1435 libflashrom1                       	      32      848       24      185      607
 1436 libftdi1-2                         	      32      986       24      190      740
 1437 libgpm2                            	      32     4011      186      685     3108
 1438 libhyphen0                         	      32     3111      105      434     2540
 1439 libidn12                           	      32     2923      280      998     1613
 1440 libqca-qt5-2-plugins               	      32     1098       95      435      536
 1441 muffin                             	      32      295        4      259        0
 1442 pulseaudio-module-gsettings        	      32      469       73      364        0
 1443 python3-tinycss                    	      32      252        1      219        0
 1444 sound-juicer                       	      32      294        3      259        0
 1445 tzdata                             	      32     4141       23     1087     2999
 1446 xserver-xephyr                     	      32      272        0      240        0
 1447 autoconf                           	      31     1299       17     1251        0
 1448 autotools-dev                      	      31     1305       13     1261        0
 1449 caja                               	      31      541       58      452        0
 1450 cinnamon-common                    	      31      277        3      243        0
 1451 cjs                                	      31      278        3      244        0
 1452 firmware-misc-nonfree              	      31     1066       48      987        0
 1453 gnome-session-bin                  	      31      324       14      279        0
 1454 gucharmap                          	      31      428        6      391        0
 1455 libfwupd2                          	      31      799       32      196      540
 1456 libharfbuzz-icu0                   	      31     3115       99      415     2570
 1457 libkf5bookmarks5                   	      31     1022       85      374      532
 1458 libkf5kiofilewidgets5              	      31      967       82      356      498
 1459 libkf5sonnetcore5                  	      31     1218       82      367      738
 1460 libkf5sonnetui5                    	      31     1215       82      367      735
 1461 libltdl-dev                        	      31     1091        6     1054        0
 1462 libpci3                            	      31     4118       59      265     3763
 1463 libpcre2-32-0                      	      31     1807      147      564     1065
 1464 libtool                            	      31     1188       15     1142        0
 1465 libtss2-esys-3.0.2-0               	      31      975       27      197      720
 1466 libtss2-mu0                        	      31      979       28      205      715
 1467 libtss2-sys1                       	      31      980       28      205      716
 1468 libxau-dev                         	      31     1185        9     1145        0
 1469 libxcb-composite0                  	      31     1644       94      436     1083
 1470 libxdmcp-dev                       	      31     1185        9     1145        0
 1471 marco                              	      31      549       55      463        0
 1472 mate-settings-daemon               	      31      523       64      419        9
 1473 openrc                             	      31      526       72      423        0
 1474 python3-future                     	      31      467        5      431        0
 1475 xtrans-dev                         	      31     1182        8     1143        0
 1476 cron-daemon-common                 	      30     3043        1      260     2752
 1477 gir1.2-xapp-1.0                    	      30      336       34      266        6
 1478 gnome-online-accounts              	      30      436       56      270       80
 1479 libcloudproviders0                 	      30     1089       87      380      592
 1480 libefiboot1                        	      30     3594       23      173     3368
 1481 libefivar1                         	      30     3595       23      173     3369
 1482 libgcab-1.0-0                      	      30      778       23      173      552
 1483 libjaylink0                        	      30      830       20      171      609
 1484 libkf5idletime5                    	      30      948       73      374      471
 1485 libkf5textwidgets5                 	      30     1192       82      361      719
 1486 libkf5wallet5                      	      30     1204       73      344      757
 1487 liblouis20                         	      30     2834      134      525     2145
 1488 libpcre2-dev                       	      30      841       17      794        0
 1489 libprotobuf-c1                     	      30     3850       77      318     3425
 1490 libpython3.11-dev                  	      30      781        8      743        0
 1491 libqrencode4                       	      30     2895      105      429     2331
 1492 libselinux1-dev                    	      30      788        3      754        1
 1493 libsmbios-c2                       	      30      763       23      173      537
 1494 libwoff1                           	      30     3010       84      394     2502
 1495 libx11-dev                         	      30     1174       21     1123        0
 1496 libxcb-damage0                     	      30     2644       88      416     2110
 1497 libxcb1-dev                        	      30     1185       14     1141        0
 1498 mate-media                         	      30      502       57      413        2
 1499 mate-panel                         	      30      524       57      437        0
 1500 mate-power-manager                 	      30      496       56      410        0
 1501 mate-screensaver                   	      30      496       65      401        0
 1502 mate-session-manager               	      30      528       56      442        0
 1503 python3-lockfile                   	      30      328        1      297        0
 1504 python3-pygments                   	      30     1263       16     1217        0
 1505 python3-sip                        	      30      548        1      517        0
 1506 python3.11-dev                     	      30      769        6      733        0
 1507 kimageformat-plugins               	      29      577       79      337      132
 1508 konwert                            	      29      243        2      212        0
 1509 libbrotli-dev                      	      29      734        1      704        0
 1510 libdmtx0b                          	      29      717       72      289      327
 1511 libglib2.0-dev                     	      29      799        6      701       63
 1512 libgoa-backend-1.0-1               	      29      421       53      260       79
 1513 libkf5attica5                      	      29     1163       79      348      707
 1514 libkf5prison5                      	      29      759       71      308      351
 1515 libmanette-0.2-0                   	      29     2585       73      357     2126
 1516 libpng-dev                         	      29      881       14      838        0
 1517 libsoup-3.0-0                      	      29     2494      109      430     1926
 1518 scrot                              	      29      504       17      458        0
 1519 unattended-upgrades                	      29      642       71      542        0
 1520 zutty                              	      29      389       13      347        0
 1521 amd64-microcode                    	      28      765       18      719        0
 1522 dkms                               	      28      742       43      670        1
 1523 html2text                          	      28      337        6      303        0
 1524 libcbor0.8                         	      28     2777       19      159     2571
 1525 libgrantlee-templates5             	      28      672       62      274      308
 1526 libjavascriptcoregtk-4.1-0         	      28     2115       46      262     1779
 1527 libkf5akonadicalendar5abi1         	      28      481       60      268      125
 1528 libkf5akonadicontact5              	      28      533       62      275      168
 1529 libkf5akonadicore5abi2             	      28      537       63      280      166
 1530 libkf5akonadimime5                 	      28      507       61      270      148
 1531 libkf5akonadiprivate5abi2          	      28      542       63      280      171
 1532 libkf5akonadiwidgets5abi1          	      28      536       62      276      170
 1533 libkf5calendarcore5abi2            	      28      522       61      270      163
 1534 libkf5calendarutils5               	      28      494       61      271      134
 1535 libkf5contacteditor5               	      28      523       62      275      158
 1536 libkf5contacts5                    	      28      781       63      280      410
 1537 libkf5identitymanagement5          	      28      509       61      271      149
 1538 libkf5itemmodels5                  	      28     1019       71      320      600
 1539 libkf5mailtransport5               	      28      507       61      270      148
 1540 libkf5mailtransportakonadi5        	      28      497       61      270      138
 1541 libkf5mime5abi1                    	      28      538       62      276      172
 1542 libkf5newstuff5                    	      28      889       75      335      451
 1543 libkf5newstuffcore5                	      28     1066       77      336      625
 1544 libpng-tools                       	      28      762        8      726        0
 1545 libsepol-dev                       	      28      625        2      595        0
 1546 libtiff-dev                        	      28      562        3      531        0
 1547 libwebkit2gtk-4.1-0                	      28     2105       46      262     1769
 1548 pkgconf                            	      28     1040       38      974        0
 1549 pkgconf-bin                        	      28     1027       38      961        0
 1550 python3-more-itertools             	      28      774        3      743        0
 1551 x11proto-dev                       	      28     1145       19     1098        0
 1552 7zip                               	      27      306       10      268        1
 1553 cinnamon-screensaver               	      27      287       30      230        0
 1554 cinnamon-session                   	      27      285       32      226        0
 1555 cinnamon-settings-daemon           	      27      286       30      219       10
 1556 exif                               	      27      355        6      322        0
 1557 fonts-noto-extra                   	      27     1138      142      572      397
 1558 iucode-tool                        	      27      882       51      804        0
 1559 libkf5declarative5                 	      27     1109       64      317      701
 1560 libkf5grantleetheme5               	      27      528       57      250      194
 1561 libkf5i18nlocaledata5              	      27      631       58      243      303
 1562 libkf5newstuffwidgets5             	      27      683       67      289      300
 1563 libkf5quickaddons5                 	      27     1100       64      314      695
 1564 libkf5syndication5abi1             	      27      985       70      292      596
 1565 liblmdb0                           	      27     3887      111      435     3314
 1566 libopenexr-3-1-30                  	      27     2661       77      324     2233
 1567 libqt5keychain1                    	      27      600       66      270      237
 1568 libreoffice-script-provider-python 	      27      570       28      515        0
 1569 libreoffice-uiconfig-draw          	      27      240        2      211        0
 1570 libreoffice-uiconfig-impress       	      27      240        3      210        0
 1571 libreoffice-uiconfig-math          	      27      244        1      216        0
 1572 libtasn1-6-dev                     	      27      269        1      241        0
 1573 libwacom-bin                       	      27     1343        6     1310        0
 1574 libzxing2                          	      27     2232       80      286     1839
 1575 odt2txt                            	      27      303        4      272        0
 1576 switcheroo-control                 	      27      258        6      225        0
 1577 vim                                	      27     1222       68     1127        0
 1578 chafa                              	      26      408        4      378        0
 1579 cinnamon                           	      26      276       32      218        0
 1580 cryptsetup                         	      26      773       83      645       19
 1581 id3                                	      26      259        2      231        0
 1582 libdconf1                          	      26     3774      131      510     3107
 1583 libde265-0                         	      26     3617      103      372     3116
 1584 libgles2                           	      26     3271       47      206     2992
 1585 libgraphene-1.0-0                  	      26     2980       46      221     2687
 1586 libheif1                           	      26     3461      118      406     2911
 1587 libice-dev                         	      26      932        6      900        0
 1588 libicu-dev                         	      26      928       10      892        0
 1589 libimath-3-1-29                    	      26     2414       70      293     2025
 1590 libkf5plasma5                      	      26     1049       51      283      689
 1591 liblzo2-2                          	      26     2742      132      444     2140
 1592 libncurses-dev                     	      26      909       17      866        0
 1593 libperl5.32                        	      26      715       24      665        0
 1594 libqt5qmlworkerscript5             	      26     1323       68      324      905
 1595 libraw20                           	      26     2554       66      290     2172
 1596 libreoffice-nlpsolver              	      26      559       27      506        0
 1597 libreoffice-script-provider-bsh    	      26      568       28      514        0
 1598 libreoffice-script-provider-js     	      26      570       28      516        0
 1599 libreoffice-wiki-publisher         	      26      562       28      508        0
 1600 librest-1.0-0                      	      26      367       43      226       72
 1601 libsm-dev                          	      26      932        6      900        0
 1602 lxpolkit                           	      26      204       12      166        0
 1603 nemo                               	      26      299       33      240        0
 1604 perl-modules-5.32                  	      26      725       31      668        0
 1605 python3-ply                        	      26      799        6      767        0
 1606 python3-typing-extensions          	      26      535        5      504        0
 1607 python3-xlrd                       	      26      262        2      234        0
 1608 python3.9                          	      26      720        4      689        1
 1609 qml-module-qtquick2                	      26     1372       68      324      954
 1610 rake                               	      26     1066       13     1027        0
 1611 ruby-net-telnet                    	      26     1067        9     1032        0
 1612 ruby-xmlrpc                        	      26     1020        7      987        0
 1613 untex                              	      26      247        3      218        0
 1614 emacs-bin-common                   	      25      453        8      420        0
 1615 gir1.2-caribou-1.0                 	      25      309       33      247        4
 1616 google-chrome-stable               	      25      317       32      257        3
 1617 keyutils                           	      25     1094       21     1047        1
 1618 libcairo-script-interpreter2       	      25     1306       37      200     1044
 1619 libgstreamer-plugins-bad1.0-0      	      25     3045       71      319     2630
 1620 libgtk-4-1                         	      25      994       37      196      736
 1621 libgtk-4-common                    	      25      994       36      186      747
 1622 libjpeg62-turbo-dev                	      25      710        9      676        0
 1623 libkf5kirigami2-5                  	      25     1090       57      287      721
 1624 libkf5plasmaquick5                 	      25      836       50      277      484
 1625 libkworkspace5-5                   	      25      988       50      275      638
 1626 liblzma-dev                        	      25      718        7      686        0
 1627 libmalcontent-0-0                  	      25      707       41      213      428
 1628 libopengl0                         	      25     2789      114      486     2164
 1629 libpolkit-qt5-1-1                  	      25     1257       71      347      814
 1630 libqt5quickcontrols2-5             	      25     1255       71      324      835
 1631 libqt5quicktemplates2-5            	      25     1257       71      325      836
 1632 libreoffice-help-common            	      25     2375        1      164     2185
 1633 libreoffice-uiconfig-calc          	      25      246        6      215        0
 1634 libstdc++-14-dev                   	      25      187        4      158        0
 1635 lxqt-about                         	      25      256        5      226        0
 1636 python3.13                         	      25       81        5       51        0
 1637 qml-module-org-kde-kconfig         	      25      829       50      273      481
 1638 qml-module-org-kde-kirigami2       	      25     1082       52      278      727
 1639 qml-module-qt-labs-folderlistmodel 	      25     1054       46      253      730
 1640 qml-module-qtgraphicaleffects      	      25     1304       63      305      911
 1641 qml-module-qtqml                   	      25     1210       61      310      814
 1642 qml-module-qtquick-controls2       	      25     1248       65      310      848
 1643 qml-module-qtquick-layouts         	      25     1301       65      312      899
 1644 qml-module-qtquick-templates2      	      25     1248       65      311      847
 1645 qml-module-qtquick-window2         	      25     1317       64      311      917
 1646 qpdfview                           	      25      330        9      296        0
 1647 qpdfview-djvu-plugin               	      25      316        1      290        0
 1648 qpdfview-pdf-poppler-plugin        	      25      312        6      281        0
 1649 qpdfview-ps-plugin                 	      25      319        1      293        0
 1650 systemd-standalone-sysusers        	      25      348        8      315        0
 1651 tk                                 	      25     1134       11     1098        0
 1652 analog                             	      24      313        4      285        0
 1653 emacs-common                       	      24      453        4      397       28
 1654 feathernotes                       	      24      248        5      219        0
 1655 featherpad                         	      24      279       10      245        0
 1656 gcr4                               	      24      185        1      160        0
 1657 gnupg-l10n                         	      24     3927        9      119     3775
 1658 icu-devtools                       	      24      934       12      898        0
 1659 libadwaita-1-0                     	      24      705       36      186      459
 1660 libgpgmepp6                        	      24     2695       84      318     2269
 1661 libimage-magick-perl               	      24      645        2      619        0
 1662 libsixel-bin                       	      24      353        2      327        0
 1663 libxext-dev                        	      24      963        5      934        0
 1664 lxappearance                       	      24      285        4      257        0
 1665 lximage-qt                         	      24      275       10      241        0
 1666 lxqt-admin                         	      24      247        4      219        0
 1667 lxqt-openssh-askpass               	      24      257        4      229        0
 1668 lxqt-runner                        	      24      256       25      207        0
 1669 lxqt-sudo                          	      24      279        7      248        0
 1670 mtools                             	      24      584       10      550        0
 1671 obconf                             	      24      329        9      296        0
 1672 qemu-utils                         	      24      703        9      670        0
 1673 qps                                	      24      278        7      247        0
 1674 qterminal                          	      24      281       22      235        0
 1675 smtube                             	      24      286        6      256        0
 1676 tk8.6                              	      24     1159       13     1122        0
 1677 apache2                            	      23      786      155      607        1
 1678 aspell-ru                          	      23      173        2      148        0
 1679 cpp-x86-64-linux-gnu               	      23      272       22      227        0
 1680 cryptsetup-initramfs               	      23      621       26      572        0
 1681 dh-strip-nondeterminism            	      23      905       10      872        0
 1682 fonts-cantarell                    	      23      919       87      369      440
 1683 fonts-dejavu-extra                 	      23     2402      201      819     1359
 1684 galternatives                      	      23      290       10      257        0
 1685 gawk                               	      23     1387      218     1146        0
 1686 gnome-shell-common                 	      23      194        6      162        3
 1687 gnome-shell-extension-prefs        	      23      159        1      135        0
 1688 ibus                               	      23      387       51      312        1
 1689 irussian                           	      23      167        2      142        0
 1690 jp2a                               	      23      367        2      342        0
 1691 libfile-stripnondeterminism-perl   	      23      906        6      877        0
 1692 libjuh-java                        	      23      396        1      372        0
 1693 libjurt-java                       	      23      395        1      371        0
 1694 libreoffice-l10n-es                	      23      213       11      179        0
 1695 libridl-java                       	      23      396        1      372        0
 1696 libssl-dev                         	      23      937       13      901        0
 1697 libxcb-cursor0                     	      23     1016       88      378      527
 1698 luit                               	      23      341        4      314        0
 1699 lxde-settings-daemon               	      23      218        1      194        0
 1700 lxqt-config                        	      23      276       15      238        0
 1701 lxqt-globalkeys                    	      23      256       24      209        0
 1702 lxqt-panel                         	      23      254       26      205        0
 1703 lxqt-policykit                     	      23      272       26      223        0
 1704 lxqt-powermanagement               	      23      268       25      220        0
 1705 lxqt-session                       	      23      277       26      228        0
 1706 meteo-qt                           	      23      231        5      203        0
 1707 numlockx                           	      23      216       21      172        0
 1708 pcmanfm-qt                         	      23      274       28      223        0
 1709 re                                 	      23      160        1      136        0
 1710 screengrab                         	      23      260        9      228        0
 1711 system-tools-backends              	      23      258        4      231        0
 1712 w3m-img                            	      23      415        3      389        0
 1713 debian-reference-common            	      22      396        1      373        0
 1714 dracut-install                     	      22      234       14      198        0
 1715 evolution                          	      22      237        4      211        0
 1716 evolution-plugin-bogofilter        	      22      219        3      194        0
 1717 evolution-plugin-pstimport         	      22      223        3      198        0
 1718 evolution-plugins                  	      22      233        4      207        0
 1719 g++-14                             	      22      186        7      157        0
 1720 g++-14-x86-64-linux-gnu            	      22      181        7      152        0
 1721 g++-x86-64-linux-gnu               	      22      207        7      178        0
 1722 gnome-session-common               	      22      187        5      153        7
 1723 gnome-system-tools                 	      22      239        4      213        0
 1724 im-config                          	      22      436       39      375        0
 1725 kde-config-gtk-style               	      22      551       67      308      154
 1726 kde-style-breeze                   	      22      631       57      266      286
 1727 kwin-common                        	      22      559       55      284      198
 1728 kwin-style-breeze                  	      22      627       51      244      310
 1729 libfile-which-perl                 	      22      892       42      828        0
 1730 libgdm1                            	      22      201        7      172        0
 1731 libgnomekbd8                       	      22      563       67      241      233
 1732 libhunspell-1.7-0                  	      22     3067       75      315     2655
 1733 libkf5auth5                        	      22     1116       62      305      727
 1734 libkf5globalaccelprivate5          	      22     1166       67      323      754
 1735 libkf5kcmutils5                    	      22     1080       60      298      700
 1736 libkf5parts5                       	      22     1041       60      312      647
 1737 libkwalletbackend5-5               	      22     1141       50      238      831
 1738 libperl5.40                        	      22      184       14      148        0
 1739 libphonon4qt5-4                    	      22      913       68      329      494
 1740 libqt5quickwidgets5                	      22     1450       83      376      969
 1741 libreoffice-l10n-ru                	      22      139        4      113        0
 1742 libreoffice-uiconfig-common        	      22      256       11      223        0
 1743 libreoffice-uiconfig-writer        	      22      251        9      220        0
 1744 libuno-purpenvhelpergcc3-3t64      	      22      186        4      160        0
 1745 lxqt-notificationd                 	      22      276       26      228        0
 1746 pcmanfm                            	      22      320       19      279        0
 1747 plasma-widgets-addons              	      22      493       49      251      171
 1748 python3-jinja2                     	      22      576        2      552        0
 1749 python3.9-minimal                  	      22      722       84      615        1
 1750 qemu-system-common                 	      22      652       20      610        0
 1751 qemu-system-gui                    	      22      549        2      418      107
 1752 qlipper                            	      22      280       19      239        0
 1753 ruby-rubygems                      	      22      934       30      882        0
 1754 rubygems-integration               	      22     1074       32     1020        0
 1755 tcl                                	      22     1171       14     1134        1
 1756 tcl8.6                             	      22     1252       17     1213        0
 1757 telnet                             	      22     1492       15      992      463
 1758 tracker                            	      22      263        3      237        1
 1759 wspanish                           	      22      288        1      265        0
 1760 aptitude-common                    	      21     1162       12     1127        2
 1761 aspell-es                          	      21      284        1      262        0
 1762 baobab                             	      21      198        2      175        0
 1763 bogofilter-bdb                     	      21      292        5      266        0
 1764 evolution-data-server              	      21      299       26      252        0
 1765 firebird3.0-utils                  	      21      705        8      676        0
 1766 gir1.2-json-1.0                    	      21      562       32      174      335
 1767 gir1.2-nma-1.0                     	      21      402       30      170      181
 1768 gir1.2-upowerglib-1.0              	      21      465       33      200      211
 1769 gnome-shell                        	      21      173       10      142        0
 1770 gnome-sushi                        	      21      196        0      175        0
 1771 gnome-tweaks                       	      21      153        0      132        0
 1772 ispanish                           	      21      276        0      255        0
 1773 kio-extras                         	      21      675       53      261      340
 1774 kwrited                            	      21      543       53      270      199
 1775 libc6-dbg                          	      21      633        7      599        6
 1776 libexiv2-27                        	      21     2604       44      254     2285
 1777 libfreetype-dev                    	      21      721        9      691        0
 1778 libgl-dev                          	      21      806        8      777        0
 1779 libglx-dev                         	      21      805        5      779        0
 1780 libgnutls28-dev                    	      21      259        1      237        0
 1781 libgsf-bin                         	      21      154        1      132        0
 1782 libkdecorations2-5v5               	      21      614       50      271      272
 1783 libkf5activities5                  	      21     1086       57      306      702
 1784 libkf5kcmutilscore5                	      21      896       54      264      557
 1785 libkscreenlocker5                  	      21      538       50      271      196
 1786 libnotificationmanager1            	      21      529       54      270      184
 1787 libpam-kwallet5                    	      21      551       42      217      271
 1788 libpowerdevilcore2                 	      21      548       54      274      199
 1789 libpython3.9-minimal               	      21      735       75      638        1
 1790 libqt5sensors5                     	      21     1195       54      277      843
 1791 libxapp1                           	      21      409       68      240       80
 1792 libxfixes-dev                      	      21      630        1      608        0
 1793 libxrender-dev                     	      21      769        6      742        0
 1794 openbox                            	      21      345       43      281        0
 1795 plasma-nm                          	      21      522       53      277      171
 1796 plasma-pa                          	      21      534       53      265      195
 1797 python3-toml                       	      21      309        0      288        0
 1798 python3-typeguard                  	      21      204        1      182        0
 1799 tk8.6-blt2.5                       	      21      903        6      876        0
 1800 xkb-data                           	      21     4120       67      378     3654
 1801 cpp-14                             	      20      244       21      203        0
 1802 cpp-14-x86-64-linux-gnu            	      20      240       21      199        0
 1803 firefox-esr-l10n-de                	      20      268       22      226        0
 1804 firefox-esr-l10n-es-mx             	      20      167       14      133        0
 1805 firefox-esr-l10n-ru                	      20      133       10      103        0
 1806 gnome-browser-connector            	      20      133        2      111        0
 1807 gnome-menus                        	      20      267       18      229        0
 1808 gnome-music                        	      20      116        0       96        0
 1809 gpicview                           	      20      228        3      205        0
 1810 khotkeys                           	      20      523       48      259      196
 1811 libappstreamqt2                    	      20      531       50      252      209
 1812 libcolorcorrect5                   	      20      526       45      250      211
 1813 libdeflate-dev                     	      20      552        3      529        0
 1814 libespeak-ng1                      	      20     2799       61      240     2478
 1815 libfontconfig-dev                  	      20      679        5      654        0
 1816 libkdecorations2private10          	      20      491       43      233      195
 1817 libkf5activitiesstats1             	      20      675       49      263      343
 1818 libkf5bluezqt6                     	      20      805       49      264      472
 1819 libkf5calendarevents5              	      20      844       49      261      514
 1820 libkf5configqml5                   	      20      454       43      231      160
 1821 libkf5kdelibs4support5             	      20      548       47      254      227
 1822 libkf5modemmanagerqt6              	      20      529       47      259      203
 1823 libkf5networkmanagerqt6            	      20      578       54      285      219
 1824 libkf5people5                      	      20      769       49      262      438
 1825 libkf5peoplebackend5               	      20      771       49      262      440
 1826 libkf5peoplewidgets5               	      20      769       49      260      440
 1827 libkf5pty5                         	      20      768       54      290      404
 1828 libkf5runner5                      	      20      976       49      265      642
 1829 libkf5screen8                      	      20      580       48      259      253
 1830 libkf5style5                       	      20      640       54      268      298
 1831 libkf5threadweaver5                	      20     1041       50      275      696
 1832 libksysguardformatter1             	      20      523       49      265      189
 1833 libkuserfeedbackcore1              	      20      638       56      289      273
 1834 libkwineffects14                   	      20      435       43      233      139
 1835 libkwinglutils14                   	      20      436       43      233      140
 1836 libpixman-1-dev                    	      20      600        2      578        0
 1837 libprocesscore9                    	      20      521       49      265      187
 1838 libqaccessibilityclient-qt5-0      	      20      521       43      230      228
 1839 libqt5concurrent5                  	      20     1290       64      316      890
 1840 libqt5sql5-sqlite                  	      20     1775       75      351     1329
 1841 libreadline8                       	      20     3384       71      251     3042
 1842 libtaskmanager6abi1                	      20      514       49      262      183
 1843 libxapian30                        	      20     3457       58      266     3113
 1844 libxcb-dpms0                       	      20      735       57      289      369
 1845 libxkbregistry0                    	      20     1440       72      366      982
 1846 libxrandr-dev                      	      20      600        2      578        0
 1847 lxrandr                            	      20      227        3      204        0
 1848 lxsession-edit                     	      20      185        2      163        0
 1849 lxtask                             	      20      205        4      181        0
 1850 nautilus                           	      20      211        4      187        0
 1851 neofetch                           	      20      430       15      395        0
 1852 perl-modules-5.40                  	      20      184       19      145        0
 1853 plasma-vault                       	      20      425       43      229      133
 1854 python3-anyio                      	      20      470        2      448        0
 1855 python3-httpx                      	      20      462        3      439        0
 1856 python3-jaraco.context             	      20      223        2      201        0
 1857 qml-module-org-kde-bluezqt         	      20      801       42      248      491
 1858 qml-module-org-kde-draganddrop     	      20      541       49      262      210
 1859 qml-module-org-kde-kcoreaddons     	      20      582       49      261      252
 1860 qml-module-org-kde-kquickcontrolsaddons	      20      874       50      266      538
 1861 qml-module-org-kde-kwindowsystem   	      20      539       49      262      208
 1862 qml-module-org-kde-qqc2desktopstyle	      20      570       50      265      235
 1863 qml-module-org-kde-quickcharts     	      20      525       49      261      195
 1864 qml-module-qt-labs-settings        	      20     1056       44      245      747
 1865 qml-module-qtqml-models2           	      20     1274       61      294      899
 1866 qml-module-qtquick-controls        	      20     1235       50      272      893
 1867 qml-module-qtquick-dialogs         	      20     1064       46      251      747
 1868 sysuser-helper                     	      20      315       21      274        0
 1869 tracker-extract                    	      20      256       25      210        1
 1870 exfat-fuse                         	      19      814        7      788        0
 1871 exfat-utils                        	      19      674        6      649        0
 1872 firefox-esr-l10n-es-cl             	      19      163       15      129        0
 1873 firefox-esr-l10n-es-es             	      19      176       16      141        0
 1874 gcc-x86-64-linux-gnu               	      19      218       11      188        0
 1875 gir1.2-graphene-1.0                	      19      498       28      157      294
 1876 gnome-calendar                     	      19      129        2      108        0
 1877 gnome-color-manager                	      19      124        1      104        0
 1878 gnome-contacts                     	      19      123        0      104        0
 1879 gnome-initial-setup                	      19       93        0       74        0
 1880 gnome-maps                         	      19      120        0      101        0
 1881 gnome-session                      	      19      125        0      102        4
 1882 libcairo2-dev                      	      19      563        5      539        0
 1883 libdbus-1-dev                      	      19      543        3      521        0
 1884 libegl-dev                         	      19      734        5      710        0
 1885 libevolution                       	      19      239       22      198        0
 1886 libexporter-tiny-perl              	      19      733       11      703        0
 1887 libgdk-pixbuf-2.0-dev              	      19      482        4      459        0
 1888 libharfbuzz-dev                    	      19      517        6      492        0
 1889 libjbig-dev                        	      19      594        1      574        0
 1890 libjson-xs-perl                    	      19      977       18      940        0
 1891 libkf5kexiv2-15.0.0                	      19      719       36      207      457
 1892 libkf5notifyconfig5                	      19      899       54      263      563
 1893 libkf5screendpms8                  	      19      584       42      229      294
 1894 libkpipewire5                      	      19      434       42      229      144
 1895 libmime-types-perl                 	      19      678        9      650        0
 1896 libmpfr6                           	      19     3681       71      288     3303
 1897 libpackagekitqt5-1                 	      19      605       43      244      299
 1898 libpcaudio0                        	      19     2813       62      240     2492
 1899 libpython3.9-stdlib                	      19      734       83      631        1
 1900 libreoffice-l10n-en-gb             	      19      207       12      176        0
 1901 libsonic0                          	      19     2856       62      241     2534
 1902 libwebp-dev                        	      19      547        2      526        0
 1903 libxcb-shm0-dev                    	      19      586        1      566        0
 1904 libxcursor-dev                     	      19      572        2      551        0
 1905 libxdamage-dev                     	      19      513        1      493        0
 1906 libxft-dev                         	      19      616        4      593        0
 1907 libxi-dev                          	      19      588        1      568        0
 1908 libzstd-dev                        	      19      579        2      558        0
 1909 low-memory-monitor                 	      19      119        1       98        1
 1910 lxhotkey-core                      	      19      177        2      156        0
 1911 lxinput                            	      19      193        3      171        0
 1912 lxlock                             	      19      193        3      171        0
 1913 lxpanel                            	      19      224       13      192        0
 1914 lxterminal                         	      19      257       16      222        0
 1915 myspell-es                         	      19      193        0      174        0
 1916 plasma-thunderbolt                 	      19      424       38      215      152
 1917 python3-ntp                        	      19     1079       35     1025        0
 1918 qemu-system-x86                    	      19      639       50      570        0
 1919 qml-module-org-kde-kitemmodels     	      19      943       29      196      699
 1920 qml-module-org-kde-prison          	      19      719       27      170      503
 1921 qml-module-qt-labs-platform        	      19      855       51      253      532
 1922 ruby-webrick                       	      19      748        5      724        0
 1923 runit-init                         	      19      270       34      217        0
 1924 tex-common                         	      19      958       15      924        0
 1925 texlive-base                       	      19      631       14      598        0
 1926 texlive-binaries                   	      19      632       24      589        0
 1927 cmake                              	      18      679       12      649        0
 1928 cmake-data                         	      18      683       10      655        0
 1929 firefox-esr-l10n-es-ar             	      18      167       16      133        0
 1930 gcc-14                             	      18      200       11      171        0
 1931 gcc-14-x86-64-linux-gnu            	      18      195       11      166        0
 1932 gdb                                	      18      643       15      610        0
 1933 gnome-clocks                       	      18      136        2      116        0
 1934 gnome-shell-extensions             	      18      115        2       93        2
 1935 gnome-text-editor                  	      18      105        1       86        0
 1936 gnome-weather                      	      18      119        3       98        0
 1937 libaccountsservice0                	      18     1386       31      127     1210
 1938 libapr1                            	      18     1392      137      394      843
 1939 libdatrie-dev                      	      18      449        1      430        0
 1940 libdotconf0                        	      18     2523       63      242     2200
 1941 libfribidi-dev                     	      18      501        2      481        0
 1942 libgles-dev                        	      18      646        4      624        0
 1943 libglvnd-core-dev                  	      18      533        0      509        6
 1944 libgraphite2-dev                   	      18      523        1      504        0
 1945 libgspell-1-2                      	      18     2084       36      198     1832
 1946 libkf5balooengine5                 	      18      671       28      160      465
 1947 libkf5filemetadata3                	      18      805       30      174      583
 1948 liblerc-dev                        	      18      487        1      468        0
 1949 libmail-sendmail-perl              	      18      944        7      919        0
 1950 libpango1.0-dev                    	      18      475        4      453        0
 1951 libphonenumber8                    	      18      789       37      191      543
 1952 libprotobuf32                      	      18     1431       42      196     1175
 1953 libreoffice-l10n-de                	      18      300       13      269        0
 1954 libspeechd2                        	      18     2753       70      271     2394
 1955 libsys-hostname-long-perl          	      18      995       11      966        0
 1956 libthai-dev                        	      18      449        1      430        0
 1957 libxcb-render0-dev                 	      18      627        3      606        0
 1958 libxinerama-dev                    	      18      620        5      597        0
 1959 lsb-base                           	      18     4067      206      878     2965
 1960 lxlauncher                         	      18      140        0      122        0
 1961 lxsession                          	      18      193       12      163        0
 1962 lxsession-default-apps             	      18      144        0      126        0
 1963 lxsession-logout                   	      18      191        5      168        0
 1964 openbox-lxde-session               	      18      180       10      152        0
 1965 python3-httpcore                   	      18      463        2      443        0
 1966 python3-sipbuild                   	      18      178        0      160        0
 1967 python3-tk                         	      18      857        6      755       78
 1968 rfkill                             	      18      695       15      662        0
 1969 runit                              	      18      299       49      232        0
 1970 speech-dispatcher-espeak-ng        	      18     2473       63      240     2152
 1971 texlive-latex-base                 	      18      606       19      569        0
 1972 tracker-miner-fs                   	      18      255       35      202        0
 1973 usermode                           	      18      177        1      158        0
 1974 autopoint                          	      17      993       12      964        0
 1975 dvisvgm                            	      17      508        3      488        0
 1976 fig2dev                            	      17      677        7      653        0
 1977 gdm3                               	      17      159       15      126        1
 1978 gparted                            	      17      895       12      865        1
 1979 libaprutil1                        	      17     1383      138      401      827
 1980 libclass-inspector-perl            	      17      668       20      631        0
 1981 libdbus-glib-1-2                   	      17     3023      160      866     1980
 1982 libdebhelper-perl                  	      17      826        5      804        0
 1983 libgeocode-glib-2-0                	      17      427       24      140      246
 1984 libgtk-layer-shell0                	      17      609       62      290      240
 1985 libharfbuzz-subset0                	      17     1422       60      215     1130
 1986 libhfstospell11                    	      17     1123       20      123      963
 1987 libkf5baloo5                       	      17      670       28      156      469
 1988 libpython3.13-minimal              	      17       93       26       50        0
 1989 libref-util-perl                   	      17      713        9      687        0
 1990 libregexp-assemble-perl            	      17      547        5      525        0
 1991 libsoup2.4-1                       	      17     3042       78      403     2544
 1992 libuno-cppu3t64                    	      17      186       11      158        0
 1993 libuno-cppuhelpergcc3-3t64         	      17      186       11      158        0
 1994 libuno-sal3t64                     	      17      186       11      158        0
 1995 libuno-salhelpergcc3-3t64          	      17      186       11      158        0
 1996 libvoikko1                         	      17     1171       20      123     1011
 1997 libxapp-gtk3-module                	      17      324       55      202       50
 1998 libxatracker2                      	      17     3098       23      144     2914
 1999 libxcomposite-dev                  	      17      476        0      459        0
 2000 node-normalize.css                 	      17     2425        3      427     1978
 2001 pango1.0-tools                     	      17      470        5      448        0
 2002 preload                            	      17      243       48      178        0
 2003 python3-h11                        	      17      498        5      476        0
 2004 python3-openssl                    	      17      693        4      671        1
 2005 python3-rich                       	      17      481        3      461        0
 2006 python3-sniffio                    	      17      501        5      479        0
 2007 python3.13-minimal                 	      17       86       25       44        0
 2008 qtwayland5                         	      17     1993       18      126     1832
 2009 sonnet-plugins                     	      17     1168       17      121     1013
 2010 speech-dispatcher-audio-plugins    	      17     2511       60      233     2201
 2011 usrmerge                           	      17      252        0      235        0
 2012 xxkb                               	      17      111        2       92        0
 2013 brave-browser                      	      16      190       25      148        1
 2014 btrfs-progs                        	      16      432       36      380        0
 2015 dwz                                	      16      869        9      844        0
 2016 firefox-esr-l10n-en-gb             	      16      175       17      142        0
 2017 flatpak                            	      16      370       49      305        0
 2018 fonts-droid-fallback               	      16     3445       87      386     2956
 2019 ibus-gtk4                          	      16      276       14       74      172
 2020 inkscape                           	      16      614       14      584        0
 2021 java-wrappers                      	      16      670        8      646        0
 2022 libatk1.0-dev                      	      16      426        4      406        0
 2023 libcamel-1.2-64                    	      16      428       21      125      266
 2024 libcanberra-pulse                  	      16     1254       79      307      852
 2025 libebackend-1.2-11                 	      16      229       20      125       68
 2026 libebook-1.2-21                    	      16      228       20      124       68
 2027 libebook-contacts-1.2-4            	      16      277       20      124      117
 2028 libecal-2.0-2                      	      16      370       21      124      209
 2029 libedata-book-1.2-27               	      16      228       20      124       68
 2030 libedata-cal-2.0-2                 	      16      212       20      123       53
 2031 libedataserver-1.2-27              	      16      428       21      125      266
 2032 libedataserverui-1.2-4             	      16      212       20      124       52
 2033 libegl1-mesa-dev                   	      16      370        1      353        0
 2034 libgio-2.0-dev                     	      16       65        0       49        0
 2035 libgnome-desktop-3-20              	      16      808       24      128      640
 2036 libgweather-4-0                    	      16      394       22      128      228
 2037 libibus-1.0-5                      	      16     1171       58      264      833
 2038 libjson-perl                       	      16      945       21      908        0
 2039 libkf5windowsystem-data            	      16     1323       70      325      912
 2040 libxkbcommon-dev                   	      16      452        3      433        0
 2041 libxml2-dev                        	      16      672        5      651        0
 2042 mailutils                          	      16     1181       41     1124        0
 2043 mupdf-tools                        	      16      438        4      418        0
 2044 policykit-1                        	      16     3610      113      822     2659
 2045 python3-autocommand                	      16      218        1      201        0
 2046 python3-inflect                    	      16      217        1      200        0
 2047 python3-jaraco.functools           	      16      231        3      212        0
 2048 python3-markdown                   	      16      735        8      711        0
 2049 python3-markdown-it                	      16      480        6      458        0
 2050 python3-mdurl                      	      16      480        4      460        0
 2051 python3-pyasn1                     	      16      450        3      431        0
 2052 python3-pyasn1-modules             	      16      341       10      315        0
 2053 python3-wheel                      	      16      779        4      756        3
 2054 ruby2.7                            	      16      231        1      214        0
 2055 strace                             	      16      700       10      674        0
 2056 syslinux-common                    	      16      418        6      396        0
 2057 wngerman                           	      16      383        5      362        0
 2058 xdg-desktop-portal-gnome           	      16      111       11       84        0
 2059 ant                                	      15      851       10      826        0
 2060 btop                               	      15      165       10      140        0
 2061 debhelper                          	      15      914       12      887        0
 2062 gir1.2-accountsservice-1.0         	      15      432       27      133      257
 2063 girepository-tools                 	      15       65        1       49        0
 2064 ingerman                           	      15      363        4      344        0
 2065 iswiss                             	      15      344        4      325        0
 2066 libcmark0.30.2                     	      15      286       18      114      139
 2067 libextutils-pkgconfig-perl         	      15      446        1      430        0
 2068 libffado2                          	      15      298       11      272        0
 2069 libgio-2.0-dev-bin                 	      15       65        1       49        0
 2070 libgnome-autoar-0-0                	      15      340       20      135      170
 2071 libgnome-autoar-gtk-0-0            	      15      240       19      131       75
 2072 libgtk-3-dev                       	      15      279        2      262        0
 2073 libminizip1                        	      15     1737       69      275     1378
 2074 libreadonly-perl                   	      15      766        7      744        0
 2075 libssl1.1                          	      15     1927      166      586     1160
 2076 libwayland-dev                     	      15      454        2      437        0
 2077 libwmf-bin                         	      15      643        8      620        0
 2078 linux-image-6.1.0-10-686           	      15       76        1       60        0
 2079 linux-sysctl-defaults              	      15      213       25      173        0
 2080 pavucontrol-qt                     	      15      196       10      171        0
 2081 pkg-config                         	      15     1536        7      558      956
 2082 python3-cssselect                  	      15      606        1      590        0
 2083 python3-decorator                  	      15      865        4      846        0
 2084 python3-pytz                       	      15       16        1        0        0
 2085 python3-scour                      	      15      593        2      576        0
 2086 python3-zipp                       	      15      598        1      582        0
 2087 ruby-minitest                      	      15      661        6      640        0
 2088 thin-provisioning-tools            	      15      590       21      554        0
 2089 xscreensaver-data                  	      15     2025       25      465     1520
 2090 acpi                               	      14      590       14      562        0
 2091 aptitude                           	      14     1142       46     1082        0
 2092 aspell-de                          	      14      383       10      359        0
 2093 cdparanoia                         	      14      528        8      506        0
 2094 dh-autoreconf                      	      14      908       11      883        0
 2095 emacs-gtk                          	      14      324       20      290        0
 2096 ethtool                            	      14     1130       63     1053        0
 2097 firmware-nvidia-gsp                	      14      159        4      141        0
 2098 hddtemp                            	      14      628       15      599        0
 2099 hwinfo                             	      14      335        6      315        0
 2100 kwayland-integration               	      14     1228       16      115     1083
 2101 libarchive-cpio-perl               	      14      729        6      709        0
 2102 libbatik-java                      	      14      596       10      571        1
 2103 libgnome-bg-4-2                    	      14      303       11       66      212
 2104 libgnome-desktop-4-2               	      14      356       11       66      265
 2105 libgtop-2.0-11                     	      14     2277       73      348     1842
 2106 libintl-perl                       	      14      489       36      439        0
 2107 libkf5akonadisearchpim5            	      14      474       29      130      301
 2108 libkf5solid5-data                  	      14     1237       64      302      857
 2109 libpcre3                           	      14     3578      163      592     2809
 2110 libpython3.13-stdlib               	      14       88       26       48        0
 2111 libqt5positioning5                 	      14     1447       44      165     1224
 2112 libqt5webchannel5                  	      14     1426       44      165     1203
 2113 libsysprof-capture-4-dev           	      14       84        1       69        0
 2114 libtypes-serialiser-perl           	      14      974       22      938        0
 2115 libvulkan1                         	      14     3304      109      424     2757
 2116 libwayland-bin                     	      14      454        3      437        0
 2117 libxt-dev                          	      14      715        8      693        0
 2118 linux-image-5.10.0-33-amd64        	      14      217        2      200        1
 2119 linux-image-5.10.0-9-amd64         	      14      235        1      218        2
 2120 linux-image-6.1.0-31-686           	      14       16        1        1        0
 2121 nodejs                             	      14      445       13      418        0
 2122 parcellite                         	      14      150       19      117        0
 2123 plasma-runners-addons              	      14      491       12       70      395
 2124 python3-babel                      	      14      497        7      476        0
 2125 python3-incremental                	      14      295        2      279        0
 2126 python3-kiwisolver                 	      14      546        6      525        1
 2127 python3-scipy                      	      14      541        3      524        0
 2128 python3-sympy                      	      14      472        6      452        0
 2129 python3-twisted                    	      14      290        7      269        0
 2130 qemu-block-extra                   	      14      472        1      386       71
 2131 quassel                            	      14      139        0      125        0
 2132 ruby3.1                            	      14      728       22      692        0
 2133 xclip                              	      14      402       16      372        0
 2134 xorriso                            	      14      482        3      465        0
 2135 apt-file                           	      13      543       13      517        0
 2136 attr                               	      13      538        8      517        0
 2137 cpp-10                             	      13     1153       45     1095        0
 2138 grub-efi-amd64-unsigned            	      13      137        1      123        0
 2139 hunspell-de-de                     	      13      310        4      289        4
 2140 isympy-common                      	      13      424        1      410        0
 2141 isympy3                            	      13      424        1      410        0
 2142 k3b                                	      13      291        5      273        0
 2143 libatk-bridge2.0-dev               	      13      283        0      270        0
 2144 libatspi2.0-dev                    	      13      284        0      271        0
 2145 libcanberra-gtk3-module            	      13     2571       23       85     2450
 2146 libepoxy-dev                       	      13      311        0      298        0
 2147 libfile-sharedir-perl              	      13      546       20      513        0
 2148 libgmp-dev                         	      13      575        7      555        0
 2149 libintl-xs-perl                    	      13      389       33      320       23
 2150 libiptcdata0                       	      13      324       24      124      163
 2151 libkf5akonadisearch-plugins        	      13      485       24      113      335
 2152 libkf5auth-data                    	      13     1222       62      287      860
 2153 libkf5codecs-data                  	      13     1225       62      282      868
 2154 libkf5completion-data              	      13     1236       61      276      886
 2155 libkf5config-data                  	      13     1287       62      283      929
 2156 libkf5dbusaddons-data              	      13     1222       62      288      859
 2157 libkf5unitconversion5              	      13      494       12       84      385
 2158 libkf6kcmutils-bin                 	      13       54        1       40        0
 2159 liblist-moreutils-perl             	      13      648       10      499      126
 2160 libmarco-private2                  	      13      486       52      242      179
 2161 libmate-menu2                      	      13      534       59      260      202
 2162 libmate-panel-applet-4-1           	      13      555       51      245      246
 2163 libmatekbd4                        	      13      530       54      244      219
 2164 libmatemixer0                      	      13      527       54      246      214
 2165 libpoppler-glib8                   	      13     2919       33      196     2677
 2166 libpoppler126                      	      13     2468       42      198     2215
 2167 libqalculate22                     	      13      463        9       61      380
 2168 libqt5webenginecore5               	      13     1163       40      153      957
 2169 librda0                            	      13      508       60      262      173
 2170 libre2-9                           	      13     1224       41      144     1026
 2171 librewolf                          	      13       97        9       75        0
 2172 libruby2.7                         	      13      505       44      448        0
 2173 libsub-override-perl               	      13      745        3      729        0
 2174 libtracker-sparql-3.0-0            	      13      455       21      103      318
 2175 libtumbler-1-0                     	      13     1721       14      122     1572
 2176 libxtst-dev                        	      13      342        0      329        0
 2177 mate-polkit                        	      13      547       51      239      244
 2178 mate-screensaver-common            	      13      499       53      208      225
 2179 metacity                           	      13       98        2       83        0
 2180 nvidia-kernel-dkms                 	      13      171        7      151        0
 2181 nvidia-suspend-common              	      13      122        1      108        0
 2182 picom                              	      13      148        9      126        0
 2183 po-debconf                         	      13      932       12      907        0
 2184 python3-automat                    	      13      292        4      275        0
 2185 python3-configobj                  	      13      501        6      482        0
 2186 python3-fonttools                  	      13      466        1      452        0
 2187 python3-hamcrest                   	      13      291        1      277        0
 2188 python3-hyperlink                  	      13      293        3      277        0
 2189 python3-importlib-metadata         	      13      527        2      512        0
 2190 python3-lz4                        	      13      506        1      492        0
 2191 python3-pil.imagetk                	      13      509        4      492        0
 2192 python3-pip                        	      13      775       14      748        0
 2193 python3-regex                      	      13      344       13      318        0
 2194 python3-rfc3986                    	      13      403        1      389        0
 2195 python3-tqdm                       	      13      240        5      222        0
 2196 python3.11-venv                    	      13      379        1      365        0
 2197 qt5-gtk-platformtheme              	      13     2238       73      295     1857
 2198 qt5-qmake-bin                      	      13      352        1      338        0
 2199 qtbase5-dev-tools                  	      13      384        4      361        6
 2200 ruby-power-assert                  	      13      660        6      641        0
 2201 ruby-test-unit                     	      13      658        6      639        0
 2202 squashfs-tools                     	      13      403        5      385        0
 2203 syslinux                           	      13      366        4      349        0
 2204 tdb-tools                          	      13      430        8      409        0
 2205 texlive-latex-recommended          	      13      511        3      495        0
 2206 alacarte                           	      12       92        1       79        0
 2207 audacity                           	      12      511       18      481        0
 2208 debootstrap                        	      12      493        8      473        0
 2209 dhcpcd-base                        	      12       80        9       59        0
 2210 dvd+rw-tools                       	      12      379        7      360        0
 2211 firmware-ath9k-htc                 	      12      264        2      250        0
 2212 flac                               	      12      402        3      387        0
 2213 fonts-hack                         	      12      810       35      163      600
 2214 galculator                         	      12      327       12      303        0
 2215 gnome-applets                      	      12       60        1       47        0
 2216 gnome-flashback                    	      12       63        1       50        0
 2217 gnome-flashback-common             	      12       65        1       49        3
 2218 gnome-panel                        	      12       64        1       51        0
 2219 gnome-power-manager                	      12       75        0       63        0
 2220 libdate-manip-perl                 	      12      591       26      553        0
 2221 libemail-date-format-perl          	      12      631        6      613        0
 2222 libgoa-1.0-common                  	      12     1690       20      156     1502
 2223 libgraphicsmagick-q16-3            	      12      540        2      526        0
 2224 libjavascriptcoregtk-4.0-18        	      12     2231       24      129     2066
 2225 libjs-popper.js                    	      12      324        2      310        0
 2226 libkf5itemviews-data               	      12     1252       56      242      942
 2227 libkf5konq6                        	      12      529        2       27      488
 2228 libkf5notifications-data           	      12     1214       62      277      863
 2229 libkf6config-bin                   	      12       86        2       72        0
 2230 libkf6dbusaddons-bin               	      12       80        2       66        0
 2231 libkf6iconthemes-bin               	      12       68        1       55        0
 2232 libmate-desktop-2-17               	      12      560       59      260      229
 2233 libmateweather1                    	      12      507       47      232      216
 2234 libmime-lite-perl                  	      12      589        6      571        0
 2235 libqt5opengl5-dev                  	      12      300        2      286        0
 2236 libqt5webengine-data               	      12     1168       10       57     1089
 2237 libqt5webenginewidgets5            	      12     1056       36      144      864
 2238 libsqlite3-dev                     	      12      386        5      369        0
 2239 libssl3t64                         	      12      299       60      216       11
 2240 libtiff5                           	      12     1324       73      362      877
 2241 linux-image-5.10.0-34-amd64        	      12       13        1        0        0
 2242 ntpsec-ntpdig                      	      12      546       24      510        0
 2243 nvidia-driver-bin                  	      12      161        2      147        0
 2244 opensc                             	      12      471       35      424        0
 2245 proj-bin                           	      12      637        6      619        0
 2246 psutils                            	      12      423        5      406        0
 2247 python3-constantly                 	      12      294        2      280        0
 2248 python3-contourpy                  	      12      450        1      437        0
 2249 python3-msgpack                    	      12      447       16      419        0
 2250 python3-netifaces                  	      12      372       14      346        0
 2251 python3-service-identity           	      12      294        2      280        0
 2252 python3-tomli                      	      12      123        1      110        0
 2253 python3-zope.interface             	      12      389       56      321        0
 2254 qml-module-org-kde-pipewire        	      12      411       13       76      310
 2255 rpm-common                         	      12      339       12      315        0
 2256 rpm2cpio                           	      12      277        3      262        0
 2257 shotwell-common                    	      12      438       18      121      287
 2258 sqlite3                            	      12      579       12      555        0
 2259 texlive-pictures                   	      12      443        2      429        0
 2260 bind9-utils                        	      11      211       13      187        0
 2261 cinnamon-l10n                      	      11      311       12       91      197
 2262 code                               	      11      118        5      102        0
 2263 connman                            	      11      200       20      169        0
 2264 dc                                 	      11      800       11      778        0
 2265 geany-common                       	      11      269        2      256        0
 2266 gir1.2-atspi-2.0                   	      11     2633       10       68     2544
 2267 gir1.2-cinnamondesktop-3.0         	      11      290       29      145      105
 2268 gir1.2-cmenu-3.0                   	      11      279       27      132      109
 2269 gir1.2-cvc-1.0                     	      11      289       29      145      104
 2270 gir1.2-gck-1                       	      11      197        6       42      138
 2271 gir1.2-gcr-3                       	      11      196        6       42      137
 2272 gir1.2-meta-muffin-0.0             	      11      279       27      138      103
 2273 gir1.2-polkit-1.0                  	      11     2357        8       51     2287
 2274 gnome-desktop3-data                	      11     1443        7       53     1372
 2275 gnome-settings-daemon              	      11      429        8       62      348
 2276 hunspell-de-at                     	      11      244        1      228        4
 2277 hunspell-de-ch                     	      11      248        1      232        4
 2278 kpackagetool6                      	      11       45        2       32        0
 2279 libayatana-appindicator1           	      11      224       15       72      126
 2280 libayatana-indicator7              	      11      224       15       72      126
 2281 libc++1-16                         	      11      353       16      326        0
 2282 libc++abi1-16                      	      11      353       16      326        0
 2283 libcaja-extension1                 	      11     2031       52      243     1725
 2284 libcinnamon-menu-3-0               	      11      286       27      132      116
 2285 libcjs0                            	      11      279       27      132      109
 2286 libdbusmenu-gtk4                   	      11      354       15       74      254
 2287 libffi7                            	      11     1376      139      502      724
 2288 libgeoclue-2-0                     	      11      563        8       52      492
 2289 libgnome-bluetooth-3.0-13          	      11      328        6       44      267
 2290 libgnutls30t64                     	      11      294       57      206       20
 2291 libgtk2.0-0                        	      11     3099       75      297     2716
 2292 libhogweed6t64                     	      11      295       59      207       18
 2293 libicu67                           	      11      818      130      500      177
 2294 libkf5globalaccel-data             	      11     1203       53      234      905
 2295 libkf6guiaddons-bin                	      11       78        0       67        0
 2296 libnemo-extension1                 	      11      306       28      136      131
 2297 libnettle8t64                      	      11      295       59      207       18
 2298 libogdi4.1                         	      11      622        3      546       62
 2299 libpod-parser-perl                 	      11      410        2      397        0
 2300 libqt6bluetooth6-bin               	      11       40        1       28        0
 2301 libsynctex2                        	      11     2636       66      274     2285
 2302 libtext-unidecode-perl             	      11      733       14      708        0
 2303 libtype-tiny-perl                  	      11      369        3      355        0
 2304 libunwind-16                       	      11      355       16      328        0
 2305 libwebp6                           	      11     1594       86      392     1105
 2306 linux-cpupower                     	      11      128        1      116        0
 2307 linux-image-6.12.12-amd64          	      11       26       14        1        0
 2308 lzip                               	      11      350        4      335        0
 2309 nemo-fileroller                    	      11      297       26      136      124
 2310 nfs-common                         	      11      947      208      728        0
 2311 nmap                               	      11      964       23      929        1
 2312 nvidia-installer-cleanup           	      11      248        7      230        0
 2313 nvidia-support                     	      11      217        7      199        0
 2314 ofono                              	      11      184       20      153        0
 2315 openjdk-11-jre-headless            	      11      721       10      699        1
 2316 plasma-activities-bin              	      11       53        1       41        0
 2317 plasma-workspace-data              	      11      533       34      158      330
 2318 printer-driver-postscript-hp       	      11      672        7      654        0
 2319 python3-executing                  	      11      262        1      250        0
 2320 python3-greenlet                   	      11      220        2      207        0
 2321 python3-ifaddr                     	      11      301        3      287        0
 2322 python3-joblib                     	      11      156        0      145        0
 2323 python3-libxml2                    	      11      392       14      367        0
 2324 qt5-qmake                          	      11      356        4      337        4
 2325 qtbase5-dev                        	      11      334        3      320        0
 2326 texlive-latex-extra                	      11      436       15      410        0
 2327 texlive-plain-generic              	      11      422        1      410        0
 2328 update-glx                         	      11      267        6      250        0
 2329 vorbis-tools                       	      11      457        4      442        0
 2330 xvfb                               	      11      170        5      154        0
 2331 zerofree                           	      11      266        6      249        0
 2332 arch-test                          	      10      424        6      408        0
 2333 bamfdaemon                         	      10      132        7      115        0
 2334 deluge                             	      10      197        0      187        0
 2335 firmware-carl9170                  	      10      114        0      104        0
 2336 geany                              	      10      270       17      243        0
 2337 gir1.2-gdesktopenums-3.0           	      10      469        7       46      406
 2338 gir1.2-gdm-1.0                     	      10      183        6       46      121
 2339 gir1.2-geoclue-2.0                 	      10      252        7       55      180
 2340 gir1.2-gnomebluetooth-3.0          	      10      143        6       41       86
 2341 gir1.2-gnomedesktop-3.0            	      10      421        6       41      364
 2342 gir1.2-gweather-4.0                	      10      154        6       41       97
 2343 gir1.2-ibus-1.0                    	      10      575        6       83      476
 2344 gir1.2-malcontent-0                	      10      396        6       43      337
 2345 gir1.2-mutter-11                   	      10      130        6       35       79
 2346 gir1.2-rsvg-2.0                    	      10      714       11       56      637
 2347 gir1.2-soup-3.0                    	      10      557        9       51      487
 2348 gnome-software-common              	      10      392       11       96      275
 2349 golang-go                          	      10      172        2      160        0
 2350 icoutils                           	      10      310       10      290        0
 2351 konsole-kpart                      	      10      627       33      161      423
 2352 libarchive-tools                   	      10      118        3      105        0
 2353 libatrildocument3                  	      10     1918       52      241     1615
 2354 libbz2-dev                         	      10      420        4      406        0
 2355 libcinnamon-desktop4               	      10      295       29      134      122
 2356 libcvc0                            	      10      268       27      125      106
 2357 libfile-homedir-perl               	      10      521        6      505        0
 2358 libgjs0g                           	      10      558        7       63      478
 2359 libglib2.0-0t64                    	      10      282       52      204       16
 2360 libkf5archive-data                 	      10      975       55      240      670
 2361 libkf6service-bin                  	      10       72        4       58        0
 2362 libldap-2.4-2                      	      10     1308      130      468      700
 2363 libmime-charset-perl               	      10      545        2      533        0
 2364 libmozjs-102-0                     	      10      410        7       37      356
 2365 libmozjs-78-0                      	      10      310       26      130      144
 2366 libmuffin0                         	      10      274       26      125      113
 2367 libmutter-11-0                     	      10      302        7       35      250
 2368 libparse-recdescent-perl           	      10      499        5      484        0
 2369 libspreadsheet-parseexcel-perl     	      10      365        2      353        0
 2370 libwacom2                          	      10      703       68      350      275
 2371 libwine                            	      10      523       14      467       32
 2372 libxtables12                       	      10     4087       85      335     3657
 2373 live-boot                          	      10      163        2      151        0
 2374 netcat-openbsd                     	      10      634       23      601        0
 2375 nettle-dev                         	      10      272        0      262        0
 2376 ninja-build                        	      10      299        6      283        0
 2377 nm-connection-editor               	      10       78       13       55        0
 2378 printer-driver-hpcups              	      10      690        5      675        0
 2379 python3-fs                         	      10      466        1      455        0
 2380 python3-jeepney                    	      10      391        2      379        0
 2381 python3-magic                      	      10      335        4      321        0
 2382 python3-matplotlib                 	      10      546       76      460        0
 2383 python3-mechanize                  	      10      279        2      267        0
 2384 python3-pygame                     	      10      291       22      259        0
 2385 python3-pyqt5.qtopengl             	      10      275        5      260        0
 2386 python3-rencode                    	      10      262        6      246        0
 2387 python3-simplejson                 	      10      368       22      336        0
 2388 python3-typer                      	      10       73        0       63        0
 2389 python3-userpath                   	      10      142        0      132        0
 2390 python3-zeroconf                   	      10      297        1      286        0
 2391 python3.12-tk                      	      10       76        1       65        0
 2392 qemu-system-arm                    	      10      214        3      201        0
 2393 qml-module-org-kde-sonnet          	      10      473       11       69      383
 2394 qtspeech5-speechd-plugin           	      10     1092        4       33     1045
 2395 r-cran-mass                        	      10      141        3      128        0
 2396 tcpdump                            	      10      704       11      683        0
 2397 vcdimager                          	      10      317        7      300        0
 2398 xfce4-notes                        	      10      173        5      158        0
 2399 chromium-l10n                      	       9      134        9      116        0
 2400 deluge-common                      	       9      212        4      199        0
 2401 deluge-gtk                         	       9      207        3      195        0
 2402 devscripts                         	       9      269        4      256        0
 2403 fastfetch                          	       9       41        4       28        0
 2404 firebird-utils                     	       9       10        1        0        0
 2405 firmware-intel-graphics            	       9      114        4      101        0
 2406 firmware-intel-misc                	       9      112        7       96        0
 2407 fonts-symbola                      	       9     2603      115      439     2040
 2408 g++-10                             	       9      549        3      537        0
 2409 gcc-10                             	       9      981       18      954        0
 2410 gfortran                           	       9      341        7      325        0
 2411 grub-customizer                    	       9       73        2       62        0
 2412 hdparm                             	       9     1484      100     1375        0
 2413 kio6                               	       9       72        7       56        0
 2414 kwallet6                           	       9       86        8       69        0
 2415 libatk-adaptor                     	       9     2397       49      213     2126
 2416 libcarp-clan-perl                  	       9      449        6      434        0
 2417 libclass-accessor-perl             	       9      563       19      535        0
 2418 libcrypt-rc4-perl                  	       9      366        2      355        0
 2419 libdate-calc-perl                  	       9      441        6      426        0
 2420 libdbi-perl                        	       9      679       32      638        0
 2421 libdigest-perl-md5-perl            	       9      366        2      355        0
 2422 libflac8                           	       9      988       60      312      607
 2423 libgail-common                     	       9     3197       54      219     2915
 2424 libgail18                          	       9     2994       51      211     2723
 2425 libglu1-mesa-dev                   	       9      663        6      648        0
 2426 libgphoto2-6t64                    	       9      214       32      173        0
 2427 libipc-run-perl                    	       9      475       13      453        0
 2428 libjcode-pm-perl                   	       9      371        3      359        0
 2429 libole-storage-lite-perl           	       9      370        2      359        0
 2430 libpng16-16t64                     	       9      283       44      181       49
 2431 libreoffice-uiconfig-base          	       9      100        1       90        0
 2432 libspecio-perl                     	       9      553       11      533        0
 2433 libspreadsheet-writeexcel-perl     	       9      366        1      356        0
 2434 libstdc++-10-dev                   	       9      592        4      579        0
 2435 libunistring5                      	       9      313       63      217       24
 2436 libvulkan-dev                      	       9      409        3      396        1
 2437 lightdm-settings                   	       9       70        3       58        0
 2438 linux-image-6.1.0-30-amd64         	       9      347       13      323        2
 2439 mariadb-client-core-10.5           	       9      137        8      120        0
 2440 mariadb-server-core-10.5           	       9      126       13      104        0
 2441 needrestart                        	       9      297       33      255        0
 2442 network-manager-applet             	       9       76       20       47        0
 2443 nfs-kernel-server                  	       9      314       65      240        0
 2444 ntpsec-ntpdate                     	       9      520       58      453        0
 2445 nvidia-kernel-common               	       9      214       15      190        0
 2446 nvidia-modprobe                    	       9      222       17      196        0
 2447 nvidia-settings                    	       9      167       15      143        0
 2448 nvidia-smi                         	       9      166       13      144        0
 2449 powermgmt-base                     	       9     1510      303     1198        0
 2450 pyqt6-dev-tools                    	       9      218        2      207        0
 2451 python3-argcomplete                	       9      264       12      243        0
 2452 python3-chm                        	       9      219        1      209        0
 2453 python3-cycler                     	       9      550        2      539        0
 2454 python3-dnspython                  	       9      606        4      593        0
 2455 python3-docopt                     	       9      204        2      193        0
 2456 python3-geoip                      	       9      207        5      193        0
 2457 python3-iniconfig                  	       9      295        1      285        0
 2458 python3-jaraco.classes             	       9      228        0      219        0
 2459 python3-jsonschema                 	       9      339        5      325        0
 2460 python3-libtorrent                 	       9      200        7      184        0
 2461 python3-numpy-dev                  	       9       15        1        5        0
 2462 python3-pluggy                     	       9      364        1      354        0
 2463 python3-protobuf                   	       9      245        7      229        0
 2464 python3-py                         	       9      382        0      373        0
 2465 python3-pyasyncore                 	       9      123        1      113        0
 2466 python3-pyqt5.qtwebchannel         	       9      231        2      220        0
 2467 python3-requests-toolbelt          	       9      622        5      608        0
 2468 python3-watchdog                   	       9      176        4      163        0
 2469 r-cran-class                       	       9      139        3      127        0
 2470 r-cran-foreign                     	       9      139        3      127        0
 2471 r-cran-kernsmooth                  	       9      139        3      127        0
 2472 r-cran-matrix                      	       9      141        3      129        0
 2473 r-cran-nlme                        	       9      141        4      128        0
 2474 r-cran-nnet                        	       9      139        3      127        0
 2475 r-cran-rpart                       	       9      139        3      127        0
 2476 r-cran-spatial                     	       9      139        3      127        0
 2477 ruby3.3                            	       9       18        6        3        0
 2478 suckless-tools                     	       9      304       21      274        0
 2479 texinfo                            	       9      670       10      651        0
 2480 wtmpdb                             	       9       56        2       45        0
 2481 xapp-sn-watcher                    	       9      323       20      104      190
 2482 xfsprogs                           	       9      383       26      348        0
 2483 binutils-gold                      	       8       42        4       30        0
 2484 binutils-gold-x86-64-linux-gnu     	       8       41        4       29        0
 2485 cabextract                         	       8      568       10      550        0
 2486 caja-common                        	       8      548       27      133      380
 2487 cifs-utils                         	       8      372       17      347        0
 2488 easy-rsa                           	       8      468       10      450        0
 2489 extlinux                           	       8      182        3      171        0
 2490 extrepo                            	       8       64        1       55        0
 2491 firefox-esr-l10n-fr                	       8       78       10       60        0
 2492 fonts-liberation                   	       8     2174      161      616     1389
 2493 fuse                               	       8      580       31      541        0
 2494 galera-4                           	       8      272        7      257        0
 2495 gir1.2-ggit-1.0                    	       8      123        1      113        1
 2496 hplip-data                         	       8      615        3      544       60
 2497 ieee-data                          	       8      390        4      378        0
 2498 imagemagick-7.q16                  	       8       87        6       73        0
 2499 info                               	       8      615       10      597        0
 2500 ipxe-qemu                          	       8      657       17      608       24
 2501 iso-codes                          	       8     4009       29      170     3802
 2502 kded6                              	       8       72        9       55        0
 2503 libalgorithm-c3-perl               	       8      688        5      675        0
 2504 libatk-bridge2.0-0t64              	       8      267       42      159       58
 2505 libatk1.0-0t64                     	       8      268       41      159       60
 2506 libatspi2.0-0t64                   	       8      267       42      160       57
 2507 libavcodec58                       	       8     1252       51      275      918
 2508 libavutil56                        	       8     1286       52      285      941
 2509 libc6-dev-x32                      	       8      189        2      179        0
 2510 libclass-c3-perl                   	       8      688        5      675        0
 2511 libcurl3t64-gnutls                 	       8      264       42      157       57
 2512 libcurl4-openssl-dev               	       8      242        2      232        0
 2513 libdatetime-timezone-perl          	       8      380       11      361        0
 2514 libgtk-3-0t64                      	       8      264       40      159       57
 2515 libidn2-dev                        	       8      253        0      245        0
 2516 libldap2                           	       8       86       36       27       15
 2517 libllvm11                          	       8      736       47      232      449
 2518 libmodule-find-perl                	       8      324       35      281        0
 2519 libmro-compat-perl                 	       8      688       13      667        0
 2520 libmtdev1t64                       	       8      246       40      163       35
 2521 libnamespace-autoclean-perl        	       8      620       12      600        0
 2522 libnfsidmap1                       	       8      623      161      423       31
 2523 libnghttp3-9                       	       8      282       51      165       58
 2524 libngtcp2-16                       	       8      282       50      166       58
 2525 libngtcp2-crypto-gnutls8           	       8      282       50      166       58
 2526 libostree-1-1                      	       8      690       13       51      618
 2527 libreoffice-uiconfig-report-builder	       8       80        1       71        0
 2528 libstring-shellquote-perl          	       8      336        3      325        0
 2529 libswresample3                     	       8     1286       52      285      941
 2530 libtiff-tools                      	       8      186        2      176        0
 2531 light-locker                       	       8      151       16      127        0
 2532 linux-image-6.1.0-29-amd64         	       8      131        6      116        1
 2533 mariadb-server                     	       8      301       19      181       93
 2534 mate-desktop-common                	       8      632       29      121      474
 2535 mate-media-common                  	       8      503       29      136      330
 2536 mate-panel-common                  	       8      525       27      131      359
 2537 mate-power-manager-common          	       8      500       28      130      334
 2538 mate-tweak                         	       8      116        2      106        0
 2539 micro                              	       8       48        3       37        0
 2540 mscompress                         	       8      584        6      570        0
 2541 neovim                             	       8      136        8      120        0
 2542 node-undici                        	       8      296        1      287        0
 2543 openvpn                            	       8      489       56      425        0
 2544 pipx                               	       8      141        5      128        0
 2545 printer-driver-cups-pdf            	       8      205        7      190        0
 2546 pstoedit                           	       8      263        1      254        0
 2547 pv                                 	       8      466        9      449        0
 2548 python3-dotenv                     	       8      188        1      179        0
 2549 python3-h2                         	       8      419        3      408        0
 2550 python3-hpack                      	       8      419        3      408        0
 2551 python3-hyperframe                 	       8      419        3      408        0
 2552 python3-json-pointer               	       8      269        2      259        0
 2553 python3-keyring                    	       8      292        0      284        0
 2554 python3-lunr                       	       8      121        0      113        0
 2555 python3-mergedeep                  	       8      120        0      112        0
 2556 python3-mpmath                     	       8      474        1      465        0
 2557 python3-pynvim                     	       8      128        0      120        0
 2558 python3-pyqt5.qtwebengine          	       8      220        1      211        0
 2559 python3-pyqt6                      	       8      250       15      227        0
 2560 python3-pyqt6.sip                  	       8      252       15      229        0
 2561 python3-pytest                     	       8      302        8      286        0
 2562 python3-pyudev                     	       8      735        3      724        0
 2563 python3-pyyaml-env-tag             	       8      120        0      112        0
 2564 python3-reportlab                  	       8      661       12      640        1
 2565 python3-rfc3987                    	       8      261        2      251        0
 2566 python3-secretstorage              	       8      303        1      294        0
 2567 python3-socksio                    	       8       65        0       57        0
 2568 python3-ufolib2                    	       8      457        1      448        0
 2569 python3-uritemplate                	       8      273        2      263        0
 2570 python3-webcolors                  	       8      262        2      252        0
 2571 python3.13-tk                      	       8       77        3       66        0
 2572 qemu-system-mips                   	       8      186        3      175        0
 2573 qemu-system-ppc                    	       8      193        3      182        0
 2574 qemu-system-sparc                  	       8      187        3      176        0
 2575 qml-module-org-kde-kcm             	       8      970        6       38      918
 2576 r-cran-cluster                     	       8      139        3      128        0
 2577 r-cran-lattice                     	       8      142        4      130        0
 2578 r-cran-mgcv                        	       8      141        3      130        0
 2579 r-cran-survival                    	       8      139        3      128        0
 2580 slick-greeter                      	       8       70        5       57        0
 2581 texlive-lang-greek                 	       8      268        1      259        0
 2582 texlive-luatex                     	       8      301        3      290        0
 2583 tix                                	       8      498        4      486        0
 2584 tumbler-common                     	       8     1839        7       73     1751
 2585 unrar                              	       8      452       21      423        0
 2586 vivaldi-stable                     	       8      114        6      100        0
 2587 wfrench                            	       8      128        1      119        0
 2588 xdotool                            	       8      259        9      242        0
 2589 xserver-xorg-video-nvidia          	       8      174       22      144        0
 2590 xsltproc                           	       8      448        5      435        0
 2591 yad                                	       8      206        1      197        0
 2592 aspell-fr                          	       7      130        1      122        0
 2593 binfmt-support                     	       7      864       86      771        0
 2594 bison                              	       7      562        8      547        0
 2595 cinnamon-desktop-data              	       7      322        9       78      228
 2596 connman-gtk                        	       7       92        2       83        0
 2597 dctrl-tools                        	       7      480       22      451        0
 2598 dvipng                             	       7      256        2      247        0
 2599 emacs-nox                          	       7      108        8       92        1
 2600 f2fs-tools                         	       7      236        4      225        0
 2601 feh                                	       7      313       22      284        0
 2602 firebird4.0-utils                  	       7        8        1        0        0
 2603 firmware-atheros                   	       7      287        3      277        0
 2604 firmware-mediatek                  	       7      104        1       96        0
 2605 firmware-nvidia-graphics           	       7       97        2       88        0
 2606 flex                               	       7      524        6      511        0
 2607 ftp                                	       7     1296        5      455      829
 2608 ghp-import                         	       7      120        5      108        0
 2609 git-email                          	       7       73        1       65        0
 2610 hfsplus                            	       7      126        3      116        0
 2611 hplip                              	       7      587       24      556        0
 2612 iceweasel                          	       7       89        7       75        0
 2613 ifrench-gut                        	       7      110        1      102        0
 2614 imvirt-helper                      	       7      245       29      209        0
 2615 intel-media-va-driver              	       7     3142       88      301     2746
 2616 libaom0                            	       7     1246       53      276      910
 2617 libatk1.0-data                     	       7     1070       27      164      872
 2618 libbytesize1                       	       7      252       29      141       75
 2619 libccid                            	       7      545       66      472        0
 2620 libcodec2-0.9                      	       7     1027       58      293      669
 2621 libconfig-inifiles-perl            	       7      553        7      539        0
 2622 libcups2t64                        	       7      275       41      156       71
 2623 libcupsfilters1t64                 	       7      179       26      124       22
 2624 libdav1d4                          	       7     1075       55      277      736
 2625 libdav1d7                          	       7      284       45      168       64
 2626 libdb5.3t64                        	       7      297       48      173       69
 2627 libdrm-dev                         	       7      369        2      360        0
 2628 libelf-dev                         	       7      313        6      300        0
 2629 libelf1t64                         	       7      287       46      186       48
 2630 libeval-closure-perl               	       7      592       12      573        0
 2631 libfile-slurp-perl                 	       7      382       37      338        0
 2632 libfl-dev                          	       7      471        3      461        0
 2633 libflatpak0                        	       7      468        8       31      422
 2634 libgpgme11t64                      	       7      258       27      129       95
 2635 libigdgmm12                        	       7     2549       83      278     2181
 2636 libimvirt-perl                     	       7      245       30      208        0
 2637 libio-prompter-perl                	       7      205        1      197        0
 2638 libjavascriptcoregtk-6.0-1         	       7      144        0       10      127
 2639 libkf5kiontlm5                     	       7     1194        3       20     1164
 2640 libkf5sonnet5-data                 	       7     1218       45      206      960
 2641 libkf5xmlgui-data                  	       7     1202       27      146     1022
 2642 liblcms2-utils                     	       7      648        6      635        0
 2643 libllvm19                          	       7      196       35      104       50
 2644 libmenu-cache3                     	       7      553       38      163      345
 2645 libmono-corlib4.5-dll              	       7      267        8      252        0
 2646 libmono-security4.0-cil            	       7      318        7      304        0
 2647 libmono-system-configuration4.0-cil	       7      318        5      306        0
 2648 libmono-system-core4.0-cil         	       7      317        6      304        0
 2649 libmono-system-numerics4.0-cil     	       7      314        4      303        0
 2650 libmono-system-security4.0-cil     	       7      318        3      308        0
 2651 libmono-system-xml4.0-cil          	       7      318        5      306        0
 2652 libmono-system4.0-cil              	       7      318        6      305        0
 2653 libopenjp2-7-dev                   	       7      157        2      148        0
 2654 libp11-kit-dev                     	       7      270        0      263        0
 2655 libparams-validationcompiler-perl  	       7      552       11      534        0
 2656 libproxy1-plugin-networkmanager    	       7      133        7       60       59
 2657 libproxy1-plugin-webkit            	       7      133        7       60       59
 2658 libpsl5t64                         	       7      290       51      169       63
 2659 libpython2.7-minimal               	       7     1182       28     1147        0
 2660 libpython3.13-dev                  	       7       25        1       17        0
 2661 libreoffice-l10n-fr                	       7       88        9       72        0
 2662 libruby3.1                         	       7      658       83      568        0
 2663 libsort-naturally-perl             	       7      338       37      294        0
 2664 libssh2-1t64                       	       7      287       51      168       61
 2665 libvpx6                            	       7     1052       59      289      697
 2666 libwavpack1                        	       7     3458       74      294     3083
 2667 libwebkitgtk-6.0-4                 	       7      144        0       10      127
 2668 libx264-160                        	       7     1020       56      278      679
 2669 libx265-192                        	       7     1073       57      280      729
 2670 libxcb-record0                     	       7     1124       61      243      813
 2671 linux-image-6.11.2-amd64           	       7       39        1       31        0
 2672 live-config-sysvinit               	       7      161        0      154        0
 2673 marco-common                       	       7      555       26      127      395
 2674 mariadb-client                     	       7      308       27      212       62
 2675 mdadm                              	       7      563      104      452        0
 2676 mesa-libgallium                    	       7      233       44      140       42
 2677 mono-4.0-gac                       	       7      318        2      309        0
 2678 mono-gac                           	       7      318        2      309        0
 2679 mono-runtime                       	       7      318        6      305        0
 2680 mono-runtime-common                	       7      318        3      281       27
 2681 mono-runtime-sgen                  	       7      318        8      303        0
 2682 mplayer                            	       7      488       15      466        0
 2683 ncompress                          	       7      134        1      126        0
 2684 node-acorn                         	       7      294        2      285        0
 2685 python2.7                          	       7     1166       12     1147        0
 2686 python2.7-minimal                  	       7     1170       55     1108        0
 2687 python3-appdirs                    	       7      509        1      501        0
 2688 python3-asttokens                  	       7      280        2      271        0
 2689 python3-async-timeout              	       7      332        2      323        0
 2690 python3-jaraco.text                	       7       98        3       88        0
 2691 python3-jedi                       	       7      332        4      321        0
 2692 python3-libvirt                    	       7      360       14      339        0
 2693 python3-nltk                       	       7      125        4      114        0
 2694 python3-parso                      	       7      332        4      321        0
 2695 python3-platformdirs               	       7      241        4      230        0
 2696 python3-prompt-toolkit             	       7      320        1      312        0
 2697 python3-pyqt5.qtsvg                	       7      340        5      328        0
 2698 python3-pyqt6.qtmultimedia         	       7       27        1       19        0
 2699 python3-pyqt6.qtsvg                	       7      186        3      176        0
 2700 python3-pyqt6.qttexttospeech       	       7       26        1       18        0
 2701 python3-pyrsistent                 	       7      293        5      281        0
 2702 python3-renderpm                   	       7      583        1      572        3
 2703 python3-reportlab-accel            	       7      612        1      600        4
 2704 python3-roman                      	       7      379        4      367        1
 2705 python3-texttable                  	       7      319        2      310        0
 2706 python3-unicodedata2               	       7      130        1      122        0
 2707 python3-unidecode                  	       7      116        0      109        0
 2708 python3-wcwidth                    	       7      376        4      365        0
 2709 python3-websocket                  	       7      210        4      199        0
 2710 python3.12                         	       7      211        1      203        0
 2711 python3.13-dev                     	       7       25        1       17        0
 2712 python3.13-venv                    	       7       16        1        8        0
 2713 qemu-system-misc                   	       7      193        4      182        0
 2714 r-base-core                        	       7      145       11      127        0
 2715 r-cran-boot                        	       7      139        3      129        0
 2716 r-cran-codetools                   	       7      139        3      129        0
 2717 recode                             	       7      132        3      122        0
 2718 redshift                           	       7      205       19      179        0
 2719 rpcbind                            	       7      982      210      764        1
 2720 sleuthkit                          	       7      173        3      163        0
 2721 ttf-mscorefonts-installer          	       7      282        1      274        0
 2722 ufw                                	       7      302       46      249        0
 2723 virt-manager                       	       7      330       10      313        0
 2724 virt-viewer                        	       7      366       10      349        0
 2725 vulkan-tools                       	       7      219        7      205        0
 2726 wine                               	       7      498       18      472        1
 2727 wsdd                               	       7       79       11       61        0
 2728 xsel                               	       7      266        6      253        0
 2729 zfs-dkms                           	       7       77        3       67        0
 2730 acpid                              	       6      826      179      641        0
 2731 b43-fwcutter                       	       6       86        0       80        0
 2732 ca-certificates-mono               	       6      298        1      291        0
 2733 clamav                             	       6      277        9      262        0
 2734 dconf-editor                       	       6      183        2      175        0
 2735 debugedit                          	       6      233        2      225        0
 2736 dh-elpa-helper                     	       6      173        7      160        0
 2737 dleyna-server                      	       6      100        1       93        0
 2738 engrampa-common                    	       6      569       15       67      481
 2739 equivs                             	       6      277        5      266        0
 2740 exiv2                              	       6      256        3      247        0
 2741 firmware-brcm80211                 	       6      232        4      222        0
 2742 fluidsynth                         	       6      110        1      103        0
 2743 fuseiso                            	       6      268        3      259        0
 2744 fzf                                	       6       92        6       80        0
 2745 gfortran-14                        	       6       32        1       25        0
 2746 gfortran-14-x86-64-linux-gnu       	       6       31        1       24        0
 2747 gfortran-x86-64-linux-gnu          	       6       32        1       25        0
 2748 gir1.2-ayatanaappindicator3-0.1    	       6      629       35      145      443
 2749 gist                               	       6       72        1       65        0
 2750 gitk                               	       6      200        3      191        0
 2751 gtkhash                            	       6      102        0       96        0
 2752 intltool                           	       6      194        1      187        0
 2753 kde-config-screenlocker            	       6      546       32      155      353
 2754 kdeplasma-addons-data              	       6      509       30      141      332
 2755 kdoctools6                         	       6       17        0       11        0
 2756 kwin-data                          	       6      565       32      158      369
 2757 libaom-dev                         	       6      181        0      175        0
 2758 libasound2t64                      	       6      261       44      182       29
 2759 libatkmm-1.6-1v5                   	       6     2754       27      100     2621
 2760 libblockdev-crypto3                	       6      230       28      135       61
 2761 libblockdev-fs3                    	       6      231       28      136       61
 2762 libblockdev-loop3                  	       6      231       28      135       62
 2763 libblockdev-mdraid3                	       6      231       28      135       62
 2764 libblockdev-nvme3                  	       6      231       28      136       61
 2765 libblockdev-part3                  	       6      231       28      135       62
 2766 libblockdev-swap3                  	       6      231       28      135       62
 2767 libblockdev-utils3                 	       6      231       28      135       62
 2768 libblockdev3                       	       6      231       28      135       62
 2769 libboost1.74-dev                   	       6      507        2      499        0
 2770 libc6-dev-i386                     	       6      190        6      178        0
 2771 libcairomm-1.0-1v5                 	       6     2754       28      100     2620
 2772 libclass-singleton-perl            	       6      380       11      363        0
 2773 libdatetime-locale-perl            	       6      380       11      363        0
 2774 libdistro-info-perl                	       6      264        1      257        0
 2775 libext2fs2t64                      	       6      283       28      126      123
 2776 libfdisk1                          	       6     4085       24      133     3922
 2777 libgck-2-2                         	       6      205       25      121       53
 2778 libgcr-4-4                         	       6      205       25      121       53
 2779 libimobiledevice-1.0-6             	       6      200       32      125       37
 2780 libimobiledevice-glue-1.0-0        	       6      203       34      126       37
 2781 libkf5bookmarks-data               	       6     1030       48      209      767
 2782 libkuserfeedbackwidgets1           	       6      630       26      115      483
 2783 libmono-btls-interface4.0-cil      	       6      281        1      274        0
 2784 libmono-i18n-west4.0-cil           	       6      311        3      302        0
 2785 libmono-i18n4.0-cil                	       6      311        3      302        0
 2786 libmpg123-0t64                     	       6      250       40      151       53
 2787 libnet-dns-perl                    	       6      394       24      357        7
 2788 libnvme1t64                        	       6      213       26      124       57
 2789 libpam-wtmpdb                      	       6       53        7       38        2
 2790 libpangomm-1.4-1v5                 	       6     2752       28      100     2618
 2791 libpaper2                          	       6      115       28       43       38
 2792 libpciaccess-dev                   	       6      298        1      291        0
 2793 libpipewire-0.3-0t64               	       6      220       28      110       76
 2794 libplist-2.0-4                     	       6      202       32      125       39
 2795 libpq-dev                          	       6      180        0      174        0
 2796 libproc2-0                         	       6     3035       34      107     2888
 2797 libpsl-dev                         	       6      118        0      112        0
 2798 libpurple0                         	       6      391       14      105      266
 2799 libpython2.7-stdlib                	       6     1180       51     1123        0
 2800 libqt5virtualkeyboard5             	       6      510        7       33      464
 2801 libquvi-scripts-0.9                	       6      202        1      161       34
 2802 librcc0                            	       6     1031        3      207      815
 2803 libreoffice-librelogo              	       6      274        7      261        0
 2804 libsane-hpaio                      	       6      608       16      535       51
 2805 libsharpyuv0                       	       6      301       52      181       62
 2806 libsigc++-2.0-0v5                  	       6     3145       30      121     2988
 2807 libtask-weaken-perl                	       6      280        3      271        0
 2808 libtirpc3t64                       	       6      284       48      169       61
 2809 libusbmuxd-2.0-7                   	       6      203       34      127       36
 2810 libutf8proc2                       	       6      737       18       67      646
 2811 libvirt-clients                    	       6      440       43      391        0
 2812 libwtmpdb0                         	       6       56        7       38        5
 2813 libyajl2                           	       6     3231      144      395     2686
 2814 linux-perf                         	       6       54        0       36       12
 2815 lz4                                	       6      150        7      137        0
 2816 m17n-db                            	       6      403        2      395        0
 2817 meson                              	       6      192        2      184        0
 2818 mlocate                            	       6     1526       85      346     1089
 2819 muffin-common                      	       6      298        5       68      219
 2820 nala                               	       6       71        4       61        0
 2821 plasma-desktop-data                	       6      559       32      157      364
 2822 plasma-discover-common             	       6      522       30      134      352
 2823 postgresql-client-common           	       6      315       37      272        0
 2824 powerdevil-data                    	       6      551       31      150      364
 2825 printer-driver-cjet                	       6      563        5      552        0
 2826 printer-driver-dymo                	       6      542        4      532        0
 2827 printer-driver-escpr               	       6      583        5      572        0
 2828 printer-driver-foo2zjs             	       6      564       15      543        0
 2829 printer-driver-foo2zjs-common      	       6      567       10      551        0
 2830 printer-driver-m2300w              	       6      552        8      538        0
 2831 python3-bcj                        	       6       27        1       20        0
 2832 python3-beniget                    	       6      410        0      404        0
 2833 python3-docker                     	       6      159        2      151        0
 2834 python3-gast                       	       6      410        0      404        0
 2835 python3-inflate64                  	       6       27        1       20        0
 2836 python3-ipython                    	       6      296        4      286        0
 2837 python3-legacy-cgi                 	       6       19        1       12        0
 2838 python3-libevdev                   	       6      690       16      668        0
 2839 python3-livereload                 	       6      124        4      114        0
 2840 python3-matplotlib-inline          	       6      263        1      256        0
 2841 python3-pure-eval                  	       6      261        3      252        0
 2842 python3-pyppmd                     	       6       27        1       20        0
 2843 python3-pyqt5.qtmultimedia         	       6      166        3      157        0
 2844 python3-pyqt5.qtquick              	       6      184        2      176        0
 2845 python3-pyqt5.qtsql                	       6      208        4      198        0
 2846 python3-pyqt6.qtqml                	       6      197       12      179        0
 2847 python3-pyqt6.qtquick              	       6      180       11      163        0
 2848 python3-pyqt6.qtwebchannel         	       6      195       11      178        0
 2849 python3-pythran                    	       6      407        0      401        0
 2850 python3-pyzstd                     	       6       27        1       20        0
 2851 python3-stack-data                 	       6      261        4      251        0
 2852 python3-traitlets                  	       6      325        3      316        0
 2853 python3-webob                      	       6      203        1      196        0
 2854 python3-wxgtk4.0                   	       6      271        7      258        0
 2855 python3-zmq                        	       6      231        2      223        0
 2856 qml-module-org-kde-newstuff        	       6     1048        4       22     1016
 2857 qml-module-qtquick-privatewidgets  	       6     1064       10       54      994
 2858 qml-module-qtquick-virtualkeyboard 	       6      523        7       33      477
 2859 refractasnapshot-base              	       6      145        0      139        0
 2860 rpm                                	       6      217       11      200        0
 2861 samba-libs                         	       6     3270      146      412     2706
 2862 scribus                            	       6      137        1      130        0
 2863 supermin                           	       6      110        2      102        0
 2864 swtpm                              	       6      282        8      268        0
 2865 swtpm-tools                        	       6      278        5      267        0
 2866 tcpd                               	       6      484       17      461        0
 2867 texlive-extra-utils                	       6      308        5      297        0
 2868 thunderbird-l10n-de                	       6       82       11       65        0
 2869 user-setup                         	       6      161        0      155        0
 2870 uuid-runtime                       	       6      779      160      613        0
 2871 vim-gui-common                     	       6      227        1      220        0
 2872 wdiff                              	       6      351        3      342        0
 2873 wpolish                            	       6       87        1       80        0
 2874 x11vnc                             	       6      180       11      163        0
 2875 acpi-support                       	       5      141        1      122       13
 2876 alacritty                          	       5       44        6       33        0
 2877 arj                                	       5      201        8      188        0
 2878 aspell-pl                          	       5       76        0       71        0
 2879 asymptote                          	       5      110        2      103        0
 2880 binutils-i686-linux-gnu            	       5      128        6      117        0
 2881 bluez-alsa-utils                   	       5       54        2       47        0
 2882 calibre                            	       5      224       12      207        0
 2883 calibre-bin                        	       5      225       11      209        0
 2884 chntpw                             	       5       38        1       32        0
 2885 chromium-driver                    	       5       74        2       67        0
 2886 claws-mail                         	       5      173       15      153        0
 2887 cmst                               	       5      104        3       96        0
 2888 compizconfig-settings-manager      	       5       48        2       41        0
 2889 crda                               	       5      396       18      373        0
 2890 db-util                            	       5      185        5      175        0
 2891 db5.3-util                         	       5      209        6      198        0
 2892 dia                                	       5      171        3      163        0
 2893 dict                               	       5       92        5       82        0
 2894 dotnet-host                        	       5       36        0       31        0
 2895 dput                               	       5      214        2      207        0
 2896 evolution-data-server-common       	       5      613       13      103      492
 2897 firefox-esr-l10n-it                	       5       82        4       73        0
 2898 firefox-esr-l10n-nn-no             	       5       39        0       34        0
 2899 firefox-esr-l10n-pl                	       5       47        1       41        0
 2900 firmware-libertas                  	       5      154        0      149        0
 2901 fonts-dejavu-mono                  	       5      288       33      147      103
 2902 fonts-noto-cjk                     	       5      892       56      220      611
 2903 fonts-noto-color-emoji             	       5     1289       89      277      918
 2904 foomatic-db-compressed-ppds        	       5      487        6      476        0
 2905 foomatic-db-engine                 	       5      553        8      540        0
 2906 foot                               	       5       52        2       45        0
 2907 ghc                                	       5      102        2       95        0
 2908 git-gui                            	       5      130        2      123        0
 2909 gnome-package-updater              	       5       28        0       23        0
 2910 greybird-gtk-theme                 	       5      193        3       17      168
 2911 grim                               	       5       96        0       91        0
 2912 gstreamer1.0-tools                 	       5      151        5      141        0
 2913 gtk2-engines-pixbuf                	       5     2018       34      125     1854
 2914 heif-thumbnailer                   	       5       45        1       39        0
 2915 icedove                            	       5       40        1       34        0
 2916 ipolish                            	       5       72        0       67        0
 2917 isolinux                           	       5      212        1      206        0
 2918 kdenlive                           	       5      135        1      129        0
 2919 keepassxc                          	       5      300       24      254       17
 2920 kglobalacceld                      	       5       43        4       34        0
 2921 khotkeys-data                      	       5      526       27      147      347
 2922 kpartx                             	       5      236       12      219        0
 2923 krita                              	       5      138        8      125        0
 2924 ldmtool                            	       5      111        3      103        0
 2925 libanyevent-i3-perl                	       5      145        0      140        0
 2926 libarray-intspan-perl              	       5      182        1      176        0
 2927 libasound2-dev                     	       5      463        4      454        0
 2928 libassuan9                         	       5      227       35      112       75
 2929 libbusiness-isbn-data-perl         	       5      125        2      118        0
 2930 libclang1-14                       	       5      371       10      356        0
 2931 libclang1-19                       	       5       56        2       49        0
 2932 libcontextual-return-perl          	       5      205        1      199        0
 2933 libconvert-binhex-perl             	       5      341        4      332        0
 2934 libcrack2                          	       5      973       12       67      889
 2935 libcurl4-gnutls-dev                	       5      146        0      141        0
 2936 libdatetime-format-strptime-perl   	       5      287        4      278        0
 2937 libdevel-globaldestruction-perl    	       5      485        4      476        0
 2938 libdigest-hmac-perl                	       5      541       11      525        0
 2939 libdist-checkconflicts-perl        	       5      416        3      408        0
 2940 libdw1t64                          	       5      251       38      149       59
 2941 libedataserverui4-1.0-0            	       5      110        0       10       95
 2942 libept1.6.0                        	       5     2167        9       72     2081
 2943 libevent-2.1-7t64                  	       5      261       42      155       59
 2944 libfile-dirlist-perl               	       5      248        4      239        0
 2945 libfile-slurper-perl               	       5      178        4      169        0
 2946 libfile-touch-perl                 	       5      253        4      244        0
 2947 libgit-wrapper-perl                	       5      212        2      205        0
 2948 libgitlab-api-v4-perl              	       5      202        2      195        0
 2949 libglibmm-2.4-1v5                  	       5     2611       25       92     2489
 2950 libgtk2.0-dev                      	       5      270        5      260        0
 2951 libgtkmm-3.0-1v5                   	       5     2575       24       90     2456
 2952 libhttp-tiny-multipart-perl        	       5      202        1      196        0
 2953 libhwy1t64                         	       5      256       37      138       76
 2954 libidn11                           	       5     1430       57      228     1140
 2955 libio-sessiondata-perl             	       5      248        3      240        0
 2956 libipc-shareable-perl              	       5      355        3      347        0
 2957 libjavascriptcoregtk-4.0-dev       	       5       44        0       39        0
 2958 libjxl0.10                         	       5      106       32       40       29
 2959 libkf5akonadicalendar-data         	       5      485       29      130      321
 2960 libkf5i18n-data                    	       5     1255       22      140     1088
 2961 libkf5package-data                 	       5     1130       28      150      947
 2962 libkf5screen-data                  	       5      587       27      145      410
 2963 libkf5wallet-data                  	       5     1207       28      125     1049
 2964 libldb2                            	       5     3006      149      412     2440
 2965 liblibreofficekitgtk               	       5       65        2       58        0
 2966 liblog-any-adapter-screen-perl     	       5      213        1      207        0
 2967 liblog-any-perl                    	       5      217        2      210        0
 2968 liblxqt0                           	       5       79        5       65        4
 2969 libmariadb-dev                     	       5      133        0      128        0
 2970 libmime-tools-perl                 	       5      341        5      331        0
 2971 libmono-posix4.0-cil               	       5      266        4      257        0
 2972 libmuparser2v5                     	       5      385       25      108      247
 2973 libnss3-tools                      	       5      124        1      118        0
 2974 libntfs-3g89                       	       5     2268       16       74     2173
 2975 libopenblas-pthread-dev            	       5      381        2      374        0
 2976 liborc-0.4-0t64                    	       5      234       29      133       67
 2977 libpath-iterator-rule-perl         	       5      199        2      192        0
 2978 libpcre3-dev                       	       5      384        4      375        0
 2979 libpwquality1                      	       5      931       10       66      850
 2980 libpython3.12-minimal              	       5      219       14      200        0
 2981 libpython3.7-minimal               	       5      325       25      295        0
 2982 libpython3.7-stdlib                	       5      323       30      288        0
 2983 libqt5xdg3                         	       5      318       26      100      187
 2984 libqt5xdgiconloader3               	       5      320       26      100      189
 2985 libqt6webenginecore6-bin           	       5      238       14      219        0
 2986 librav1e0.7                        	       5      244       32      128       79
 2987 libreadline-dev                    	       5      438        9      419        5
 2988 libregexp-pattern-license-perl     	       5      190        1      184        0
 2989 libregexp-pattern-perl             	       5      182        1      176        0
 2990 libreofficekit-data                	       5       68        1       62        0
 2991 libruby3.3                         	       5       21       12        4        0
 2992 libsoap-lite-perl                  	       5      247        3      239        0
 2993 libssh-4                           	       5     1508       99      255     1149
 2994 libstring-copyright-perl           	       5      198        2      191        0
 2995 libstring-escape-perl              	       5      199        2      192        0
 2996 libsvtav1enc2                      	       5      251       35      135       76
 2997 libtalloc2                         	       5     3363      154      439     2765
 2998 libtext-csv-perl                   	       5      294        4      285        0
 2999 libvirt-daemon                     	       5      445       99      341        0
 3000 libvirt-daemon-driver-qemu         	       5      387       92      290        0
 3001 libwbclient0                       	       5     3313      146      418     2744
 3002 libwebkit2gtk-4.0-37               	       5     2209       19       76     2109
 3003 libwebkit2gtk-4.0-dev              	       5       42        0       37        0
 3004 libwin-hivex-perl                  	       5       99        1       93        0
 3005 libxmlrpc-lite-perl                	       5      239        2      232        0
 3006 libxpm-dev                         	       5      269        2      262        0
 3007 libz3-dev                          	       5      395        3      387        0
 3008 licensecheck                       	       5      195        3      187        0
 3009 light                              	       5       32        5       22        0
 3010 lightdm-gtk-greeter-settings       	       5       70        1       64        0
 3011 lilypond                           	       5       70        2       63        0
 3012 links                              	       5      191        4      182        0
 3013 linux-headers-6.12.12-amd64        	       5        7        1        1        0
 3014 linux-headers-6.12.12-common       	       5        7        1        1        0
 3015 linux-headers-6.12.9+bpo-amd64     	       5       16        2        9        0
 3016 linux-headers-6.12.9+bpo-common    	       5       16        2        9        0
 3017 linux-image-4.19.0-27-amd64        	       5       73        1       67        0
 3018 live-config                        	       5      158        2      151        0
 3019 live-tools                         	       5      166        5      156        0
 3020 lshw                               	       5      552        9      538        0
 3021 lsscsi                             	       5      270       11      254        0
 3022 lxqt-qtplugin                      	       5      280       24      101      150
 3023 mate-menu                          	       5       74        3       66        0
 3024 mate-polkit-common                 	       5      550       11       27      507
 3025 microsoft-edge-stable              	       5       33        0       28        0
 3026 mkdocs                             	       5      122       17      100        0
 3027 mono-utils                         	       5       73        1       67        0
 3028 moreutils                          	       5      167       11      151        0
 3029 mozo                               	       5      102        3       94        0
 3030 network-manager-openvpn            	       5      174       23      146        0
 3031 node-corepack                      	       5       16        1       10        0
 3032 ntpsec                             	       5      807      207      595        0
 3033 obs-studio                         	       5      154        4      145        0
 3034 open-vm-tools                      	       5      125       29       91        0
 3035 openjdk-21-jre-headless            	       5      100        3       92        0
 3036 openssl-provider-legacy            	       5      257       30      100      122
 3037 opera-stable                       	       5       71        4       62        0
 3038 p11-kit-modules                    	       5     2784       16       96     2667
 3039 passt                              	       5       34        1       28        0
 3040 pfb2t1c2pfb                        	       5      160        3      152        0
 3041 pixz                               	       5      214        2      207        0
 3042 plank                              	       5       49        9       35        0
 3043 postgresql-common-dev              	       5        6        0        1        0
 3044 printer-driver-brlaser             	       5      577        2      570        0
 3045 printer-driver-c2esp               	       5      557        1      551        0
 3046 printer-driver-fujixerox           	       5      529        6      518        0
 3047 printer-driver-gutenprint          	       5      648       12      631        0
 3048 printer-driver-ptouch              	       5      560        6      549        0
 3049 printer-driver-pxljr               	       5      554        9      540        0
 3050 printer-driver-sag-gdi             	       5      557        1      551        0
 3051 printer-driver-splix               	       5      542        1      536        0
 3052 pristine-tar                       	       5      204        1      198        0
 3053 pylint                             	       5       95        1       89        0
 3054 python                             	       5      500        5      490        0
 3055 python-minimal                     	       5      504       40      458        1
 3056 python2                            	       5      565        5      555        0
 3057 python2-minimal                    	       5      572       28      539        0
 3058 python3-apsw                       	       5      214       12      197        0
 3059 python3-docutils                   	       5      378        3      369        1
 3060 python3-filelock                   	       5      164        1      158        0
 3061 python3-gnupg                      	       5       81        0       76        0
 3062 python3-html5-parser               	       5      212       11      196        0
 3063 python3-nbconvert                  	       5       85        1       79        0
 3064 python3-psutils                    	       5        6        1        0        0
 3065 python3-routes                     	       5      221        1      215        0
 3066 python3-rpds-py                    	       5       48        1       42        0
 3067 python3-rpm                        	       5       34        1       28        0
 3068 python3-ruamel.yaml.clib           	       5      151        1      145        0
 3069 python3-serial                     	       5      217        2      210        0
 3070 python3-sphinx                     	       5      154        2      147        0
 3071 python3-unidiff                    	       5      201        2      194        0
 3072 python3-wrapt                      	       5      203        3      195        0
 3073 python3.7                          	       5      258        1      252        0
 3074 python3.7-minimal                  	       5      305       30      270        0
 3075 qdoc-qt5                           	       5      195        1      189        0
 3076 qhelpgenerator-qt5                 	       5      189        1      183        0
 3077 qmmp                               	       5       53        2       46        0
 3078 qsynth                             	       5      121        3      113        0
 3079 qt6-base-dev-tools                 	       5      144        2      137        0
 3080 qtattributionsscanner-qt5          	       5      189        1      183        0
 3081 qterminal-l10n                     	       5      273        4       21      243
 3082 r-base-html                        	       5       95        1       89        0
 3083 refractainstaller-base             	       5      148        0      143        0
 3084 reiserfsprogs                      	       5      159       13      141        0
 3085 rosegarden                         	       5       44        3       36        0
 3086 sassc                              	       5       56        1       50        0
 3087 scrub                              	       5      118        3      110        0
 3088 simplescreenrecorder               	       5      162        2      155        0
 3089 sndfile-programs                   	       5       63        1       57        0
 3090 software-properties-kde            	       5      104        1       98        0
 3091 sphinx-common                      	       5      167        1      161        0
 3092 spotify-client                     	       5       65        3       57        0
 3093 teckit                             	       5      238        2      231        0
 3094 telegram-desktop                   	       5      145       12      128        0
 3095 termit                             	       5      132        2      125        0
 3096 texlive-bibtex-extra               	       5      201        1      195        0
 3097 texlive-font-utils                 	       5      257        4      248        0
 3098 texlive-pstricks                   	       5      215        2      208        0
 3099 texlive-xetex                      	       5      242        4      233        0
 3100 universal-ctags                    	       5      120        2      113        0
 3101 vbetool                            	       5      640        4      631        0
 3102 vim-gtk3                           	       5      201       19      176        1
 3103 virtinst                           	       5      360        5      345        5
 3104 wayland-protocols                  	       5      318        0       17      296
 3105 wine32                             	       5      316       14      297        0
 3106 wine64                             	       5      452       15      432        0
 3107 winetricks                         	       5      254        4      245        0
 3108 wl-clipboard                       	       5       98        0       93        0
 3109 xdelta                             	       5      220        1      214        0
 3110 xdelta3                            	       5      219        1      213        0
 3111 xorgxrdp                           	       5      127        6      116        0
 3112 xserver-xorg-input-evdev           	       5      256       12      239        0
 3113 youtube-dl                         	       5      425        2      228      190
 3114 zfsutils-linux                     	       5       72        9       58        0
 3115 alsamixergui                       	       4      193        5      184        0
 3116 apper                              	       4       90       10       76        0
 3117 appmenu-gtk-module-common          	       4       63        1       58        0
 3118 aspell-no                          	       4       46        0       42        0
 3119 ayatana-indicator-common           	       4       43        0       39        0
 3120 bluez-firmware                     	       4      167        0      163        0
 3121 caja-rename                        	       4       58        1       49        4
 3122 calamares                          	       4       12        2        6        0
 3123 chktex                             	       4      111        1      106        0
 3124 clinfo                             	       4       86        1       81        0
 3125 compiz-plugins-extra               	       4       39        3       32        0
 3126 criu                               	       4       93        3       86        0
 3127 cvs                                	       4      230        6      220        0
 3128 debsums                            	       4      219        7      208        0
 3129 dex                                	       4      136        5      127        0
 3130 dh-make                            	       4       73        1       68        0
 3131 docker-compose                     	       4      112        3      105        0
 3132 enscript                           	       4      132        4      124        0
 3133 evolution-common                   	       4      252        9       73      166
 3134 exa                                	       4       37        2       31        0
 3135 expect                             	       4      173        5      164        0
 3136 falkon                             	       4       90        9       77        0
 3137 feynmf                             	       4      124        1      119        0
 3138 filezilla                          	       4      297       10      283        0
 3139 firefox                            	       4      115        8      102        1
 3140 firefox-esr-l10n-nb-no             	       4       39        1       34        0
 3141 firmware-zd1211                    	       4      157        1      151        1
 3142 fonts-freefont-ttf                 	       4     1523       93      336     1090
 3143 fp-compiler-3.2.2                  	       4       90        4       82        0
 3144 fp-utils-3.2.2                     	       4       92        4       84        0
 3145 fragmaster                         	       4       96        0       92        0
 3146 frameworkintegration               	       4      538       13       38      483
 3147 frei0r-plugins                     	       4      240        6      230        0
 3148 git-cvs                            	       4       49        1       44        0
 3149 git-svn                            	       4       86        2       80        0
 3150 gnome-software-plugin-flatpak      	       4       47        2        7       34
 3151 gnome-terminal-data                	       4      447        7       50      386
 3152 gnome-themes-extra                 	       4     1394       20       75     1295
 3153 golang-1.24-go                     	       4        4        0        0        0
 3154 golang-1.24-src                    	       4        4        0        0        0
 3155 group-service                      	       4       12        1        7        0
 3156 gthumb                             	       4      136        4      128        0
 3157 guestfish                          	       4       65        0       61        0
 3158 guestmount                         	       4       66        0       62        0
 3159 gufw                               	       4      117        9      104        0
 3160 guile-2.2                          	       4       82        1       77        0
 3161 hardinfo2                          	       4       30        0       26        0
 3162 hexedit                            	       4       89        1       84        0
 3163 i3lock                             	       4      158        7      147        0
 3164 inorwegian                         	       4       45        0       41        0
 3165 jackd2                             	       4      160        8      148        0
 3166 kde-style-oxygen-qt6               	       4       21        0       17        0
 3167 keepassxc-full                     	       4       26        3       19        0
 3168 kicad                              	       4      120        4      112        0
 3169 kio-fuse                           	       4       35        0       31        0
 3170 kitty                              	       4       66        2       60        0
 3171 kitty-shell-integration            	       4       65        2       59        0
 3172 kolf                               	       4       58        0       54        0
 3173 kolourpaint                        	       4      104        2       98        0
 3174 krita-gmic                         	       4      107        2      101        0
 3175 ksysguard                          	       4      109        3      102        0
 3176 ksysguardd                         	       4      122        3      115        0
 3177 latexdiff                          	       4      114        1      109        0
 3178 latexmk                            	       4      133        1      128        0
 3179 lcdf-typetools                     	       4       99        2       93        0
 3180 libappstream5                      	       4      176       10       46      116
 3181 libavcodec-dev                     	       4      223        0      219        0
 3182 libavcodec61                       	       4      216       32      113       67
 3183 libavformat-dev                    	       4      186        0      182        0
 3184 libavutil-dev                      	       4      229        0      225        0
 3185 libavutil59                        	       4      225       33      117       71
 3186 libb2-1                            	       4      965       34       99      828
 3187 libblas-dev                        	       4      179        3      172        0
 3188 libboost1.83-dev                   	       4       34        1       29        0
 3189 libbsd-dev                         	       4      193        4      185        0
 3190 libbusiness-isbn-perl              	       4      125        2      119        0
 3191 libbusiness-issn-perl              	       4      121        2      115        0
 3192 libclang-common-19-dev             	       4       41        1       36        0
 3193 libclang-cpp14                     	       4      341       13      324        0
 3194 libclang-rt-14-dev                 	       4      207        1      202        0
 3195 libclang-rt-19-dev                 	       4       39        0       35        0
 3196 libcoarrays-dev                    	       4      177        1      154       18
 3197 libcodec2-1.2                      	       4      259       36      141       78
 3198 libcurl4                           	       4     3442      144      400     2894
 3199 libdatetime-format-builder-perl    	       4      194        4      186        0
 3200 libdisplay-info2                   	       4      106       18       44       40
 3201 libdolphinvcs5                     	       4      594       22       95      473
 3202 libeditorconfig0                   	       4      763        6       40      713
 3203 libexif-dev                        	       4      161        0      157        0
 3204 libfeature-compat-class-perl       	       4      150        1      145        0
 3205 libfeature-compat-try-perl         	       4      150        1      145        0
 3206 libfile-chdir-perl                 	       4      225        3      218        0
 3207 libfm-qt12                         	       4      199       20       75      100
 3208 libfuture-perl                     	       4      169        2      163        0
 3209 libgif-dev                         	       4      133        0      129        0
 3210 libgnutls-dane0t64                 	       4      202       30      118       50
 3211 libguestfs-tools                   	       4       95        1       90        0
 3212 libheif-dev                        	       4      178        0      174        0
 3213 libhtml-template-perl              	       4      390       12      374        0
 3214 libimage-exiftool-perl             	       4      330        9      317        0
 3215 libkf5baloowidgets5                	       4      590       23       97      466
 3216 libkf5newstuff-data                	       4      896       12       58      822
 3217 libkf5purpose5                     	       4      792       34      143      611
 3218 libkf5syntaxhighlighting5          	       4      748       32      118      594
 3219 libkf5sysguard-bin                 	       4      118        1      102       11
 3220 libkf6texteditor-bin               	       4       25        0       21        0
 3221 liblog-dispatch-perl               	       4      353        2      347        0
 3222 liblog-log4perl-perl               	       4      373       10      359        0
 3223 liblxqt-globalkeys-ui1             	       4      185       20       76       85
 3224 liblxqt-globalkeys1                	       4      196       21       76       95
 3225 liblxqt1                           	       4      206       22       76      104
 3226 libmariadb-dev-compat              	       4      112        0      108        0
 3227 libmd-dev                          	       4      176        3      169        0
 3228 libmono-cairo4.0-cil               	       4      161        0      157        0
 3229 libmono-csharp4.0c-cil             	       4      124        0      120        0
 3230 libmono-management4.0-cil          	       4       95        0       91        0
 3231 libmtdev-dev                       	       4       72        1       67        0
 3232 libnet-snmp-perl                   	       4      143        7      132        0
 3233 libnode-dev                        	       4      197        2      191        0
 3234 libnvidia-egl-gbm1                 	       4      125       15       74       32
 3235 libobrender32v5                    	       4      348       30       97      217
 3236 libobt2v5                          	       4      349       30       97      218
 3237 libpangoxft-1.0-0                  	       4     3230       43      120     3063
 3238 libpod-constants-perl              	       4      195        2      189        0
 3239 libpsm-infinipath1                 	       4      338        7      320        7
 3240 libpulse-dev                       	       4      389        3      382        0
 3241 libqtermwidget5-1                  	       4      240        8       40      188
 3242 libregexp-common-perl              	       4      328        9      315        0
 3243 libreoffice-l10n-nb                	       4       39        0       35        0
 3244 libreoffice-l10n-nn                	       4       39        0       35        0
 3245 libreoffice-l10n-pl                	       4       51        1       46        0
 3246 libreoffice-l10n-pt-br             	       4       43        1       38        0
 3247 librest-0.7-0                      	       4      996       16       51      925
 3248 librsvg2-dev                       	       4      158        1      153        0
 3249 libsasl2-modules                   	       4     4055       82      208     3761
 3250 libsasl2-modules-db                	       4     4149       84      216     3845
 3251 libsdl2-dev                        	       4      222        4      214        0
 3252 libset-intspan-perl                	       4      203        1      198        0
 3253 libsharpyuv-dev                    	       4       71        1       66        0
 3254 libsoup-gnome2.4-1                 	       4     2389       16       49     2320
 3255 libsoup2.4-dev                     	       4       65        0       61        0
 3256 libssh2-1-dev                      	       4      108        1      103        0
 3257 libstring-license-perl             	       4      150        1      145        0
 3258 libswresample-dev                  	       4      224        0      220        0
 3259 libswresample5                     	       4      225       33      117       71
 3260 libtevent0                         	       4     3060      133      376     2547
 3261 libtie-cycle-perl                  	       4      118        1      113        0
 3262 liburing2                          	       4     1817      116      285     1412
 3263 libvirt-daemon-common              	       4       26        6       16        0
 3264 libvirt-daemon-driver-lxc          	       4      341       79      258        0
 3265 libvirt-daemon-lock                	       4       21        1       16        0
 3266 libvirt-daemon-log                 	       4       26        8       14        0
 3267 libvirt-daemon-plugin-sanlock      	       4       21        1       16        0
 3268 libvirt-daemon-system              	       4      400        4      372       20
 3269 libvirt0                           	       4      539       92      254      189
 3270 libvpl2                            	       4      432       44      168      216
 3271 libvpx9                            	       4      244       34      141       65
 3272 libxpm4                            	       4     3842      118      329     3391
 3273 libxt6t64                          	       4      263       24       74      161
 3274 libyaml-tiny-perl                  	       4      477       21      452        0
 3275 libzvbi0t64                        	       4      244       38      134       68
 3276 linux-image-6.12.13-amd64          	       4        6        2        0        0
 3277 llvm-14                            	       4      259        1      254        0
 3278 llvm-14-runtime                    	       4      260        1      255        0
 3279 llvm-14-tools                      	       4      256        1      251        0
 3280 mate-user-admin                    	       4       12        0        8        0
 3281 mdevctl                            	       4      315       44      267        0
 3282 melt                               	       4      186        1      181        0
 3283 mercurial                          	       4      199        6      189        0
 3284 mercurial-common                   	       4      199        8      187        0
 3285 mesa-common-dev                    	       4      203        2      197        0
 3286 mesa-va-drivers                    	       4     3153       16      105     3028
 3287 module-assistant                   	       4      138        6      128        0
 3288 mono-csharp-shell                  	       4       94        0       90        0
 3289 mtd-utils                          	       4       76        1       71        0
 3290 mupdf                              	       4      130        5      121        0
 3291 ncftp                              	       4      136       10      122        0
 3292 ncmpcpp                            	       4       32        3       25        0
 3293 network-manager-config-connectivity-debian	       4       43        7       32        0
 3294 normalize-audio                    	       4      108        1      103        0
 3295 openjade                           	       4      187        1      182        0
 3296 openprinting-ppds                  	       4      487        6      477        0
 3297 optipng                            	       4      298        6      288        0
 3298 orphan-sysvinit-scripts            	       4      800       95      468      233
 3299 pandoc                             	       4      308        6      298        0
 3300 pbzip2                             	       4      281        5      272        0
 3301 pcscd                              	       4      543      100      439        0
 3302 pdftk-java                         	       4      285        9      272        0
 3303 php-symfony-cache                  	       4       54        6       44        0
 3304 php-symfony-config                 	       4       52        6       42        0
 3305 php-symfony-dependency-injection   	       4       52        6       42        0
 3306 php-symfony-expression-language    	       4       54        6       44        0
 3307 php-symfony-filesystem             	       4       92        8       80        0
 3308 php-symfony-var-exporter           	       4       53        6       43        0
 3309 postgresql-client-13               	       4      111        8       99        0
 3310 postgresql-common                  	       4      261       37      220        0
 3311 printer-driver-c2050               	       4      557        5      548        0
 3312 printer-driver-hpijs               	       4      253        2      246        1
 3313 printer-driver-indexbraille        	       4      371        1      366        0
 3314 printer-driver-min12xxw            	       4      564        5      555        0
 3315 printer-driver-oki                 	       4      372        1      367        0
 3316 printer-driver-pnm2ppa             	       4      558        6      548        0
 3317 ps2eps                             	       4      249        3      242        0
 3318 purifyeps                          	       4      104        1       99        0
 3319 pyqt5-dev-tools                    	       4      101        1       96        0
 3320 python-is-python3                  	       4      151       14      133        0
 3321 python3-aiohttp                    	       4      103        6       93        0
 3322 python3-aiosignal                  	       4       94        0       90        0
 3323 python3-astroid                    	       4       96        1       91        0
 3324 python3-backcall                   	       4      255        3      248        0
 3325 python3-compizconfig               	       4       47        3       40        0
 3326 python3-css-parser                 	       4      268        2      262        0
 3327 python3-dockerpty                  	       4      112        1      107        0
 3328 python3-frozenlist                 	       4       95        8       83        0
 3329 python3-gst-1.0                    	       4      216        5      207        0
 3330 python3-isort                      	       4      102        1       97        0
 3331 python3-multidict                  	       4      119        9      106        0
 3332 python3-opengl                     	       4      191        2      185        0
 3333 python3-parted                     	       4       40        0       36        0
 3334 python3-pickleshare                	       4      263        1      258        0
 3335 python3-pikepdf                    	       4      172        3      165        0
 3336 python3-puremagic                  	       4        8        1        3        0
 3337 python3-pypdf                      	       4       22        1       17        0
 3338 python3-pyqt5.qtchart              	       4      107        0      103        0
 3339 python3-pyqt5.qtpositioning        	       4      141        3      134        0
 3340 python3-pyqt5.qtremoteobjects      	       4      106        1      101        0
 3341 python3-pyqt5.qtsensors            	       4      106        1      101        0
 3342 python3-pyqt5.qtserialport         	       4      106        1      101        0
 3343 python3-pyqt5.qttexttospeech       	       4      106        1      101        0
 3344 python3-pyqt5.qtwebkit             	       4      236        1      231        0
 3345 python3-pyqt5.qtwebsockets         	       4      122        1      117        0
 3346 python3-pyqt5.qtxmlpatterns        	       4      118        1      113        0
 3347 python3-qrcode                     	       4      118        2      112        0
 3348 python3-qtpy                       	       4      126        2      120        0
 3349 python3-ruamel.yaml                	       4      155       15      136        0
 3350 python3-sentry-sdk                 	       4       88        0       84        0
 3351 python3-sqlalchemy-ext             	       4       83        2       77        0
 3352 python3-sugar3                     	       4      257        1      252        0
 3353 python3-xapian                     	       4      145        6      135        0
 3354 python3-xxhash                     	       4       44        4       36        0
 3355 python3-yarl                       	       4      107        8       95        0
 3356 qdbus-qt6                          	       4       88        2       82        0
 3357 qemu-guest-agent                   	       4      161       25      132        0
 3358 qemu-system-riscv                  	       4       19        2       13        0
 3359 qemu-system-s390x                  	       4       19        2       13        0
 3360 qjackctl                           	       4      155        3      148        0
 3361 qmake6                             	       4       77        2       71        0
 3362 qmake6-bin                         	       4       77        2       71        0
 3363 qrencode                           	       4      250        3      243        0
 3364 qt5-assistant                      	       4      201        2      195        0
 3365 qt6-base-dev                       	       4       74        2       68        0
 3366 qt6-declarative-dev-tools          	       4       53        0       49        0
 3367 qttools5-dev                       	       4      109        1      104        0
 3368 qttools5-dev-tools                 	       4      209        3      188       14
 3369 rar                                	       4      203        9      190        0
 3370 redshift-gtk                       	       4      110        3      103        0
 3371 refractainstaller-gui              	       4      134        0      130        0
 3372 refractasnapshot-gui               	       4      132        0      128        0
 3373 runc                               	       4      168       24      140        0
 3374 samba                              	       4      379       94      281        0
 3375 scite                              	       4       62        1       57        0
 3376 seahorse-daemon                    	       4       76        0       72        0
 3377 sharutils                          	       4      192        2      186        0
 3378 stacer                             	       4       16        1       11        0
 3379 steam-launcher                     	       4      146       14      128        0
 3380 stk                                	       4       73        2       67        0
 3381 swaylock                           	       4       35        1       30        0
 3382 texlive-humanities                 	       4       99        1       93        1
 3383 texlive-lang-cyrillic              	       4      101        1       96        0
 3384 texlive-metapost-doc               	       4      104        1       99        0
 3385 texlive-science                    	       4      266       10      252        0
 3386 timidity                           	       4      188        5      179        0
 3387 u-boot-tools                       	       4       75        2       69        0
 3388 uidmap                             	       4      171        3      164        0
 3389 unace                              	       4       80        5       58       13
 3390 webp-pixbuf-loader                 	       4      744        7       25      708
 3391 wnorwegian                         	       4       44        0       40        0
 3392 xbacklight                         	       4       69        1       64        0
 3393 xfce4-panel-profiles               	       4       28        0       24        0
 3394 xfce4-weather-plugin               	       4     1663        8       64     1587
 3395 xinput                             	       4      286       12      269        1
 3396 xserver-xorg-dev                   	       4       73        2       67        0
 3397 xss-lock                           	       4      134        8      122        0
 3398 xzdec                              	       4       25        2       19        0
 3399 zoom                               	       4      187       12      170        1
 3400 abcde                              	       3       94        2       89        0
 3401 abootimg                           	       3       33        1       29        0
 3402 adb                                	       3      303        7      293        0
 3403 alsa-tools                         	       3      157        2      152        0
 3404 amule                              	       3       74        3       68        0
 3405 amule-common                       	       3       77        0       74        0
 3406 amule-utils                        	       3       71        0       68        0
 3407 arandr                             	       3      166       10      153        0
 3408 arc-theme                          	       3       68        7       15       43
 3409 arduino                            	       3       90        1       86        0
 3410 arduino-builder                    	       3       84        1       80        0
 3411 arduino-core-avr                   	       3       84        0       81        0
 3412 arduino-ctags                      	       3       85        1       81        0
 3413 aria2                              	       3      139        3      133        0
 3414 avr-libc                           	       3      132        3      126        0
 3415 avrdude                            	       3      135        4      128        0
 3416 ayatana-indicator-application      	       3       37        4       30        0
 3417 bauh                               	       3        4        0        1        0
 3418 biber                              	       3      117        2      112        0
 3419 bind9                              	       3      174       34      137        0
 3420 bind9-libs                         	       3     3540       32       99     3406
 3421 binutils-avr                       	       3      136        2      131        0
 3422 binutils-multiarch                 	       3       41        7       31        0
 3423 black                              	       3       51        0       48        0
 3424 bridge-utils                       	       3      441       53      385        0
 3425 broadcom-sta-dkms                  	       3       32        2       26        1
 3426 brotli                             	       3       35        1       31        0
 3427 bsdmainutils                       	       3      935        5      470      457
 3428 caja-eiciel                        	       3       58        8       47        0
 3429 caja-seahorse                      	       3       53        7       43        0
 3430 caja-sendto                        	       3       71       10       58        0
 3431 calligra-libs                      	       3       51        1       47        0
 3432 calligrawords                      	       3       39        1       35        0
 3433 cargo                              	       3       93        1       89        0
 3434 cd-discid                          	       3      101        0       98        0
 3435 cgroupfs-mount                     	       3      254       22      229        0
 3436 chromium-shell                     	       3       37        1       33        0
 3437 cinnamon-control-center-goa        	       3      242        0        6      233
 3438 clang-14                           	       3      194        5      186        0
 3439 clang-format                       	       3       49        0       46        0
 3440 clang-tidy                         	       3       68        0       65        0
 3441 clzip                              	       3       29        2       24        0
 3442 codium                             	       3       52        0       49        0
 3443 comerr-dev                         	       3      195        2      190        0
 3444 command-not-found                  	       3      109       15       91        0
 3445 compiz-core                        	       3       52        4       45        0
 3446 compiz-gnome                       	       3       41        4       34        0
 3447 compiz-mate                        	       3       37        4       30        0
 3448 compiz-plugins                     	       3       52        4       45        0
 3449 compiz-plugins-main                	       3       52        4       45        0
 3450 containernetworking-plugins        	       3       65        1       61        0
 3451 context                            	       3       95        1       91        0
 3452 cppcheck                           	       3       55        0       52        0
 3453 cpu-x                              	       3       52        0       49        0
 3454 cpufrequtils                       	       3      270       17      250        0
 3455 cpulimit                           	       3       40        1       36        0
 3456 debconf-utils                      	       3      101        4       94        0
 3457 debian-goodies                     	       3      111        6      102        0
 3458 device-tree-compiler               	       3       97        1       93        0
 3459 dictzip                            	       3       59        3       53        0
 3460 diffoscope-minimal                 	       3       26        1       22        0
 3461 discord                            	       3      130        7      120        0
 3462 dnsutils                           	       3     1102        6      285      808
 3463 dotnet-apphost-pack-8.0            	       3       14        0       11        0
 3464 dotnet-sdk-8.0                     	       3       14        0       11        0
 3465 doublecmd-common                   	       3       46        2       41        0
 3466 doublecmd-gtk                      	       3       35        1       31        0
 3467 doublecmd-plugins                  	       3       46        2       41        0
 3468 dunst                              	       3      175       24      148        0
 3469 dvgrab                             	       3      142        0      139        0
 3470 dvidvi                             	       3      102        0       99        0
 3471 easytag                            	       3       98        1       94        0
 3472 eatmydata                          	       3      105        2      100        0
 3473 elfutils                           	       3      103        0      100        0
 3474 erlang-base                        	       3       66        4       59        0
 3475 erlang-crypto                      	       3       64        4       57        0
 3476 erlang-syntax-tools                	       3       63        0       60        0
 3477 evemu-tools                        	       3      103        0       99        1
 3478 evtest                             	       3      109        2      103        1
 3479 exiftran                           	       3      195        0      192        0
 3480 extra-xdg-menus                    	       3      156        0      153        0
 3481 ffcvt                              	       3       13        0       10        0
 3482 firefox-esr-l10n-pt-br             	       3       37        1       33        0
 3483 firmware-b43-installer             	       3       76        0        9       64
 3484 firmware-ipw2x00                   	       3       48        0       45        0
 3485 firmware-marvell-prestera          	       3       29        0       26        0
 3486 firmware-sof-signed                	       3       72        5       63        1
 3487 flameshot                          	       3       92        8       81        0
 3488 fluxbox                            	       3      103        7       93        0
 3489 foliate                            	       3       31        1       27        0
 3490 fontforge-extras                   	       3       52        1       48        0
 3491 fonts-font-awesome                 	       3     2012       23      108     1878
 3492 fp-units-rtl-3.2.2                 	       3       91        5       82        1
 3493 fp-utils                           	       3       28        1       24        0
 3494 fprintd                            	       3       42        0       39        0
 3495 freerdp2-x11                       	       3      188        4      181        0
 3496 frozen-bubble                      	       3       52        0       49        0
 3497 fusion-icon                        	       3       13        3        7        0
 3498 gamemode                           	       3       64        4       57        0
 3499 gamemode-daemon                    	       3       79        7       69        0
 3500 gcc-avr                            	       3      136        3      130        0
 3501 gigolo                             	       3       40        1       36        0
 3502 gir1.2-caja-2.0                    	       3       92       11       53       25
 3503 gir1.2-nemo-3.0                    	       3      270        1        8      258
 3504 gir1.2-soup-2.4                    	       3     1913       11       46     1853
 3505 gir1.2-timezonemap-1.0             	       3      261        1        6      251
 3506 git-mediawiki                      	       3       39        1       35        0
 3507 glyrc                              	       3       93        0       90        0
 3508 gnome-epub-thumbnailer             	       3       34        1       30        0
 3509 gnutls-doc                         	       3       18        0       15        0
 3510 go-mtpfs                           	       3       54        1       50        0
 3511 gobject-introspection              	       3      166        2      161        0
 3512 golang-1.19-go                     	       3      117        1      113        0
 3513 golang-1.19-src                    	       3      117        1      113        0
 3514 golang-1.22-go                     	       3       18        0       15        0
 3515 golang-1.22-src                    	       3       18        0       15        0
 3516 grsync                             	       3       74        3       68        0
 3517 gtk2-engines                       	       3     2991       28      130     2830
 3518 guestfs-tools                      	       3       65        1       61        0
 3519 guile-3.0                          	       3      122        1      118        0
 3520 handbrake                          	       3      221        3      198       17
 3521 hardinfo                           	       3      158        1      137       17
 3522 haveged                            	       3      195       39      153        0
 3523 hdf5-helpers                       	       3       84        1       80        0
 3524 helpdev                            	       3       43        1       39        0
 3525 i3status                           	       3      158       12      143        0
 3526 i965-va-driver                     	       3     3165       34      123     3005
 3527 ibus-gtk3                          	       3      382       50      217      112
 3528 icewm                              	       3       79        5       71        0
 3529 icewm-common                       	       3       80        4       73        0
 3530 inotify-tools                      	       3      151        7      141        0
 3531 iperf3                             	       3      198        8      187        0
 3532 iptables-persistent                	       3      109        0       75       31
 3533 jed-common                         	       3       40        1       36        0
 3534 jmtpfs                             	       3      172        6      163        0
 3535 jupyter-nbconvert                  	       3       26        1       22        0
 3536 kapman                             	       3       59        0       56        0
 3537 kblocks                            	       3       64        0       61        0
 3538 kdeconnect-libs                    	       3       36       11       17        5
 3539 kdf                                	       3       63        0       60        0
 3540 kdiff3                             	       3       84        5       76        0
 3541 kid3                               	       3       38        2       33        0
 3542 kid3-core                          	       3       50        2       45        0
 3543 kmahjongg                          	       3       84        2       79        0
 3544 knights                            	       3       59        0       56        0
 3545 krb5-multidev                      	       3      163        1      159        0
 3546 krusader                           	       3       64       10       51        0
 3547 ktuberling                         	       3       62        1       58        0
 3548 lacheck                            	       3      115        1      111        0
 3549 lame                               	       3      377       11      363        0
 3550 latex-cjk-chinese                  	       3       94        0       91        0
 3551 latex-cjk-common                   	       3       96        1       92        0
 3552 latex-cjk-japanese                 	       3       96        1       92        0
 3553 latex-cjk-thai                     	       3       94        1       90        0
 3554 layer-shell-qt                     	       3      455        7       20      425
 3555 ledit                              	       3       62        1       58        0
 3556 libabsl20200923                    	       3      132       14       58       57
 3557 libadwaita-1-dev                   	       3       26        0       23        0
 3558 libalien-sdl-perl                  	       3       93        0       90        0
 3559 libappconfig-perl                  	       3       81        2       76        0
 3560 libappstream-glib8                 	       3      178        4       23      148
 3561 libapt-pkg7.0                      	       3       21        2        0       16
 3562 libarchive-dev                     	       3      107        0      104        0
 3563 libarchive13t64                    	       3      245        8       36      198
 3564 libavif9                           	       3      103       14       52       34
 3565 libblas3                           	       3     3516       40      254     3219
 3566 libbusiness-ismn-perl              	       3      118        1      114        0
 3567 libbytes-random-secure-perl        	       3      211        7      201        0
 3568 libcinnamon-control-center1        	       3      284        1        7      273
 3569 libck-connector0                   	       3      787       62      238      484
 3570 libclang-common-14-dev             	       3      235        5      227        0
 3571 libclang-cpp19                     	       3       48        4       41        0
 3572 libcompizconfig0                   	       3       50        4       43        0
 3573 libcrypt-random-seed-perl          	       3      211        7      201        0
 3574 libcurses-ui-perl                  	       3      218        4      211        0
 3575 libdata-compare-perl               	       3      132        1      128        0
 3576 libdata-uniqid-perl                	       3      126        1      122        0
 3577 libdatetime-calendar-julian-perl   	       3      118        1      114        0
 3578 libdatetime-format-iso8601-perl    	       3       82        2       77        0
 3579 libdav1d-dev                       	       3      188        0      185        0
 3580 libdebuginfod1                     	       3      971       26      103      839
 3581 libdigest-bubblebabble-perl        	       3      321        5      313        0
 3582 libegl-nvidia0                     	       3      171       20       97       51
 3583 libeinfo1                          	       3      532        1        8      520
 3584 libemail-valid-perl                	       3      169        3      163        0
 3585 libevdev-dev                       	       3       81        1       77        0
 3586 libevent-core-2.1-7                	       3     1626      173      421     1029
 3587 libfam0                            	       3      346       16       85      242
 3588 libflac-dev                        	       3      236        2      231        0
 3589 libflac12t64                       	       3      234       28      148       55
 3590 libflac14                          	       3       19       13        0        3
 3591 libfm-qt-l10n                      	       3      278       15       63      197
 3592 libgav1-0                          	       3      103       14       52       34
 3593 libgbm-dev                         	       3      217        1      213        0
 3594 libgdiplus                         	       3      248        0      245        0
 3595 libgetopt-long-descriptive-perl    	       3      213        4      206        0
 3596 libghc-utf8-string-dev             	       3       38        1       34        0
 3597 libgirepository1.0-dev             	       3      111        0       99        9
 3598 libgoffice-0.10-10                 	       3      165        3      159        0
 3599 libgphoto2-port12t64               	       3      218       20       67      128
 3600 libgtest-dev                       	       3       35        0       32        0
 3601 libgtk-4-dev                       	       3       49        0       46        0
 3602 libgtk-4-media-gstreamer           	       3      223        4       18      198
 3603 libgtksourceview-4-0               	       3     2106       25       77     2001
 3604 libgtksourceview-4-common          	       3     2109       11       37     2058
 3605 libhavege2                         	       3      163       37      117        6
 3606 libhdf5-dev                        	       3       80        1       76        0
 3607 libhwloc-dev                       	       3      145        1      141        0
 3608 libibverbs-dev                     	       3      138        1      134        0
 3609 libilmbase25                       	       3      601       13       51      534
 3610 libimagequant0                     	       3     1795       23       69     1700
 3611 libimath-dev                       	       3       98        0       95        0
 3612 libimlib2                          	       3     1671       48      163     1457
 3613 libinput-dev                       	       3       65        2       60        0
 3614 libinput-tools                     	       3       43        1       39        0
 3615 libio-multiplex-perl               	       3      162        5      154        0
 3616 libio-socket-inet6-perl            	       3      431       21      407        0
 3617 libio-socket-socks-perl            	       3      129        3      123        0
 3618 libkf5texteditor5                  	       3      678        6       39      630
 3619 libkf6auth-data                    	       3       73        7       12       51
 3620 libkf6authcore6                    	       3       72       10       23       36
 3621 libkf6configcore6                  	       3       91       12       33       43
 3622 libkf6coreaddons-data              	       3       90       11       30       46
 3623 libkf6coreaddons6                  	       3       87       11       30       43
 3624 libkf6crash6                       	       3       77       11       27       36
 3625 libkf6dbusaddons-data              	       3       87        7       11       66
 3626 libkf6dbusaddons6                  	       3       86       11       28       44
 3627 libkf6i18n6                        	       3       87       11       30       43
 3628 libkf6kiocore6                     	       3       72       10       23       36
 3629 libkf6kiogui6                      	       3       72       10       22       37
 3630 libkf6notifications-data           	       3       91        7       11       70
 3631 libkf6notifications6               	       3       90       12       32       43
 3632 libkf6service6                     	       3       72       11       23       35
 3633 libkf6solid-data                   	       3       74        7       13       51
 3634 libkf6solid6                       	       3       73       10       24       36
 3635 libkf6windowsystem-data            	       3       92        7       12       70
 3636 libkf6windowsystem6                	       3       91       12       33       43
 3637 libkrb5-dev                        	       3      148        1      144        0
 3638 liblapack3                         	       3     3303       39      210     3051
 3639 liblayershellqtinterface5          	       3      432       11       37      381
 3640 liblcms2-dev                       	       3      177        0      174        0
 3641 libldap-dev                        	       3      104        0      101        0
 3642 liblightdm-gobject-1-0             	       3     1072       22       85      962
 3643 liblingua-translit-perl            	       3      115        1      111        0
 3644 liblist-allutils-perl              	       3      139        2      134        0
 3645 liblxqt-l10n                       	       3      282       11       52      216
 3646 liblz4-dev                         	       3       99        2       94        0
 3647 libmateweather-common              	       3      527        8       25      491
 3648 libmath-random-isaac-perl          	       3      221        7      211        0
 3649 libmojo-server-fastcgi-perl        	       3      123        0      120        0
 3650 libmojolicious-perl                	       3      138        3      132        0
 3651 libmono-2.0-dev                    	       3       95        0       92        0
 3652 libmono-accessibility4.0-cil       	       3      195        1      191        0
 3653 libmono-cecil-private-cil          	       3       97        0       94        0
 3654 libmono-cil-dev                    	       3       92        0       89        0
 3655 libmono-codecontracts4.0-cil       	       3       93        0       90        0
 3656 libmono-compilerservices-symbolwriter4.0-cil	       3       94        0       91        0
 3657 libmono-cscompmgd0.0-cil           	       3       89        0       86        0
 3658 libmono-custommarshalers4.0-cil    	       3       93        0       90        0
 3659 libmono-data-tds4.0-cil            	       3       99        0       96        0
 3660 libmono-db2-1.0-cil                	       3       92        0       89        0
 3661 libmono-debugger-soft4.0a-cil      	       3       92        0       89        0
 3662 libmono-http4.0-cil                	       3       93        0       90        0
 3663 libmono-i18n-cjk4.0-cil            	       3      112        0      109        0
 3664 libmono-i18n-mideast4.0-cil        	       3      112        0      109        0
 3665 libmono-i18n-other4.0-cil          	       3      112        0      109        0
 3666 libmono-i18n-rare4.0-cil           	       3      112        0      109        0
 3667 libmono-ldap4.0-cil                	       3      125        0      122        0
 3668 libmono-messaging-rabbitmq4.0-cil  	       3       93        0       90        0
 3669 libmono-messaging4.0-cil           	       3       98        0       95        0
 3670 libmono-microsoft-build-engine4.0-cil	       3       96        0       93        0
 3671 libmono-microsoft-build-framework4.0-cil	       3       96        0       93        0
 3672 libmono-microsoft-build-tasks-v4.0-4.0-cil	       3       95        0       92        0
 3673 libmono-microsoft-build-utilities-v4.0-4.0-cil	       3       96        0       93        0
 3674 libmono-microsoft-build4.0-cil     	       3       93        0       90        0
 3675 libmono-microsoft-csharp4.0-cil    	       3      101        0       98        0
 3676 libmono-microsoft-visualc10.0-cil  	       3       93        0       90        0
 3677 libmono-microsoft-web-infrastructure1.0-cil	       3       94        0       91        0
 3678 libmono-oracle4.0-cil              	       3       93        0       90        0
 3679 libmono-parallel4.0-cil            	       3       92        0       89        0
 3680 libmono-peapi4.0a-cil              	       3       92        0       89        0
 3681 libmono-profiler                   	       3       54        0       49        2
 3682 libmono-rabbitmq4.0-cil            	       3       93        0       90        0
 3683 libmono-relaxng4.0-cil             	       3       93        0       90        0
 3684 libmono-sharpzip4.84-cil           	       3      119        0      116        0
 3685 libmono-simd4.0-cil                	       3       93        0       90        0
 3686 libmono-smdiagnostics0.0-cil       	       3       89        0       86        0
 3687 libmono-sqlite4.0-cil              	       3      127        2      122        0
 3688 libmono-system-componentmodel-composition4.0-cil	       3       94        0       91        0
 3689 libmono-system-componentmodel-dataannotations4.0-cil	       3      125        0      122        0
 3690 libmono-system-configuration-install4.0-cil	       3       98        0       95        0
 3691 libmono-system-data-datasetextensions4.0-cil	       3       93        0       90        0
 3692 libmono-system-data-entity4.0-cil  	       3       89        0       86        0
 3693 libmono-system-data-linq4.0-cil    	       3       94        0       91        0
 3694 libmono-system-data-services-client4.0-cil	       3       95        0       92        0
 3695 libmono-system-data-services4.0-cil	       3       93        0       90        0
 3696 libmono-system-data4.0-cil         	       3      200        2      195        0
 3697 libmono-system-deployment4.0-cil   	       3       89        0       86        0
 3698 libmono-system-design4.0-cil       	       3      125        0      122        0
 3699 libmono-system-drawing-design4.0-cil	       3       94        0       91        0
 3700 libmono-system-drawing4.0-cil      	       3      244        1      240        0
 3701 libmono-system-dynamic4.0-cil      	       3       93        0       90        0
 3702 libmono-system-enterpriseservices4.0-cil	       3      200        0      197        0
 3703 libmono-system-identitymodel-selectors4.0-cil	       3       98        0       95        0
 3704 libmono-system-identitymodel4.0-cil	       3       98        0       95        0
 3705 libmono-system-io-compression-filesystem4.0-cil	       3       92        0       89        0
 3706 libmono-system-io-compression4.0-cil	       3       92        0       89        0
 3707 libmono-system-json-microsoft4.0-cil	       3       92        0       89        0
 3708 libmono-system-json4.0-cil         	       3       92        0       89        0
 3709 libmono-system-ldap-protocols4.0-cil	       3       92        0       89        0
 3710 libmono-system-ldap4.0-cil         	       3      125        0      122        0
 3711 libmono-system-management4.0-cil   	       3       93        0       90        0
 3712 libmono-system-messaging4.0-cil    	       3       98        0       95        0
 3713 libmono-system-net-http-formatting4.0-cil	       3       92        0       89        0
 3714 libmono-system-net-http-webrequest4.0-cil	       3       94        1       90        0
 3715 libmono-system-net-http4.0-cil     	       3       95        2       90        0
 3716 libmono-system-net4.0-cil          	       3       93        0       90        0
 3717 libmono-system-numerics-vectors4.0-cil	       3       89        0       86        0
 3718 libmono-system-reactive-core2.2-cil	       3       92        0       89        0
 3719 libmono-system-reactive-debugger2.2-cil	       3       92        0       89        0
 3720 libmono-system-reactive-experimental2.2-cil	       3       92        0       89        0
 3721 libmono-system-reactive-interfaces2.2-cil	       3       92        0       89        0
 3722 libmono-system-reactive-linq2.2-cil	       3       92        0       89        0
 3723 libmono-system-reactive-observable-aliases0.0-cil	       3       92        0       89        0
 3724 libmono-system-reactive-platformservices2.2-cil	       3       92        0       89        0
 3725 libmono-system-reactive-providers2.2-cil	       3       92        0       89        0
 3726 libmono-system-reactive-runtime-remoting2.2-cil	       3       92        0       89        0
 3727 libmono-system-reactive-windows-forms2.2-cil	       3       92        0       89        0
 3728 libmono-system-reactive-windows-threading2.2-cil	       3       92        0       89        0
 3729 libmono-system-reflection-context4.0-cil	       3       89        0       86        0
 3730 libmono-system-runtime-caching4.0-cil	       3       95        0       92        0
 3731 libmono-system-runtime-durableinstancing4.0-cil	       3       93        0       90        0
 3732 libmono-system-runtime-serialization-formatters-soap4.0-cil	       3      196        0      193        0
 3733 libmono-system-runtime-serialization4.0-cil	       3      110        2      105        0
 3734 libmono-system-runtime4.0-cil      	       3      113        0      110        0
 3735 libmono-system-servicemodel-activation4.0-cil	       3       98        0       95        0
 3736 libmono-system-servicemodel-discovery4.0-cil	       3       93        0       90        0
 3737 libmono-system-servicemodel-internals0.0-cil	       3      108        0      105        0
 3738 libmono-system-servicemodel-routing4.0-cil	       3       93        0       90        0
 3739 libmono-system-servicemodel-web4.0-cil	       3       93        0       90        0
 3740 libmono-system-servicemodel4.0a-cil	       3       98        0       95        0
 3741 libmono-system-serviceprocess4.0-cil	       3       93        0       90        0
 3742 libmono-system-threading-tasks-dataflow4.0-cil	       3       92        0       89        0
 3743 libmono-system-transactions4.0-cil 	       3      200        2      195        0
 3744 libmono-system-web-abstractions4.0-cil	       3       93        0       90        0
 3745 libmono-system-web-applicationservices4.0-cil	       3      126        0      123        0
 3746 libmono-system-web-dynamicdata4.0-cil	       3       93        0       90        0
 3747 libmono-system-web-extensions-design4.0-cil	       3       93        0       90        0
 3748 libmono-system-web-extensions4.0-cil	       3       94        0       91        0
 3749 libmono-system-web-http-selfhost4.0-cil	       3       92        0       89        0
 3750 libmono-system-web-http-webhost4.0-cil	       3       92        0       89        0
 3751 libmono-system-web-http4.0-cil     	       3       92        0       89        0
 3752 libmono-system-web-mobile4.0-cil   	       3       89        0       86        0
 3753 libmono-system-web-mvc3.0-cil      	       3       93        0       90        0
 3754 libmono-system-web-razor2.0-cil    	       3       93        0       90        0
 3755 libmono-system-web-regularexpressions4.0-cil	       3       89        0       86        0
 3756 libmono-system-web-routing4.0-cil  	       3       93        0       90        0
 3757 libmono-system-web-services4.0-cil 	       3      126        1      122        0
 3758 libmono-system-web-webpages-deployment2.0-cil	       3       93        0       90        0
 3759 libmono-system-web-webpages-razor2.0-cil	       3       93        0       90        0
 3760 libmono-system-web-webpages2.0-cil 	       3       93        0       90        0
 3761 libmono-system-web4.0-cil          	       3      126        1      122        0
 3762 libmono-system-windows-forms-datavisualization4.0a-cil	       3       92        0       89        0
 3763 libmono-system-windows-forms4.0-cil	       3      195        2      190        0
 3764 libmono-system-windows4.0-cil      	       3       92        0       89        0
 3765 libmono-system-workflow-activities4.0-cil	       3       89        0       86        0
 3766 libmono-system-workflow-componentmodel4.0-cil	       3       89        0       86        0
 3767 libmono-system-workflow-runtime4.0-cil	       3       89        0       86        0
 3768 libmono-system-xaml4.0-cil         	       3       95        0       92        0
 3769 libmono-system-xml-linq4.0-cil     	       3      109        1      105        0
 3770 libmono-system-xml-serialization4.0-cil	       3       92        0       89        0
 3771 libmono-tasklets4.0-cil            	       3       93        0       90        0
 3772 libmono-webbrowser4.0-cil          	       3      196        0      193        0
 3773 libmono-webmatrix-data4.0-cil      	       3       93        0       90        0
 3774 libmono-windowsbase4.0-cil         	       3       95        1       91        0
 3775 libmono-xbuild-tasks4.0-cil        	       3       95        0       92        0
 3776 libmonosgen-2.0-dev                	       3       92        0       89        0
 3777 libmspack0                         	       3      758       72      223      460
 3778 libnet-cidr-perl                   	       3      173        6      164        0
 3779 libnghttp3-dev                     	       3       19        0       16        0
 3780 libnma-gtk4-0                      	       3      426        0        4      419
 3781 libnotify-dev                      	       3       59        0       56        0
 3782 libnvidia-egl-wayland1             	       3      180       20      100       57
 3783 libnvidia-eglcore                  	       3      182       25      119       35
 3784 libnvidia-glcore                   	       3      183       22      106       52
 3785 libnvidia-glvkspirv                	       3      177       19       91       64
 3786 libopenexr-dev                     	       3      124        0      121        0
 3787 libopenexr25                       	       3      597       13       51      530
 3788 libopenh264-7                      	       3     2402       26      110     2263
 3789 liboxygenstyle5-5                  	       3      529        6       23      497
 3790 libpam0g-dev                       	       3      123        2      118        0
 3791 libpcap0.8                         	       3     3402       96      283     3020
 3792 libpeas-1.0-0                      	       3     1092       20       62     1007
 3793 libphonon4qt5-data                 	       3      850       33      134      680
 3794 libpolkit-gobject-consolekit-1-0   	       3      550       55      219      273
 3795 libpopt0                           	       3     4150      118      326     3703
 3796 libpython3.12-stdlib               	       3      217       17      197        0
 3797 libpython3.9-dev                   	       3      182        2      176        1
 3798 libqt6bluetooth6                   	       3       39       11       17        8
 3799 libqt6core6t64                     	       3      154       17       40       94
 3800 libqt6dbus6                        	       3      583       21       48      511
 3801 libqt6gui6                         	       3      581       21       48      509
 3802 libqt6network6                     	       3      576       18       41      514
 3803 libqt6svg6                         	       3      370       17       35      315
 3804 libqt6widgets6                     	       3      556       21       48      484
 3805 libqt6xml6                         	       3      450       14       32      401
 3806 librav1e-dev                       	       3       12        0        9        0
 3807 libreoffice-avmedia-backend-gstreamer	       3      190        1      164       22
 3808 libreoffice-l10n-it                	       3       75        6       66        0
 3809 libreoffice-style-tango            	       3      168        3      161        1
 3810 librxtx-java                       	       3       96        0       93        0
 3811 libslang2                          	       3     4143       45      137     3958
 3812 libsodium23                        	       3     3546      175      514     2854
 3813 libswscale-dev                     	       3      161        0      158        0
 3814 libsystemd0                        	       3      487       70      122      292
 3815 libtemplate-perl                   	       3       65        3       59        0
 3816 libterm-readline-gnu-perl          	       3       90        1       86        0
 3817 libtext-roman-perl                 	       3      119        1      115        0
 3818 libtheoradec1                      	       3       71       27       19       22
 3819 libtheoraenc1                      	       3       70       27       18       22
 3820 libtie-simple-perl                 	       3       91        0       88        0
 3821 libubootenv-tool                   	       3       52        1       48        0
 3822 libwacom-dev                       	       3       69        0       66        0
 3823 libwebservice-musicbrainz-perl     	       3      103        1       99        0
 3824 libwpe-1.0-1                       	       3      657       10       49      595
 3825 libwpebackend-fdo-1.0-1            	       3      657       10       49      595
 3826 libx11-xcb-dev                     	       3      208        4      201        0
 3827 libx265-215                        	       3       74       25       20       26
 3828 libxcb-icccm4-dev                  	       3       50        0       47        0
 3829 libxcb-xkb-dev                     	       3       75        1       71        0
 3830 libxcvt-dev                        	       3       57        1       53        0
 3831 libxencall1                        	       3      439       75      218      143
 3832 libxendevicemodel1                 	       3      439       75      218      143
 3833 libxenevtchn1                      	       3      439       75      218      143
 3834 libxenforeignmemory1               	       3      439       75      218      143
 3835 libxengnttab1                      	       3      439       75      218      143
 3836 libxenhypfs1                       	       3      394       70      207      114
 3837 libxenstore4                       	       3      275       56      163       53
 3838 libxentoolcore1                    	       3      446       75      218      150
 3839 libxentoollog1                     	       3      439       75      218      143
 3840 libxfce4windowing-0-0              	       3       60       10       21       26
 3841 libxine2-bin                       	       3      113        0      110        0
 3842 libxml-libxml-simple-perl          	       3      120        1      116        0
 3843 libxml-simple-perl                 	       3      253       12      238        0
 3844 libxml-writer-perl                 	       3      153        2      148        0
 3845 libxml-xpath-perl                  	       3      179        4      172        0
 3846 libxnvctrl0                        	       3     2229       45      170     2011
 3847 libxss-dev                         	       3      354        3      348        0
 3848 libxv-dev                          	       3      272        1      268        0
 3849 libxxf86dga-dev                    	       3      148        0      145        0
 3850 libxxf86vm-dev                     	       3      400        1      396        0
 3851 libyajl-dev                        	       3       38        0       35        0
 3852 libyaml-perl                       	       3      269        6      260        0
 3853 libzip-dev                         	       3       80        1       76        0
 3854 linux-image-5.10.0-9-686           	       3       23        0       19        1
 3855 linux-image-6.1.0-31-arm64         	       3        3        0        0        0
 3856 linux-image-6.1.0-32-amd64         	       3        3        0        0        0
 3857 linux-image-6.12.11-amd64          	       3       24        6       15        0
 3858 linux-image-6.12.9+bpo-amd64       	       3       26       10       13        0
 3859 live-usb-maker                     	       3        4        0        1        0
 3860 llvm-14-dev                        	       3      254       15      236        0
 3861 llvm-14-linker-tools               	       3      275       10      262        0
 3862 llvm-19                            	       3       39        0       36        0
 3863 llvm-19-runtime                    	       3       39        0       36        0
 3864 llvm-19-tools                      	       3       39        0       36        0
 3865 lmms                               	       3       52        2       47        0
 3866 lxqt-archiver                      	       3       39        2       34        0
 3867 lxqt-notificationd-l10n            	       3      269        9       48      209
 3868 lxqt-panel-l10n                    	       3      250        9       52      186
 3869 lxqt-policykit-l10n                	       3      267        6       37      221
 3870 lxqt-powermanagement-l10n          	       3      266        8       51      204
 3871 lxqt-runner-l10n                   	       3      251        9       52      187
 3872 lxqt-session-l10n                  	       3      268        9       52      204
 3873 makedeb                            	       3       10        0        7        0
 3874 mariadb-plugin-provider-bzip2      	       3      185       47      135        0
 3875 mariadb-plugin-provider-lz4        	       3      185       47      135        0
 3876 mariadb-plugin-provider-lzma       	       3      186       47      136        0
 3877 mariadb-plugin-provider-lzo        	       3      185       47      135        0
 3878 mate-terminal-common               	       3      552       16       55      478
 3879 mate-user-share                    	       3       53        9       41        0
 3880 mencoder                           	       3      149        1      145        0
 3881 mime-support                       	       3     3977        9      464     3501
 3882 mintstick                          	       3       33        0       30        0
 3883 mono-4.0-service                   	       3       55        0       52        0
 3884 mono-devel                         	       3       90        1       86        0
 3885 mono-mcs                           	       3       97        0       94        0
 3886 mono-xbuild                        	       3       95        0       92        0
 3887 monodoc-base                       	       3       73        0       70        0
 3888 monodoc-manual                     	       3       72        0       69        0
 3889 munin-plugins-core                 	       3       75        5       67        0
 3890 muse                               	       3       19        0       16        0
 3891 mx-cleanup                         	       3        5        0        2        0
 3892 mx-live-usb-maker                  	       3        4        0        1        0
 3893 mx-locale                          	       3        4        0        1        0
 3894 mx-tools                           	       3        5        0        2        0
 3895 mx-user                            	       3        4        0        1        0
 3896 netselect                          	       3       36        0       33        0
 3897 netselect-apt                      	       3       26        0       23        0
 3898 network-manager-openvpn-gnome      	       3      121        4      101       13
 3899 network-manager-vpnc               	       3       57        8       46        0
 3900 network-manager-vpnc-gnome         	       3       45        0       36        6
 3901 nilfs-tools                        	       3       56        0       53        0
 3902 nitrogen                           	       3       48        5       40        0
 3903 nmon                               	       3       65        1       61        0
 3904 node-browserslist                  	       3      182        2      177        0
 3905 node-gyp                           	       3      193        2      188        0
 3906 nvidia-detect                      	       3       93        0       90        0
 3907 openfortivpn                       	       3       62        4       55        0
 3908 openmpi-bin                        	       3      190        2      185        0
 3909 opus-tools                         	       3       95        0       92        0
 3910 osspd-pulseaudio                   	       3       34        1       30        0
 3911 package-update-indicator           	       3       24        5       16        0
 3912 pamixer                            	       3       18        2       13        0
 3913 pbuilder                           	       3       88        4       81        0
 3914 pcmanfm-qt-l10n                    	       3      271       15       65      188
 3915 penguins-eggs                      	       3        4        0        1        0
 3916 perl-doc                           	       3      253        2      248        0
 3917 php-dev                            	       3       24        0       21        0
 3918 pigz                               	       3      644       23      618        0
 3919 playonlinux                        	       3       88        2       83        0
 3920 pm-utils                           	       3      639       29      607        0
 3921 pulseaudio-module-zeroconf         	       3       68        3       62        0
 3922 pulsemixer                         	       3       81        3       75        0
 3923 pwgen                              	       3      307       12      292        0
 3924 python-gi                          	       3      218        4      211        0
 3925 python-talloc                      	       3      277        8      266        0
 3926 python3-aiohappyeyeballs           	       3       12        0        9        0
 3927 python3-asgiref                    	       3       99        0       96        0
 3928 python3-asn1crypto                 	       3      186        3      180        0
 3929 python3-caja                       	       3       88       11       53       21
 3930 python3-cffi                       	       3      142        0      139        0
 3931 python3-coverage                   	       3      109        1      105        0
 3932 python3-dbusmock                   	       3        9        0        6        0
 3933 python3-defusedxml                 	       3      289        6      280        0
 3934 python3-dill                       	       3       85        2       80        0
 3935 python3-editorconfig               	       3       32        1       28        0
 3936 python3-firewall                   	       3       54        0       51        0
 3937 python3-flask                      	       3      107        0      104        0
 3938 python3-gdal                       	       3      147        4      140        0
 3939 python3-gdbm                       	       3      113        2      100        8
 3940 python3-html2text                  	       3      230        3      224        0
 3941 python3-img2pdf                    	       3      155        2      150        0
 3942 python3-itsdangerous               	       3      116        0      113        0
 3943 python3-jellyfish                  	       3       36        0       33        0
 3944 python3-lazy-object-proxy          	       3       81        0       78        0
 3945 python3-levenshtein                	       3       89        2       84        0
 3946 python3-libsass                    	       3       51        0       48        0
 3947 python3-logilab-common             	       3       98       12       83        0
 3948 python3-mccabe                     	       3      116        1      112        0
 3949 python3-mypy-extensions            	       3      120        1      116        0
 3950 python3-nftables                   	       3       49        0       46        0
 3951 python3-pdfminer                   	       3       91        2       86        0
 3952 python3-poppler-qt5                	       3       25        0       22        0
 3953 python3-progressbar                	       3       34        1       30        0
 3954 python3-psycopg2                   	       3      142        7      132        0
 3955 python3-py7zr                      	       3      214        2      209        0
 3956 python3-pycparser                  	       3      144        4      137        0
 3957 python3-pyqt6.qtwebengine          	       3      195       11      181        0
 3958 python3-qdarkstyle                 	       3       43        1       39        0
 3959 python3-qtawesome                  	       3       32        0       29        0
 3960 python3-qtsass                     	       3       39        0       36        0
 3961 python3-requests-file              	       3       26        0       23        0
 3962 python3-requests-oauthlib          	       3       31        1       27        0
 3963 python3-shellingham                	       3       24        0       21        0
 3964 python3-sortedcontainers           	       3      194        5      186        0
 3965 python3-sqlalchemy                 	       3       91        1       87        0
 3966 python3-tldextract                 	       3       18        0       15        0
 3967 python3-tomlkit                    	       3       92        1       88        0
 3968 python3-tzlocal                    	       3       62        1       58        0
 3969 python3-ujson                      	       3       82        5       74        0
 3970 python3-urwid                      	       3      142        1      138        0
 3971 python3-werkzeug                   	       3      119        0      116        0
 3972 python3.11-examples                	       3       65        0       62        0
 3973 python3.12-minimal                 	       3      213       16      194        0
 3974 python3.12-venv                    	       3       49        0       46        0
 3975 python3.9-dev                      	       3      176        1      170        2
 3976 q4wine                             	       3       70        3       64        0
 3977 qbittorrent                        	       3      163       15      145        0
 3978 qemu-user                          	       3       70        1       66        0
 3979 qemu-user-binfmt                   	       3       49        1       29       16
 3980 qml-module-org-kde-kquickcontrols  	       3      846        2       10      831
 3981 qt6-declarative-dev                	       3       14        0       11        0
 3982 qt6-svg-dev                        	       3       29        1       25        0
 3983 qt6-svg-plugins                    	       3       77       14       22       38
 3984 qt6-translations-l10n              	       3      531       16       40      472
 3985 qtermwidget5-data                  	       3      316        2       19      292
 3986 qtwebengine5-dev-tools             	       3       64        0       61        0
 3987 recordmydesktop                    	       3      170        0      167        0
 3988 ripgrep                            	       3       77        2       72        0
 3989 rofi                               	       3       78        5       70        0
 3990 rrdtool                            	       3      104        5       96        0
 3991 ruby-addressable                   	       3       64        2       59        0
 3992 ruby-concurrent                    	       3       67        3       61        0
 3993 ruby-did-you-mean                  	       3      189        7      179        0
 3994 ruby-i18n                          	       3       59        2       54        0
 3995 ruby-json                          	       3      112        2       80       27
 3996 ruby-public-suffix                 	       3       61        2       56        0
 3997 screenfetch                        	       3       89        2       84        0
 3998 shellcheck                         	       3      101        4       94        0
 3999 sjeng                              	       3       57        0       54        0
 4000 slsh                               	       3       40        1       36        0
 4001 slurp                              	       3       19        0       16        0
 4002 sndfile-tools                      	       3       58        1       54        0
 4003 ssh-tools                          	       3       14        1       10        0
 4004 stockfish                          	       3       74        1       70        0
 4005 strawberry                         	       3       31        2       26        0
 4006 subversion                         	       3      385       16      366        0
 4007 supercat                           	       3       64        2       59        0
 4008 swayidle                           	       3       23        1       19        0
 4009 swh-plugins                        	       3      226       10      213        0
 4010 sxiv                               	       3       70        4       63        0
 4011 syncthing                          	       3      167       21      143        0
 4012 sysv-rc-conf                       	       3      203        4      196        0
 4013 talk                               	       3       41        1       37        0
 4014 telepathy-mission-control-5        	       3      339        4      332        0
 4015 telepathy-salut                    	       3      276        0      273        0
 4016 testdisk                           	       3      246        5      238        0
 4017 texlive-formats-extra              	       3      165        7      155        0
 4018 texlive-games                      	       3      103        0      100        0
 4019 texlive-lang-cjk                   	       3      101        0       97        1
 4020 texlive-lang-french                	       3      114        1      110        0
 4021 texlive-lang-japanese              	       3       98        0       95        0
 4022 texlive-lang-korean                	       3       95        0       92        0
 4023 texlive-music                      	       3       94        0       91        0
 4024 texlive-publishers-doc             	       3       96        1       85        7
 4025 thunderbird-l10n-en-gb             	       3       29        3       23        0
 4026 tini                               	       3      174       23      148        0
 4027 tint2                              	       3       69        8       58        0
 4028 uno-libs3                          	       3      180       10      167        0
 4029 vainfo                             	       3      122        2      117        0
 4030 virt-p2v                           	       3       76        1       72        0
 4031 vpnc                               	       3       85        4       78        0
 4032 vprerex                            	       3      120        0      117        0
 4033 wavpack                            	       3      148        2      143        0
 4034 waybar                             	       3       30        1       26        0
 4035 wazuh-agent                        	       3        6        0        3        0
 4036 wbrazilian                         	       3       67        1       63        0
 4037 webapp-manager                     	       3        8        0        5        0
 4038 webapps-creator-ui                 	       3        4        0        1        0
 4039 wine-stable                        	       3       48        0       45        0
 4040 wine-stable-amd64                  	       3       46        0       43        0
 4041 wine-stable-i386                   	       3       49        1       45        0
 4042 winehq-stable                      	       3       44        1       40        0
 4043 wireshark-common                   	       3      363        7      353        0
 4044 witalian                           	       3      140        1      120       16
 4045 wmdocker                           	       3       65        0       62        0
 4046 x11-xfs-utils                      	       3      149        3      143        0
 4047 x2goserver-common                  	       3       65        0       62        0
 4048 x2goserver-fmbindings              	       3       62        3       56        0
 4049 x2goserver-printing                	       3       62        3       56        0
 4050 xbindkeys                          	       3       57       16       38        0
 4051 xdg-desktop-portal-xapp            	       3       26        2       21        0
 4052 xrdp                               	       3      114       32       79        0
 4053 xvkbd                              	       3       37        0       34        0
 4054 yakuake                            	       3       47        6       38        0
 4055 zipcmp                             	       3       16        2       11        0
 4056 zynaddsubfx                        	       3       63        2       58        0
 4057 0ad                                	       2       61        1       58        0
 4058 2to3                               	       2       80        3       75        0
 4059 aapt                               	       2       60        0       58        0
 4060 aardvark-dns                       	       2       57        1       54        0
 4061 abiword                            	       2       95        3       90        0
 4062 acpitool                           	       2      112        1      109        0
 4063 alpine                             	       2       69        2       65        0
 4064 alsa-firmware-loaders              	       2       57        2       53        0
 4065 alsa-tools-gui                     	       2       97        1       94        0
 4066 amarok-utils                       	       2        8        0        6        0
 4067 amdgpu-install                     	       2       25        0       23        0
 4068 android-sdk-platform-tools-common  	       2      307       12      292        1
 4069 antlr                              	       2      113        2      109        0
 4070 apache-netbeans                    	       2       16        0       14        0
 4071 apksigner                          	       2       50        1       47        0
 4072 apktool                            	       2       28        0       26        0
 4073 apt-xapian-index                   	       2      116       12      102        0
 4074 apulse                             	       2      123        2      119        0
 4075 arc                                	       2       38        2       34        0
 4076 ario                               	       2       10        0        8        0
 4077 artikulate                         	       2       48        0       46        0
 4078 ascii                              	       2       28        1       25        0
 4079 asciijump                          	       2        8        0        6        0
 4080 aspell-it                          	       2      130        1      127        0
 4081 aspell-pt-br                       	       2       54        0       52        0
 4082 assistant-qt6                      	       2       70        1       67        0
 4083 at                                 	       2      498      112      384        0
 4084 augeas-tools                       	       2       42        2       38        0
 4085 autopkgtest                        	       2       33        1       30        0
 4086 axel                               	       2       34        3       29        0
 4087 bash-builtins                      	       2       12        0       10        0
 4088 bat                                	       2       42        4       36        0
 4089 bgconf                             	       2       16        0       14        0
 4090 bgscripts                          	       2       14        0       12        0
 4091 bgscripts-core                     	       2       16        1       13        0
 4092 blender                            	       2      167        1      164        0
 4093 blender-data                       	       2      181        0      179        0
 4094 blktool                            	       2       20        0       18        0
 4095 blobby                             	       2        8        0        6        0
 4096 blobby-server                      	       2        2        0        0        0
 4097 blockout2                          	       2       20        0       18        0
 4098 blt-dev                            	       2       14        0       12        0
 4099 bluefish                           	       2       60        2       56        0
 4100 bluez-cups                         	       2       48        0       46        0
 4101 bluez-hcidump                      	       2       63        0       61        0
 4102 boinc-manager                      	       2       19        0       17        0
 4103 bsdgames-nonfree                   	       2       21        0       19        0
 4104 buildah                            	       2       59        1       56        0
 4105 caffeine                           	       2       10        0        8        0
 4106 caja-extensions-common             	       2      108       15       45       46
 4107 caja-gtkhash                       	       2       59        8       29       20
 4108 caja-image-converter               	       2       63        9       29       23
 4109 caja-open-terminal                 	       2       97       14       52       29
 4110 caja-wallpaper                     	       2       56        7       29       18
 4111 calligrasheets                     	       2       27        0       25        0
 4112 calligrastage                      	       2       23        0       21        0
 4113 cantor                             	       2       44        0       42        0
 4114 celluloid                          	       2       26        0       24        0
 4115 check-dfsg-status                  	       2       51        0       49        0
 4116 chromium-bsu                       	       2       20        0       18        0
 4117 clang                              	       2      194        8      184        0
 4118 clang-format-19                    	       2        8        0        6        0
 4119 clang-tidy-19                      	       2       12        0       10        0
 4120 clangd                             	       2       65        1       62        0
 4121 clangd-19                          	       2       10        0        8        0
 4122 cmake-curses-gui                   	       2       56        0       54        0
 4123 cmake-extras                       	       2       28        0       25        1
 4124 conky-std                          	       2       85       10       73        0
 4125 conmon                             	       2       59        3       54        0
 4126 console-common                     	       2      119        5      112        0
 4127 cpp-8                              	       2      272       20      249        1
 4128 cpuinfo                            	       2       34        0       32        0
 4129 cramfsswap                         	       2       45        1       42        0
 4130 crun                               	       2       50        0       48        0
 4131 cryptmount                         	       2       39        2       35        0
 4132 csound                             	       2       33        2       29        0
 4133 csound-utils                       	       2       33        2       29        0
 4134 deborphan                          	       2      263       11      250        0
 4135 designer-qt6                       	       2       73        1       70        0
 4136 devhelp                            	       2       58        2       54        0
 4137 dh-dkms                            	       2       20        0       18        0
 4138 dh-python                          	       2      364        7      355        0
 4139 digikam                            	       2       68        1       65        0
 4140 digikam-private-libs               	       2       68        1       65        0
 4141 dislocker                          	       2       29        1       26        0
 4142 distro-info                        	       2      138        2      134        0
 4143 djview4                            	       2       44        1       41        0
 4144 dmraid                             	       2       32        1       29        0
 4145 dnsmasq                            	       2      152        2      148        0
 4146 docx2txt                           	       2       53        2       49        0
 4147 dosbox                             	       2      202        4      196        0
 4148 drumstick-tools                    	       2       10        0        8        0
 4149 dtach                              	       2       35        1       32        0
 4150 ebtables                           	       2       77        2       73        0
 4151 edid-decode                        	       2       55        1       52        0
 4152 electrum                           	       2       18        0       16        0
 4153 elinks                             	       2      154        2      150        0
 4154 elisa                              	       2       63        1       60        0
 4155 emerald                            	       2       13        0       11        0
 4156 enchant                            	       2      303        4      297        0
 4157 enjarify                           	       2       19        1       16        0
 4158 enlightenment                      	       2       32        0       30        0
 4159 erlang-cl                          	       2       18        0       16        0
 4160 erlang-wx                          	       2       31        0       29        0
 4161 erlang-xmerl                       	       2       48        0       46        0
 4162 evolution-ews-core                 	       2       10        4        4        0
 4163 exactimage                         	       2       24        0       22        0
 4164 fatcat                             	       2       32        1       29        0
 4165 fbreader                           	       2      101        2       97        0
 4166 fdroidcl                           	       2        4        0        2        0
 4167 festival                           	       2      110        3      105        0
 4168 fido2-tools                        	       2        6        0        4        0
 4169 filelight                          	       2      110        1      107        0
 4170 firefox-esr-l10n-cs                	       2       29        2       25        0
 4171 firefox-esr-l10n-fi                	       2        7        1        4        0
 4172 firefox-esr-l10n-ja                	       2       31        0       29        0
 4173 firefox-esr-l10n-sq                	       2        3        0        1        0
 4174 firefox-esr-l10n-tr                	       2        7        0        5        0
 4175 firefox-l10n-en-gb                 	       2       10        0        8        0
 4176 firmware-intel-sound               	       2      111        1      108        0
 4177 firmware-ti-connectivity           	       2      144        0      142        0
 4178 fish                               	       2       95       12       81        0
 4179 flatpak-builder                    	       2       14        0       12        0
 4180 fontforge                          	       2       89        1       86        0
 4181 fonts-lato                         	       2     2258       48      151     2057
 4182 fonts-mathjax                      	       2     2188       26       88     2072
 4183 fonts-noto-ui-core                 	       2     1319       38       96     1183
 4184 fonts-ubuntu                       	       2       51        3       21       25
 4185 foremost                           	       2       38        1       35        0
 4186 fp-ide-3.2.2                       	       2       77        4       71        0
 4187 freerdp3-wayland                   	       2        8        0        6        0
 4188 freerdp3-x11                       	       2        6        1        3        0
 4189 fscrypt                            	       2        8        0        6        0
 4190 fuse-overlayfs                     	       2       71        2       67        0
 4191 fuse-zip                           	       2       14        0       12        0
 4192 fxload                             	       2       75        4       69        0
 4193 gambas3-devel                      	       2       16        0       14        0
 4194 gambas3-gb-args                    	       2       15        0       13        0
 4195 gambas3-gb-cairo                   	       2       15        0       13        0
 4196 gambas3-gb-chart                   	       2       15        0       13        0
 4197 gambas3-gb-clipper                 	       2       16        0       14        0
 4198 gambas3-gb-complex                 	       2       15        0       13        0
 4199 gambas3-gb-compress                	       2       15        0       13        0
 4200 gambas3-gb-compress-bzlib2         	       2       15        0       13        0
 4201 gambas3-gb-compress-zlib           	       2       15        0       13        0
 4202 gambas3-gb-compress-zstd           	       2       14        0       12        0
 4203 gambas3-gb-crypt                   	       2       16        0       14        0
 4204 gambas3-gb-data                    	       2       15        0       13        0
 4205 gambas3-gb-db                      	       2       16        0       14        0
 4206 gambas3-gb-db-form                 	       2       16        0       14        0
 4207 gambas3-gb-db-mysql                	       2       15        0       13        0
 4208 gambas3-gb-db-odbc                 	       2       15        0       13        0
 4209 gambas3-gb-db-postgresql           	       2       15        0       13        0
 4210 gambas3-gb-db-sqlite3              	       2       15        0       13        0
 4211 gambas3-gb-dbus                    	       2       15        0       13        0
 4212 gambas3-gb-dbus-trayicon           	       2       15        0       13        0
 4213 gambas3-gb-desktop                 	       2       18        0       16        0
 4214 gambas3-gb-desktop-x11             	       2       18        0       16        0
 4215 gambas3-gb-eval-highlight          	       2       14        0       12        0
 4216 gambas3-gb-form                    	       2       17        0       15        0
 4217 gambas3-gb-form-dialog             	       2       16        0       14        0
 4218 gambas3-gb-form-editor             	       2       16        0       14        0
 4219 gambas3-gb-form-htmlview           	       2       15        0       13        0
 4220 gambas3-gb-form-mdi                	       2       16        0       14        0
 4221 gambas3-gb-form-print              	       2       16        0       14        0
 4222 gambas3-gb-form-stock              	       2       16        0       14        0
 4223 gambas3-gb-form-terminal           	       2       16        0       14        0
 4224 gambas3-gb-gmp                     	       2       15        0       13        0
 4225 gambas3-gb-gsl                     	       2       15        0       13        0
 4226 gambas3-gb-gtk3                    	       2       19        0       17        0
 4227 gambas3-gb-gtk3-opengl             	       2       13        0       11        0
 4228 gambas3-gb-gtk3-webview            	       2       16        0       14        0
 4229 gambas3-gb-gtk3-x11                	       2       16        0       14        0
 4230 gambas3-gb-gui                     	       2       18        0       16        0
 4231 gambas3-gb-hash                    	       2       13        0       11        0
 4232 gambas3-gb-httpd                   	       2       15        0       13        0
 4233 gambas3-gb-image                   	       2       22        0       20        0
 4234 gambas3-gb-image-effect            	       2       15        0       13        0
 4235 gambas3-gb-image-imlib             	       2       15        0       13        0
 4236 gambas3-gb-image-io                	       2       16        0       14        0
 4237 gambas3-gb-jit                     	       2       16        0       14        0
 4238 gambas3-gb-logging                 	       2       15        0       13        0
 4239 gambas3-gb-map                     	       2       15        0       13        0
 4240 gambas3-gb-markdown                	       2       16        0       14        0
 4241 gambas3-gb-media                   	       2       15        0       13        0
 4242 gambas3-gb-media-form              	       2       15        0       13        0
 4243 gambas3-gb-memcached               	       2       15        0       13        0
 4244 gambas3-gb-mime                    	       2       15        0       13        0
 4245 gambas3-gb-mysql                   	       2       15        0       13        0
 4246 gambas3-gb-ncurses                 	       2       15        0       13        0
 4247 gambas3-gb-net                     	       2       18        0       16        0
 4248 gambas3-gb-net-curl                	       2       17        0       15        0
 4249 gambas3-gb-net-pop3                	       2       15        0       13        0
 4250 gambas3-gb-net-smtp                	       2       16        0       14        0
 4251 gambas3-gb-openal                  	       2       16        0       14        0
 4252 gambas3-gb-opengl                  	       2       17        0       15        0
 4253 gambas3-gb-opengl-glsl             	       2       15        0       13        0
 4254 gambas3-gb-opengl-glu              	       2       15        0       13        0
 4255 gambas3-gb-opengl-sge              	       2       16        0       14        0
 4256 gambas3-gb-openssl                 	       2       15        0       13        0
 4257 gambas3-gb-pcre                    	       2       16        0       14        0
 4258 gambas3-gb-poppler                 	       2       15        0       13        0
 4259 gambas3-gb-report2                 	       2       15        0       13        0
 4260 gambas3-gb-scanner                 	       2       15        0       13        0
 4261 gambas3-gb-sdl2                    	       2       16        0       14        0
 4262 gambas3-gb-sdl2-audio              	       2       16        0       14        0
 4263 gambas3-gb-settings                	       2       17        0       15        0
 4264 gambas3-gb-signal                  	       2       16        0       14        0
 4265 gambas3-gb-term                    	       2       16        0       14        0
 4266 gambas3-gb-term-form               	       2       15        0       13        0
 4267 gambas3-gb-util                    	       2       16        0       14        0
 4268 gambas3-gb-util-web                	       2       16        0       14        0
 4269 gambas3-gb-vb                      	       2       15        0       13        0
 4270 gambas3-gb-web                     	       2       15        0       13        0
 4271 gambas3-gb-web-feed                	       2       15        0       13        0
 4272 gambas3-gb-web-gui                 	       2       15        0       13        0
 4273 gambas3-gb-xml                     	       2       15        0       13        0
 4274 gambas3-gb-xml-html                	       2       15        0       13        0
 4275 gambas3-gb-xml-rpc                 	       2       15        0       13        0
 4276 gambas3-gb-xml-xslt                	       2       15        0       13        0
 4277 gambas3-ide                        	       2       16        0       14        0
 4278 gambas3-runtime                    	       2       22        0       20        0
 4279 gambas3-scripter                   	       2       15        0       13        0
 4280 gamescope                          	       2       33        1       30        0
 4281 gconf2                             	       2      366       10      354        0
 4282 gdal-bin                           	       2       84        2       80        0
 4283 geeqie-common                      	       2      194        0      192        0
 4284 gfortran-12                        	       2      249        6      241        0
 4285 gh                                 	       2       38        4       32        0
 4286 giflib-tools                       	       2       31        2       27        0
 4287 gimp-gutenprint                    	       2       96        0       94        0
 4288 gir1.2-gsound-1.0                  	       2      279        4       16      257
 4289 gir1.2-gstreamer-1.0               	       2     2736       13       75     2646
 4290 gir1.2-gtk-4.0                     	       2      454        2        4      446
 4291 gir1.2-gtksource-4                 	       2     1059       17       40     1000
 4292 gir1.2-peas-1.0                    	       2     1012       13       42      955
 4293 gir1.2-pluma-1.0                   	       2      494        8       15      469
 4294 git-cola                           	       2       27        1       24        0
 4295 git-lfs                            	       2       80        2       76        0
 4296 gitweb                             	       2       51        2       47        0
 4297 glib-networking-common             	       2     3433        3       27     3401
 4298 gnome-online-accounts-gtk          	       2       20        0       18        0
 4299 gnome-shell-extension-manager      	       2        5        0        3        0
 4300 gnome-software-plugin-deb          	       2       26        1        8       15
 4301 gnome-software-plugin-fwupd        	       2       26        1        8       15
 4302 gnumeric                           	       2      134        6      126        0
 4303 gnuplot-doc                        	       2       48        0       46        0
 4304 gnuplot-nox                        	       2       46        4       40        0
 4305 gobject-introspection-bin          	       2       15        0       13        0
 4306 golang-1.23-go                     	       2       20        1       17        0
 4307 golang-1.23-src                    	       2       20        1       17        0
 4308 google-earth-pro-stable            	       2       57        2       53        0
 4309 googletest                         	       2       38        0       35        1
 4310 gpsbabel                           	       2       64        0       62        0
 4311 gsmartcontrol                      	       2      124        2      120        0
 4312 gtklp                              	       2       78        0       75        1
 4313 guile-3.0-dev                      	       2       19        0       17        0
 4314 gv                                 	       2      143        4      137        0
 4315 hdf5-tools                         	       2       36        1       33        0
 4316 hedgewars                          	       2       25        0       23        0
 4317 hexchat-dev                        	       2        2        0        0        0
 4318 hfsutils                           	       2       43        1       40        0
 4319 hwloc                              	       2       87        1       84        0
 4320 hydrogen                           	       2       40        1       37        0
 4321 ibrazilian                         	       2       53        0       51        0
 4322 icoextract                         	       2        5        0        3        0
 4323 icoextract-thumbnailer             	       2       14        0       12        0
 4324 idle-python3.11                    	       2       80        4       74        0
 4325 iitalian                           	       2      123        0      121        0
 4326 img2pdf                            	       2       49        1       46        0
 4327 inetutils-tools                    	       2       15        0       13        0
 4328 info2www                           	       2       24        1       21        0
 4329 intel-gpu-tools                    	       2       51        1       48        0
 4330 ipcalc                             	       2      106        1      103        0
 4331 ipython3                           	       2      129        5      122        0
 4332 javahelp2                          	       2       77        1       74        0
 4333 jed                                	       2       28        3       23        0
 4334 jfsutils                           	       2       67        0       65        0
 4335 jmapviewer                         	       2       45        1       42        0
 4336 jo                                 	       2       35        0       33        0
 4337 john                               	       2       87        5       80        0
 4338 jsbeautifier                       	       2       26        2       22        0
 4339 junit                              	       2      161        4      155        0
 4340 kaffeine                           	       2       24        0       22        0
 4341 kajongg                            	       2       55        0       53        0
 4342 kalgebra                           	       2       50        0       48        0
 4343 kanagram                           	       2       48        0       46        0
 4344 karbon                             	       2       31        0       29        0
 4345 kbreakout                          	       2       62        0       60        0
 4346 kbruch                             	       2       46        1       43        0
 4347 kcharselect                        	       2       75        0       73        0
 4348 kdenetwork-filesharing             	       2       46        1       42        1
 4349 kdevelop                           	       2       47        0       45        0
 4350 kfourinline                        	       2       57        0       55        0
 4351 kgeography                         	       2       54        1       51        0
 4352 kget                               	       2       58        1       55        0
 4353 khangman                           	       2       45        0       43        0
 4354 khexedit-trinity                   	       2       27        0       25        0
 4355 kid3-qt                            	       2       21        0       19        0
 4356 kio-extras-data                    	       2      682        4       25      651
 4357 klickety                           	       2       57        1       54        0
 4358 kpat                               	       2       93        5       86        0
 4359 krfb                               	       2       54        2       50        0
 4360 kruler                             	       2       71        0       69        0
 4361 ksh93u+m                           	       2       61        1       58        0
 4362 ksnakeduel                         	       2       56        0       54        0
 4363 kteatime                           	       2       55        1       52        0
 4364 ktimer                             	       2       57        0       55        0
 4365 kturtle                            	       2       46        0       44        0
 4366 kubectl                            	       2        8        0        6        0
 4367 kwave                              	       2       27        1       24        0
 4368 lib32stdc++-14-dev                 	       2       16        1       13        0
 4369 libabsl-dev                        	       2       10        0        8        0
 4370 libabsl20230802                    	       2      266       19       73      172
 4371 libaccounts-glib0                  	       2      814       27       94      691
 4372 libaccounts-qt5-1                  	       2      781       25       90      664
 4373 libaec-dev                         	       2       88        0       86        0
 4374 libakonadisearch-bin               	       2        7        2        3        0
 4375 libapt-pkg-dev                     	       2       12        0       10        0
 4376 libapt-pkg6.0t64                   	       2      277        2       41      232
 4377 libattr1-dev                       	       2       94        1       91        0
 4378 libaudit-dev                       	       2       44        2       40        0
 4379 libavdevice-dev                    	       2       66        0       64        0
 4380 libavfilter-dev                    	       2       79        0       77        0
 4381 libavif-dev                        	       2      108        0      106        0
 4382 libavif16                          	       2      271       12       51      206
 4383 libbaloowidgets-bin                	       2       15        1       12        0
 4384 libboost-filesystem1.74.0          	       2     2643       56      143     2442
 4385 libboost-iostreams1.74.0           	       2     2939       46      133     2758
 4386 libboost-locale1.74.0              	       2     2533       39       95     2397
 4387 libboost-mpi-python1.83.0          	       2        7        1        4        0
 4388 libboost-thread1.74.0              	       2     2776       54      128     2592
 4389 libboost1.83-tools-dev             	       2       12        1        9        0
 4390 libbrlapi0.8                       	       2     2747       17       31     2697
 4391 libcamel-1.2-64t64                 	       2       85       11       32       40
 4392 libcap-ng-dev                      	       2       51        2       47        0
 4393 libcares2                          	       2       94        6       17       69
 4394 libcbor0.10                        	       2      286        1       13      270
 4395 libcfitsio-dev                     	       2       54        0       52        0
 4396 libchromaprint1                    	       2     3322       81      247     2992
 4397 libclang-common-15-dev             	       2       84        0       82        0
 4398 libclang-common-17-dev             	       2       17        0       15        0
 4399 libclang-cpp15                     	       2      118        3      113        0
 4400 libclang-cpp17t64                  	       2       20        0       18        0
 4401 libclang-rt-17-dev                 	       2       15        0       13        0
 4402 libclang1-17t64                    	       2       18        0       16        0
 4403 libclass-accessor-chained-perl     	       2       40        2       36        0
 4404 libclc-15                          	       2       38        0       36        0
 4405 libclc-15-dev                      	       2       39        1       36        0
 4406 libcloudproviders-dev              	       2       31        0       29        0
 4407 libclucene-contribs1v5             	       2     2656       31       84     2539
 4408 libclucene-core1v5                 	       2     2665       31       85     2547
 4409 libconfig-dev                      	       2       23        0       21        0
 4410 libconfig-simple-perl              	       2       86        9       75        0
 4411 libconfig9                         	       2      347        9       34      302
 4412 libcpupower1                       	       2      588        6       30      550
 4413 libcscreensaver0                   	       2      267       16       46      203
 4414 libcurl4t64                        	       2      273       13       35      223
 4415 libdata-page-perl                  	       2       29        2       25        0
 4416 libdaxctl1                         	       2     1034       99      230      703
 4417 libdc1394-dev                      	       2       62        0       60        0
 4418 libddcutil-dev                     	       2        4        0        2        0
 4419 libde265-dev                       	       2      166        0      164        0
 4420 libdjvulibre-dev                   	       2       80        0       78        0
 4421 libebackend-1.2-11t64              	       2       69       11       32       24
 4422 libebook-1.2-21t64                 	       2       69       11       32       24
 4423 libebook-contacts-1.2-4t64         	       2       75       11       32       30
 4424 libeclipse-e4-ui-widgets-java      	       2       27        1       24        0
 4425 libecore-bin                       	       2       40        0       38        0
 4426 libedata-book-1.2-27t64            	       2       69       11       32       24
 4427 libedataserver-1.2-27t64           	       2       83       11       32       38
 4428 libedje-bin                        	       2       35        0       33        0
 4429 libefreet-bin                      	       2       41        0       39        0
 4430 libelementary-bin                  	       2       26        0       24        0
 4431 libembryo-bin                      	       2       36        0       34        0
 4432 libemeraldengine0t64               	       2        3        0        1        0
 4433 libeot0                            	       2     2867       34       88     2743
 4434 libethumb-client-bin               	       2       36        0       34        0
 4435 libeudev-dev                       	       2      407        3      402        0
 4436 libev4                             	       2      499       24       82      391
 4437 libevent-core-2.1-7t64             	       2      168       21       75       70
 4438 libext2fs2                         	       2     3656       14       66     3574
 4439 libexttextcat-2.0-0                	       2     2943       33       89     2819
 4440 libfftw3-bin                       	       2      183        2      179        0
 4441 libfftw3-dev                       	       2      178        3      173        0
 4442 libfinance-quote-perl              	       2      106        0      104        0
 4443 libfm-extra4                       	       2      524       11       58      453
 4444 libfm-gtk4                         	       2      312       11       58      241
 4445 libfm-modules                      	       2      326       12       61      251
 4446 libfm4                             	       2      314       11       58      243
 4447 libfprint-2-2                      	       2       43        1       40        0
 4448 libfuse2                           	       2     3831       73      317     3439
 4449 libfwupd3                          	       2       53        0        7       44
 4450 libfwupdplugin1                    	       2       74        3       14       55
 4451 libgail-3-0t64                     	       2       50        5       22       21
 4452 libgcrypt20-dev                    	       2      178        1      175        0
 4453 libgdal-dev                        	       2       39        1       36        0
 4454 libgdcm-dev                        	       2       49        0       47        0
 4455 libgee-0.8-2                       	       2     1426       11       49     1364
 4456 libgeos-dev                        	       2       48        1       45        0
 4457 libgeotiff-dev                     	       2       43        0       41        0
 4458 libgexiv2-2                        	       2     2785        6       46     2731
 4459 libgfortran5                       	       2     3273       52      186     3033
 4460 libghc-attoparsec-dev              	       2       24        1       21        0
 4461 libghc-hashable-dev                	       2       27        1       24        0
 4462 libghc-integer-logarithms-dev      	       2       25        1       22        0
 4463 libghc-primitive-dev               	       2       31        1       28        0
 4464 libghc-random-dev                  	       2       43        1       40        0
 4465 libghc-regex-base-dev              	       2       21        1       18        0
 4466 libghc-scientific-dev              	       2       26        1       23        0
 4467 libghc-setlocale-dev               	       2       18        0       16        0
 4468 libghc-splitmix-dev                	       2       30        1       27        0
 4469 libglut-dev                        	       2      200        2      196        0
 4470 libgme0                            	       2     3335       79      239     3015
 4471 libgmp10-doc                       	       2       16        0       14        0
 4472 libgnome-desktop-3-19              	       2      417       37      215      163
 4473 libgnome-menu-3-0                  	       2      292        7       36      247
 4474 libgpg-error-dev                   	       2      195        1      192        0
 4475 libgraphviz-dev                    	       2      110        0      108        0
 4476 libgstreamer1.0-dev                	       2       72        1       69        0
 4477 libgtk2.0-common                   	       2     3333       34      153     3144
 4478 libgudev-1.0-dev                   	       2      105        0      103        0
 4479 libgumbo-dev                       	       2       12        0       10        0
 4480 libhandy-1-0                       	       2     2312       13       49     2248
 4481 libibus-1.0-dev                    	       2      224        1      221        0
 4482 libiw30                            	       2     2513       21       82     2408
 4483 libjpeg-progs                      	       2        8        1        5        0
 4484 libjson-c-dev                      	       2       77        1       74        0
 4485 libjssc-java                       	       2       84        0       82        0
 4486 libkaccounts2                      	       2      754       25       89      638
 4487 libkeybinder0                      	       2      235       10       52      171
 4488 libkf5archive-dev                  	       2       24        0       22        0
 4489 libkf5config-dev                   	       2       92        0       90        0
 4490 libkf5config-dev-bin               	       2       93        0       91        0
 4491 libkf5coreaddons-dev               	       2       92        0       90        0
 4492 libkf5coreaddons-dev-bin           	       2       94        0       92        0
 4493 libkf5dbusaddons-dev               	       2       84        0       82        0
 4494 libkf5i18n-dev                     	       2       92        0       90        0
 4495 libkf5service-dev                  	       2       84        0       82        0
 4496 libkf6archive-data                 	       2       77        6       10       59
 4497 libkf6archive6                     	       2       77       10       21       44
 4498 libkf6bookmarks-data               	       2       51        5        7       37
 4499 libkf6bookmarks6                   	       2       50        7       17       24
 4500 libkf6bookmarkswidgets6            	       2       50        7       17       24
 4501 libkf6breezeicons6                 	       2       73       10       19       42
 4502 libkf6codecs-data                  	       2       74        6        9       57
 4503 libkf6codecs6                      	       2       74       10       19       43
 4504 libkf6colorscheme6                 	       2       87       10       26       49
 4505 libkf6completion-data              	       2       65        5        7       51
 4506 libkf6completion6                  	       2       64        7       17       38
 4507 libkf6config-data                  	       2       92        6        9       75
 4508 libkf6configgui6                   	       2       87       10       26       49
 4509 libkf6guiaddons6                   	       2       88       10       27       49
 4510 libkf6iconthemes6                  	       2       73       10       19       42
 4511 libkf6iconwidgets6                 	       2       73       10       19       42
 4512 libkf6itemviews-data               	       2       74        6        9       57
 4513 libkf6itemviews6                   	       2       73       10       19       42
 4514 libkf6jobwidgets-data              	       2       73        7       17       47
 4515 libkf6jobwidgets6                  	       2       72        7       17       46
 4516 libkf6kiofilewidgets6              	       2       49        6       17       24
 4517 libkf6kiowidgets6                  	       2       64        7       17       38
 4518 libkf6modemmanagerqt6              	       2       40        6       14       18
 4519 libkf6pulseaudioqt5                	       2       39        7       15       15
 4520 libkf6widgetsaddons-data           	       2       88       10       26       50
 4521 libkf6widgetsaddons6               	       2       87       10       26       49
 4522 libkscreen-bin                     	       2       27        5       20        0
 4523 liblangtag1                        	       2     2869       34       88     2745
 4524 libldacbt-abr2                     	       2      500       16       59      423
 4525 libldb-dev                         	       2        8        0        6        0
 4526 liblqr-1-0-dev                     	       2       75        0       73        0
 4527 liblwp-useragent-determined-perl   	       2       42        5       35        0
 4528 liblxc-common                      	       2       72        1       69        0
 4529 libmad0-dev                        	       2      153        1      150        0
 4530 libmagic-dev                       	       2       55        2       51        0
 4531 libmagick++-6-headers              	       2       42        0       40        0
 4532 libmagickcore-6-arch-config        	       2       73        0       71        0
 4533 libmagickcore-6-headers            	       2       75        0       73        0
 4534 libmagickwand-6-headers            	       2       61        0       59        0
 4535 libmalcontent-ui-1-1               	       2      325        0        0      323
 4536 libmariadbd-dev                    	       2       10        0        8        0
 4537 libmate-slab0                      	       2      498        1        8      487
 4538 libmate-window-settings1           	       2      498        0        1      495
 4539 libmhash2                          	       2     2913       34       91     2786
 4540 libmodule-pluggable-perl           	       2      321        6      313        0
 4541 libmpfr-dev                        	       2      100        2       96        0
 4542 libmpg123-dev                      	       2      130        0      128        0
 4543 libmythes-1.2-0                    	       2     2862       34       89     2737
 4544 libnautilus-extension4             	       2      451        1        7      441
 4545 libncursesw6                       	       2     3924       93      306     3523
 4546 libndctl6                          	       2     1034       99      230      703
 4547 libnet-ip-perl                     	       2      284       14      268        0
 4548 libnet-server-perl                 	       2      161       11      148        0
 4549 libnetcdf-dev                      	       2       53        1       50        0
 4550 libnghttp2-dev                     	       2       72        0       70        0
 4551 libnl-3-dev                        	       2      156        1      153        0
 4552 libnorm1                           	       2     3002       65      214     2721
 4553 libnpth0t64                        	       2      271       19       74      176
 4554 libnss3-dev                        	       2       69        0       67        0
 4555 libnumbertext-1.0-0                	       2     2786       33       85     2666
 4556 libnunit-cil-dev                   	       2       66        0       64        0
 4557 libnunit-core-interfaces2.6.3-cil  	       2       68        0       66        0
 4558 libnunit-core2.6.3-cil             	       2       68        0       66        0
 4559 libnunit-framework2.6.3-cil        	       2       72        0       70        0
 4560 libnunit-mocks2.6.3-cil            	       2       68        0       66        0
 4561 libnunit-util2.6.3-cil             	       2       68        0       66        0
 4562 libobasis25.2-pyuno                	       2        2        0        0        0
 4563 libogg-dev                         	       2      314        4      308        0
 4564 libopencv-calib3d-dev              	       2       47        0       44        1
 4565 libopencv-core-dev                 	       2       51        0       49        0
 4566 libopencv-features2d-dev           	       2       47        0       44        1
 4567 libopencv-flann-dev                	       2       49        0       47        0
 4568 libopencv-highgui-dev              	       2       47        0       45        0
 4569 libopencv-imgcodecs-dev            	       2       46        0       44        0
 4570 libopencv-imgproc-dev              	       2       50        0       48        0
 4571 libopencv-photo-dev                	       2       49        0       47        0
 4572 libopencv-video-dev                	       2       49        0       46        1
 4573 libopencv-videoio-dev              	       2       46        0       44        0
 4574 libopenmpt0                        	       2     3083       67      205     2809
 4575 liborcus-0.17-0                    	       2     2041       28       58     1953
 4576 liborcus-parser-0.17-0             	       2     2042       28       58     1954
 4577 libosinfo-bin                      	       2       64        0       62        0
 4578 libparallel-forkmanager-perl       	       2       59        0       57        0
 4579 libpcap0.8-dev                     	       2       96        0       94        0
 4580 libpcap0.8t64                      	       2      248       15       28      203
 4581 libperl5.28                        	       2      332       61      269        0
 4582 libpgm-5.3-0                       	       2     2857       65      214     2576
 4583 libpipewire-0.3-modules            	       2     1413       19       86     1306
 4584 libpmem1                           	       2     1034       99      230      703
 4585 libpocl2-common                    	       2       55        1       52        0
 4586 libpoppler-glib-dev                	       2       31        0       29        0
 4587 libpoppler-private-dev             	       2       50        1       47        0
 4588 libportal-gtk4-1                   	       2      170        2        7      159
 4589 libportal1                         	       2      402        3       13      384
 4590 libpostproc-dev                    	       2       87        0       85        0
 4591 libprotobuf32t64                   	       2      192       12       37      141
 4592 libpython3.11-testsuite            	       2       59        1       56        0
 4593 libqt5script5                      	       2      823        9       46      766
 4594 libqt5svg5-dev                     	       2      115        1      112        0
 4595 libqt6multimedia6                  	       2      146        9       18      117
 4596 libqt6opengl6                      	       2      415       12       24      377
 4597 libqt6qml6                         	       2      382       12       27      341
 4598 libqt6qmlmodels6                   	       2      380       11       23      344
 4599 libqt6qmlworkerscript6             	       2      144        7       17      118
 4600 libqt6quick6                       	       2      379       11       23      343
 4601 libqt6remoteobjects6-bin           	       2        2        0        0        0
 4602 libqt6scxml6-bin                   	       2        2        0        0        0
 4603 libqt6serialbus6-bin               	       2        3        0        1        0
 4604 libqt6waylandclient6               	       2      289       13       33      241
 4605 libqtermwidget5-0                  	       2       75        4       11       58
 4606 libquadmath0                       	       2     3716       41      151     3522
 4607 librabbitmq4                       	       2     3093       77      238     2776
 4608 libraptor2-0                       	       2     3041       37       95     2907
 4609 librasqal3                         	       2     2693       31       86     2574
 4610 librc1t64                          	       2       54        0        0       52
 4611 librdf0                            	       2     2692       31       86     2573
 4612 libreoffice-gtk2                   	       2       70        2       66        0
 4613 libreoffice-l10n-cs                	       2       32        1       29        0
 4614 libreoffice-l10n-fi                	       2        8        0        6        0
 4615 libreoffice-qt6                    	       2       19        4       13        0
 4616 libreoffice-style-karasa-jaga      	       2       24        0       22        0
 4617 libreoffice-style-sifr             	       2       31        0       29        0
 4618 libreoffice-style-sukapura         	       2       21        1       18        0
 4619 libreoffice25.2-debian-menus       	       2        2        0        0        0
 4620 librsvg2-bin                       	       2      176        2      172        0
 4621 librtmp-dev                        	       2       69        0       67        0
 4622 librttopo-dev                      	       2       39        0       37        0
 4623 libsane                            	       2      334        3      214      115
 4624 libsdl-mixer1.2-dev                	       2      101        1       98        0
 4625 libsdl1.2-dev                      	       2      194        5      187        0
 4626 libsepol1-dev                      	       2      165        1      162        0
 4627 libsignon-qt5-1                    	       2      781       25       89      665
 4628 libsigrok4                         	       2       21        0       19        0
 4629 libsmbclient                       	       2     2956       47      141     2766
 4630 libsndfile1-dev                    	       2      119        0      117        0
 4631 libsoup-3.0-common                 	       2     2501        4       12     2483
 4632 libspa-0.2-bluetooth               	       2      460       14       58      386
 4633 libspatialite-dev                  	       2       42        1       39        0
 4634 libspng-dev                        	       2        4        0        2        0
 4635 libsqlite3-tcl                     	       2       23        0       21        0
 4636 libssh-gcrypt-4                    	       2     3176       64      200     2910
 4637 libstrongswan                      	       2       78       11       65        0
 4638 libstrongswan-standard-plugins     	       2       76       11       63        0
 4639 libsuitesparse-dev                 	       2       33        0       31        0
 4640 libswitch-perl                     	       2      730       15      713        0
 4641 libswt-gtk-4-java                  	       2       59        4       53        0
 4642 libswt-gtk-4-jni                   	       2       65        4       59        0
 4643 libtag-c2                          	       2       47        8        9       28
 4644 libtag2                            	       2       75        9        9       55
 4645 libtalloc-dev                      	       2       14        0       12        0
 4646 libtbb-dev                         	       2       92        0       90        0
 4647 libtdb-dev                         	       2       16        0       14        0
 4648 libtevent-dev                      	       2        8        0        6        0
 4649 libtext-template-perl              	       2      292        3      287        0
 4650 libtss2-esys-3.0.2-0t64            	       2      113        1       17       93
 4651 libtss2-mu-4.0.1-0t64              	       2      114        1       19       92
 4652 libtss2-sys1t64                    	       2      114        1       19       92
 4653 libu2f-udev                        	       2      592        3      118      469
 4654 libunwind-dev                      	       2      126        2      122        0
 4655 libuv1-dev                         	       2      237        3      232        0
 4656 libvirt-daemon-driver-interface    	       2       21        9        9        1
 4657 libvirt-daemon-driver-network      	       2       25       10       13        0
 4658 libvirt-daemon-driver-nodedev      	       2       25       10       12        1
 4659 libvirt-daemon-driver-nwfilter     	       2       25       10       12        1
 4660 libvirt-daemon-driver-secret       	       2       25       10       12        1
 4661 libvirt-daemon-driver-storage      	       2       25       10       13        0
 4662 libvirt-daemon-driver-storage-disk 	       2       21        9        9        1
 4663 libvirt-daemon-driver-storage-iscsi	       2       18        7        9        0
 4664 libvirt-daemon-driver-storage-logical	       2       21        9        9        1
 4665 libvirt-daemon-driver-storage-mpath	       2       21        9        9        1
 4666 libvirt-daemon-driver-storage-scsi 	       2       21        9        9        1
 4667 libvirt-daemon-driver-vbox         	       2      339       76      224       37
 4668 libvirt-daemon-driver-xen          	       2      332       77      222       31
 4669 libvlc-dev                         	       2      109        0      107        0
 4670 libvorbis-dev                      	       2      288        3      283        0
 4671 libvpx-dev                         	       2      176        0      174        0
 4672 libwbclient-dev                    	       2        5        0        3        0
 4673 libwine-dev                        	       2       38        0       36        0
 4674 libwmf-dev                         	       2       91        0       89        0
 4675 libwnck22                          	       2      373       11       49      311
 4676 libwrap0-dev                       	       2       95        1       92        0
 4677 libx265-dev                        	       2      184        0      182        0
 4678 libx2go-server-perl                	       2       65        6       57        0
 4679 libx32stdc++-14-dev                	       2       16        1       13        0
 4680 libxaw7-dev                        	       2       93        1       90        0
 4681 libxcb-composite0-dev              	       2       50        0       48        0
 4682 libxcb-cursor-dev                  	       2       41        0       39        0
 4683 libxcb-ewmh-dev                    	       2       22        0       20        0
 4684 libxcb-image0-dev                  	       2       59        1       56        0
 4685 libxcb-randr0-dev                  	       2      161        1      158        0
 4686 libxcb-render-util0-dev            	       2       59        0       57        0
 4687 libxcb-res0-dev                    	       2       17        1       14        0
 4688 libxcb-shape0-dev                  	       2      186        1      183        0
 4689 libxcb-util-dev                    	       2       57        0       55        0
 4690 libxcb-xfixes0-dev                 	       2      179        1      176        0
 4691 libxcb-xinerama0-dev               	       2       54        0       52        0
 4692 libxenmisc4.19                     	       2        9        5        1        1
 4693 libxfont-dev                       	       2       79        0       77        0
 4694 libxkbcommon-x11-dev               	       2       50        0       48        0
 4695 libxmlb1                           	       2       78        5       23       48
 4696 libxmlsec1                         	       2     2720       27       85     2606
 4697 libxmu-headers                     	       2      162        2      158        0
 4698 libxres-dev                        	       2       72        0       70        0
 4699 libyuv-dev                         	       2       10        0        8        0
 4700 libzlui-gtk                        	       2       85        1       82        0
 4701 libzmq5                            	       2     3300       77      247     2974
 4702 lighttpd-mod-openssl               	       2       52        3       47        0
 4703 linguist-qt6                       	       2       72        1       69        0
 4704 link-grammar-dictionaries-en       	       2       98        1       92        3
 4705 linux-headers-6.12.12+bpo-amd64    	       2        2        0        0        0
 4706 linux-headers-6.12.12+bpo-common   	       2        2        0        0        0
 4707 linux-headers-6.12.13-amd64        	       2        2        0        0        0
 4708 linux-headers-6.12.13-common       	       2        2        0        0        0
 4709 linux-image-5.10.0-34-686          	       2        2        0        0        0
 4710 linux-image-6.1.0-31-686-pae       	       2        3        1        0        0
 4711 linux-image-6.1.0-31-rt-amd64      	       2        2        0        0        0
 4712 linux-image-6.12.12+bpo-amd64      	       2        3        1        0        0
 4713 linux-image-6.12.16-amd64          	       2        3        1        0        0
 4714 linux-image-6.12.17-amd64          	       2        4        2        0        0
 4715 linux-modules-6.8.0-1021-nvidia-lowlatency	       2        2        0        0        0
 4716 linux-nvidia-lowlatency-headers-6.8.0-1021	       2        2        0        0        0
 4717 linux-tools-common                 	       2        2        0        0        0
 4718 llvm                               	       2       98        2       94        0
 4719 llvm-17                            	       2       16        0       14        0
 4720 llvm-17-dev                        	       2       16        0       14        0
 4721 llvm-17-linker-tools               	       2       17        0       15        0
 4722 llvm-17-runtime                    	       2       16        0       14        0
 4723 llvm-17-tools                      	       2       16        0       14        0
 4724 llvm-runtime                       	       2      100        2       96        0
 4725 lockfile-progs                     	       2      219       36      181        0
 4726 logtail                            	       2       78       18       58        0
 4727 lsd                                	       2       19        0       17        0
 4728 lshw-gtk                           	       2       87        1       84        0
 4729 lynis                              	       2       65        1       62        0
 4730 maim                               	       2       23        2       19        0
 4731 maptool                            	       2       14        0       12        0
 4732 marble                             	       2       74        0       72        0
 4733 mariadb-client-10.5                	       2       68        6       60        0
 4734 mariadb-plugin-provider-snappy     	       2      184       47      135        0
 4735 mariadb-server-10.5                	       2       76        3       53       18
 4736 mariadb-server-compat              	       2        8        0        6        0
 4737 markdown                           	       2       77        1       74        0
 4738 mate-applets-common                	       2      502        8       47      445
 4739 maven                              	       2       97        8       87        0
 4740 mc-data                            	       2     1599       10       39     1548
 4741 mednafen                           	       2       32        0       30        0
 4742 mednaffe                           	       2       29        0       27        0
 4743 meld                               	       2      196        3      191        0
 4744 mergerfs                           	       2        8        2        4        0
 4745 minuet                             	       2       51        0       49        0
 4746 miscfiles                          	       2      185        1      182        0
 4747 mkvtoolnix                         	       2      115        3      110        0
 4748 mkvtoolnix-gui                     	       2       63        2       59        0
 4749 mlock                              	       2      165        5      158        0
 4750 mmdebstrap                         	       2       77        2       73        0
 4751 mongodb-mongosh                    	       2        8        0        6        0
 4752 mono-xsp4                          	       2       55        2       51        0
 4753 mono-xsp4-base                     	       2       57        2       53        0
 4754 monodoc-http                       	       2       56        0       54        0
 4755 moon-buggy                         	       2       17        0       15        0
 4756 mpc                                	       2       59        3       54        0
 4757 mpg123                             	       2      174        6      166        0
 4758 msr-tools                          	       2       81        0       79        0
 4759 mtpaint                            	       2       56        0       54        0
 4760 munin-node                         	       2       75        8       65        0
 4761 musescore3                         	       2       28        2       24        0
 4762 musl                               	       2       26        1       23        0
 4763 mypy                               	       2       37        1       34        0
 4764 nasm                               	       2      169        2      165        0
 4765 nautilus-extension-gnome-terminal  	       2      414        1       11      400
 4766 navit-graphics-gtk-drawing-area    	       2       13        1       10        0
 4767 navit-gui-gtk                      	       2       10        0        8        0
 4768 ncal                               	       2      989       22      965        0
 4769 ncat                               	       2       80        1       77        0
 4770 nedit                              	       2       41        1       38        0
 4771 netdiscover                        	       2       39        3       34        0
 4772 netfilter-persistent               	       2      113        6      105        0
 4773 network-manager-openconnect        	       2       47       11       34        0
 4774 network-manager-openconnect-gnome  	       2       38        0       30        6
 4775 network-manager-pptp               	       2       46        8       36        0
 4776 network-manager-pptp-gnome         	       2       35        0       27        6
 4777 neverball                          	       2       27        0       25        0
 4778 neverputt                          	       2       17        0       15        0
 4779 newsboat                           	       2       46        4       40        0
 4780 nfs4-acl-tools                     	       2       27        0       25        0
 4781 nginx-common                       	       2      161        0      158        1
 4782 node-babel7                        	       2      180        2      176        0
 4783 node-busboy                        	       2      261        1      258        0
 4784 node-highlight.js                  	       2       48        0       46        0
 4785 node-tap                           	       2      153        0      151        0
 4786 node-y18n                          	       2      190        2      183        3
 4787 node-yargs                         	       2      189        2      183        2
 4788 nsxiv                              	       2       16        0       14        0
 4789 nvidia-firmware-535-535.183.01     	       2        2        0        0        0
 4790 nvidia-firmware-535-server-535.216.03	       2        2        0        0        0
 4791 nvidia-firmware-550-550.120        	       2        2        0        0        0
 4792 nvidia-firmware-550-server-550.127.08	       2        2        0        0        0
 4793 nvidia-firmware-565-server-565.57.01	       2        2        0        0        0
 4794 nwipe                              	       2       29        2       25        0
 4795 nxagent                            	       2       72        5       65        0
 4796 nxproxy                            	       2       94        6       86        0
 4797 obkey                              	       2        4        1        1        0
 4798 ocaml-interp                       	       2       75        1       72        0
 4799 octave                             	       2       83        2       79        0
 4800 oggvideotools                      	       2       28        2       24        0
 4801 okteta                             	       2       71        1       68        0
 4802 onboard                            	       2       48        3       43        0
 4803 open-iscsi                         	       2       49       13       34        0
 4804 open-vm-tools-desktop              	       2       20        2       16        0
 4805 openbsd-inetd                      	       2      172       26      144        0
 4806 opencity                           	       2        9        0        7        0
 4807 openconnect                        	       2       94        7       85        0
 4808 opencubicplayer                    	       2       27        0       25        0
 4809 opendoas                           	       2       79       12       64        1
 4810 openjdk-17-jdk                     	       2      227        0        9      216
 4811 openjdk-17-jre                     	       2     1048        3       15     1028
 4812 openjdk-17-source                  	       2       11        0        9        0
 4813 openjdk-24-jre-headless            	       2        4        0        2        0
 4814 openjdk-25-jre-headless            	       2        2        0        0        0
 4815 openjdk-8-jre-headless             	       2      199        5      192        0
 4816 opensc-pkcs11                      	       2      472       41      122      307
 4817 opensp                             	       2      159        1      156        0
 4818 opensysusers                       	       2       33        5       26        0
 4819 openttd                            	       2       48        0       46        0
 4820 pass                               	       2       94        7       85        0
 4821 pavumeter                          	       2       83        1       80        0
 4822 pdfarranger                        	       2      106        3      101        0
 4823 pdfchain                           	       2       45        1       42        0
 4824 perl-modules-5.28                  	       2      521       54      465        0
 4825 pgpdump                            	       2       29        1       26        0
 4826 php-cgi                            	       2       42        5       33        2
 4827 php-common                         	       2      504      101      401        0
 4828 php-composer-ca-bundle             	       2       77        6       69        0
 4829 php-getallheaders                  	       2       40        4       34        0
 4830 php-google-recaptcha               	       2       51        6       43        0
 4831 php-mariadb-mysql-kbs              	       2       51        6       43        0
 4832 php-pear                           	       2      170        7      161        0
 4833 php-psr-cache                      	       2       57        6       49        0
 4834 php-psr-http-message               	       2       41        4       35        0
 4835 php-slim-psr7                      	       2       35        4       29        0
 4836 php-symfony-cache-contracts        	       2       53        6       45        0
 4837 php-symfony-deprecation-contracts  	       2       76        5       69        0
 4838 php-symfony-service-contracts      	       2       91        8       81        0
 4839 php-symfony-yaml                   	       2       36        5       29        0
 4840 php-twig                           	       2       55        6       47        0
 4841 php-webmozart-assert               	       2       38        4       32        0
 4842 php8.2-cgi                         	       2       48        6       40        0
 4843 php8.2-cli                         	       2      312       57      253        0
 4844 php8.2-phpdbg                      	       2       59        2       55        0
 4845 php8.4-dev                         	       2        2        0        0        0
 4846 pinentry-gtk2                      	       2      374        6      366        0
 4847 pinentry-tqt                       	       2       14        0       12        0
 4848 pinfo                              	       2       29        0       27        0
 4849 pingus                             	       2       34        0       32        0
 4850 pipewire-jack                      	       2       41        3       36        0
 4851 pkg-php-tools                      	       2       37        1       34        0
 4852 plasma-firewall                    	       2       31        0       29        0
 4853 pluma-common                       	       2      519        4        8      505
 4854 pngquant                           	       2       83        2       79        0
 4855 podman                             	       2       59        3       54        0
 4856 postfix                            	       2      378      104      272        0
 4857 postgresql-client-15               	       2      154       20      132        0
 4858 postgresql-client-17               	       2       25        4       19        0
 4859 powerline                          	       2       17        1       14        0
 4860 prerex                             	       2      132        2      128        0
 4861 procyon-decompiler                 	       2       23        1       20        0
 4862 proftpd-core                       	       2       29        6       21        0
 4863 pulseview                          	       2       24        0       22        0
 4864 putty-tools                        	       2       79        0       77        0
 4865 pyflakes3                          	       2       64        0       62        0
 4866 pypy3-lib                          	       2       13        0       11        0
 4867 python-dbus                        	       2      234       20      212        0
 4868 python-gobject-2                   	       2      228       24      202        0
 4869 python-pkg-resources               	       2      437       11      423        1
 4870 python3-aiohttp-socks              	       2       23        0       21        0
 4871 python3-alabaster                  	       2      155        1      152        0
 4872 python3-arrow                      	       2       41        1       38        0
 4873 python3-astropy                    	       2       34        0       32        0
 4874 python3-atomicwrites               	       2       73        0       71        0
 4875 python3-autopep8                   	       2       44        0       42        0
 4876 python3-binwalk                    	       2       52        1       49        0
 4877 python3-bluez                      	       2       17        0       15        0
 4878 python3-bson                       	       2       22        2       18        0
 4879 python3-bson-ext                   	       2       22        2       18        0
 4880 python3-cairocffi                  	       2       50        0       48        0
 4881 python3-cloudpickle                	       2       43        0       41        0
 4882 python3-cssutils                   	       2       83        0       81        0
 4883 python3-dbus-fast                  	       2        7        0        5        0
 4884 python3-dbus.mainloop.pyqt5        	       2      183       12      168        1
 4885 python3-dbus.mainloop.pyqt6        	       2       10        2        6        0
 4886 python3-deprecated                 	       2      123        2      119        0
 4887 python3-deprecation                	       2      183        1      180        0
 4888 python3-diff-match-patch           	       2       69        0       67        0
 4889 python3-electrum                   	       2       16        0       14        0
 4890 python3-enchant                    	       2      127        0      125        0
 4891 python3-evdev                      	       2      119        9      108        0
 4892 python3-ewmh                       	       2       11        0        9        0
 4893 python3-flake8                     	       2       57        0       55        0
 4894 python3-fqdn                       	       2       13        1       10        0
 4895 python3-gattlib                    	       2       17        0       15        0
 4896 python3-gevent                     	       2       35        0       33        0
 4897 python3-gps                        	       2        8        0        6        0
 4898 python3-gridfs                     	       2       20        2       16        0
 4899 python3-gssapi                     	       2      144        4      138        0
 4900 python3-guestfs                    	       2       16        1       13        0
 4901 python3-hypothesis                 	       2       55        1       52        0
 4902 python3-icoextract                 	       2       14        0       12        0
 4903 python3-icu                        	       2      184       25      157        0
 4904 python3-imagesize                  	       2      156        1      153        0
 4905 python3-isoduration                	       2       13        1       10        0
 4906 python3-jsbeautifier               	       2       27        1       24        0
 4907 python3-jsondiff                   	       2       27        1       24        0
 4908 python3-jsonschema-specifications  	       2       48        1       45        0
 4909 python3-jupyter-client             	       2       89        1       86        0
 4910 python3-libarchive-c               	       2       41        1       38        0
 4911 python3-mediainfodll               	       2       16        0       14        0
 4912 python3-mypy                       	       2       43        1       40        0
 4913 python3-netaddr                    	       2      130        1      127        0
 4914 python3-newt                       	       2       76        3       71        0
 4915 python3-nose                       	       2       57        1       54        0
 4916 python3-odf                        	       2      114        1      111        0
 4917 python3-pathspec                   	       2       79        1       76        0
 4918 python3-pbr                        	       2      162        1      159        0
 4919 python3-pivy                       	       2      113        2      109        0
 4920 python3-png                        	       2      112        0      110        0
 4921 python3-pooch                      	       2       27        1       24        0
 4922 python3-powerline                  	       2       16        0       14        0
 4923 python3-pycares                    	       2       44        7       35        0
 4924 python3-pycodestyle                	       2       89        0       87        0
 4925 python3-pyflakes                   	       2       72        0       70        0
 4926 python3-pymongo                    	       2       20        2       16        0
 4927 python3-pymongo-ext                	       2       20        2       16        0
 4928 python3-pypdf2                     	       2       66        2       62        0
 4929 python3-pyproj                     	       2       56        2       52        0
 4930 python3-pyqt6.qtbluetooth          	       2        4        0        2        0
 4931 python3-pyqt6.qtcharts             	       2       12        0       10        0
 4932 python3-pyqt6.qtdesigner           	       2        6        0        4        0
 4933 python3-pyqt6.qthelp               	       2       11        0        9        0
 4934 python3-pyqt6.qtpdf                	       2        4        0        2        0
 4935 python3-pyqt6.qtpositioning        	       2        4        0        2        0
 4936 python3-pyqt6.qtquick3d            	       2        4        0        2        0
 4937 python3-pyqt6.qtremoteobjects      	       2        4        0        2        0
 4938 python3-pyqt6.qtsensors            	       2        4        0        2        0
 4939 python3-pyqt6.qtserialport         	       2       11        0        9        0
 4940 python3-pyqt6.qtwebsockets         	       2        5        0        3        0
 4941 python3-pyqtgraph                  	       2       81        1       78        0
 4942 python3-pyside2.qtcore             	       2      133        1      129        1
 4943 python3-pyside2.qtgui              	       2      132        1      128        1
 4944 python3-pyside2.qtwidgets          	       2      131        1      127        1
 4945 python3-pyside6.qtcore             	       2        4        1        1        0
 4946 python3-python-socks               	       2       19        0       17        0
 4947 python3-pytoolconfig               	       2       32        0       30        0
 4948 python3-pytz-deprecation-shim      	       2       37        0       35        0
 4949 python3-qtconsole                  	       2       35        1       32        0
 4950 python3-rapidfuzz                  	       2       16        0       14        0
 4951 python3-recommonmark               	       2       23        1       20        0
 4952 python3-referencing                	       2       48        1       45        0
 4953 python3-rfc3339-validator          	       2       13        1       10        0
 4954 python3-rfc3986-validator          	       2       13        1       10        0
 4955 python3-rope                       	       2       35        0       33        0
 4956 python3-rtree                      	       2       31        0       29        0
 4957 python3-sane                       	       2       32        0       30        0
 4958 python3-send2trash                 	       2      108        1      105        0
 4959 python3-sh                         	       2       14        0       12        0
 4960 python3-sklearn-lib                	       2       32        0       30        0
 4961 python3-slugify                    	       2       43        0       41        0
 4962 python3-snowballstemmer            	       2      153        1      150        0
 4963 python3-sqlparse                   	       2       42        0       40        0
 4964 python3-superqt                    	       2        4        0        2        0
 4965 python3-svn                        	       2       40        0       38        0
 4966 python3-systemd                    	       2      219       10      207        0
 4967 python3-tlsh                       	       2       19        1       16        0
 4968 python3-trio-websocket             	       2       37        2       33        0
 4969 python3-virtualenv                 	       2      164        5      157        0
 4970 python3-yapf                       	       2       33        0       31        0
 4971 qalc                               	       2       88        1       85        0
 4972 qdoc-qt6                           	       2       17        1       14        0
 4973 qimgv                              	       2       11        0        9        0
 4974 qml-module-org-kde-userfeedback    	       2      626        3       12      609
 4975 qml-qt6                            	       2       43        0       41        0
 4976 qpdf                               	       2      243        0      241        0
 4977 qt5-style-kvantum                  	       2       53        3       48        0
 4978 qt6-5compat-dev                    	       2        7        0        5        0
 4979 qt6-charts-dev                     	       2        5        0        3        0
 4980 qt6-documentation-tools            	       2       70        1       67        0
 4981 qt6-gtk-platformtheme              	       2      521        8       12      499
 4982 qt6-image-formats-plugins          	       2      208       12       22      172
 4983 qt6-l10n-tools                     	       2       70        1       67        0
 4984 qt6-lottie-dev                     	       2        2        0        0        0
 4985 qt6-networkauth-dev                	       2        3        0        1        0
 4986 qt6-quicktimeline-dev              	       2        2        0        0        0
 4987 qt6-sensors-dev                    	       2        2        0        0        0
 4988 qt6-serialbus-dev                  	       2        3        0        1        0
 4989 qt6-serialport-dev                 	       2        5        0        3        0
 4990 qt6-shader-baker                   	       2        2        0        0        0
 4991 qt6-shadertools-dev                	       2        2        0        0        0
 4992 qt6-tools-dev                      	       2       23        1       20        0
 4993 qt6-tools-dev-tools                	       2       70        1       67        0
 4994 qt6-wayland-dev                    	       2        6        0        4        0
 4995 qt6-wayland-dev-tools              	       2        8        0        6        0
 4996 qt6-webchannel-dev                 	       2        9        0        7        0
 4997 qt6-websockets-dev                 	       2        2        0        0        0
 4998 qtscript5-dev                      	       2      107        0      105        0
 4999 quilt                              	       2      168        1      165        0
 5000 qutebrowser                        	       2       55        3       50        0
 5001 r-cran-openssl                     	       2       42        1       39        0
 5002 r-cran-r6                          	       2       46        1       43        0
 5003 r-mathlib                          	       2       18        0       16        0
 5004 radeontool                         	       2       62        0       60        0
 5005 rclone                             	       2       88        2       84        0
 5006 rdesktop                           	       2      209        5      202        0
 5007 rdiff-backup                       	       2       41        2       37        0
 5008 re2c                               	       2      121        5      114        0
 5009 read-edid                          	       2      150        3      145        0
 5010 remind-tools                       	       2       25        0       23        0
 5011 renameutils                        	       2       22        1       19        0
 5012 rkward                             	       2       14        0       12        0
 5013 rlwrap                             	       2      116        1      113        0
 5014 rsh-client                         	       2       20        0       18        0
 5015 rubberband-cli                     	       2       43        1       40        0
 5016 ruby-memoist                       	       2       27        1       24        0
 5017 ruby-multi-json                    	       2       53        2       49        0
 5018 ruby-nokogiri                      	       2       86        2       82        0
 5019 ruby-oj                            	       2       44        1       41        0
 5020 ruby-polyglot                      	       2       14        0       12        0
 5021 ruby-text                          	       2      111        1      108        0
 5022 ruby-treetop                       	       2       14        0       12        0
 5023 rustc                              	       2       96        2       92        0
 5024 rxvt-unicode                       	       2      167       17      148        0
 5025 samba-dev                          	       2        6        0        4        0
 5026 sdparm                             	       2       73        0       71        0
 5027 sg3-utils                          	       2       89        1       86        0
 5028 sigil                              	       2       25        0       23        0
 5029 sigrok-cli                         	       2       16        0       14        0
 5030 simple-ccsm                        	       2        8        1        5        0
 5031 skanlite                           	       2       57        0       55        0
 5032 skrooge                            	       2       13        0       11        0
 5033 slirp4netns                        	       2       64        1       61        0
 5034 sng                                	       2       24        1       21        0
 5035 snmp                               	       2      149        8      139        0
 5036 sntp                               	       2      376        3      371        0
 5037 sonic-visualiser                   	       2       24        1       21        0
 5038 speedtest-cli                      	       2      124        2      120        0
 5039 spell                              	       2       37        0       35        0
 5040 spice-client-gtk                   	       2       51        4       45        0
 5041 sqlite3-tools                      	       2       18        0       16        0
 5042 sstp-client                        	       2       22        2       18        0
 5043 steam-devices                      	       2      151        6      143        0
 5044 steam-installer                    	       2      125        7      116        0
 5045 steghide                           	       2       45        1       42        0
 5046 strongswan-nm                      	       2       31        1       28        0
 5047 sublime-text                       	       2       26        0       24        0
 5048 subversion-tools                   	       2       39        1       36        0
 5049 surf                               	       2       72        2       68        0
 5050 svn2cl                             	       2       37        0       35        0
 5051 sway                               	       2       49        5       42        0
 5052 sway-notification-center           	       2       12        1        9        0
 5053 swaybg                             	       2       52        5       45        0
 5054 symlinks                           	       2       40        1       37        0
 5055 systemd-standalone-tmpfiles        	       2      102       14       86        0
 5056 talkd                              	       2       23        1       20        0
 5057 tcl-dev                            	       2       91        0       89        0
 5058 tcl-expect-dev                     	       2        3        0        1        0
 5059 tcl-tclreadline                    	       2       29        0       27        0
 5060 tdeartwork-theme-window-trinity    	       2       26        0       24        0
 5061 tellico                            	       2       12        0       10        0
 5062 terminator                         	       2       97        8       87        0
 5063 terminology                        	       2       17        0       15        0
 5064 texlive-lang-czechslovak           	       2       92        2       88        0
 5065 texlive-lang-polish                	       2       99        3       94        0
 5066 texstudio                          	       2       69        3       64        0
 5067 texworks                           	       2       14        0       12        0
 5068 thorium-browser                    	       2       11        0        9        0
 5069 thunderbird-l10n-es-es             	       2       19        1       16        0
 5070 tilix                              	       2       21        1       18        0
 5071 timeshift                          	       2       66        5       59        0
 5072 tkremind                           	       2       11        0        9        0
 5073 tlp-rdw                            	       2       66        5       59        0
 5074 tripwire                           	       2       21        4       15        0
 5075 tshark                             	       2      127        4      121        0
 5076 tutka                              	       2        7        0        5        0
 5077 tuxguitar                          	       2       26        2       22        0
 5078 tuxpaint                           	       2       44        1       41        0
 5079 tuxpaint-data                      	       2       44        0       42        0
 5080 tuxpaint-plugins-default           	       2       44        0       42        0
 5081 ueberzug                           	       2       25        3       20        0
 5082 uni2ascii                          	       2       32        1       29        0
 5083 unison                             	       2       99        5       92        0
 5084 unrar-free                         	       2      235        5      228        0
 5085 uthash-dev                         	       2       34        0       32        0
 5086 v4l2loopback-dkms                  	       2       60        2       56        0
 5087 v4l2loopback-utils                 	       2       38        1       35        0
 5088 vdirsyncer                         	       2       19        0       17        0
 5089 vim-motif                          	       2       17        1       14        0
 5090 vim-nox                            	       2       98        3       93        0
 5091 virt-install                       	       2       15        2       11        0
 5092 vokoscreen-ng                      	       2       30        1       27        0
 5093 vrms                               	       2       51        1       48        0
 5094 wakeonlan                          	       2      154        3      149        0
 5095 wayland-utils                      	       2       38        1       35        0
 5096 wbritish                           	       2       63        5       56        0
 5097 webcamoid                          	       2       47        0       45        0
 5098 webp                               	       2       90        4       84        0
 5099 weechat-curses                     	       2       54        1       51        0
 5100 wine-binfmt                        	       2       50        0       36       12
 5101 wine64-preloader                   	       2       33        3       28        0
 5102 wine64-tools                       	       2       36        0       34        0
 5103 wmaker-common                      	       2       83        4       77        0
 5104 wp2latex                           	       2        7        0        5        0
 5105 x264                               	       2       62        1       59        0
 5106 x2goserver-extensions              	       2       61        5       54        0
 5107 x2goserver-x2goagent               	       2       64        4       58        0
 5108 xchm                               	       2       48        0       46        0
 5109 xdg-desktop-portal-wlr             	       2       22        0       20        0
 5110 xdm                                	       2       90       12       76        0
 5111 xdo                                	       2       29        0       27        0
 5112 xe-guest-utilities                 	       2        5        1        2        0
 5113 xfce4-appmenu-plugin               	       2       35        0       32        1
 5114 xfce4-dev-tools                    	       2       35        1       32        0
 5115 xfce4-session-dbgsym               	       2       16        0       14        0
 5116 xjed                               	       2       17        1       14        0
 5117 xmlbeans                           	       2       18        1       15        0
 5118 xmlto                              	       2      101        0       99        0
 5119 xnest                              	       2       51        0       49        0
 5120 xserver-xorg-input-mouse           	       2      217        2      213        0
 5121 xutils-dev                         	       2       77        1       74        0
 5122 yapf3                              	       2       27        0       25        0
 5123 youtube-viewer                     	       2        2        0        0        0
 5124 youtubedl-gui                      	       2       52        7       43        0
 5125 zbar-tools                         	       2       67        1       64        0
 5126 zipmerge                           	       2       13        2        9        0
 5127 ziptool                            	       2       15        2       11        0
 5128 zsh-dev                            	       2        4        0        2        0
 5129 zsync                              	       2       18        2       14        0
 5130 3d-ascii-viewer                    	       1        1        0        0        0
 5131 64tass                             	       1        2        0        1        0
 5132 7zip-rar                           	       1       21        2       18        0
 5133 7zip-standalone                    	       1        5        1        3        0
 5134 aaphoto                            	       1        9        0        8        0
 5135 abcm2ps                            	       1        3        0        2        0
 5136 abe                                	       1        9        0        8        0
 5137 acct                               	       1       44        7       36        0
 5138 ace                                	       1        2        0        1        0
 5139 acmetool                           	       1        4        0        3        0
 5140 acpi-fakekey                       	       1      228       45      182        0
 5141 acpica-tools                       	       1       86        1       84        0
 5142 acr                                	       1        4        0        3        0
 5143 activity-aware-firefox             	       1        5        0        4        0
 5144 agda-bin                           	       1        6        0        5        0
 5145 aide                               	       1       13        1       11        0
 5146 aircrack-ng                        	       1       72        2       69        0
 5147 airstrike                          	       1        6        0        5        0
 5148 akonadi-import-wizard              	       1        7        0        6        0
 5149 akonadiconsole                     	       1       50        0       49        0
 5150 akregator-trinity                  	       1       27        0       26        0
 5151 algol68g                           	       1        3        0        2        0
 5152 alien                              	       1      122        2      119        0
 5153 alpine-pico                        	       1       14        0       13        0
 5154 alsa-oss                           	       1       94        1       92        0
 5155 alttab                             	       1       12        0       11        0
 5156 amarok                             	       1        7        0        6        0
 5157 amdgpu-dkms                        	       1       13        0       12        0
 5158 amdgpu-dkms-firmware               	       1       12        0        9        2
 5159 amor-trinity                       	       1       24        0       23        0
 5160 amule-daemon                       	       1        6        0        5        0
 5161 amule-utils-gui                    	       1       14        0       13        0
 5162 an                                 	       1       23        0       22        0
 5163 ancient                            	       1       16        1       14        0
 5164 androguard                         	       1       15        0       14        0
 5165 ansifilter                         	       1       16        0       15        0
 5166 ansiweather                        	       1       14        0       13        0
 5167 anthy                              	       1       65        0       64        0
 5168 antlr3                             	       1       42        1       40        0
 5169 antlr4                             	       1       12        0       11        0
 5170 apachetop                          	       1       12        1       10        0
 5171 apksigcopier                       	       1       14        0       13        0
 5172 apparmor-utils                     	       1       92        0       91        0
 5173 apt-mirror                         	       1       18        0       17        0
 5174 apt-show-versions                  	       1      118       22       95        0
 5175 apt-src                            	       1       26        0       25        0
 5176 apticron                           	       1       47        7       39        0
 5177 aqemu                              	       1       66        2       63        0
 5178 archivemount                       	       1       18        1       16        0
 5179 ardour                             	       1       66        0       65        0
 5180 ardour-lv2-plugins                 	       1       64        1       62        0
 5181 ardour-video-timeline              	       1       63        2       60        0
 5182 ark-trinity                        	       1       33        1       31        0
 5183 arqiver                            	       1       10        0        9        0
 5184 artsbuilder-trinity                	       1       27        3       23        0
 5185 asciidoc-base                      	       1       75        0       74        0
 5186 asciidoctor                        	       1       65        1       63        0
 5187 asciinema                          	       1       16        0       15        0
 5188 aspell-cs                          	       1       43        0       42        0
 5189 aspell-el                          	       1       25        0       24        0
 5190 asterisk-dev                       	       1        2        0        1        0
 5191 asunder                            	       1       76        1       74        0
 5192 asymptote-x11                      	       1        1        0        0        0
 5193 atanks                             	       1       10        0        9        0
 5194 atlantik-trinity                   	       1       24        0       23        0
 5195 atlantikdesigner-trinity           	       1       24        0       23        0
 5196 atmel-firmware                     	       1       10        0        9        0
 5197 atool                              	       1       22        2       19        0
 5198 audacious-plugins                  	       1      547        6       16      524
 5199 audacious-plugins-data             	       1      550        2        5      542
 5200 audispd-plugins                    	       1        8        0        7        0
 5201 ausweisapp                         	       1        1        0        0        0
 5202 auto-editor                        	       1        3        0        2        0
 5203 auto-multiple-choice               	       1        4        0        3        0
 5204 autofirma                          	       1       25        1       23        0
 5205 autogen                            	       1       55        1       53        0
 5206 autojump                           	       1       19        2       16        0
 5207 avfs                               	       1       21        0       20        0
 5208 avidemux-cli                       	       1        4        0        3        0
 5209 avidemux-common                    	       1       33        1       30        1
 5210 avidemux-plugins                   	       1       30        1       28        0
 5211 avidemux-qt                        	       1       29        1       27        0
 5212 avogadro                           	       1       15        1       13        0
 5213 avogadro-utils                     	       1       14        1       12        0
 5214 avrp                               	       1       12        0       11        0
 5215 awesome                            	       1       49        3       45        0
 5216 awesome-extra                      	       1       49        0       48        0
 5217 axiom                              	       1        2        0        1        0
 5218 axiom-databases                    	       1        2        0        1        0
 5219 axiom-graphics                     	       1        2        0        1        0
 5220 axiom-graphics-data                	       1        2        0        1        0
 5221 axiom-hypertex                     	       1        2        0        1        0
 5222 ayatana-indicator-power            	       1        2        0        1        0
 5223 azure-cli                          	       1       13        0       12        0
 5224 backintime-common                  	       1       34        8       25        0
 5225 backintime-qt                      	       1       32        2       29        0
 5226 balena-etcher                      	       1       28        0       27        0
 5227 baloo6                             	       1       21        6       14        0
 5228 basic256                           	       1       37        3       33        0
 5229 bbe                                	       1        3        0        2        0
 5230 bcompare                           	       1        7        0        6        0
 5231 bd                                 	       1        3        0        2        0
 5232 bdf2psf                            	       1       28        1       26        0
 5233 bemenu                             	       1        9        0        8        0
 5234 bfbtester                          	       1       17        1       15        0
 5235 bidiv                              	       1        7        0        6        0
 5236 biff                               	       1       11        0       10        0
 5237 binaryen                           	       1       13        0       12        0
 5238 bind9-dev                          	       1        3        0        2        0
 5239 bindgen                            	       1        5        0        4        0
 5240 binfmtc                            	       1        4        0        3        0
 5241 binutils-aarch64-linux-gnu-dbg     	       1        1        0        0        0
 5242 binutils-arm-linux-gnueabi         	       1       30        0       29        0
 5243 binutils-arm-linux-gnueabi-dbg     	       1        1        0        0        0
 5244 binutils-dbg                       	       1        1        0        0        0
 5245 binutils-dev                       	       1       47        2       44        0
 5246 binutils-powerpc64le-linux-gnu     	       1        4        0        3        0
 5247 binutils-x86-64-linux-gnu-dbg      	       1        2        0        1        0
 5248 birdtray                           	       1       13        3        9        0
 5249 bison-doc                          	       1       22        0       21        0
 5250 bitmath                            	       1        1        0        0        0
 5251 bkt                                	       1        1        0        0        0
 5252 bladerf                            	       1       49        0       48        0
 5253 bleachbit                          	       1      107        5      101        0
 5254 blender-ogrexml-1.9                	       1        6        0        5        0
 5255 bless                              	       1       20        0       19        0
 5256 blight                             	       1        3        0        2        0
 5257 blinken                            	       1       49        2       46        0
 5258 blinken-trinity                    	       1       24        0       23        0
 5259 blop                               	       1       11        0       10        0
 5260 bluebird-gtk-theme                 	       1      153        1        3      148
 5261 bluez-meshd                        	       1       25        0       24        0
 5262 bluez-test-tools                   	       1       39        0       38        0
 5263 bluez-tools                        	       1      177        1      175        0
 5264 bmake                              	       1       25        0       24        0
 5265 boinc-client                       	       1       29        5       23        0
 5266 bomber                             	       1       58        0       57        0
 5267 bonnie++                           	       1       29        1       27        0
 5268 bookworm                           	       1       20        1       18        0
 5269 bovo                               	       1       55        0       54        0
 5270 brightness-udev                    	       1       53        2       50        0
 5271 brightnessctl                      	       1       53        2       50        0
 5272 bruteforce-luks                    	       1       20        1       18        0
 5273 brz                                	       1       47        1       45        0
 5274 bspwm                              	       1       24        3       20        0
 5275 btrfs-assistant                    	       1        3        0        2        0
 5276 btrfsmaintenance                   	       1       16        0       15        0
 5277 buffer                             	       1       23        0       22        0
 5278 bvi                                	       1       20        0       19        0
 5279 byacc                              	       1       43        0       42        0
 5280 byobu                              	       1       79        4       74        0
 5281 c-icap                             	       1        5        1        3        0
 5282 c2go                               	       1        1        0        0        0
 5283 cadubi                             	       1        6        0        5        0
 5284 calf-plugins                       	       1       72        7       64        0
 5285 callaudiod                         	       1        5        2        2        0
 5286 calligra                           	       1       22        0        0       21
 5287 calligra-gemini                    	       1        6        0        5        0
 5288 cam2ip                             	       1        2        0        1        0
 5289 canmatrix-utils                    	       1        3        0        2        0
 5290 cantor-backend-python3             	       1        3        0        2        0
 5291 cantor-backend-r                   	       1        2        0        1        0
 5292 caps                               	       1       88        6       81        0
 5293 cardpeek                           	       1       11        0       10        0
 5294 cargo-auditable                    	       1        1        0        0        0
 5295 cargo-debstatus                    	       1        1        0        0        0
 5296 castxml                            	       1       39        0       38        0
 5297 casync                             	       1        2        0        1        0
 5298 catatonit                          	       1       41        1       39        0
 5299 catdvi                             	       1       27        1       25        0
 5300 catfish                            	       1       60        3       56        0
 5301 ccache                             	       1      137        4      132        0
 5302 ccrypt                             	       1       61        3       57        0
 5303 cdbs                               	       1       71        2       68        0
 5304 cdrskin                            	       1       45        0       44        0
 5305 cdtool                             	       1       28        0       27        0
 5306 certbot                            	       1      182       41      140        0
 5307 certinfo                           	       1        3        0        2        0
 5308 certmonger                         	       1       18        0       17        0
 5309 cervisia-trinity                   	       1       26        0       25        0
 5310 cfortran                           	       1        6        0        5        0
 5311 checksecurity                      	       1       22        6       15        0
 5312 chkrootkit                         	       1      136       24      111        0
 5313 chrony                             	       1      231       45      185        0
 5314 chrpath                            	       1       62        0       61        0
 5315 clamassassin                       	       1       10        1        8        0
 5316 clamav-daemon                      	       1       91       21       69        0
 5317 clamav-freshclam                   	       1      278       55      222        0
 5318 clamav-unofficial-sigs             	       1       14        1       12        0
 5319 clamdscan                          	       1       88        5       82        0
 5320 clamfs                             	       1        7        1        5        0
 5321 clamtk                             	       1       94        2       91        0
 5322 clang-15                           	       1       52        0       51        0
 5323 clang-17                           	       1       17        1       15        0
 5324 clang-18                           	       1       11        0       10        0
 5325 clang-19                           	       1       37        3       33        0
 5326 clang-format-14                    	       1       35        0       34        0
 5327 clang-tidy-14                      	       1       46        0       45        0
 5328 clang-tools-14                     	       1       49        1       47        0
 5329 clang-tools-19                     	       1       12        1       10        0
 5330 claws-mail-perl-filter             	       1       28        0       27        0
 5331 clawsker                           	       1       17        1       15        0
 5332 clementine                         	       1      113        5      107        0
 5333 clipit                             	       1       54        4       49        0
 5334 clipman                            	       1        7        0        6        0
 5335 clisp                              	       1       44        0       43        0
 5336 clitest                            	       1        3        0        2        0
 5337 clojure                            	       1       13        0       12        0
 5338 cloud-guest-utils                  	       1       20        0       19        0
 5339 cloudflared                        	       1        4        0        3        0
 5340 cmdtest                            	       1       20        2       17        0
 5341 cmospwd                            	       1       19        1       17        0
 5342 cmt                                	       1       36        2       33        0
 5343 cmus                               	       1       59        1       57        0
 5344 cmus-plugin-ffmpeg                 	       1       58        1       56        0
 5345 code-insiders                      	       1        1        0        0        0
 5346 codeblocks                         	       1       65        3       61        0
 5347 codeblocks-common                  	       1       66        0       65        0
 5348 codelite                           	       1       28        1       26        0
 5349 codelite-plugins                   	       1       16        0       15        0
 5350 codequery                          	       1        5        0        4        0
 5351 coffeescript                       	       1        8        0        7        0
 5352 coinor-libcoinutils-dev            	       1        6        0        5        0
 5353 coinor-libosi-dev                  	       1        6        0        5        0
 5354 color-picker                       	       1       14        2       11        0
 5355 colordiff                          	       1       73        2       70        0
 5356 comgr                              	       1       17        1       14        1
 5357 compiz-bcop                        	       1        6        0        5        0
 5358 compiz-boxmenu                     	       1        6        0        5        0
 5359 compiz-plugins-experimental        	       1       11        3        7        0
 5360 composer                           	       1       45        3       41        0
 5361 comprez                            	       1       19        2       16        0
 5362 compton                            	       1       61        5       55        0
 5363 condor                             	       1        1        0        0        0
 5364 condor-upgrade-checks              	       1        1        0        0        0
 5365 connect-proxy                      	       1        4        0        3        0
 5366 connman-dev                        	       1        1        0        0        0
 5367 connman-vpn                        	       1       16        2       13        0
 5368 console-cyrillic                   	       1       34        3       30        0
 5369 consolekit                         	       1      475       58      416        0
 5370 containerd                         	       1      161       34      126        0
 5371 converseen                         	       1       15        0       14        0
 5372 convlit                            	       1       27        1       25        0
 5373 coreboot-utils                     	       1       22        0       21        0
 5374 cpp-12-aarch64-linux-gnu           	       1       20        0       19        0
 5375 cpp-12-arm-linux-gnueabi           	       1       13        0       12        0
 5376 cpp-12-arm-linux-gnueabihf         	       1       14        0       13        0
 5377 cpp-12-i686-linux-gnu              	       1        4        0        3        0
 5378 cpp-12-riscv64-linux-gnu           	       1        6        0        5        0
 5379 cpp-4.9                            	       1      159        3      154        1
 5380 cpp-aarch64-linux-gnu              	       1       35        0       34        0
 5381 cpp-arm-linux-gnueabi              	       1       18        0       17        0
 5382 cpp-arm-linux-gnueabihf            	       1       28        0       27        0
 5383 cpp-i686-linux-gnu                 	       1       16        0       15        0
 5384 cpp-riscv64-linux-gnu              	       1        8        0        7        0
 5385 cppcheck-gui                       	       1       16        0       15        0
 5386 cpphs                              	       1        3        1        1        0
 5387 cpufetch                           	       1        9        0        8        0
 5388 cpuid                              	       1       87        1       85        0
 5389 cream                              	       1        6        0        5        0
 5390 createrepo-c                       	       1        2        0        1        0
 5391 critcl                             	       1        3        0        2        0
 5392 cross-exe-wrapper                  	       1        2        0        1        0
 5393 crunch                             	       1       19        1       17        0
 5394 csh                                	       1       48        1       46        0
 5395 csmith                             	       1        5        0        4        0
 5396 cu                                 	       1       36        0       35        0
 5397 cuetools                           	       1       57        1       55        0
 5398 cupp                               	       1       16        1       14        0
 5399 cvsps                              	       1       58        2       55        0
 5400 cython3                            	       1       63        1       61        0
 5401 dact                               	       1       20        1       18        0
 5402 dar                                	       1       20        0       19        0
 5403 dar-static                         	       1       10        0        9        0
 5404 dares                              	       1       24        1       22        0
 5405 datamash                           	       1        7        0        6        0
 5406 davmail                            	       1        7        2        4        0
 5407 davmail-server                     	       1        7        1        5        0
 5408 dbconfig-common                    	       1      121        5      115        0
 5409 dbeaver-ce                         	       1       39        1       35        2
 5410 dblatex                            	       1       79        2       76        0
 5411 dbus-tests                         	       1        3        0        2        0
 5412 dbview                             	       1       33        0       32        0
 5413 dcfldd                             	       1       42        2       39        0
 5414 dcoprss-trinity                    	       1       25        0       24        0
 5415 dcraw                              	       1       98        0       97        0
 5416 ddgr                               	       1       17        2       14        0
 5417 ddrescueview                       	       1       45        0       44        0
 5418 ddrutility                         	       1       40        2       37        0
 5419 deadbeef-static                    	       1       13        0       11        1
 5420 debcargo                           	       1        2        0        1        0
 5421 debcraft                           	       1        3        0        2        0
 5422 debian-edu-artwork-buster          	       1        5        1        3        0
 5423 debian-edu-artwork-homeworld       	       1        7        1        5        0
 5424 debian-edu-artwork-softwaves       	       1        3        0        2        0
 5425 debian-edu-artwork-spacefun        	       1        6        0        5        0
 5426 debian-installer-launcher          	       1        2        0        1        0
 5427 debmake                            	       1       25        1       23        0
 5428 debram                             	       1        4        0        2        1
 5429 debsecan                           	       1       53       11       41        0
 5430 debsig-verify                      	       1       15        1       13        0
 5431 debtags                            	       1       55       14       40        0
 5432 dejagnu                            	       1       27        0       26        0
 5433 deluge-console                     	       1       16        0       15        0
 5434 deluged                            	       1       14        1       12        0
 5435 denemo                             	       1       15        0       14        0
 5436 denemo-data                        	       1       17        0       16        0
 5437 devede                             	       1       15        0       14        0
 5438 developers-reference               	       1       21        0       19        1
 5439 devscripts-devuan                  	       1       13        0       12        0
 5440 dexdump                            	       1       25        0       24        0
 5441 dfc                                	       1       14        0       13        0
 5442 dfrs                               	       1        4        0        3        0
 5443 dgit                               	       1        8        0        7        0
 5444 dh-cargo                           	       1        2        0        1        0
 5445 dh-make-perl                       	       1        9        0        8        0
 5446 dh-octave                          	       1        2        0        1        0
 5447 dh-php                             	       1       10        1        8        0
 5448 dh-vim-addon                       	       1        1        0        0        0
 5449 dhcpcd                             	       1       36        0       35        0
 5450 dhcpdump                           	       1       33        1       31        0
 5451 dialect                            	       1        7        0        6        0
 5452 dico                               	       1        2        0        1        0
 5453 dictconv                           	       1       18        1       16        0
 5454 dictd                              	       1       40        9       30        0
 5455 diodon                             	       1       52        9       42        0
 5456 direnv                             	       1       17        1       15        0
 5457 diskscan                           	       1       16        2       13        0
 5458 disktype                           	       1       44        1       42        0
 5459 distrobuilder                      	       1        7        0        6        0
 5460 ditaa                              	       1       18        1       16        0
 5461 dj64-dev                           	       1        1        0        0        0
 5462 djdev64-dev                        	       1        1        0        0        0
 5463 djvulibre-bin                      	       1       95        4       90        0
 5464 dma                                	       1       14        3       10        0
 5465 dmitry                             	       1       16        1       14        0
 5466 dnstracer                          	       1       20        1       18        0
 5467 doc-base                           	       1      177       13      163        0
 5468 docbook-dsssl                      	       1       99        0       98        0
 5469 docbook-utils                      	       1       71        0       70        0
 5470 docker-cli                         	       1       17        0       16        0
 5471 docker.io                          	       1      162       34      127        0
 5472 dolphin-data                       	       1       22        0        1       20
 5473 dos2unix                           	       1      229        8      220        0
 5474 dosemu2                            	       1        1        0        0        0
 5475 dotnet-apphost-pack-6.0            	       1        9        0        8        0
 5476 dotnet-apphost-pack-7.0            	       1        9        0        8        0
 5477 dotnet-apphost-pack-9.0            	       1        2        0        1        0
 5478 dotnet-hostfxr-8.0                 	       1       14        0        0       13
 5479 dotnet-runtime-8.0                 	       1       14        0        0       13
 5480 dotnet-sdk-6.0                     	       1        9        0        8        0
 5481 dotnet-sdk-7.0                     	       1        9        0        8        0
 5482 dotnet-sdk-9.0                     	       1        2        0        1        0
 5483 dovecot-imapd                      	       1      116       22       93        0
 5484 dovecot-lmtpd                      	       1       41        8       32        0
 5485 dovecot-pop3d                      	       1       48        6       41        0
 5486 dovecot-submissiond                	       1        9        0        8        0
 5487 doxygen                            	       1      164        5      158        0
 5488 doxygen-doxyparse                  	       1        8        0        7        0
 5489 doxygen-gui                        	       1       27        1       25        0
 5490 dpkg-repack                        	       1       47        1       45        0
 5491 dracut-core                        	       1        9        1        7        0
 5492 dselect                            	       1      144        4      139        0
 5493 duf                                	       1       28        0       27        0
 5494 duktape-dev                        	       1        6        0        5        0
 5495 dump                               	       1       43        5       37        0
 5496 dv4l                               	       1        7        0        6        0
 5497 dxf2gcode                          	       1       10        0        9        0
 5498 e2tools                            	       1        9        0        8        0
 5499 earlyoom                           	       1       11        2        8        0
 5500 ecryptfs-utils                     	       1       45        9       35        0
 5501 edict                              	       1       84        0       82        1
 5502 edlin                              	       1        1        0        0        0
 5503 efitools                           	       1       33        0       32        0
 5504 elixir-nimble-parsec               	       1        1        0        0        0
 5505 elpa-apache-mode                   	       1       41        2       38        0
 5506 elpa-async                         	       1       45        2       42        0
 5507 elpa-bar-cursor                    	       1       39        1       37        0
 5508 elpa-bm                            	       1       37        1       35        0
 5509 elpa-boxquote                      	       1       37        1       35        0
 5510 elpa-browse-kill-ring              	       1       39        1       37        0
 5511 elpa-color-theme-modern            	       1       34        1       32        0
 5512 elpa-company                       	       1       46        1       44        0
 5513 elpa-csv-mode                      	       1       42        2       39        0
 5514 elpa-dart-mode                     	       1        2        0        1        0
 5515 elpa-diminish                      	       1       41        1       39        0
 5516 elpa-eproject                      	       1       37        1       35        0
 5517 elpa-ess                           	       1       14        0       13        0
 5518 elpa-folding                       	       1       39        1       37        0
 5519 elpa-graphviz-dot-mode             	       1       43        2       40        0
 5520 elpa-haskell-mode                  	       1       16        2       13        0
 5521 elpa-helm                          	       1       40        1       38        0
 5522 elpa-helm-core                     	       1       40        1       38        0
 5523 elpa-htmlize                       	       1       55        3       51        0
 5524 elpa-initsplit                     	       1       37        1       35        0
 5525 elpa-markdown-mode                 	       1       59        5       53        0
 5526 elpa-mutt-alias                    	       1       37        1       35        0
 5527 elpa-muttrc-mode                   	       1       41        2       38        0
 5528 elpa-notmuch                       	       1       28        4       23        0
 5529 elpa-pod-mode                      	       1       37        1       35        0
 5530 elpa-popup                         	       1       43        2       40        0
 5531 elpa-session                       	       1       37        1       35        0
 5532 elpa-tabbar                        	       1       38        1       36        0
 5533 elvish                             	       1        2        0        1        0
 5534 enblend                            	       1       49        1       47        0
 5535 encfs                              	       1       52        4       47        0
 5536 enfuse                             	       1       48        1       46        0
 5537 enlightenment-data                 	       1       32        0        6       25
 5538 eot-utils                          	       1        3        0        2        0
 5539 epiphany-browser                   	       1       84        4       79        0
 5540 epub-utils                         	       1       25        0       24        0
 5541 eric                               	       1        7        0        6        0
 5542 erlang-asn1                        	       1       39        2       36        0
 5543 erlang-common-test                 	       1       16        0       15        0
 5544 erlang-debugger                    	       1       16        0       15        0
 5545 erlang-dev                         	       1       20        0       19        0
 5546 erlang-dialyzer                    	       1       18        0       17        0
 5547 erlang-diameter                    	       1       17        0       16        0
 5548 erlang-doc                         	       1        8        0        7        0
 5549 erlang-edoc                        	       1       17        0       16        0
 5550 erlang-eldap                       	       1       24        0       23        0
 5551 erlang-esdl                        	       1       18        0       17        0
 5552 erlang-et                          	       1       16        0       15        0
 5553 erlang-eunit                       	       1       23        0       22        0
 5554 erlang-examples                    	       1       16        0       15        0
 5555 erlang-ftp                         	       1       32        0       31        0
 5556 erlang-inets                       	       1       37        0       36        0
 5557 erlang-jinterface                  	       1       15        0       14        0
 5558 erlang-megaco                      	       1       16        0       15        0
 5559 erlang-metrics                     	       1        1        0        0        0
 5560 erlang-mimerl                      	       1        1        0        0        0
 5561 erlang-mnesia                      	       1       43        0       42        0
 5562 erlang-mode                        	       1       19        1       17        0
 5563 erlang-observer                    	       1       16        0       15        0
 5564 erlang-odbc                        	       1       21        0       20        0
 5565 erlang-os-mon                      	       1       26        0       25        0
 5566 erlang-p1-acme                     	       1        4        0        3        0
 5567 erlang-p1-cache-tab                	       1        7        0        6        0
 5568 erlang-p1-eimp                     	       1        4        0        3        0
 5569 erlang-p1-iconv                    	       1        5        0        4        0
 5570 erlang-p1-mqtree                   	       1        4        0        3        0
 5571 erlang-p1-mysql                    	       1        4        0        3        0
 5572 erlang-p1-oauth2                   	       1        1        0        0        0
 5573 erlang-p1-pam                      	       1        4        0        3        0
 5574 erlang-p1-pgsql                    	       1        5        0        4        0
 5575 erlang-p1-pkix                     	       1        5        0        4        0
 5576 erlang-p1-sip                      	       1        4        0        3        0
 5577 erlang-p1-sqlite3                  	       1        2        0        1        0
 5578 erlang-p1-stringprep               	       1        7        0        6        0
 5579 erlang-p1-stun                     	       1        7        0        6        0
 5580 erlang-p1-tls                      	       1        8        0        7        0
 5581 erlang-p1-utils                    	       1        8        0        7        0
 5582 erlang-p1-xml                      	       1        8        0        7        0
 5583 erlang-p1-xmpp                     	       1        5        0        4        0
 5584 erlang-p1-yaml                     	       1        7        0        6        0
 5585 erlang-p1-yconf                    	       1        4        0        3        0
 5586 erlang-p1-zlib                     	       1        7        0        6        0
 5587 erlang-parsetools                  	       1       28        0       27        0
 5588 erlang-proper                      	       1        8        0        7        0
 5589 erlang-proper-dev                  	       1        1        0        0        0
 5590 erlang-public-key                  	       1       38        1       36        0
 5591 erlang-redis-client                	       1        1        0        0        0
 5592 erlang-reltool                     	       1       16        0       15        0
 5593 erlang-runtime-tools               	       1       43        0       42        0
 5594 erlang-snmp                        	       1       27        0       26        0
 5595 erlang-src                         	       1       17        0       16        0
 5596 erlang-ssh                         	       1       17        0       16        0
 5597 erlang-ssl                         	       1       37        1       35        0
 5598 erlang-tftp                        	       1       32        0       31        0
 5599 erlang-tools                       	       1       41        0       40        0
 5600 erlang-uuid                        	       1        3        0        2        0
 5601 erofs-utils                        	       1       15        1       13        0
 5602 eslint                             	       1      170        1      168        0
 5603 espeak-ng                          	       1       31        1       29        0
 5604 eterm                              	       1       31        0       30        0
 5605 ethstatus                          	       1       27        1       25        0
 5606 evince-gtk                         	       1       30        1        8       20
 5607 evolution-ews                      	       1       16        4       11        0
 5608 evolution-plugins-experimental     	       1       10        0        9        0
 5609 exiftags                           	       1       43        1       41        0
 5610 extract                            	       1       16        0       15        0
 5611 exuberant-ctags                    	       1      124        3      120        0
 5612 eyesapplet-trinity                 	       1       24        1       22        0
 5613 eza                                	       1        2        0        1        0
 5614 f3                                 	       1       46        1       44        0
 5615 faad                               	       1       97        0       96        0
 5616 fancontrol                         	       1      117        3      113        0
 5617 fastboot                           	       1      184        2      181        0
 5618 fatattr                            	       1       11        0       10        0
 5619 fatrace                            	       1       14        0       13        0
 5620 fatresize                          	       1       28        1       26        0
 5621 fbless                             	       1        9        1        7        0
 5622 fbpager                            	       1       22        0       21        0
 5623 fcitx5-frontend-qt6                	       1       16        0        1       14
 5624 fcitx5-module-lua-dev              	       1        1        0        0        0
 5625 fcml                               	       1        3        0        2        0
 5626 fd-find                            	       1       32        2       29        0
 5627 fdpp                               	       1        1        0        0        0
 5628 fdpp-dev                           	       1        1        0        0        0
 5629 fdupes                             	       1      125        4      120        0
 5630 feedbackd                          	       1        9        1        7        0
 5631 ffmpegthumbs                       	       1      525        1        2      521
 5632 fifteenapplet-trinity              	       1       24        0       23        0
 5633 fil-plugins                        	       1       27        2       24        0
 5634 finger                             	       1      125        3      121        0
 5635 fio                                	       1       63        0       62        0
 5636 firebird-dev                       	       1       16        0       15        0
 5637 firebird2.5-common                 	       1       19        1       17        0
 5638 firebird2.5-server-common          	       1       17        1       15        0
 5639 firebird3.0-examples               	       1        4        0        3        0
 5640 firebird3.0-server                 	       1        4        1        2        0
 5641 firefox-esr-l10n-ach               	       1        1        0        0        0
 5642 firefox-esr-l10n-af                	       1        1        0        0        0
 5643 firefox-esr-l10n-an                	       1        1        0        0        0
 5644 firefox-esr-l10n-ar                	       1        2        0        1        0
 5645 firefox-esr-l10n-ast               	       1        2        0        1        0
 5646 firefox-esr-l10n-az                	       1        1        0        0        0
 5647 firefox-esr-l10n-be                	       1        3        0        2        0
 5648 firefox-esr-l10n-bg                	       1        2        0        1        0
 5649 firefox-esr-l10n-bn                	       1        2        0        1        0
 5650 firefox-esr-l10n-br                	       1        1        0        0        0
 5651 firefox-esr-l10n-bs                	       1        2        0        1        0
 5652 firefox-esr-l10n-ca                	       1        7        0        6        0
 5653 firefox-esr-l10n-ca-valencia       	       1        2        0        1        0
 5654 firefox-esr-l10n-cak               	       1        1        0        0        0
 5655 firefox-esr-l10n-cy                	       1        2        0        1        0
 5656 firefox-esr-l10n-da                	       1        4        0        3        0
 5657 firefox-esr-l10n-dsb               	       1        2        0        1        0
 5658 firefox-esr-l10n-el                	       1       20        1       18        0
 5659 firefox-esr-l10n-en-ca             	       1        2        0        1        0
 5660 firefox-esr-l10n-eo                	       1        5        0        4        0
 5661 firefox-esr-l10n-et                	       1        4        0        3        0
 5662 firefox-esr-l10n-eu                	       1        3        0        2        0
 5663 firefox-esr-l10n-fa                	       1        2        0        1        0
 5664 firefox-esr-l10n-ff                	       1        1        0        0        0
 5665 firefox-esr-l10n-fur               	       1        2        0        1        0
 5666 firefox-esr-l10n-fy-nl             	       1        1        0        0        0
 5667 firefox-esr-l10n-ga-ie             	       1        3        0        2        0
 5668 firefox-esr-l10n-gd                	       1        1        0        0        0
 5669 firefox-esr-l10n-gl                	       1        3        0        2        0
 5670 firefox-esr-l10n-gn                	       1        1        0        0        0
 5671 firefox-esr-l10n-gu-in             	       1        2        0        1        0
 5672 firefox-esr-l10n-he                	       1        3        0        2        0
 5673 firefox-esr-l10n-hi-in             	       1        2        0        1        0
 5674 firefox-esr-l10n-hr                	       1        2        0        1        0
 5675 firefox-esr-l10n-hsb               	       1        2        0        1        0
 5676 firefox-esr-l10n-hu                	       1       15        2       12        0
 5677 firefox-esr-l10n-hy-am             	       1        1        0        0        0
 5678 firefox-esr-l10n-ia                	       1        1        0        0        0
 5679 firefox-esr-l10n-id                	       1        2        0        1        0
 5680 firefox-esr-l10n-is                	       1        2        0        1        0
 5681 firefox-esr-l10n-ka                	       1        1        0        0        0
 5682 firefox-esr-l10n-kab               	       1        1        0        0        0
 5683 firefox-esr-l10n-kk                	       1        2        0        1        0
 5684 firefox-esr-l10n-km                	       1        2        0        1        0
 5685 firefox-esr-l10n-kn                	       1        2        0        1        0
 5686 firefox-esr-l10n-ko                	       1        7        0        6        0
 5687 firefox-esr-l10n-lij               	       1        1        0        0        0
 5688 firefox-esr-l10n-lt                	       1        2        0        1        0
 5689 firefox-esr-l10n-lv                	       1        2        0        1        0
 5690 firefox-esr-l10n-mk                	       1        2        0        1        0
 5691 firefox-esr-l10n-mr                	       1        2        0        1        0
 5692 firefox-esr-l10n-ms                	       1        1        0        0        0
 5693 firefox-esr-l10n-my                	       1        1        0        0        0
 5694 firefox-esr-l10n-ne-np             	       1        2        0        1        0
 5695 firefox-esr-l10n-nl                	       1       11        1        9        0
 5696 firefox-esr-l10n-oc                	       1        1        0        0        0
 5697 firefox-esr-l10n-pa-in             	       1        2        0        1        0
 5698 firefox-esr-l10n-pt-pt             	       1        2        0        1        0
 5699 firefox-esr-l10n-rm                	       1        1        0        0        0
 5700 firefox-esr-l10n-ro                	       1        5        0        4        0
 5701 firefox-esr-l10n-sat               	       1        1        0        0        0
 5702 firefox-esr-l10n-sc                	       1        2        0        1        0
 5703 firefox-esr-l10n-sco               	       1        1        0        0        0
 5704 firefox-esr-l10n-si                	       1        2        0        1        0
 5705 firefox-esr-l10n-sk                	       1        8        0        7        0
 5706 firefox-esr-l10n-skr               	       1        1        0        0        0
 5707 firefox-esr-l10n-sl                	       1        2        0        1        0
 5708 firefox-esr-l10n-son               	       1        1        0        0        0
 5709 firefox-esr-l10n-sr                	       1        2        0        1        0
 5710 firefox-esr-l10n-sv-se             	       1       13        2       10        0
 5711 firefox-esr-l10n-szl               	       1        1        0        0        0
 5712 firefox-esr-l10n-ta                	       1        2        0        1        0
 5713 firefox-esr-l10n-te                	       1        2        0        1        0
 5714 firefox-esr-l10n-tg                	       1        2        0        1        0
 5715 firefox-esr-l10n-th                	       1        2        0        1        0
 5716 firefox-esr-l10n-tl                	       1        2        0        1        0
 5717 firefox-esr-l10n-trs               	       1        2        0        1        0
 5718 firefox-esr-l10n-uk                	       1       10        0        9        0
 5719 firefox-esr-l10n-ur                	       1        1        0        0        0
 5720 firefox-esr-l10n-uz                	       1        1        0        0        0
 5721 firefox-esr-l10n-vi                	       1        2        0        1        0
 5722 firefox-esr-l10n-xh                	       1        1        0        0        0
 5723 firefox-esr-l10n-zh-cn             	       1        7        0        6        0
 5724 firefox-esr-l10n-zh-tw             	       1        5        0        4        0
 5725 firefox-l10n-de                    	       1        6        0        4        1
 5726 firefox-l10n-es-ar                 	       1        1        0        0        0
 5727 firefox-l10n-es-es                 	       1        7        0        6        0
 5728 firefox-l10n-nl                    	       1        1        0        0        0
 5729 firejail                           	       1       73        2       70        0
 5730 firetools                          	       1       16        0       15        0
 5731 firewalld                          	       1       48        4       43        0
 5732 firmware-bnx2                      	       1      178        0      177        0
 5733 firmware-netronome                 	       1       13        0       12        0
 5734 firmware-netxen                    	       1      145        0      144        0
 5735 firmware-nvidia-tesla-gsp          	       1        5        0        4        0
 5736 firmware-realtek-rtl8723cs-bt      	       1       13        0       12        0
 5737 firmware-siano                     	       1       11        0       10        0
 5738 flactag                            	       1       30        0       29        0
 5739 fmit                               	       1       12        0       11        0
 5740 font-manager                       	       1       84        1       82        0
 5741 fonts-croscore                     	       1      257       33      117      106
 5742 fonts-fantasque-sans               	       1       38        0        3       34
 5743 fonts-jetbrains-mono               	       1       28        1        5       21
 5744 fonts-lyx                          	       1      698       17       45      635
 5745 fonts-mononoki                     	       1       31        0        3       27
 5746 fonts-noto-ui-extra                	       1      851        9       34      807
 5747 fonts-roboto-unhinted              	       1      397       39      149      208
 5748 fonts-vlgothic                     	       1      270       15       86      168
 5749 fonts-wqy-zenhei                   	       1       80        8       27       44
 5750 fonttools                          	       1       26        0       25        0
 5751 fossil                             	       1       34        0       33        0
 5752 fp-compiler-3.2.0                  	       1       22        1       20        0
 5753 fp-units-castle-game-engine        	       1        5        0        4        0
 5754 fp-utils-3.2.0                     	       1       22        1       20        0
 5755 fpa-backup-scripts                 	       1       10        0        9        0
 5756 fpa-bash-lib                       	       1       10        0        9        0
 5757 fpa-btrfs-utils                    	       1        2        0        1        0
 5758 fpa-general-scripts                	       1       10        0        9        0
 5759 fpa-general-x                      	       1        7        0        6        0
 5760 fpa-gitman                         	       1        7        0        6        0
 5761 fpa-network-scripts                	       1        7        0        6        0
 5762 fping                              	       1      147       12      134        0
 5763 fracplanet                         	       1        9        0        8        0
 5764 freecad                            	       1      116        0       17       98
 5765 freecad-python3                    	       1      109        1      107        0
 5766 freeipa-client                     	       1       17        0       16        0
 5767 freeipa-helper                     	       1       12        0       11        0
 5768 freeipmi-tools                     	       1       42        2       39        0
 5769 freeplane                          	       1       28        0       27        0
 5770 freerdp3-dev                       	       1        1        0        0        0
 5771 freerdp3-sdl                       	       1        1        0        0        0
 5772 freerdp3-shadow-x11                	       1        1        0        0        0
 5773 freetennis                         	       1        2        0        1        0
 5774 freetube                           	       1       40        0        6       33
 5775 frescobaldi                        	       1       15        0       14        0
 5776 fritzing                           	       1       30        0       29        0
 5777 ft2-clone                          	       1        1        0        0        0
 5778 fte                                	       1        6        0        5        0
 5779 fte-console                        	       1        3        0        2        0
 5780 fte-terminal                       	       1        3        0        2        0
 5781 fte-xwindow                        	       1        5        0        4        0
 5782 funcoeszz                          	       1       15        1       13        0
 5783 fuse2fs                            	       1       33        1       31        0
 5784 fusefile                           	       1        3        0        2        0
 5785 g++-mingw-w64-i686-posix           	       1       33        0       32        0
 5786 g++-mingw-w64-i686-win32           	       1       33        0       32        0
 5787 g++-mingw-w64-x86-64-posix         	       1       32        0       31        0
 5788 g++-mingw-w64-x86-64-win32         	       1       32        0       31        0
 5789 gambas3-gb-clipper2                	       1        1        0        0        0
 5790 gambas3-gb-db2                     	       1        1        0        0        0
 5791 gambas3-gb-db2-form                	       1        1        0        0        0
 5792 gambas3-gb-db2-mysql               	       1        1        0        0        0
 5793 gambas3-gb-db2-odbc                	       1        1        0        0        0
 5794 gambas3-gb-db2-postgresql          	       1        1        0        0        0
 5795 gambas3-gb-db2-sqlite3             	       1        1        0        0        0
 5796 gambas3-gb-gtk3-wayland            	       1        4        0        3        0
 5797 gambas3-gb-highlight               	       1        3        0        2        0
 5798 gambas3-gb-inotify                 	       1        3        0        2        0
 5799 gambas3-gb-libxml                  	       1        4        0        3        0
 5800 gambas3-gb-mongodb                 	       1        1        0        0        0
 5801 gambas3-gb-option                  	       1        3        0        2        0
 5802 gambas3-gb-pdf                     	       1        3        0        2        0
 5803 gambas3-gb-qt6                     	       1        1        0        0        0
 5804 gambas3-gb-qt6-ext                 	       1        1        0        0        0
 5805 gambas3-gb-qt6-opengl              	       1        1        0        0        0
 5806 gambas3-gb-qt6-wayland             	       1        1        0        0        0
 5807 gambas3-gb-qt6-webview             	       1        1        0        0        0
 5808 gambas3-gb-qt6-x11                 	       1        1        0        0        0
 5809 gambas3-gb-report                  	       1        3        0        2        0
 5810 gambas3-gb-v4l                     	       1        4        0        3        0
 5811 gambas3-gb-web-form                	       1        4        0        3        0
 5812 gammaray                           	       1        1        0        0        0
 5813 gammaray-dev                       	       1        1        0        0        0
 5814 gbemol                             	       1        5        0        4        0
 5815 gcc-12-arm-linux-gnueabi           	       1       13        0       12        0
 5816 gcc-arm-linux-gnueabi              	       1       18        0       17        0
 5817 gcc-arm-none-eabi                  	       1       58        1       56        0
 5818 gcc-mingw-w64-i686-posix           	       1       39        0       38        0
 5819 gcc-mingw-w64-i686-win32           	       1       39        1       37        0
 5820 gcc-mingw-w64-x86-64-posix         	       1       40        0       39        0
 5821 gcc-mingw-w64-x86-64-win32         	       1       41        1       39        0
 5822 gccrs-14                           	       1        1        0        0        0
 5823 gccrs-14-x86-64-linux-gnu          	       1        1        0        0        0
 5824 gcl                                	       1        9        0        8        0
 5825 gcompris-qt                        	       1       28        0       27        0
 5826 gdbserver                          	       1       89        1       87        0
 5827 geeqie                             	       1      193        6      186        0
 5828 geotiff-bin                        	       1       20        0       19        0
 5829 getmail6                           	       1       19        1       17        0
 5830 gettext-doc                        	       1       29        0       27        1
 5831 gfortran-10                        	       1      171        2      168        0
 5832 gftp-common                        	       1       55        0       54        0
 5833 gftp-gtk                           	       1       49        1       47        0
 5834 ghc-doc                            	       1       31        1       29        0
 5835 ghdl-common                        	       1       11        0       10        0
 5836 ghdl-mcode                         	       1       11        0       10        0
 5837 ghdl-tools                         	       1        3        0        2        0
 5838 ghostwriter                        	       1       27        3       23        0
 5839 gi-docgen                          	       1        1        0        0        0
 5840 gifshuffle                         	       1       16        1       14        0
 5841 gimp-cbmplugs                      	       1       18        0       17        0
 5842 gimp-gluas                         	       1       24        0       23        0
 5843 gimp-gmic                          	       1       71        1       69        0
 5844 gimp-plugin-registry               	       1       73        1       71        0
 5845 gimp-texturize                     	       1       44        0       43        0
 5846 gir-rust-code-generator            	       1        1        0        0        0
 5847 gir1.2-adw-1                       	       1      402        2        0      399
 5848 gir1.2-gconf-2.0                   	       1       63        0       62        0
 5849 gir1.2-girepository-2.0            	       1      251        1       13      236
 5850 gir1.2-gnomekeyring-1.0            	       1       96        1       94        0
 5851 gir1.2-gst-plugins-base-1.0        	       1     2029        4       51     1973
 5852 gir1.2-handy-1                     	       1     1951        2        2     1946
 5853 gir1.2-javascriptcoregtk-4.0       	       1     1833        3        6     1823
 5854 gir1.2-matedesktop-2.0             	       1       76        3        0       72
 5855 gir1.2-matemenu-2.0                	       1      463        5        9      448
 5856 gir1.2-matepanelapplet-4.0         	       1       81        5        9       66
 5857 gir1.2-vte-2.91                    	       1      905       18       55      831
 5858 gir1.2-webkit2-4.0                 	       1     1815        3        6     1805
 5859 git-build-recipe                   	       1        3        0        2        0
 5860 git-debpush                        	       1        1        0        0        0
 5861 git-debrebase                      	       1        2        0        1        0
 5862 git-delete-merged-branches         	       1        2        0        1        0
 5863 git-delta                          	       1        1        0        0        0
 5864 git-merge-changelog                	       1        2        0        1        0
 5865 git-review                         	       1        7        0        6        0
 5866 gitbatch                           	       1        2        0        1        0
 5867 gitg                               	       1       32        0       31        0
 5868 gitit                              	       1        2        0        1        0
 5869 gitkraken                          	       1        3        0        2        0
 5870 gitleaks                           	       1        1        0        0        0
 5871 glab                               	       1        2        0        1        0
 5872 glade                              	       1       37        2       34        0
 5873 glew-utils                         	       1       30        0       29        0
 5874 glslang-dev                        	       1       14        0       13        0
 5875 glslang-tools                      	       1       25        0       24        0
 5876 glurp                              	       1        5        0        4        0
 5877 gm2                                	       1        4        0        3        0
 5878 gm2-14                             	       1        3        0        2        0
 5879 gm2-14-x86-64-linux-gnu            	       1        3        0        2        0
 5880 gm2-x86-64-linux-gnu               	       1        3        0        2        0
 5881 gman                               	       1       11        0       10        0
 5882 gmic                               	       1       43        1       41        0
 5883 gmic-zart                          	       1        9        1        7        0
 5884 gmpc                               	       1       15        0       14        0
 5885 gmsh                               	       1       11        0       10        0
 5886 gmsh-doc                           	       1       12        0       10        1
 5887 gmtp                               	       1       55        0       54        0
 5888 gnat-14                            	       1        2        0        1        0
 5889 gnat-14-x86-64-linux-gnu           	       1        2        0        1        0
 5890 gnome-control-center-data          	       1      419        0        6      412
 5891 gnome-dictionary                   	       1       22        0       21        0
 5892 gnome-orca                         	       1       97        1       56       39
 5893 gnome-paint                        	       1       13        0       12        0
 5894 gnome-usage                        	       1       11        0       10        0
 5895 gnubg                              	       1       19        0       18        0
 5896 gnucash                            	       1       97        1       95        0
 5897 gnucash-common                     	       1       97        1       95        0
 5898 gnugo                              	       1       96        2       93        0
 5899 gnumeric-plugins-extra             	       1       27        1       25        0
 5900 gnunet-fuse                        	       1        4        0        3        0
 5901 gnupg                              	       1     4104       36      182     3885
 5902 gnupg-agent                        	       1      645       15      197      432
 5903 gnuplot-qt                         	       1      182        6      175        0
 5904 gocryptfs                          	       1       27        1       25        0
 5905 gojq                               	       1        3        0        2        0
 5906 golang-golang-x-tools              	       1       15        0       14        0
 5907 goldendict                         	       1       29        1       26        1
 5908 google-android-licenses            	       1       12        0       11        0
 5909 gpa                                	       1       39        0       38        0
 5910 gparted-common                     	       1      821        0        4      816
 5911 gpsman                             	       1        9        0        8        0
 5912 gpsprune                           	       1       18        0       17        0
 5913 grace                              	       1       26        1       24        0
 5914 granatier                          	       1       56        0       55        0
 5915 grap                               	       1       12        0       11        0
 5916 grass-core                         	       1       53        2       50        0
 5917 grass-dev                          	       1       10        0        9        0
 5918 grass-gui                          	       1       22        0       21        0
 5919 grc                                	       1       17        0       16        0
 5920 greetd                             	       1        3        0        2        0
 5921 gretl                              	       1        5        0        4        0
 5922 gridcoinresearch-qt                	       1        3        0        2        0
 5923 gridcoinresearchd                  	       1        1        0        0        0
 5924 grml-hwinfo                        	       1        1        0        0        0
 5925 grml-rescueboot                    	       1       15        0       14        0
 5926 groff                              	       1      170        5      164        0
 5927 groovy                             	       1       81        2       78        0
 5928 grub-efi-arm64-bin                 	       1        4        0        3        0
 5929 grub-efi-ia32-bin                  	       1      137        1      135        0
 5930 gsettings-desktop-schemas          	       1     3749        6       25     3717
 5931 gsfonts                            	       1     3254       27      117     3109
 5932 gsimplecal                         	       1       15        1       13        0
 5933 gstreamer1.0-plugins-base          	       1     3234       16       95     3122
 5934 gstreamer1.0-plugins-good          	       1     3144       26       97     3020
 5935 gtick                              	       1       20        0       19        0
 5936 gtk-theme-switch                   	       1       36        0       35        0
 5937 gtk2-engines-cleanice              	       1       23        0       22        0
 5938 gtk2-engines-murrine               	       1      919        5       37      876
 5939 gtkguitune                         	       1        6        0        5        0
 5940 guetzli                            	       1        4        0        3        0
 5941 guile-2.2-dev                      	       1        6        0        5        0
 5942 guile-cairo-dev                    	       1        1        0        0        0
 5943 guile-ssh                          	       1       13        1       11        0
 5944 guitarix-ladspa                    	       1       28        0       27        0
 5945 gvncviewer                         	       1       32        0       31        0
 5946 gyp                                	       1      203        3      199        0
 5947 handlebars                         	       1      180        2      177        0
 5948 haruna                             	       1        9        0        8        0
 5949 harvid                             	       1       64        0       63        0
 5950 hasktags                           	       1        2        0        1        0
 5951 hcxdumptool                        	       1       24        1       22        0
 5952 hdf4-tools                         	       1       16        0       15        0
 5953 heartbleeder                       	       1       15        1       13        0
 5954 heimdal-clients                    	       1       15        0       14        0
 5955 helm                               	       1        8        0        7        0
 5956 helpman                            	       1        6        0        5        0
 5957 hexcompare                         	       1       20        1       18        0
 5958 hfsprogs                           	       1       40        1       38        0
 5959 hibiscus                           	       1        4        0        3        0
 5960 hlint                              	       1        3        0        2        0
 5961 homebank                           	       1       15        0       14        0
 5962 horst                              	       1       21        1       19        0
 5963 hostapd                            	       1       44        4       39        0
 5964 hovercraft                         	       1        4        0        3        0
 5965 hpijs-ppds                         	       1       54        1       49        3
 5966 hping3                             	       1       46        3       42        0
 5967 hplip-gui                          	       1      114       10      103        0
 5968 hsetroot                           	       1       27        1       25        0
 5969 hsqldb-utils                       	       1        2        1        0        0
 5970 htdig                              	       1       50        1       48        0
 5971 htmldoc                            	       1       24        0       23        0
 5972 hub                                	       1       10        0        9        0
 5973 hugin                              	       1       48        1       46        0
 5974 hugin-tools                        	       1       50        1       48        0
 5975 hugs                               	       1       12        0       11        0
 5976 hunspell                           	       1      109        2      106        0
 5977 hunspell-de-med                    	       1       11        2        8        0
 5978 hunspell-en-med                    	       1       17        2       14        0
 5979 hxtools                            	       1       14        1       12        0
 5980 hyperfine                          	       1        3        0        2        0
 5981 i2c-tools                          	       1      130       10      119        0
 5982 i2p                                	       1        8        0        7        0
 5983 i2p-router                         	       1        8        0        7        0
 5984 i2pd                               	       1       26        5       20        0
 5985 i3-wm                              	       1      154       20      133        0
 5986 i3pystatus                         	       1        5        0        4        0
 5987 i7z                                	       1       18        0       17        0
 5988 icewm-experimental                 	       1        7        1        5        0
 5989 icewm-lite                         	       1        6        1        4        0
 5990 idesk                              	       1       14        0       13        0
 5991 idle                               	       1       92        4       87        0
 5992 ifplugd                            	       1       17        3       13        0
 5993 imageindex                         	       1       15        1       13        0
 5994 imagination                        	       1       11        1        9        0
 5995 imlib-base                         	       1       28        0       27        0
 5996 imwheel                            	       1       20        0       19        0
 5997 incus                              	       1        7        1        5        0
 5998 incus-client                       	       1        7        1        5        0
 5999 indi-trinity                       	       1       24        0       23        0
 6000 indicator-sensors                  	       1       11        0       10        0
 6001 inetutils-talk                     	       1        8        0        7        0
 6002 inputattach                        	       1       72        0       71        0
 6003 intef-exe-appimage                 	       1        4        0        3        0
 6004 internetarchive                    	       1        2        0        1        0
 6005 invada-studio-plugins-ladspa       	       1       14        0       13        0
 6006 iodine                             	       1       34        5       28        0
 6007 ioquake3                           	       1       39        0       38        0
 6008 ioquake3-server                    	       1       39        1       37        0
 6009 iperf                              	       1      150        2      147        0
 6010 ipgrab                             	       1       18        1       16        0
 6011 ipmitool                           	       1       95        6       88        0
 6012 ipscan                             	       1       11        1        9        0
 6013 ipset                              	       1      119        8      110        0
 6014 ipv6toolkit                        	       1       24        1       22        0
 6015 ircii                              	       1       18        0       17        0
 6016 iredis                             	       1        2        0        1        0
 6017 irqbalance                         	       1      349       56      292        0
 6018 irqtop                             	       1        8        0        7        0
 6019 irssi-dev                          	       1        2        0        1        0
 6020 irssi-scripts                      	       1       21        1       19        0
 6021 isc-dhcp-common                    	       1     4038        1       57     3979
 6022 isenkram-cli                       	       1       24        2       21        0
 6023 isoimagewriter                     	       1        2        0        1        0
 6024 isync                              	       1       36        4       31        0
 6025 iwyu                               	       1        3        0        2        0
 6026 j4-dmenu-desktop                   	       1        8        0        7        0
 6027 jabref                             	       1       27        0       26        0
 6028 jack-tools                         	       1       39        0       38        0
 6029 jags                               	       1       10        0        9        0
 6030 jargon                             	       1       14        0       13        0
 6031 javacc                             	       1       13        1       11        0
 6032 javacc-doc                         	       1        5        0        4        0
 6033 jdk-22                             	       1        7        0        6        0
 6034 jdupes                             	       1       51        2       48        0
 6035 jftp                               	       1        8        0        7        0
 6036 jgmenu                             	       1        8        0        7        0
 6037 jlex                               	       1        9        0        8        0
 6038 jlha-utils                         	       1       16        0       15        0
 6039 jlink                              	       1        4        0        3        0
 6040 joe                                	       1      187       11      175        0
 6041 josm                               	       1       19        0       18        0
 6042 jsonlint                           	       1       48        3       44        0
 6043 jtreg7                             	       1        1        0        0        0
 6044 juk-trinity                        	       1       25        0       24        0
 6045 jupyter-qtconsole                  	       1        4        1        2        0
 6046 jython                             	       1       25        0       24        0
 6047 k2pdfopt                           	       1       11        1        9        0
 6048 kaboodle-trinity                   	       1       26        0       25        0
 6049 kaddressbook-plugins-trinity       	       1       25        0       24        0
 6050 kaddressbook-trinity               	       1       27        0       26        0
 6051 kalarm                             	       1       57        4       52        0
 6052 kalarm-trinity                     	       1       25        0       24        0
 6053 kalzium                            	       1       54        1       52        0
 6054 kalzium-trinity                    	       1       24        0       23        0
 6055 kamera-trinity                     	       1       34        1       32        0
 6056 kanagram-trinity                   	       1       24        0       23        0
 6057 kandy-trinity                      	       1       24        0       23        0
 6058 kapidox6                           	       1        1        0        0        0
 6059 kappfinder-trinity                 	       1       35        1       33        0
 6060 kapptemplate                       	       1       77        0       76        0
 6061 karm-trinity                       	       1       25        0       24        0
 6062 kasts                              	       1        9        0        8        0
 6063 kasumi                             	       1       65        0       64        0
 6064 kate-plugins-trinity               	       1       27        0       26        0
 6065 kate-trinity                       	       1       34        3       30        0
 6066 katomic                            	       1       58        0       57        0
 6067 kaudiocreator-trinity              	       1       25        0       24        0
 6068 kbibtex                            	       1       15        0       14        0
 6069 kblackbox                          	       1       55        0       54        0
 6070 kbounce                            	       1       57        0       56        0
 6071 kbruch-trinity                     	       1       24        0       23        0
 6072 kbstate-trinity                    	       1       26        0       25        0
 6073 kcalc-trinity                      	       1       31        2       28        0
 6074 kcharselect-trinity                	       1       25        1       23        0
 6075 kcheckers                          	       1        5        0        4        0
 6076 kchmviewer                         	       1       27        0       26        0
 6077 kcolorchooser                      	       1       63        1       61        0
 6078 kcoloredit-trinity                 	       1       26        0       25        0
 6079 kcontrol-trinity                   	       1       35        4       30        0
 6080 kcron-trinity                      	       1       26        0       25        0
 6081 kdat-trinity                       	       1       25        0       24        0
 6082 kde-cli-tools-data                 	       1      625        2        3      619
 6083 kde-config-cron                    	       1       55        1       41       12
 6084 kde-config-updates                 	       1      425        0        2      422
 6085 kdegraphics-thumbnailers           	       1      488        0        1      486
 6086 kdesktop-trinity                   	       1       36        5       30        0
 6087 kdevelop-data                      	       1       48        0       47        0
 6088 kdevelop-dev                       	       1        4        0        3        0
 6089 kdevelop-php                       	       1        8        0        7        0
 6090 kdf-trinity                        	       1       24        0       23        0
 6091 kdiamond                           	       1       58        1       56        0
 6092 kdict-trinity                      	       1       24        0       23        0
 6093 kdvi-trinity                       	       1       23        0       22        0
 6094 kedit-trinity                      	       1       27        0       26        0
 6095 keduca-trinity                     	       1       24        0       23        0
 6096 kexi                               	       1       13        0       12        0
 6097 kfax-trinity                       	       1       23        0       22        0
 6098 kfaxview-trinity                   	       1       23        0       22        0
 6099 kfind-trinity                      	       1       35        1       33        0
 6100 kfloppy-trinity                    	       1       24        0       23        0
 6101 kgamma-trinity                     	       1       26        2       23        0
 6102 kgendesignerplugin-bin             	       1        8        0        7        0
 6103 kgeography-trinity                 	       1       24        0       23        0
 6104 kgeotag                            	       1        2        0        1        0
 6105 kget-trinity                       	       1       27        1       25        0
 6106 kghostview-trinity                 	       1       34        2       31        0
 6107 kgoldrunner                        	       1       54        0       53        0
 6108 kgpg-trinity                       	       1       26        0       25        0
 6109 khal                               	       1       22        0       21        0
 6110 khangman-trinity                   	       1       24        0       23        0
 6111 khard                              	       1       12        0       11        0
 6112 khelpcenter-trinity                	       1       34        2       31        0
 6113 kicker-applets-trinity             	       1       28        1       26        0
 6114 kicker-trinity                     	       1       35        4       30        0
 6115 kid3-cli                           	       1        9        0        8        0
 6116 kig                                	       1       50        0       49        0
 6117 kig-trinity                        	       1       21        2       18        0
 6118 kigo                               	       1       59        0       58        0
 6119 kile                               	       1       25        0       24        0
 6120 killbots                           	       1       56        0       55        0
 6121 kimageformat6-plugins              	       1       23        6       13        3
 6122 kimagemapeditor                    	       1       41        0       40        0
 6123 kimagemapeditor-trinity            	       1       24        0       23        0
 6124 kio-audiocd-dev                    	       1        4        0        3        0
 6125 kirigami2-dev                      	       1        8        0        7        0
 6126 kiriki                             	       1       59        2       56        0
 6127 kiten                              	       1       45        1       43        0
 6128 kiten-trinity                      	       1       24        0       23        0
 6129 kjots-trinity                      	       1       23        0       22        0
 6130 kjumpingcube                       	       1       54        0       53        0
 6131 klaptopdaemon-trinity              	       1       25        2       22        0
 6132 klatin-trinity                     	       1       24        0       23        0
 6133 kleopatra                          	       1       69        0       68        0
 6134 kleopatra-trinity                  	       1       26        0       25        0
 6135 klettres                           	       1       47        1       45        0
 6136 klettres-trinity                   	       1       24        0       23        0
 6137 klines                             	       1       56        0       55        0
 6138 klinkstatus-trinity                	       1       25        0       24        0
 6139 klipper-trinity                    	       1       34        3       30        0
 6140 klystrack                          	       1        4        0        3        0
 6141 kmag-trinity                       	       1       25        0       24        0
 6142 kmail-trinity                      	       1       29        2       26        0
 6143 kmailcvt-trinity                   	       1       24        0       23        0
 6144 kmenuedit-trinity                  	       1       33        2       30        0
 6145 kmilo-trinity                      	       1       25        3       21        0
 6146 kmines                             	       1       71        2       68        0
 6147 kmix-trinity                       	       1       32        4       27        0
 6148 kmoon-trinity                      	       1       24        1       22        0
 6149 kmousetool-trinity                 	       1       23        0       22        0
 6150 kmouth-trinity                     	       1       23        0       22        0
 6151 kmplot                             	       1       49        1       47        0
 6152 kmplot-trinity                     	       1       24        0       23        0
 6153 knavalbattle                       	       1       55        0       54        0
 6154 knetwalk                           	       1       58        0       57        0
 6155 knetworkconf-trinity               	       1       25        1       23        0
 6156 knewsticker-trinity                	       1       24        0       23        0
 6157 knewstuff-dialog                   	       1        3        0        2        0
 6158 knode-trinity                      	       1       27        1       25        0
 6159 knotes-trinity                     	       1       30        2       27        0
 6160 kodi                               	       1       85        3       81        0
 6161 kodi-data                          	       1       86        1       80        4
 6162 kodo-trinity                       	       1       23        0       22        0
 6163 kolf-trinity                       	       1       23        2       20        0
 6164 kollision                          	       1       56        0       55        0
 6165 kolourpaint-trinity                	       1       24        1       22        0
 6166 kommander-trinity                  	       1       24        0       23        0
 6167 kompare-trinity                    	       1       27        0       26        0
 6168 konq-plugins-trinity               	       1       27        2       24        0
 6169 konqueror-nsplugins-trinity        	       1       34        3       30        0
 6170 konqueror-trinity                  	       1       35        3       31        0
 6171 konquest                           	       1       58        0       57        0
 6172 konsole-trinity                    	       1       34        4       29        0
 6173 konsolekalendar                    	       1       42        0       41        0
 6174 konsolekalendar-trinity            	       1       23        0       22        0
 6175 kontact                            	       1       58        2       55        0
 6176 kontact-trinity                    	       1       25        0       24        0
 6177 kooka-trinity                      	       1       27        1       25        0
 6178 kopete-trinity                     	       1       26        2       23        0
 6179 korganizer-trinity                 	       1       29        4       24        0
 6180 korn-trinity                       	       1       24        2       21        0
 6181 kpackage-trinity                   	       1       27        0       26        0
 6182 kpager-trinity                     	       1       34        1       32        0
 6183 kpdf-trinity                       	       1       32        3       28        0
 6184 kpercentage-trinity                	       1       24        0       23        0
 6185 kpersonalizer-trinity              	       1       35        1       33        0
 6186 kpf-trinity                        	       1       24        0       23        0
 6187 kpovmodeler-trinity                	       1       24        0       23        0
 6188 kppp-trinity                       	       1       24        0       23        0
 6189 krb5-auth-dialog                   	       1       15        0       14        0
 6190 krb5-user                          	       1       75        4       70        0
 6191 krdc                               	       1       84        2       81        0
 6192 krdc-trinity                       	       1       25        0       24        0
 6193 krec-trinity                       	       1       25        0       24        0
 6194 kregexpeditor-trinity              	       1       31        1       29        0
 6195 krename                            	       1       39        2       36        0
 6196 kreversi                           	       1       59        0       58        0
 6197 krfb-trinity                       	       1       24        3       20        0
 6198 kruler-trinity                     	       1       26        0       25        0
 6199 ksayit-trinity                     	       1       23        0       22        0
 6200 kscd-trinity                       	       1       24        0       23        0
 6201 kshisen                            	       1       62        1       60        0
 6202 kshutdown                          	       1        7        0        6        0
 6203 ksig-trinity                       	       1       24        0       23        0
 6204 ksim-trinity                       	       1       23        0       22        0
 6205 ksirc-trinity                      	       1       24        0       23        0
 6206 ksirk                              	       1       59        1       57        0
 6207 ksmserver-trinity                  	       1       34        4       29        0
 6208 ksnapshot-trinity                  	       1       30        2       27        0
 6209 kspaceduel                         	       1       55        0       54        0
 6210 ksplash-trinity                    	       1       35        3       31        0
 6211 ksquares                           	       1       56        0       55        0
 6212 kstars                             	       1       32        0       31        0
 6213 kstars-trinity                     	       1       24        0       23        0
 6214 kstart                             	       1       11        2        8        0
 6215 ksudoku                            	       1       76        1       74        0
 6216 ksvg-trinity                       	       1       27        0       26        0
 6217 ksysguard-trinity                  	       1       33        2       30        0
 6218 ksysguardd-trinity                 	       1       33        2       30        0
 6219 ksysv-trinity                      	       1       26        0       25        0
 6220 ktalkd-trinity                     	       1       24        0       23        0
 6221 kteatime-trinity                   	       1       25        1       23        0
 6222 ktechlab                           	       1        8        0        7        0
 6223 ktexteditor-katepart               	       1      676        1        2      672
 6224 ktimer-trinity                     	       1       24        0       23        0
 6225 ktimetracker                       	       1       11        2        8        0
 6226 ktip-trinity                       	       1       33        1       31        0
 6227 ktnef-trinity                      	       1       24        0       23        0
 6228 ktouch                             	       1       61        2       58        0
 6229 ktouch-trinity                     	       1       24        0       23        0
 6230 kttsd-trinity                      	       1       23        0       22        0
 6231 kturtle-trinity                    	       1       24        0       23        0
 6232 ktux-trinity                       	       1       23        0       22        0
 6233 kubrick                            	       1       54        0       53        0
 6234 kuickshow-trinity                  	       1       24        1       22        0
 6235 kuiviewer                          	       1       41        0       40        0
 6236 kuser-trinity                      	       1       28        0       27        0
 6237 kuserfeedback-bin                  	       1        4        0        3        0
 6238 kverbos-trinity                    	       1       24        0       23        0
 6239 kview-trinity                      	       1       25        0       24        0
 6240 kviewshell-trinity                 	       1       24        0       23        0
 6241 kvoctrain-trinity                  	       1       24        0       23        0
 6242 kwalletcli                         	       1       10        1        8        0
 6243 kwaterfoxhelper                    	       1        4        0        3        0
 6244 kwayland-dev                       	       1        1        0        0        0
 6245 kweather-trinity                   	       1       24        1       22        0
 6246 kwifimanager-trinity               	       1       25        1       23        0
 6247 kwin-dev                           	       1        6        0        5        0
 6248 kwordquiz                          	       1       47        0       46        0
 6249 kwordquiz-trinity                  	       1       24        0       23        0
 6250 kworldclock-trinity                	       1       24        0       23        0
 6251 kxsldbg-trinity                    	       1       24        0       23        0
 6252 kylin-burner                       	       1        7        0        6        0
 6253 l3afpad                            	       1       17        0       16        0
 6254 labplot                            	       1        8        0        7        0
 6255 ladspa-sdk                         	       1       64        2       61        0
 6256 laptop-mode-tools                  	       1       30        2       27        0
 6257 largetifftools                     	       1        3        0        2        0
 6258 lazarus-ide-3.8                    	       1        3        0        2        0
 6259 lazarus-ide-qt5-3.8                	       1        1        0        0        0
 6260 lazarus-src-3.8                    	       1        2        0        1        0
 6261 lbzip2                             	       1       46        0       45        0
 6262 lcl-gtk2-3.8                       	       1        3        0        2        0
 6263 lcl-nogui-3.8                      	       1        3        0        2        0
 6264 lcl-qt5-3.8                        	       1        1        0        0        0
 6265 lcl-units-3.8                      	       1        3        0        2        0
 6266 lcl-utils-3.8                      	       1        3        0        2        0
 6267 ldap-utils                         	       1      153       10      142        0
 6268 ldb-tools                          	       1       17        0       16        0
 6269 leiningen                          	       1        7        0        6        0
 6270 lemonbar                           	       1       20        1       18        0
 6271 lf                                 	       1       13        3        9        0
 6272 lgrind                             	       1        4        1        2        0
 6273 lhasa                              	       1       58        1       56        0
 6274 lib32stdc++-12-dev                 	       1       68        0       67        0
 6275 liba52-0.7.4-dev                   	       1      117        3      113        0
 6276 libabw-0.1-1                       	       1     2843        2        7     2833
 6277 libaccounts-qt6-dev                	       1        2        0        1        0
 6278 libacl1-dev                        	       1       71        1       69        0
 6279 libadolc-dev                       	       1        2        0        1        0
 6280 libaio1                            	       1     1566       46      119     1400
 6281 libairspy0                         	       1       53        3       49        0
 6282 libakode2                          	       1       31        2       28        0
 6283 libalberta-dev                     	       1        3        0        2        0
 6284 libamdhip64-dev                    	       1        5        0        4        0
 6285 libanyevent-riperedis-perl         	       1        1        0        0        0
 6286 libao4                             	       1     2882       24      105     2752
 6287 libapache2-mod-php8.2              	       1      201       40      160        0
 6288 libapi-gitforge-perl               	       1        1        0        0        0
 6289 libappimage1.0abi1t64              	       1       66        0        0       65
 6290 libappstreamqt3                    	       1       26        6       14        5
 6291 libarchive-extract-perl            	       1       97        2       94        0
 6292 libaria2-0                         	       1      136        0        1      134
 6293 libarpack2-dev                     	       1       48        0       46        1
 6294 libarray-iterator-perl             	       1        2        0        1        0
 6295 libart-2.0-2                       	       1      340        6       20      313
 6296 libarts1-akode-trinity             	       1       28        2       25        0
 6297 libarts1-audiofile-trinity         	       1       24        2       21        0
 6298 libarts1-mpeglib-trinity           	       1       25        2       22        0
 6299 libarts1-xine-trinity              	       1       24        2       21        0
 6300 libarts1c2a-trinity                	       1       41        6       34        0
 6301 libaspell-dev                      	       1       26        0       25        0
 6302 libasprintf-dev                    	       1      115        1      113        0
 6303 libass9                            	       1     3208       52      163     2992
 6304 libassuan-dev                      	       1       41        0       40        0
 6305 libastro-dev                       	       1        4        0        3        0
 6306 libasync-mergepoint-perl           	       1       61        0       60        0
 6307 libatrildocument3t64               	       1       84        6        9       68
 6308 libatteanx-serializer-rdfa-perl    	       1        1        0        0        0
 6309 libatteanx-store-dbi-perl          	       1        1        0        0        0
 6310 libatteanx-store-lmdb-perl         	       1        1        0        0        0
 6311 libaudcore5                        	       1      515        6       16      492
 6312 libaudio-scrobbler-perl            	       1      105        4      100        0
 6313 libaudio2                          	       1     2862       13       27     2821
 6314 libaudiofile1                      	       1      249        8       23      217
 6315 libaudqt2                          	       1      494        0        3      490
 6316 libav-tools                        	       1       24        2       20        1
 6317 libavahi-tqt-1                     	       1       42        4       11       26
 6318 libavfilter8                       	       1     2294       23       80     2190
 6319 libavformat58                      	       1      812       16       54      741
 6320 libavformat59                      	       1     2366       47      136     2182
 6321 libavkys-dev                       	       1        1        0        0        0
 6322 libb-keywords-perl                 	       1       31        0       30        0
 6323 libbabeltrace-dev                  	       1       21        1       19        0
 6324 libbabeltrace2-dev                 	       1        1        0        0        0
 6325 libbamf3-2                         	       1      109        5       14       89
 6326 libbasicobjects0t64                	       1       14        1       12        0
 6327 libbinutils                        	       1     2696        7       19     2669
 6328 libbladerf2                        	       1       51        3       47        0
 6329 libblosc-dev                       	       1       32        0       31        0
 6330 libbluetooth-dev                   	       1       61        0       60        0
 6331 libboinc7t64                       	       1        2        0        1        0
 6332 libboost-filesystem1.83.0          	       1      146        6       15      124
 6333 libboost1.83-doc                   	       1        1        0        0        0
 6334 libbotan-2-19                      	       1      377       23       83      270
 6335 libbpf1                            	       1     3039       24       45     2969
 6336 libbs2b0                           	       1     3273       53      164     3055
 6337 libbson-dev                        	       1        3        0        2        0
 6338 libc-ares2                         	       1      803       17       61      724
 6339 libc6-dev-amd64-i386-cross         	       1        4        0        3        0
 6340 libc6-dev-armel-cross              	       1       23        0       22        0
 6341 libc6-dev-x32-i386-cross           	       1        4        0        3        0
 6342 libcaca-dev                        	       1      204        5      198        0
 6343 libcache-cache-perl                	       1       20        0       19        0
 6344 libcallaudio-tools                 	       1        2        0        1        0
 6345 libcamera-dev                      	       1        3        0        2        0
 6346 libcamera-tools                    	       1        5        0        4        0
 6347 libcanberra-dev                    	       1       24        0       23        0
 6348 libcantor-dev                      	       1        1        0        0        0
 6349 libcapstone4                       	       1      585       31       54      499
 6350 libcatch2-dev                      	       1        6        0        5        0
 6351 libcdio-utils                      	       1       22        0       21        0
 6352 libcdr-0.1-1                       	       1     2848        1        9     2837
 6353 libcgal-qt6-dev                    	       1        1        0        0        0
 6354 libcgif-dev                        	       1        4        0        3        0
 6355 libcharon-extauth-plugins          	       1       68       10       57        0
 6356 libcharon-extra-plugins            	       1       20        4       15        0
 6357 libchm1                            	       1      341        0        0      340
 6358 libcinnamon-desktop4t64            	       1       26        1       10       14
 6359 libcjson1                          	       1     2628       64      186     2377
 6360 libclamav-client-perl              	       1        4        1        2        0
 6361 libclamav-dev                      	       1        2        1        0        0
 6362 libclamav11                        	       1      226       48      155       22
 6363 libclang-common-18-dev             	       1       12        0       11        0
 6364 libclang-cpp11                     	       1      135        4      129        1
 6365 libclang-rt-18-dev                 	       1       11        0       10        0
 6366 libclang1-15                       	       1       89        1       87        0
 6367 libclang1-18                       	       1       25        2       22        0
 6368 libclass-isa-perl                  	       1      691        7      683        0
 6369 libclass-tiny-perl                 	       1      133        4      128        0
 6370 libclaws-mail-dev                  	       1        2        0        1        0
 6371 libclutter-imcontext-0.1-bin       	       1       69        0       68        0
 6372 libcollection4t64                  	       1       14        1       12        0
 6373 libcolorcorrect6                   	       1       23        5       13        4
 6374 libcolord-dev                      	       1        8        0        7        0
 6375 libcolord-gtk4-1                   	       1      304        0        4      299
 6376 libcompiler-libs-ocaml-dev         	       1       13        0       12        0
 6377 libconvert-asn1-perl               	       1       78        7       70        0
 6378 libcowsql0                         	       1        7        1        1        4
 6379 libcpan-meta-perl                  	       1       67        2       64        0
 6380 libcpan-perl-releases-perl         	       1        5        0        4        0
 6381 libcpanplus-perl                   	       1        3        0        2        0
 6382 libcrack2-dev                      	       1        9        0        8        0
 6383 libcsmith-dev                      	       1        3        0        2        0
 6384 libcu++-dev                        	       1       17        0       16        0
 6385 libcupti-dev                       	       1       24        0       23        0
 6386 libcupti-doc                       	       1       22        0       21        0
 6387 libcurses-widgets-perl             	       1        4        0        3        0
 6388 libcvc0t64                         	       1       21        1        8       11
 6389 libcvsservice0-trinity             	       1       26        0       25        0
 6390 libcwidget-dev                     	       1        8        0        7        0
 6391 libd3dadapter9-mesa-dev            	       1        2        0        1        0
 6392 libdar-dev                         	       1        1        0        0        0
 6393 libdata-section-perl               	       1      151        2      148        0
 6394 libdate-tz3                        	       1       88        3       13       71
 6395 libdatetime-format-mail-perl       	       1       33        1       31        0
 6396 libdb4.8++-dev                     	       1        5        0        4        0
 6397 libdb4.8-dev                       	       1        5        0        4        0
 6398 libdbd-csv-perl                    	       1       11        0       10        0
 6399 libdbi-test-perl                   	       1        7        0        6        0
 6400 libdbus-1-tqt                      	       1       43        5       18       19
 6401 libdbus-glib-1-dev                 	       1       79        1       77        0
 6402 libdbus-glib-1-dev-bin             	       1       77        2       74        0
 6403 libdbus-glib2.0-cil-dev            	       1        2        0        1        0
 6404 libdbus2.0-cil-dev                 	       1        2        0        1        0
 6405 libdcmtk-dev                       	       1        7        0        6        0
 6406 libddcutil4                        	       1       18        0        5       12
 6407 libddcutil5                        	       1       25        5       14        5
 6408 libdebian-source-perl              	       1       15        0       14        0
 6409 libdecor-0-0                       	       1     2570       42       98     2429
 6410 libdecor-0-dev                     	       1      176        1      174        0
 6411 libdecoration0                     	       1       50        4        8       37
 6412 libdecoration0t64                  	       1        3        0        0        2
 6413 libdee-1.0-4                       	       1      510       17       43      449
 6414 libdevel-cover-perl                	       1        4        0        3        0
 6415 libdevice-serialport-perl          	       1       32        0       31        0
 6416 libdevmapper-dev                   	       1       33        0       32        0
 6417 libdhash1t64                       	       1       14        1       12        0
 6418 libdiodon0                         	       1       52        8       18       25
 6419 libdisasm-dev                      	       1        1        0        0        0
 6420 libdislocker0-dev                  	       1        1        0        0        0
 6421 libdisplay-info-bin                	       1        3        0        2        0
 6422 libdisplay-info-dev                	       1        3        1        1        0
 6423 libdmtx0t64                        	       1       65        6       28       30
 6424 libdmx-dev                         	       1       51        0       50        0
 6425 libdns-export1110                  	       1     1023       50      129      843
 6426 libdolphinvcs-dev                  	       1        1        0        0        0
 6427 libdolphinvcs6                     	       1       22        1        4       16
 6428 libdpkg-dev                        	       1        5        0        4        0
 6429 libdrumstick-dev                   	       1        2        0        1        0
 6430 libdune-geometry-dev               	       1        3        0        2        0
 6431 libdune-grid-dev                   	       1        3        0        2        0
 6432 libdvd-pkg                         	       1      167       28      138        0
 6433 libdvdcss-dev                      	       1      161        0      160        0
 6434 libdvdcss2-dbgsym                  	       1      150        0      148        1
 6435 libdw-dev                          	       1       95        2       92        0
 6436 libdynarmic-dev                    	       1        1        0        0        0
 6437 libe-book-0.1-1                    	       1     2837        2        7     2827
 6438 libecal-2.0-3                      	       1       33        3        9       20
 6439 libeclipse-core-contenttype-java   	       1        5        0        4        0
 6440 libeclipse-core-databinding-java   	       1        5        0        4        0
 6441 libeclipse-core-databinding-observable-java	       1        5        0        4        0
 6442 libeclipse-core-databinding-property-java	       1        5        0        4        0
 6443 libeclipse-core-expressions-java   	       1        5        0        4        0
 6444 libeclipse-core-filesystem-java    	       1        5        0        4        0
 6445 libeclipse-core-jobs-java          	       1        5        0        4        0
 6446 libeclipse-core-resources-java     	       1        5        0        4        0
 6447 libeclipse-e4-core-commands-java   	       1        5        0        4        0
 6448 libeclipse-e4-core-contexts-java   	       1        5        0        4        0
 6449 libeclipse-e4-core-di-annotations-java	       1        5        0        4        0
 6450 libeclipse-e4-core-di-extensions-java	       1        5        0        4        0
 6451 libeclipse-e4-core-di-extensions-supplier-java	       1        5        0        4        0
 6452 libeclipse-e4-core-di-java         	       1        5        0        4        0
 6453 libeclipse-e4-core-services-java   	       1        5        0        4        0
 6454 libeclipse-e4-emf-xpath-java       	       1        5        0        4        0
 6455 libeclipse-e4-ui-bindings-java     	       1        5        0        4        0
 6456 libeclipse-e4-ui-css-core-java     	       1        5        0        4        0
 6457 libeclipse-e4-ui-css-swt-java      	       1        5        0        4        0
 6458 libeclipse-e4-ui-css-swt-theme-java	       1        5        0        4        0
 6459 libeclipse-e4-ui-di-java           	       1        5        0        4        0
 6460 libeclipse-e4-ui-dialogs-java      	       1        5        0        4        0
 6461 libeclipse-e4-ui-model-workbench-java	       1        5        0        4        0
 6462 libeclipse-e4-ui-services-java     	       1        5        0        4        0
 6463 libeclipse-e4-ui-workbench-addons-swt-java	       1        5        0        4        0
 6464 libeclipse-e4-ui-workbench-java    	       1        5        0        4        0
 6465 libeclipse-e4-ui-workbench-renderers-swt-java	       1        5        0        4        0
 6466 libeclipse-e4-ui-workbench-swt-java	       1        5        0        4        0
 6467 libeclipse-e4-ui-workbench3-java   	       1        5        0        4        0
 6468 libeclipse-emf-common-java         	       1        5        0        4        0
 6469 libeclipse-emf-ecore-java          	       1        5        0        4        0
 6470 libeclipse-emf-ecore-xmi-java      	       1        5        0        4        0
 6471 libeclipse-help-java               	       1        5        0        4        0
 6472 libeclipse-jdt-core-java           	       1       61        5       55        0
 6473 libeclipse-jface-databinding-java  	       1        5        0        4        0
 6474 libeclipse-jface-notifications-java	       1        5        0        4        0
 6475 libeclipse-jface-text-java         	       1        5        0        4        0
 6476 libeclipse-osgi-services-java      	       1        5        0        4        0
 6477 libeclipse-text-java               	       1        5        0        4        0
 6478 libeclipse-ui-workbench-java       	       1        5        0        4        0
 6479 libeclipse-urischeme-java          	       1        5        0        4        0
 6480 libecore-audio1                    	       1       36        0       10       25
 6481 libecore-con1                      	       1       39        0        9       29
 6482 libecore-drm2-1                    	       1       39        0       10       28
 6483 libecore-evas1                     	       1       41        0       10       30
 6484 libecore-file1                     	       1       43        0       10       32
 6485 libecore-imf1                      	       1       39        0       10       28
 6486 libecore-input1                    	       1       42        0       10       31
 6487 libecore-ipc1                      	       1       41        0       10       30
 6488 libecore-wl2-1                     	       1       38        0       10       27
 6489 libecore-x1                        	       1       42        0       10       31
 6490 libecore1                          	       1       69        0       10       58
 6491 libecpg-dev                        	       1        8        0        7        0
 6492 libecryptfs1                       	       1       45       10       31        3
 6493 libector1                          	       1       39        0       10       28
 6494 libedata-cal-2.0-2t64              	       1       21        3        9        8
 6495 libedataserverui-1.2-4t64          	       1       20        3        9        7
 6496 libedit-dev                        	       1       50        2       47        0
 6497 libedje1                           	       1       39        0       10       28
 6498 libeet1                            	       1       44        0       10       33
 6499 libeeze1                           	       1       43        0       10       32
 6500 libefreet1a                        	       1       41        0       10       30
 6501 libeina1a                          	       1       62        0        9       52
 6502 libeio1                            	       1       41        0       10       30
 6503 libeis1                            	       1       42        6       19       16
 6504 libelementary-data                 	       1       37        0        8       28
 6505 libelementary1                     	       1       35        0       10       24
 6506 libelogind-dev                     	       1       16        0       15        0
 6507 libelput1                          	       1       39        0       10       28
 6508 libembree-dev                      	       1        1        0        0        0
 6509 libembryo1                         	       1       39        0       10       28
 6510 libemile1                          	       1       40        0       10       29
 6511 libemotion1                        	       1       36        0       10       25
 6512 libepubgen-0.1-1                   	       1     2775        2        7     2765
 6513 libequinox-app-java                	       1        5        0        4        0
 6514 libequinox-bidi-java               	       1        5        0        4        0
 6515 libequinox-preferences-java        	       1        5        0        4        0
 6516 libequinox-registry-java           	       1        5        0        4        0
 6517 libethumb-client1                  	       1       36        0       10       25
 6518 libethumb1                         	       1       36        0       10       25
 6519 libetonyek-0.1-1                   	       1     2842        2        8     2831
 6520 libev-dev                          	       1       40        0       39        0
 6521 libevas1                           	       1       42        0       10       31
 6522 libevas1-engines-wayland           	       1       37        0       10       26
 6523 libevas1-engines-x                 	       1       40        0       10       29
 6524 libevdocument3-4                   	       1     1090        9       29     1051
 6525 libevent-dev                       	       1      189        1      187        0
 6526 libevent-perl                      	       1       23        1       21        0
 6527 libexiv2-dev                       	       1       34        0       33        0
 6528 libext2fs-dev                      	       1       35        0       34        0
 6529 libfakekey0                        	       1      778       10       27      740
 6530 libfdt1                            	       1      702       35       57      609
 6531 libfile-copy-recursive-perl        	       1      290       11      278        0
 6532 libfile-remove-perl                	       1       38        1       36        0
 6533 libfilter-perl                     	       1       15        0       14        0
 6534 libflite1                          	       1     3441       47      155     3238
 6535 libfm-data                         	       1      338        6       26      305
 6536 libfm-qt-dev                       	       1        1        0        0        0
 6537 libfm-qt8                          	       1       59        4       19       35
 6538 libfmt9                            	       1     1036       17       36      982
 6539 libfontenc-dev                     	       1       82        0       81        0
 6540 libforms-dev                       	       1       90        0       89        0
 6541 libfreeaptx0                       	       1     2363       17       66     2279
 6542 libfreecad-python3                 	       1        8        0        7        0
 6543 libfreehand-0.1-1                  	       1     2807        1        5     2800
 6544 libfreexl-dev                      	       1       43        0       42        0
 6545 libfribidi-bin                     	       1       26        0       25        0
 6546 libfs-dev                          	       1       53        0       52        0
 6547 libftdi-dev                        	       1       28        0       27        0
 6548 libfuse2t64                        	       1       90        1        6       82
 6549 libfwupd-dev                       	       1        2        0        1        0
 6550 libfyba-dev                        	       1       39        0       38        0
 6551 libgc-dev                          	       1       61        0       60        0
 6552 libgck-2-dev                       	       1        1        0        0        0
 6553 libgcr-ui-3-1                      	       1     2916       10       42     2863
 6554 libgcroots0                        	       1       48        3       23       21
 6555 libgd-dev                          	       1      130        0      129        0
 6556 libgd-graph-perl                   	       1       24        1       22        0
 6557 libgd-graph3d-perl                 	       1       11        1        9        0
 6558 libgd-perl                         	       1       78        3       67        7
 6559 libgd3                             	       1     3667       59      149     3458
 6560 libgeos++-dev                      	       1        7        0        6        0
 6561 libgettextpo-dev                   	       1      134        1      132        0
 6562 libghc-aeson-dev                   	       1       12        1       10        0
 6563 libghc-agda-dev                    	       1        6        0        5        0
 6564 libghc-alsa-core-dev               	       1        4        0        3        0
 6565 libghc-alsa-mixer-dev              	       1        3        0        2        0
 6566 libghc-ansi-terminal-dev           	       1       11        1        9        0
 6567 libghc-ansi-terminal-types-dev     	       1        3        1        1        0
 6568 libghc-assoc-dev                   	       1       12        1       10        0
 6569 libghc-async-dev                   	       1       16        0       15        0
 6570 libghc-base-compat-batteries-dev   	       1       11        1        9        0
 6571 libghc-base-compat-dev             	       1       14        1       12        0
 6572 libghc-base-orphans-dev            	       1       17        1       15        0
 6573 libghc-bifunctors-dev              	       1       15        1       13        0
 6574 libghc-blaze-builder-dev           	       1       18        1       16        0
 6575 libghc-blaze-html-dev              	       1       16        1       14        0
 6576 libghc-blaze-markup-dev            	       1       16        1       14        0
 6577 libghc-boxes-dev                   	       1        6        0        5        0
 6578 libghc-case-insensitive-dev        	       1       11        1        9        0
 6579 libghc-colour-dev                  	       1       10        1        8        0
 6580 libghc-comonad-dev                 	       1       15        1       13        0
 6581 libghc-contravariant-dev           	       1       15        1       13        0
 6582 libghc-data-default-class-dev      	       1       31        2       28        0
 6583 libghc-data-default-class-doc      	       1       15        0       14        0
 6584 libghc-data-fix-dev                	       1       10        1        8        0
 6585 libghc-data-hash-dev               	       1        6        0        5        0
 6586 libghc-distributive-dev            	       1       15        1       13        0
 6587 libghc-dlist-dev                   	       1       20        1       18        0
 6588 libghc-edit-distance-dev           	       1        6        0        5        0
 6589 libghc-equivalence-dev             	       1        6        0        5        0
 6590 libghc-extensible-exceptions-dev   	       1       10        1        8        0
 6591 libghc-ghc-paths-dev               	       1        5        1        3        0
 6592 libghc-gitrev-dev                  	       1        6        0        5        0
 6593 libghc-hint-dev                    	       1        4        0        3        0
 6594 libghc-hint-doc                    	       1        1        0        0        0
 6595 libghc-indexed-traversable-dev     	       1       12        1       10        0
 6596 libghc-indexed-traversable-instances-dev	       1       11        1        9        0
 6597 libghc-libmpd-dev                  	       1        3        0        2        0
 6598 libghc-libmpd-doc                  	       1        1        0        0        0
 6599 libghc-magic-dev                   	       1        3        0        2        0
 6600 libghc-monad-control-dev           	       1        9        1        7        0
 6601 libghc-murmur-hash-dev             	       1        6        0        5        0
 6602 libghc-network-dev                 	       1       17        1       15        0
 6603 libghc-network-uri-dev             	       1       10        0        9        0
 6604 libghc-onetuple-dev                	       1       11        1        9        0
 6605 libghc-os-string-dev               	       1        4        1        2        0
 6606 libghc-parallel-dev                	       1       11        0       10        0
 6607 libghc-peano-dev                   	       1        2        0        1        0
 6608 libghc-quickcheck2-dev             	       1       12        1       10        0
 6609 libghc-random-doc                  	       1       17        0       16        0
 6610 libghc-regex-posix-dev             	       1        6        1        4        0
 6611 libghc-regex-tdfa-dev              	       1       17        1       15        0
 6612 libghc-safe-exceptions-dev         	       1        2        0        1        0
 6613 libghc-semialign-dev               	       1       10        1        8        0
 6614 libghc-semigroupoids-dev           	       1       15        1       13        0
 6615 libghc-split-dev                   	       1       20        1       18        0
 6616 libghc-splitmix-doc                	       1       12        0       11        0
 6617 libghc-statevar-dev                	       1       16        1       14        0
 6618 libghc-stmonadtrans-dev            	       1        6        0        5        0
 6619 libghc-strict-dev                  	       1       13        1       11        0
 6620 libghc-tagged-dev                  	       1       16        1       14        0
 6621 libghc-temporary-dev               	       1        6        1        4        0
 6622 libghc-text-short-dev              	       1       11        1        9        0
 6623 libghc-th-abstraction-dev          	       1       16        1       14        0
 6624 libghc-th-compat-dev               	       1       10        1        8        0
 6625 libghc-these-dev                   	       1       12        1       10        0
 6626 libghc-time-compat-dev             	       1       11        1        9        0
 6627 libghc-transformers-base-dev       	       1       14        1       12        0
 6628 libghc-transformers-compat-dev     	       1       21        1       19        0
 6629 libghc-unordered-containers-dev    	       1       24        1       22        0
 6630 libghc-uri-encode-dev              	       1        6        0        5        0
 6631 libghc-utf8-string-doc             	       1       12        0       11        0
 6632 libghc-uuid-types-dev              	       1       12        1       10        0
 6633 libghc-vector-dev                  	       1       24        1       22        0
 6634 libghc-vector-hashtables-dev       	       1        2        0        1        0
 6635 libghc-vector-stream-dev           	       1        4        1        2        0
 6636 libghc-witherable-dev              	       1       10        1        8        0
 6637 libghc-x11-dev                     	       1       19        1       17        0
 6638 libghc-x11-xft-dev                 	       1       18        1       16        0
 6639 libghc-xmonad-contrib-dev          	       1       17        0       16        0
 6640 libghc-xmonad-contrib-doc          	       1       16        0       15        0
 6641 libghc-xmonad-dev                  	       1       18        1       16        0
 6642 libghc-xmonad-doc                  	       1       16        0       15        0
 6643 libghc-xmonad-extras-dev           	       1        3        0        2        0
 6644 libghc-xmonad-extras-doc           	       1        2        0        1        0
 6645 libghc-xmonad-wallpaper-dev        	       1        3        0        2        0
 6646 libghc-xmonad-wallpaper-doc        	       1        2        0        1        0
 6647 libghc-zlib-dev                    	       1       20        1       18        0
 6648 libginac-dev                       	       1        2        1        0        0
 6649 libgit2-dev                        	       1       27        1       25        0
 6650 libgit2-glib-1.0-dev               	       1        1        0        0        0
 6651 libglfw3-dev                       	       1       47        0       46        0
 6652 libglib2.0-cil-dev                 	       1       25        0       24        0
 6653 libglib2.0-tests                   	       1        5        0        4        0
 6654 libglibmm-2.4-1t64                 	       1      190        3       10      176
 6655 libglibmm-2.68-dev                 	       1       10        0        9        0
 6656 libglu1-mesa                       	       1     3174       14       56     3103
 6657 libglx-nvidia0                     	       1      178       18       80       79
 6658 libgmock-dev                       	       1       14        0       13        0
 6659 libgnome-bluetooth-ui-3.0-13       	       1      321        0        4      316
 6660 libgnome-games-support-1-3         	       1      403        0        1      401
 6661 libgnome-panel0                    	       1       77        1        4       71
 6662 libgnome-rr-4-2                    	       1      302        0        4      297
 6663 libgnome2-bin                      	       1       75        1       73        0
 6664 libgnomekbd-common                 	       1      567        0        0      566
 6665 libgoa-1.0-dev                     	       1        6        0        5        0
 6666 libgoa-backend-1.0-2               	       1       30        3       12       14
 6667 libgoffice-0.10-10t64              	       1       20        1       18        0
 6668 libgpgme-dev                       	       1       31        0       30        0
 6669 libgpgmepp-dev                     	       1        5        0        4        0
 6670 libgpgmepp6t64                     	       1      224       10       37      176
 6671 libgphoto2-dev                     	       1       67        0       66        0
 6672 libgps28                           	       1      619       12       49      557
 6673 libgraph-perl                      	       1       22        1       20        0
 6674 libgraphene-1.0-dev                	       1       52        0       51        0
 6675 libgrpc-dev                        	       1        6        0        5        0
 6676 libgsound0                         	       1      500        2       16      481
 6677 libgtk2.0-bin                      	       1     3238        1       47     3189
 6678 libgtk3-webkit2-perl               	       1        5        0        4        0
 6679 libgtkmm-3.0-1t64                  	       1      143        3        8      131
 6680 libgtkmm-4.0-dev                   	       1        7        0        6        0
 6681 libgtkmm-4.0-doc                   	       1        2        0        1        0
 6682 libgtksourceview-5-0               	       1      363        2        2      358
 6683 libgtkspellmm-3.0-dev              	       1        2        0        1        0
 6684 libgucharmap-2-90-7                	       1      961        3        9      948
 6685 libgweather-4-0t64                 	       1       30        2       11       16
 6686 libgweather-4-common               	       1      425        4        3      417
 6687 libhackrf0                         	       1       53        3       49        0
 6688 libhamlib-utils                    	       1       40        1       38        0
 6689 libhamlib4t64                      	       1       14        4        9        0
 6690 libharfbuzz-bin                    	       1        5        0        4        0
 6691 libhashkit2                        	       1       98       16       33       48
 6692 libhdf4-alt-dev                    	       1       48        0       47        0
 6693 libhidapi-dev                      	       1       44        0       43        0
 6694 libhiredis0.14                     	       1      209       10       29      169
 6695 libhtml-selector-xpath-perl        	       1      100        0       99        0
 6696 libhtml-tableextract-perl          	       1      131        1      129        0
 6697 libhtml-treebuilder-libxml-perl    	       1       88        0       87        0
 6698 libhtml-treebuilder-xpath-perl     	       1      106        0      105        0
 6699 libhttp-parser-dev                 	       1       28        1       26        0
 6700 libhugs-alut-bundled               	       1       10        0        9        0
 6701 libhugs-base-bundled               	       1       12        0       11        0
 6702 libhugs-cabal-bundled              	       1       10        0        9        0
 6703 libhugs-fgl-bundled                	       1       10        0        9        0
 6704 libhugs-glut-bundled               	       1       10        0        9        0
 6705 libhugs-haskell-src-bundled        	       1       10        0        9        0
 6706 libhugs-haskell98-bundled          	       1       12        0       11        0
 6707 libhugs-haxml-bundled              	       1        9        0        8        0
 6708 libhugs-hgl-bundled                	       1       10        0        9        0
 6709 libhugs-hunit-bundled              	       1       10        0        9        0
 6710 libhugs-mtl-bundled                	       1       10        0        9        0
 6711 libhugs-network-bundled            	       1       10        0        9        0
 6712 libhugs-openal-bundled             	       1       10        0        9        0
 6713 libhugs-opengl-bundled             	       1       10        0        9        0
 6714 libhugs-parsec-bundled             	       1       10        0        9        0
 6715 libhugs-quickcheck-bundled         	       1       10        0        9        0
 6716 libhugs-stm-bundled                	       1       10        0        9        0
 6717 libhugs-time-bundled               	       1       10        0        9        0
 6718 libhugs-unix-bundled               	       1       10        0        9        0
 6719 libhugs-x11-bundled                	       1       10        0        9        0
 6720 libhugs-xhtml-bundled              	       1       10        0        9        0
 6721 libhunspell-dev                    	       1       41        0       40        0
 6722 libhwy-dev                         	       1       49        0       48        0
 6723 libibverbs1                        	       1     1051       34       59      957
 6724 libical3t64                        	       1       99       11       40       47
 6725 libicu63                           	       1      371       13       23      334
 6726 libid3tag0-dev                     	       1       49        0       48        0
 6727 libidn-dev                         	       1       54        0       53        0
 6728 libijs-dev                         	       1        6        0        5        0
 6729 libimage-base-bundle-perl          	       1       32        1       30        0
 6730 libimage-info-perl                 	       1       32        1       30        0
 6731 libimagequant-dev                  	       1       14        0       13        0
 6732 libimath-3-1-29t64                 	       1      248        7       32      208
 6733 libimlib2-dev                      	       1       34        0       33        0
 6734 libimlib2t64                       	       1      121        2       19       99
 6735 libinchi-bin                       	       1        1        0        0        0
 6736 libinchi-dev                       	       1        1        0        0        0
 6737 libini-config5t64                  	       1       14        1       12        0
 6738 libinih1                           	       1      551       13       37      500
 6739 libiniparser-dev                   	       1        3        0        2        0
 6740 libio-async-perl                   	       1       62        0       61        0
 6741 libio-pipely-perl                  	       1       14        0       13        0
 6742 libio-socket-ip-perl               	       1      412       49      362        0
 6743 libio-socket-timeout-perl          	       1       18        2       15        0
 6744 libip4tc2                          	       1     2126       11       32     2082
 6745 libipa-hbac0t64                    	       1       14        1       12        0
 6746 libipc-sharedcache-perl            	       1        8        1        6        0
 6747 libipc-signal-perl                 	       1       78       19       58        0
 6748 libisc-export1105                  	       1     1024       50      130      843
 6749 libisl23                           	       1     3389       23       68     3297
 6750 libitpp-dev                        	       1        9        0        8        0
 6751 libjack-jackd2-0                   	       1     3454       61      151     3241
 6752 libjansson-dev                     	       1       82        1       80        0
 6753 libjavascriptcoregtk-4.1-dev       	       1        9        0        8        0
 6754 libjaylink-dev                     	       1        8        0        7        0
 6755 libjbigi-jni                       	       1        8        0        7        0
 6756 libjcat-dev                        	       1        2        0        1        0
 6757 libjs-codemirror                   	       1      121        4      111        5
 6758 libjs-iscroll                      	       1       86        0       85        0
 6759 libjs-jquery-ui-docs               	       1        6        0        5        0
 6760 libjson-glib-dev                   	       1       77        0       76        0
 6761 libjsoncpp-dev                     	       1       65        1       63        0
 6762 libjsoncpp25                       	       1      733        8       35      689
 6763 libjxl-dev                         	       1       49        1       47        0
 6764 libkaddressbook-dev                	       1        1        0        0        0
 6765 libkcddb1-trinity                  	       1       34        1       32        0
 6766 libkcddb6-dev                      	       1        2        0        1        0
 6767 libkchart-dev                      	       1        2        0        1        0
 6768 libkdecorations2private7           	       1      104        7       39       57
 6769 libkdecorations3-6                 	       1        5        2        0        2
 6770 libkdecorations3-dev               	       1        1        0        0        0
 6771 libkdecorations3private1           	       1        4        1        0        2
 6772 libkf5akonadinotes5                	       1      494       27       92      374
 6773 libkf5auth-dev                     	       1       33        0       32        0
 6774 libkf5auth-dev-bin                 	       1       35        0       34        0
 6775 libkf5calendarsupport5abi1         	       1      471        8       46      416
 6776 libkf5codecs-dev                   	       1       34        0       33        0
 6777 libkf5configwidgets-dev            	       1       31        0       30        0
 6778 libkf5crash-dev                    	       1       16        0       15        0
 6779 libkf5declarative-data             	       1     1116        1        7     1107
 6780 libkf5doctools-dev                 	       1       18        0       17        0
 6781 libkf5emoticons-dev                	       1        8        0        7        0
 6782 libkf5eventviews5abi1              	       1      471        8       46      416
 6783 libkf5globalaccel-dev              	       1       33        0       32        0
 6784 libkf5guiaddons-dev                	       1       35        0       34        0
 6785 libkf5holidays5                    	       1      571       13       58      499
 6786 libkf5iconthemes-data              	       1     1214        2       11     1200
 6787 libkf5iconthemes-dev               	       1       30        0       29        0
 6788 libkf5imap5                        	       1      494       27       95      371
 6789 libkf5incidenceeditor5abi1         	       1      470        8       44      417
 6790 libkf5itemmodels-dev               	       1       17        0       16        0
 6791 libkf5kio-dev                      	       1       23        0       22        0
 6792 libkf5ldap5abi1                    	       1      489       27       92      369
 6793 libkf5libkdepim5                   	       1      504       27       93      383
 6794 libkf5package-dev                  	       1       71        0       70        0
 6795 libkf5parts-data                   	       1     1049        0       11     1037
 6796 libkf5pimcommon5abi2               	       1      489       27       92      369
 6797 libkf5pimcommonakonadi5abi1        	       1      477       27       92      357
 6798 libkf5pimtextedit5abi2             	       1      505       28       97      379
 6799 libkf5plasma-dev                   	       1       68        0       67        0
 6800 libkf5purpose-bin                  	       1      792        8       36      747
 6801 libkf5screen7                      	       1      173        7       42      123
 6802 libkf5waylandserver5               	       1      122        7       40       74
 6803 libkf5widgetsaddons-dev            	       1       35        0       34        0
 6804 libkf5windowsystem-dev             	       1       91        0       90        0
 6805 libkf5xmlgui-dev                   	       1       29        0       28        0
 6806 libkf6archive-dev                  	       1        3        0        2        0
 6807 libkf6attica-dev                   	       1        1        0        0        0
 6808 libkf6attica6                      	       1       38        6       16       15
 6809 libkf6auth-dev                     	       1        1        0        0        0
 6810 libkf6auth-dev-bin                 	       1        1        0        0        0
 6811 libkf6baloo-dev                    	       1        1        0        0        0
 6812 libkf6baloo6                       	       1       29        3        8       17
 6813 libkf6balooengine6                 	       1       29        3        9       16
 6814 libkf6baloowidgets6                	       1       17        1        4       11
 6815 libkf6bluezqt-dev                  	       1        1        0        0        0
 6816 libkf6bookmarks-dev                	       1        3        0        2        0
 6817 libkf6breezeicons-dev              	       1        1        0        0        0
 6818 libkf6calendarcore-dev             	       1        1        0        0        0
 6819 libkf6calendarevents6              	       1       24        6       14        3
 6820 libkf6codecs-dev                   	       1        3        0        2        0
 6821 libkf6colorscheme-dev              	       1        3        0        2        0
 6822 libkf6completion-dev               	       1        3        0        2        0
 6823 libkf6config-dev                   	       1        4        0        3        0
 6824 libkf6config-dev-bin               	       1        4        0        3        0
 6825 libkf6configqml6                   	       1       45        6       15       23
 6826 libkf6configwidgets-data           	       1       74        5        7       61
 6827 libkf6configwidgets-dev            	       1        3        0        2        0
 6828 libkf6configwidgets6               	       1       73       10       19       43
 6829 libkf6contacts-dev                 	       1        1        0        0        0
 6830 libkf6coreaddons-dev               	       1        4        0        3        0
 6831 libkf6crash-dev                    	       1        1        0        0        0
 6832 libkf6dav-dev                      	       1        1        0        0        0
 6833 libkf6dbusaddons-dev               	       1        1        0        0        0
 6834 libkf6declarative-dev              	       1        1        0        0        0
 6835 libkf6dnssd-dev                    	       1        1        0        0        0
 6836 libkf6doctools-dev                 	       1        3        0        2        0
 6837 libkf6filemetadata-dev             	       1        1        0        0        0
 6838 libkf6filemetadata3                	       1       37        4       12       20
 6839 libkf6globalaccel-data             	       1       60        6        9       44
 6840 libkf6globalaccel-dev              	       1        2        0        1        0
 6841 libkf6globalaccel6                 	       1       59       10       19       29
 6842 libkf6guiaddons-dev                	       1        3        0        2        0
 6843 libkf6holidays-dev                 	       1        1        0        0        0
 6844 libkf6i18n-data                    	       1       88        5        6       76
 6845 libkf6i18n-dev                     	       1        3        0        2        0
 6846 libkf6i18nlocaledata6              	       1       40        6       15       18
 6847 libkf6i18nqml6                     	       1       33        6        5       21
 6848 libkf6iconthemes-dev               	       1        2        0        1        0
 6849 libkf6idletime-dev                 	       1        1        0        0        0
 6850 libkf6idletime6                    	       1       30        6       18        5
 6851 libkf6itemmodels-dev               	       1        1        0        0        0
 6852 libkf6itemmodels6                  	       1       55        6       15       33
 6853 libkf6itemviews-dev                	       1        3        0        2        0
 6854 libkf6jobwidgets-dev               	       1        3        0        2        0
 6855 libkf6kcmutils-dev                 	       1        1        0        0        0
 6856 libkf6kcmutils6                    	       1       55        4       10       40
 6857 libkf6kcmutilscore6                	       1       71        6       17       47
 6858 libkf6kcmutilsquick6               	       1       71        6       17       47
 6859 libkf6kio-dev                      	       1        3        0        2        0
 6860 libkf6modemmanagerqt-dev           	       1        1        0        0        0
 6861 libkf6networkmanagerqt-dev         	       1        1        0        0        0
 6862 libkf6networkmanagerqt6            	       1       26        6       15        4
 6863 libkf6newstuff-dev                 	       1        1        0        0        0
 6864 libkf6newstuffcore6                	       1       38        6       16       15
 6865 libkf6newstuffwidgets6             	       1       37        6       15       15
 6866 libkf6notifications-dev            	       1        3        0        2        0
 6867 libkf6notifyconfig-dev             	       1        1        0        0        0
 6868 libkf6notifyconfig6                	       1       33        5       13       14
 6869 libkf6package-dev                  	       1        1        0        0        0
 6870 libkf6package6                     	       1       46        7       17       21
 6871 libkf6parts-dev                    	       1        2        0        1        0
 6872 libkf6parts6                       	       1       49        3       10       35
 6873 libkf6people-dev                   	       1        1        0        0        0
 6874 libkf6plotting-dev                 	       1        1        0        0        0
 6875 libkf6prison-dev                   	       1        1        0        0        0
 6876 libkf6prison6                      	       1       52        6       14       31
 6877 libkf6pty-dev                      	       1        1        0        0        0
 6878 libkf6pty6                         	       1       36        7       13       15
 6879 libkf6purpose-dev                  	       1        1        0        0        0
 6880 libkf6qqc2desktopstyle-data        	       1       41        3        6       31
 6881 libkf6runner-dev                   	       1        1        0        0        0
 6882 libkf6runner6                      	       1       54        6       14       33
 6883 libkf6screen8                      	       1       27        5       16        5
 6884 libkf6screendpms8                  	       1       27        5       14        7
 6885 libkf6service-dev                  	       1        3        0        2        0
 6886 libkf6solid-bin                    	       1        1        0        0        0
 6887 libkf6solid-dev                    	       1        3        0        2        0
 6888 libkf6sonnet-data                  	       1       46        5        7       33
 6889 libkf6sonnet-dev                   	       1        1        0        0        0
 6890 libkf6sonnet-dev-bin               	       1        1        0        0        0
 6891 libkf6sonnetcore6                  	       1       46        7       15       23
 6892 libkf6sonnetui6                    	       1       41        7       15       18
 6893 libkf6statusnotifieritem-data      	       1       47        5        7       34
 6894 libkf6statusnotifieritem-dev       	       1        1        0        0        0
 6895 libkf6statusnotifieritem6          	       1       46        9       19       17
 6896 libkf6style-dev                    	       1        1        0        0        0
 6897 libkf6style6                       	       1       26        6       16        3
 6898 libkf6su-dev                       	       1        1        0        0        0
 6899 libkf6svg-dev                      	       1        1        0        0        0
 6900 libkf6svg6                         	       1       41        6       15       19
 6901 libkf6syndication-dev              	       1        1        0        0        0
 6902 libkf6syndication6                 	       1       38        6       16       15
 6903 libkf6syntaxhighlighting-dev       	       1        2        0        1        0
 6904 libkf6syntaxhighlighting-tools     	       1        1        0        0        0
 6905 libkf6texteditor-dev               	       1        2        0        1        0
 6906 libkf6texttemplate-dev             	       1        1        0        0        0
 6907 libkf6textwidgets-dev              	       1        1        0        0        0
 6908 libkf6textwidgets6                 	       1       41        7       15       18
 6909 libkf6threadweaver-dev             	       1        2        0        1        0
 6910 libkf6unitconversion-data          	       1       25        2        3       19
 6911 libkf6unitconversion-dev           	       1        1        0        0        0
 6912 libkf6unitconversion6              	       1       24        4        7       12
 6913 libkf6userfeedback-bin             	       1        1        0        0        0
 6914 libkf6userfeedback-data            	       1       29        4        6       18
 6915 libkf6userfeedback-dev             	       1        1        0        0        0
 6916 libkf6userfeedbackcore6            	       1       28        6       14        7
 6917 libkf6userfeedbackwidgets6         	       1       23        1        4       17
 6918 libkf6wallet-data                  	       1       87        3       11       72
 6919 libkf6wallet-dev                   	       1        1        0        0        0
 6920 libkf6wallet6                      	       1       86        7       22       56
 6921 libkf6walletbackend6               	       1       86        6       20       59
 6922 libkf6widgetsaddons-dev            	       1        3        0        2        0
 6923 libkf6windowsystem-dev             	       1        4        0        3        0
 6924 libkf6xmlgui-data                  	       1       60        4        7       48
 6925 libkf6xmlgui-dev                   	       1        2        0        1        0
 6926 libkf6xmlgui6                      	       1       59       10       19       29
 6927 libkfontinst5                      	       1      543        0        4      538
 6928 libkgantt2                         	       1      481        8       46      426
 6929 libkglobalacceld0                  	       1       43        6       14       22
 6930 libkirigami-data                   	       1       72        4        6       61
 6931 libkirigami6                       	       1       71        6       15       49
 6932 libkirigamidelegates6              	       1       71        6       15       49
 6933 libkirigamidialogs6                	       1       71        6       15       49
 6934 libkirigamilayouts6                	       1       71        6       15       49
 6935 libkirigamiplatform6               	       1       71        6       16       48
 6936 libkirigamiprimitives6             	       1       71        6       15       49
 6937 libkirigamiprivate6                	       1       71        6       15       49
 6938 libklipper6                        	       1       23        5       13        4
 6939 libkmailtransport-dev              	       1        1        0        0        0
 6940 libkmime2-trinity                  	       1       34        2        9       22
 6941 libkml-dev                         	       1       41        0       40        0
 6942 libkmpris6                         	       1       23        6       14        2
 6943 libkomparediff2-dev                	       1        1        0        0        0
 6944 libkonq-dev                        	       1        1        0        0        0
 6945 libkonq4-trinity                   	       1       36        5       30        0
 6946 libkontactinterface-dev            	       1        1        0        0        0
 6947 libkpimexchange1-trinity           	       1       29        1       27        0
 6948 libkpipewire-dev                   	       1        2        0        1        0
 6949 libkpipewire6                      	       1       26        6       14        5
 6950 libkpmcore-dev                     	       1        1        0        0        0
 6951 libkpmcore12                       	       1      470        0        0      469
 6952 libkproperty3-dev                  	       1        1        0        0        0
 6953 libkreport3-dev                    	       1        1        0        0        0
 6954 libksanecore-dev                   	       1        1        0        0        0
 6955 libkscreen-data                    	       1       28        3        8       16
 6956 libkscreen-dev                     	       1        1        0        0        0
 6957 libkscreenlocker6                  	       1       23        6       14        2
 6958 libksieve-dev                      	       1        1        0        0        0
 6959 libksysguard-bin                   	       1        1        0        0        0
 6960 libksysguardformatter2             	       1       24        6       14        3
 6961 libksysguardsensorfaces1           	       1      512        7       24      480
 6962 libksysguardsensors1               	       1      513        7       25      480
 6963 libksysguardsystemstats1           	       1      431        7       22      401
 6964 libktnef-dev                       	       1        1        0        0        0
 6965 libktnef1-trinity                  	       1       34        2        9       22
 6966 libkwaylandclient6                 	       1       26        7       14        4
 6967 libkwaylandserver5                 	       1       89        7       39       42
 6968 libkwin4-effect-builtins1          	       1      108        7       39       61
 6969 libkwin6                           	       1       23        5       14        3
 6970 libkwineffects12a                  	       1       88        7       38       42
 6971 libkwinglutils12                   	       1       89        7       38       43
 6972 libkwinxrenderutils12              	       1       89        7       38       43
 6973 libkworkspace6-6                   	       1       23        6       15        1
 6974 liblayershellqtinterface-dev       	       1        2        0        1        0
 6975 liblayershellqtinterface6          	       1       29        7       18        3
 6976 liblc3-0                           	       1      413       10       45      357
 6977 liblc3-1                           	       1      203        3       14      185
 6978 libldacbt-enc2                     	       1     2394       17       61     2315
 6979 libleveldb-dev                     	       1       18        0       17        0
 6980 liblightdm-gobject-1-0-dbgsym      	       1        1        0        0        0
 6981 liblightdm-gobject-dev             	       1        4        0        3        0
 6982 liblightdm-qt5-3-0-dbgsym          	       1        1        0        0        0
 6983 liblightdm-qt5-3-dev               	       1        1        0        0        0
 6984 liblilv-0-0                        	       1     3224       50      156     3017
 6985 liblingua-en-inflect-perl          	       1       55        1       53        0
 6986 liblocale-codes-perl               	       1       58        1       56        0
 6987 liblog-dispatch-filerotate-perl    	       1        6        0        5        0
 6988 liblog-message-perl                	       1      101        2       98        0
 6989 liblog-message-simple-perl         	       1      101        2       98        0
 6990 liblog4cplus-dev                   	       1        1        0        0        0
 6991 liblrdf0-dev                       	       1       10        0        9        0
 6992 liblua5.2-0                        	       1     2312       31      111     2169
 6993 liblua5.3-0                        	       1     2194       46      141     2006
 6994 liblua5.4-0                        	       1      674       14       32      627
 6995 liblxc1                            	       1       90        9       20       60
 6996 liblxqt-globalkeys-ui0             	       1       69        4       21       43
 6997 liblxqt-globalkeys0                	       1       73        4       21       47
 6998 libmaa4                            	       1      111        8       25       77
 6999 libmad0                            	       1     2396       36       53     2306
 7000 libmagic1                          	       1     3851       22       43     3785
 7001 libmagickcore-7-arch-config        	       1        2        0        1        0
 7002 libmagickcore-7-headers            	       1        2        0        1        0
 7003 libmagickwand-7-headers            	       1        2        0        1        0
 7004 libmail-gnupg-perl                 	       1        5        0        4        0
 7005 libmail-imapclient-perl            	       1       42        3       38        0
 7006 libmail-message-perl               	       1       30        1       28        0
 7007 libmail-transport-perl             	       1       29        1       27        0
 7008 libmalcontent-0-dev                	       1        1        0        0        0
 7009 libmarkdown2                       	       1     1122        5       17     1099
 7010 libmate-desktop-2-17t64            	       1       24        6       10        7
 7011 libmateweather1t64                 	       1       20        4        8        7
 7012 libmath-base-convert-perl          	       1       11        0       10        0
 7013 libmath-calc-units-perl            	       1       35       11       23        0
 7014 libmatio-dev                       	       1        7        0        6        0
 7015 libmbedcrypto7                     	       1     2383       53      176     2153
 7016 libmbedtls-dev                     	       1       53        1       51        0
 7017 libmcrypt4                         	       1      164        7       13      143
 7018 libmediawiki-api-perl              	       1       41        1       39        0
 7019 libmemcached11                     	       1      150       21       43       85
 7020 libmemcachedutil2                  	       1       57        6       18       32
 7021 libmenu-cache-dev                  	       1        5        0        4        0
 7022 libmetacity3                       	       1       90        1        4       84
 7023 libmetrics-any-perl                	       1       31        0       30        0
 7024 libmicrohttpd-dev                  	       1       28        0       27        0
 7025 libmikmod-dev                      	       1      117        5      111        0
 7026 libminizip-dev                     	       1       62        0       61        0
 7027 libmirisdr4                        	       1       10        1        8        0
 7028 libmnl0                            	       1     4150       92      271     3786
 7029 libmodplug-dev                     	       1      122        0      121        0
 7030 libmodule-build-perl               	       1      152        3      148        0
 7031 libmodule-scandeps-perl            	       1      191        1      189        0
 7032 libmodule-signature-perl           	       1      141        3      137        0
 7033 libmonitoring-plugin-perl          	       1       29        8       20        0
 7034 libmoox-struct-perl                	       1       38        0       37        0
 7035 libmousepad0                       	       1     1424        8       30     1385
 7036 libmozjs-115-0t64                  	       1       28        1        9       17
 7037 libmozjs-128-dev                   	       1        1        0        0        0
 7038 libmp3splt                         	       1       26        0       25        0
 7039 libmpc3                            	       1     3750       26       71     3652
 7040 libmpdclient-dev                   	       1       14        0       13        0
 7041 libmpdclient2                      	       1      145       18       29       97
 7042 libmpdec3                          	       1      761       11       43      706
 7043 libmpfrc++-dev                     	       1       14        0       13        0
 7044 libmsgraph-dev                     	       1        1        0        0        0
 7045 libmspack0t64                      	       1       94       10       23       60
 7046 libmspub-0.1-1                     	       1     2802        1        5     2795
 7047 libmuffin0t64                      	       1       24        1        7       15
 7048 libmupdf-dev                       	       1        6        0        5        0
 7049 libmwaw-0.3-3                      	       1     2841        2        8     2830
 7050 libmysofa1                         	       1     3050       47      149     2853
 7051 libncurses6                        	       1     3818       34       79     3704
 7052 libnet-daemon-perl                 	       1       30        1       28        0
 7053 libnet-ifconfig-wrapper-perl       	       1        3        0        2        0
 7054 libnet-irc-perl                    	       1        4        0        3        0
 7055 libnet-oauth-perl                  	       1       22        0       21        0
 7056 libnet-smtp-tls-perl               	       1       17        2       14        0
 7057 libnet-smtpauth-perl               	       1       15        2       12        0
 7058 libnet-telnet-perl                 	       1       25        3       21        0
 7059 libnet1-dev                        	       1        7        0        6        0
 7060 libnet1-doc                        	       1        1        0        0        0
 7061 libnetcdf-c++4-dev                 	       1        3        0        2        0
 7062 libnetfilter-conntrack3            	       1     3738       83      214     3440
 7063 libnetwork-ipv4addr-perl           	       1       26        3       22        0
 7064 libnewlib-dev                      	       1       52        0       51        0
 7065 libnewt-dev                        	       1       36        1       34        0
 7066 libnfnetlink0                      	       1     3788       87      237     3463
 7067 libnfs-utils                       	       1       16        0       15        0
 7068 libnftables1                       	       1     3420       67      188     3164
 7069 libnftnl11                         	       1     3905       67      188     3649
 7070 libngtcp2-crypto-gnutls-dev        	       1       15        0       14        0
 7071 libngtcp2-dev                      	       1       16        0       15        0
 7072 libnitrokey-common                 	       1       10        0        9        0
 7073 libnlopt-dev                       	       1        5        0        4        0
 7074 libnm-dev                          	       1       17        0       16        0
 7075 libnma-common                      	       1     1997        6       43     1947
 7076 libnotmuch-dev                     	       1        5        0        4        0
 7077 libnss-sss                         	       1       27        2       21        3
 7078 libntl-dev                         	       1       20        0       19        0
 7079 libnuma-dev                        	       1      182        3      178        0
 7080 libnumber-range-perl               	       1       55        1       53        0
 7081 libnvidia-cfg1                     	       1      171        9       52      109
 7082 libnvpair3linux                    	       1       78       15       35       27
 7083 libobject-id-perl                  	       1       41        0       40        0
 7084 libobject-realize-later-perl       	       1       31        1       29        0
 7085 libodfgen-0.1-1                    	       1     2858        2        8     2847
 7086 libogdi-dev                        	       1       37        0       36        0
 7087 libogg-ocaml-dev                   	       1        4        0        3        0
 7088 libomp-19-dev                      	       1        4        2        1        0
 7089 libomp5-19                         	       1        4        2        1        0
 7090 libomxil-bellagio-bin              	       1       23        0       22        0
 7091 libonig5                           	       1     1394       53      151     1189
 7092 libopenal-dev                      	       1      119        0      118        0
 7093 libopencolorio-dev                 	       1        7        0        6        0
 7094 libopenconnect5                    	       1      698        4       29      664
 7095 libopencsd-dev                     	       1       17        1       15        0
 7096 libopencv-dev                      	       1       46        1       44        0
 7097 libopencv406-jni                   	       1       29        0       28        0
 7098 libopenexr23                       	       1      218        1        0      216
 7099 libopenslide-dev                   	       1        6        0        5        0
 7100 libopts25-dev                      	       1       56        0       55        0
 7101 libopus-dev                        	       1      159        1      157        0
 7102 liborc-0.4-dev                     	       1       62        1       60        0
 7103 liborc-0.4-dev-bin                 	       1       63        1       61        0
 7104 libosmesa6-dev                     	       1       22        0       21        0
 7105 libosmosdr0                        	       1       50        3       46        0
 7106 libpackage-constants-perl          	       1       92        2       89        0
 7107 libpackagekitqt6-1                 	       1       29        5       11       12
 7108 libpacparser1                      	       1        9        1        7        0
 7109 libpagemaker-0.0-0                 	       1     2794        1        5     2787
 7110 libpam-mount-bin                   	       1       15        1       13        0
 7111 libpam-pwquality                   	       1       59       10       43        5
 7112 libpam-sss                         	       1       27        2       22        2
 7113 libpam-x2go                        	       1        5        0        4        0
 7114 libpano13-bin                      	       1       50        0       49        0
 7115 libpaper-dev                       	       1        9        0        8        0
 7116 libparse-debianchangelog-perl      	       1      239        3      235        0
 7117 libparse-edid-perl                 	       1       12        1       10        0
 7118 libparse-yapp-perl                 	       1       24        0       23        0
 7119 libparted-dev                      	       1       15        0       14        0
 7120 libpath-utils1t64                  	       1       16        1       12        2
 7121 libpcre2-posix3                    	       1      761        5       14      741
 7122 libpdl-filter-perl                 	       1        1        0        0        0
 7123 libpdl-io-dicom-perl               	       1        1        0        0        0
 7124 libpdl-io-envi-perl                	       1        1        0        0        0
 7125 libpdl-io-idl-perl                 	       1        1        0        0        0
 7126 libpdl-opt-simplex-perl            	       1        1        0        0        0
 7127 libperl-critic-perl                	       1       29        0       28        0
 7128 libperlio-via-timeout-perl         	       1       18        2       15        0
 7129 libphonon4qt6-4t64                 	       1       37        5        5       26
 7130 libphonon4qt6-dev                  	       1        1        0        0        0
 7131 libphonon4qt6experimental-dev      	       1        1        0        0        0
 7132 libpipewire-0.3-common             	       1     2337        7       26     2303
 7133 libpipewire-0.3-dev                	       1       45        0       43        1
 7134 libpkcs11-helper1                  	       1      490       39      103      347
 7135 libpkcs11-helper1t64               	       1       40        2        4       33
 7136 libplacebo208                      	       1     2319       26       96     2196
 7137 libplank1                          	       1       51        5       21       24
 7138 libplasma-dev                      	       1        1        0        0        0
 7139 libplasma-geolocation-interface5   	       1      542       12       51      478
 7140 libplasma5support6                 	       1       23        6       15        1
 7141 libplasma6                         	       1       41        6       15       19
 7142 libplasmaactivities6               	       1       55        6       16       32
 7143 libplasmaactivitiesstats1          	       1       31        6       14       10
 7144 libplasmaquick6                    	       1       41        6       15       19
 7145 libpocketsphinx3                   	       1     2970       45      141     2783
 7146 libpod-latex-perl                  	       1       80        2       77        0
 7147 libpod-readme-perl                 	       1      142        3      138        0
 7148 libpod-spell-perl                  	       1       29        0       28        0
 7149 libpod2-base-perl                  	       1        8        0        7        0
 7150 libpoe-loop-tk-perl                	       1        7        0        6        0
 7151 libpoe-perl                        	       1       14        0       13        0
 7152 libpolkit-gobject-elogind-1-dev    	       1       35        0       34        0
 7153 libpolkit-qt6-1-1                  	       1       74        6       18       49
 7154 libpolkit-tqt                      	       1       25        4       12        8
 7155 libpoppler-cpp-dev                 	       1       10        0        9        0
 7156 libpoppler-qt6-dev                 	       1        1        0        0        0
 7157 libpopt-dev                        	       1       61        0       60        0
 7158 libportal-dev                      	       1        3        0        2        0
 7159 libportal-gtk4-dev                 	       1        1        0        0        0
 7160 libportal-tests-gtk4               	       1        1        0        0        0
 7161 libpostproc56                      	       1     2328       26       87     2214
 7162 libpowerdevilui5                   	       1      534        0        4      529
 7163 libppi-perl                        	       1       33        0       32        0
 7164 libppix-quotelike-perl             	       1       28        0       27        0
 7165 libppix-regexp-perl                	       1       29        0       28        0
 7166 libppix-utilities-perl             	       1       28        0       27        0
 7167 libproc-waitstat-perl              	       1       78       19       58        0
 7168 libprocesscore10                   	       1       24        6       14        3
 7169 libproj-dev                        	       1       55        2       52        0
 7170 libprotobuf-dev                    	       1       81        0       80        0
 7171 libproxy1-plugin-gsettings         	       1      130        2        6      121
 7172 libpskc0                           	       1      524        4       23      496
 7173 libpurple-dev                      	       1       20        0       19        0
 7174 libpwquality-tools                 	       1       14        0       13        0
 7175 libpyside2-py3-5.15                	       1      119        0      117        1
 7176 libpyside2-py3-5.15t64             	       1       15        0       14        0
 7177 libpyside6-py3-6.7                 	       1        3        1        1        0
 7178 libpyside6-py3-6.8                 	       1        1        0        0        0
 7179 libpython3.11                      	       1     2475       44      167     2263
 7180 libpython3.12-dev                  	       1       61        0       60        0
 7181 libpython3.13                      	       1       78        8        2       67
 7182 libpython3.4-minimal               	       1       34        1       32        0
 7183 libpython3.4-stdlib                	       1       34        1       32        0
 7184 libqaccessibilityclient-qt6-0      	       1       23        6       14        2
 7185 libqalculate23                     	       1       55        3       12       39
 7186 libqapt3-runtime                   	       1       42        0       41        0
 7187 libqca-qt6-2                       	       1       87        7       21       58
 7188 libqca-qt6-dev                     	       1        1        0        0        0
 7189 libqca-qt6-plugins                 	       1       83        1        3       78
 7190 libqcoro6dbus0t64                  	       1       24        5       14        4
 7191 libqgpgmeqt6-dev                   	       1        1        0        0        0
 7192 libqhull-dev                       	       1       50        0       49        0
 7193 libqmobipocket6-dev                	       1        1        0        0        0
 7194 libqpdf-dev                        	       1        6        0        5        0
 7195 libqt5charts5                      	       1      255        2        1      251
 7196 libqt5test5                        	       1     1378        6       18     1353
 7197 libqt5webengine5                   	       1      946        4       18      923
 7198 libqt5webkit5                      	       1     1183        6       18     1158
 7199 libqt5websockets5-dev              	       1       27        0       26        0
 7200 libqt5webview5                     	       1      420        3       10      406
 7201 libqt6core5compat6                 	       1      166        8       26      131
 7202 libqt6opengl6-dev                  	       1       46        0       45        0
 7203 libqt6positioning6                 	       1      249        9       15      224
 7204 libqt6printsupport6                	       1      374       15       26      332
 7205 libqt6qmlmeta6                     	       1        5        2        0        2
 7206 libqt6quickcontrols2-6             	       1      150        7       18      124
 7207 libqt6quicktemplates2-6            	       1      150        7       18      124
 7208 libqt6quickwidgets6                	       1      296       10       20      265
 7209 libqt6sensors6                     	       1       29        6       14        8
 7210 libqt6shadertools6                 	       1      106        6       16       83
 7211 libqt6sql6                         	       1      378       11       23      343
 7212 libqt6sql6-sqlite                  	       1      352        9       18      324
 7213 libqt6texttospeech6                	       1       61        7       15       38
 7214 libqt6xdg-dev                      	       1        2        0        1        0
 7215 libqt6xdgiconloader-dev            	       1        2        0        1        0
 7216 libquazip1-qt5-dev                 	       1        2        0        1        0
 7217 libquazip1-qt6-dev                 	       1        3        0        2        0
 7218 libquickcharts1                    	       1       24        6       14        3
 7219 libquickchartscontrols1            	       1       24        5       13        5
 7220 libqxp-0.0-0                       	       1     2734        1        5     2727
 7221 libr1                              	       1       25        3       14        7
 7222 libraft0                           	       1        7        1        1        4
 7223 librandom123-dev                   	       1        1        0        0        0
 7224 librandom123-doc                   	       1        1        0        0        0
 7225 libraptor2-dev                     	       1       14        0       13        0
 7226 libraw-bin                         	       1        7        0        6        0
 7227 libraw-dev                         	       1       19        0       18        0
 7228 libraw1394-dev                     	       1       77        0       76        0
 7229 libraw23t64                        	       1      235        7       33      194
 7230 librc1                             	       1      478        1        8      468
 7231 librdmacm1                         	       1      950       33       57      859
 7232 libre2-dev                         	       1       14        0       13        0
 7233 libreadline8t64                    	       1      288        7       22      258
 7234 libredis-perl                      	       1       18        2       15        0
 7235 libref-array1t64                   	       1       14        1       12        0
 7236 libreoffice-dev-common             	       1        4        0        3        0
 7237 libreoffice-dev-doc                	       1        4        0        3        0
 7238 libreoffice-dev-gui                	       1        1        0        0        0
 7239 libreoffice-evolution              	       1       21        1       19        0
 7240 libreoffice-gtk                    	       1       14        1       10        2
 7241 libreoffice-kf6                    	       1       16        4       11        0
 7242 libreoffice-l10n-da                	       1       11        2        8        0
 7243 libreoffice-l10n-el                	       1       23        0       22        0
 7244 libreoffice-l10n-ja                	       1       33        0       32        0
 7245 libreoffice-l10n-nl                	       1       18        1       16        0
 7246 libreoffice-l10n-tr                	       1        9        0        8        0
 7247 libreoffice-lightproof-en          	       1       30        0       29        0
 7248 libreoffice-smoketest-data         	       1        2        0        1        0
 7249 libreoffice-style-galaxy           	       1       66        2       62        1
 7250 libreoffice-texmaths               	       1       23        2       20        0
 7251 libreoffice-voikko                 	       1       13        0       12        0
 7252 libreoffice-writer2latex           	       1       31        2       28        0
 7253 librevenge-0.0-0                   	       1     2917        2       13     2901
 7254 librist4                           	       1     2607       61      188     2357
 7255 librpm-dev                         	       1        2        0        1        0
 7256 librtlsdr0                         	       1       71        7       63        0
 7257 librubberband2                     	       1     3230       49      151     3029
 7258 libruby2.5                         	       1      116       10      105        0
 7259 librust-gio-sys-dev                	       1        6        0        5        0
 7260 librust-glib-sys-dev               	       1        7        0        6        0
 7261 librust-gobject-sys-dev            	       1        7        0        6        0
 7262 librust-pango-sys-dev              	       1        6        0        5        0
 7263 libsamplerate0-dev                 	       1      213        1      211        0
 7264 libsasl2-dev                       	       1       82        1       80        0
 7265 libsasl2-modules-gssapi-mit        	       1       47        3       26       17
 7266 libsasl2-modules-kdexoauth2        	       1      488       12       26      449
 7267 libsaxon-java                      	       1      109        2      106        0
 7268 libsbuild-perl                     	       1       25        1       23        0
 7269 libsdl2-image-dev                  	       1       71        0       70        0
 7270 libsdl2-mixer-dev                  	       1       55        1       53        0
 7271 libsdl2-ttf-dev                    	       1       58        0       57        0
 7272 libsecret-common                   	       1     3487        0        1     3485
 7273 libsepol1                          	       1     1939        1        5     1932
 7274 libserd-0-0                        	       1     3227       50      156     3020
 7275 libsereal-perl                     	       1       61        0       60        0
 7276 libservice-wrapper-jni             	       1        8        0        7        0
 7277 libsgmls-perl                      	       1      100        0       99        0
 7278 libshiboken2-py3-5.15              	       1      119        1      116        1
 7279 libshiboken2-py3-5.15t64           	       1       15        1       13        0
 7280 libshiboken6-py3-6.7               	       1        3        1        1        0
 7281 libshiboken6-py3-6.8               	       1        1        0        0        0
 7282 libsigc++-2.0-dev                  	       1       84        1       82        0
 7283 libslang2-dev                      	       1      238        3      234        0
 7284 libslirp0                          	       1      613       32       59      521
 7285 libslp1                            	       1       44        4       10       29
 7286 libsmali-java                      	       1       28        0       20        7
 7287 libsmbclient-dev                   	       1       26        0       25        0
 7288 libsmbios-dev                      	       1        1        0        0        0
 7289 libsnapd-glib-2-1                  	       1      325        2        5      317
 7290 libsndio-dev                       	       1      229        1      227        0
 7291 libsndio7.0                        	       1     3178       55      149     2973
 7292 libsocket-getaddrinfo-perl         	       1       19        0       18        0
 7293 libsodium-dev                      	       1       90        0       89        0
 7294 libsoftware-copyright-perl         	       1        1        0        0        0
 7295 libsoftware-license-perl           	       1      151        2      148        0
 7296 libsord-0-0                        	       1     3224       50      156     3017
 7297 libsoup-2.4-1                      	       1      182        5       15      161
 7298 libspa-0.2-dev                     	       1       45        0       44        0
 7299 libspatialindex-dev                	       1       39        0       38        0
 7300 libspdlog-dev                      	       1       51        0       50        0
 7301 libspdlog1.10                      	       1      518        3       15      499
 7302 libspeex-ocaml-dev                 	       1        1        0        0        0
 7303 libsphinxbase3                     	       1     2760       32      113     2614
 7304 libspice-server1                   	       1      653       29       50      573
 7305 libspreadsheet-xlsx-perl           	       1      100        0       99        0
 7306 libsratom-0-0                      	       1     3224       50      156     3017
 7307 libsrt1.4-gnutls                   	       1      644       17       55      571
 7308 libsrt1.5-gnutls                   	       1     2591       57      178     2355
 7309 libsss-certmap0                    	       1       28        2       18        7
 7310 libsss-idmap0                      	       1       27        2       18        6
 7311 libstaroffice-0.0-0                	       1     2780        2        8     2769
 7312 libstartup-notification0-dev       	       1       45        0       44        0
 7313 libstatgrab10                      	       1      255        3       16      235
 7314 libstb-dev                         	       1        9        0        8        0
 7315 libstd-rust-dev-wasm32             	       1        3        0        2        0
 7316 libstdc++-arm-none-eabi-dev        	       1       34        0       33        0
 7317 libstdlib-ocaml-dev                	       1       13        0       12        0
 7318 libstoken1                         	       1      649        4       25      619
 7319 libstring-util-perl                	       1       81        0       80        0
 7320 libstrongswan-extra-plugins        	       1       16        3       12        0
 7321 libstruct-dumb-perl                	       1       64        0       63        0
 7322 libsuperlu-dev                     	       1       44        0       43        0
 7323 libsvg-perl                        	       1       44        0       43        0
 7324 libswscale6                        	       1     2368       43      128     2196
 7325 libswt-cairo-gtk-4-jni             	       1       51        4       46        0
 7326 libswt-webkit-gtk-4-jni            	       1       13        0       12        0
 7327 libsys-hostip-perl                 	       1        1        0        0        0
 7328 libsysprof-4-dev                   	       1       20        0       19        0
 7329 libtaskmanager6                    	       1       49        6       14       28
 7330 libtbb-doc                         	       1        3        0        2        0
 7331 libtdepim1a-trinity                	       1       34        3       30        0
 7332 libtemplate-plugin-gd-perl         	       1        6        0        5        0
 7333 libtemplate-plugin-xml-perl        	       1        4        0        3        0
 7334 libterm-ui-perl                    	       1       98        2       95        0
 7335 libtest-fatal-perl                 	       1      177        2      174        0
 7336 libtest-fixme-perl                 	       1        1        0        0        0
 7337 libtest-output-perl                	       1       56        0       55        0
 7338 libtest-refcount-perl              	       1       60        0       59        0
 7339 libtevent0t64                      	       1      228       17       41      169
 7340 libthrust-dev                      	       1       22        0       21        0
 7341 libtiff-opengl                     	       1       16        1       14        0
 7342 libtomcrypt1                       	       1      722        4       31      686
 7343 libtoml-tiny-perl                  	       1       19        0       18        0
 7344 libtomlplusplus-dev                	       1        1        0        0        0
 7345 libtommath-dev                     	       1        4        1        2        0
 7346 libtommath1                        	       1     1297        7       34     1255
 7347 libtool-bin                        	       1      184        1      182        0
 7348 libtorrent-rasterbar2.0t64         	       1       33        3        1       28
 7349 libtotem-plparser-dev              	       1        2        0        1        0
 7350 libtqt3-integration-trinity        	       1       33        0       32        0
 7351 libtqt3-mt                         	       1       44        5       25       13
 7352 libtqtinterface                    	       1       43        5       26       11
 7353 libtree-sitter-dev                 	       1       13        0       12        0
 7354 libtspi1                           	       1      495       25       86      383
 7355 libtss2-tctildr0                   	       1      750        4       24      721
 7356 libturbojpeg0-dev                  	       1       12        0       11        0
 7357 libudev1                           	       1      687        0        2      684
 7358 libudunits2-dev                    	       1       13        0       12        0
 7359 libuim-custom2                     	       1       38        2       20       15
 7360 libuim-scm0                        	       1       47        3       23       20
 7361 libuim8                            	       1       47        3       23       20
 7362 libunicode-map8-perl               	       1       15        1       13        0
 7363 libunicorn-dev                     	       1        1        0        0        0
 7364 libunittest++-dev                  	       1       17        0       16        0
 7365 libuniversal-require-perl          	       1      126        3      122        0
 7366 liburing1                          	       1      277       24       49      203
 7367 liburiparser-dev                   	       1       61        0       60        0
 7368 libusb-1.0-0-dev                   	       1      233        3      229        0
 7369 libusb-dev                         	       1      121        1      119        0
 7370 libusbredirparser1                 	       1      686       27       54      604
 7371 libuser-identity-perl              	       1       32        1       30        0
 7372 libuutil3linux                     	       1       79       15       35       28
 7373 libuv1                             	       1     3410       50      147     3212
 7374 libvdeplug-dev                     	       1        9        0        8        0
 7375 libvdeplug2                        	       1      619       31       54      533
 7376 libvidstab1.1                      	       1     3130       45      145     2939
 7377 libvips-dev                        	       1        4        0        3        0
 7378 libvips-tools                      	       1        7        0        6        0
 7379 libvirt-dev                        	       1       16        1       14        0
 7380 libvirt-login-shell                	       1        6        0        5        0
 7381 libvisio-0.1-1                     	       1     2850        1        9     2839
 7382 libvisualvm-jni                    	       1       16        0       15        0
 7383 libvpx5                            	       1      239        1        2      235
 7384 libvte-2.91-dev                    	       1       15        0       14        0
 7385 libvte9                            	       1       78        2       20       55
 7386 libvulkan-memory-allocator-dev     	       1        1        0        0        0
 7387 libweb-scraper-perl                	       1       99        0       98        0
 7388 libwebinject-perl                  	       1       16        2       13        0
 7389 libwebkit2gtk-4.1-dev              	       1        9        0        8        0
 7390 libwebservice-youtube-perl         	       1        2        0        1        0
 7391 libwinpr3-dev                      	       1        1        0        0        0
 7392 libwireplumber-0.4-0               	       1      835       11       53      770
 7393 libwireplumber-0.5-0               	       1      113        5       18       89
 7394 libwireshark-dev                   	       1        3        0        2        0
 7395 libwiretap-dev                     	       1        3        0        2        0
 7396 libwlroots10                       	       1       65        5        9       50
 7397 libwnck-common                     	       1      379        4       19      355
 7398 libwpd-0.10-10                     	       1     2898        2       12     2883
 7399 libwpg-0.3-3                       	       1     2896        2       12     2881
 7400 libwps-0.4-4                       	       1     2831        2        7     2821
 7401 libwsutil-dev                      	       1        3        0        2        0
 7402 libwww-youtube-download-perl       	       1        3        0        2        0
 7403 libwxbase3.2-1t64                  	       1      108        1        4      102
 7404 libwxgtk3.2-1t64                   	       1      106        1        4      100
 7405 libwxsqlite3-3.2-0t64              	       1        5        0        0        4
 7406 libx2go-config-perl                	       1       59        6       52        0
 7407 libx2go-log-perl                   	       1       65        8       56        0
 7408 libx2go-server-db-perl             	       1       65        8       56        0
 7409 libx2go-utils-perl                 	       1       59        6       52        0
 7410 libx32stdc++-12-dev                	       1       68        0       67        0
 7411 libxapian-dev                      	       1       17        2       14        0
 7412 libxapian22                        	       1      138        1       18      118
 7413 libxaw7                            	       1     3603       46      128     3428
 7414 libxcb-damage0-dev                 	       1       28        0       27        0
 7415 libxcb-errors-dev                  	       1        1        0        0        0
 7416 libxcb-ewmh2                       	       1      189        8       34      146
 7417 libxcb-glx0-dev                    	       1      129        1      127        0
 7418 libxcb-keysyms1-dev                	       1       54        1       52        0
 7419 libxcb-present-dev                 	       1      127        1      125        0
 7420 libxcb-sync-dev                    	       1      133        1      131        0
 7421 libxcb-xrm-dev                     	       1       19        0       18        0
 7422 libxcb-xtest0-dev                  	       1       21        0       20        0
 7423 libxdgutilsbasedir1.0.1            	       1      656        0        0      655
 7424 libxdgutilsdesktopentry1.0.1       	       1      656        0        0      655
 7425 libxenmisc4.17                     	       1      266       55      160       50
 7426 libxfce4panel-2.0-dev              	       1       18        0       17        0
 7427 libxfce4ui-2-dev                   	       1       23        0       22        0
 7428 libxfce4util-dev                   	       1       31        0       30        0
 7429 libxfconf-0-dev                    	       1       28        0       27        0
 7430 libxkbfile-dev                     	       1      105        0      104        0
 7431 libxklavier-dev                    	       1       13        0       12        0
 7432 libxml-dom-perl                    	       1       47        2       44        0
 7433 libxml-perl                        	       1       56        2       53        0
 7434 libxml-rss-perl                    	       1       25        0       24        0
 7435 libxml-xql-perl                    	       1       15        0       14        0
 7436 libxmlb-utils                      	       1        3        0        2        0
 7437 libxmlsec1-nss                     	       1     2601       22       60     2518
 7438 libxmlsec1-openssl                 	       1      645        4       23      617
 7439 libxnnpack-dev                     	       1        1        0        0        0
 7440 libxslt1-dev                       	       1      130        1      128        0
 7441 libxvmc-dev                        	       1       71        0       70        0
 7442 libxxhash-dev                      	       1       35        1       33        0
 7443 libyaml-cpp-dev                    	       1       25        0       24        0
 7444 libyaml-pp-perl                    	       1       43        1       41        0
 7445 libzeitgeist-2.0-0                 	       1      109       11       31       66
 7446 libzfs4linux                       	       1       76       15       35       25
 7447 libzimg2                           	       1     2572       37      125     2409
 7448 libzint-dev                        	       1        2        0        1        0
 7449 libzip4                            	       1     1211       38      108     1064
 7450 libzmf-0.0-0                       	       1     2732        1        5     2725
 7451 libzxcvbn0                         	       1      525       18       72      434
 7452 libzxing3                          	       1      216        7       30      178
 7453 lieer                              	       1        2        0        1        0
 7454 lightdm-dbgsym                     	       1        1        0        0        0
 7455 lighttpd-mod-authn-gssapi          	       1        2        0        1        0
 7456 lighttpd-mod-authn-pam             	       1        1        0        0        0
 7457 lighttpd-mod-authn-sasl            	       1        1        0        0        0
 7458 lighttpd-mod-deflate               	       1       51        4       46        0
 7459 lighttpd-mod-maxminddb             	       1        1        0        0        0
 7460 lighttpd-mod-vhostdb-pgsql         	       1        1        0        0        0
 7461 lighttpd-mod-webdav                	       1        5        1        3        0
 7462 lighttpd-modules-dbi               	       1        1        0        0        0
 7463 lighttpd-modules-ldap              	       1        6        0        5        0
 7464 lighttpd-modules-lua               	       1        4        1        2        0
 7465 lighttpd-modules-mysql             	       1        6        0        5        0
 7466 limesuite-udev                     	       1       52        3       48        0
 7467 linssid                            	       1       30        0       29        0
 7468 linux-headers-5.10.0-34-amd64      	       1        2        1        0        0
 7469 linux-headers-5.10.0-34-common     	       1        2        1        0        0
 7470 linux-headers-6.1.0-28-amd64       	       1      194        1      192        0
 7471 linux-headers-6.1.0-28-common      	       1      200        2      197        0
 7472 linux-headers-6.1.0-29-amd64       	       1       41        0       40        0
 7473 linux-headers-6.1.0-29-common      	       1       42        0       41        0
 7474 linux-headers-6.1.0-30-amd64       	       1       93        2       90        0
 7475 linux-headers-6.1.0-30-common      	       1       96        2       93        0
 7476 linux-headers-6.1.0-31-686-pae     	       1        2        1        0        0
 7477 linux-headers-6.1.0-31-arm64       	       1        1        0        0        0
 7478 linux-headers-6.12.12-common-rt    	       1        1        0        0        0
 7479 linux-headers-6.12.12-rt-amd64     	       1        1        0        0        0
 7480 linux-headers-6.12.16-amd64        	       1        1        0        0        0
 7481 linux-headers-6.12.16-common       	       1        1        0        0        0
 7482 linux-headers-6.13.2-x64v3-xanmod1 	       1        1        0        0        0
 7483 linux-headers-6.13.6-zabbly+       	       1        1        0        0        0
 7484 linux-image-3.16.0-4-586           	       1        1        0        0        0
 7485 linux-image-4.19.0-14-686          	       1        9        0        8        0
 7486 linux-image-4.19.0-14-amd64        	       1       66        1       63        1
 7487 linux-image-5.10.0-33-686          	       1       10        0        9        0
 7488 linux-image-6.0.0-2-amd64          	       1        4        0        3        0
 7489 linux-image-6.1.0-28-amd64         	       1      599        9      588        1
 7490 linux-image-6.1.0-29-arm64         	       1        1        0        0        0
 7491 linux-image-6.1.0-30-686           	       1       12        0       11        0
 7492 linux-image-6.12.12-rt-amd64       	       1        1        0        0        0
 7493 linux-image-6.13.2-x64v3-xanmod1   	       1        1        0        0        0
 7494 linux-image-6.13.6-zabbly+         	       1        1        0        0        0
 7495 linux-libc-dev-armel-cross         	       1       23        0       22        0
 7496 linux-oem-6.11-headers-6.11.0-1013 	       1        1        0        0        0
 7497 linuxinfo                          	       1       17        0       16        0
 7498 linuxlogo                          	       1       24        1       22        0
 7499 lios                               	       1       11        0       10        0
 7500 lisa-trinity                       	       1       24        2       21        0
 7501 lisgd                              	       1        2        0        1        0
 7502 litecli                            	       1        3        0        2        0
 7503 lld                                	       1       31        0       30        0
 7504 lld-14                             	       1       25        0       24        0
 7505 lld-19                             	       1       14        0       13        0
 7506 llm                                	       1        2        0        1        0
 7507 lltdscan                           	       1       14        1       12        0
 7508 llvm-11                            	       1      101        1       99        0
 7509 llvm-11-dev                        	       1       95        7       87        0
 7510 llvm-11-runtime                    	       1      103        1       99        2
 7511 llvm-11-tools                      	       1       98        1       95        1
 7512 llvm-15                            	       1       56        0       55        0
 7513 llvm-15-linker-tools               	       1       58        0       57        0
 7514 llvm-15-runtime                    	       1       56        0       55        0
 7515 llvm-15-tools                      	       1       54        0       53        0
 7516 llvm-18                            	       1       10        0        9        0
 7517 llvm-18-linker-tools               	       1       11        2        8        0
 7518 llvm-18-runtime                    	       1       10        0        9        0
 7519 llvm-18-tools                      	       1       10        0        9        0
 7520 llvm-19-linker-tools               	       1       40        4       35        0
 7521 loadlin                            	       1       13        0       12        0
 7522 locales-all                        	       1       64       20       43        0
 7523 locate                             	       1      213       44      168        0
 7524 logcheck                           	       1       64       16       47        0
 7525 logout-manager                     	       1       10        0        9        0
 7526 lollypop                           	       1        7        0        6        0
 7527 loook                              	       1       12        2        9        0
 7528 lpkgbuild                          	       1        1        0        0        0
 7529 lrzip                              	       1       69        2       66        0
 7530 lscolors                           	       1        6        0        4        1
 7531 lsdvd                              	       1       62        1       60        0
 7532 lskat                              	       1       55        0       54        0
 7533 lsp-plugins-ladspa                 	       1       26        0       24        1
 7534 lua-posix-dev                      	       1        3        0        2        0
 7535 lua-system-dev                     	       1        1        0        0        0
 7536 lua5.1                             	       1       63        1       61        0
 7537 luanti                             	       1        1        0        0        0
 7538 luanti-server                      	       1        1        0        0        0
 7539 lunzip                             	       1       12        0       11        0
 7540 lutris                             	       1       73        3       69        0
 7541 lv                                 	       1       51        1       49        0
 7542 lxcfs                              	       1       82       21       60        0
 7543 lxmusic                            	       1       64        0       63        0
 7544 lxpanel-data                       	       1      224        6       23      194
 7545 lxsession-data                     	       1      238        6       31      200
 7546 lyx                                	       1       97        3       93        0
 7547 lzd                                	       1        8        0        7        0
 7548 lziprecover                        	       1       17        1       15        0
 7549 maildir-utils                      	       1       18        4       13        0
 7550 make-guile                         	       1        9        0        8        0
 7551 makebootfat                        	       1       15        0       14        0
 7552 mako-notifier                      	       1        9        0        8        0
 7553 man2html                           	       1       23        1       21        0
 7554 man2html-base                      	       1       28        1       26        0
 7555 mandoc                             	       1       12        0       11        0
 7556 marble-qt                          	       1       12        0       11        0
 7557 mariadb-backup                     	       1       14        1       12        0
 7558 mariadb-plugin-connect             	       1        4        2        1        0
 7559 mariadb-plugin-cracklib-password-check	       1        1        0        0        0
 7560 mariadb-test                       	       1       11        0       10        0
 7561 mariadb-test-data                  	       1       12        0       11        0
 7562 mate-applet-brisk-menu             	       1      476        4       20      451
 7563 mate-netbook                       	       1        8        1        6        0
 7564 mate-sntray-plugin                 	       1        6        0        5        0
 7565 mate-utils-common                  	       1      516        0        1      514
 7566 matekbd-keyboard-display           	       1        1        0        0        0
 7567 mblaze                             	       1       20        2       17        0
 7568 mboxgrep                           	       1       20        1       18        0
 7569 mbuffer                            	       1       27        0       26        0
 7570 mcomix                             	       1       40        0       39        0
 7571 mdbtools                           	       1       29        1       27        0
 7572 mdm                                	       1        7        0        6        0
 7573 mdns-scan                          	       1       34        1       32        0
 7574 mecab                              	       1        6        0        5        0
 7575 mecab-utils                        	       1       13        0       12        0
 7576 media-downloader                   	       1        4        1        2        0
 7577 mediainfo                          	       1      132        7      124        0
 7578 mediathekview                      	       1       38        1       36        0
 7579 megapixels                         	       1        5        0        4        0
 7580 meli                               	       1        1        0        0        0
 7581 membernator                        	       1       14        1       12        0
 7582 memcached                          	       1       52       12       39        0
 7583 memstat                            	       1       30        1       28        0
 7584 memtest86+                         	       1      147        2      144        0
 7585 menulibre                          	       1       71        6       64        0
 7586 mercurial-git                      	       1        5        1        3        0
 7587 mesa-amdgpu-omx-drivers            	       1        9        0        8        0
 7588 mhddfs                             	       1        5        0        4        0
 7589 mhwaveedit                         	       1       21        0       20        0
 7590 milou                              	       1      556       10       43      502
 7591 mime-construct                     	       1       76       17       58        0
 7592 min                                	       1        5        0        4        0
 7593 minder                             	       1       13        0       12        0
 7594 minetest                           	       1       54        0       53        0
 7595 minidisc-utils                     	       1        7        0        6        0
 7596 minigalaxy                         	       1       13        0       12        0
 7597 minilzip                           	       1        6        0        5        0
 7598 minisat                            	       1       96        5       90        0
 7599 minizip                            	       1       23        1       21        0
 7600 mintmenu                           	       1        4        3        0        0
 7601 mirage                             	       1       59        1       57        0
 7602 mksh                               	       1       78        6       71        0
 7603 mktorrent                          	       1       17        0       16        0
 7604 mmsd-tng                           	       1        4        0        3        0
 7605 modem-manager-gui                  	       1        9        0        8        0
 7606 modplug-tools                      	       1        6        0        5        0
 7607 monitoring-plugins-basic           	       1       97       24       72        0
 7608 monitoring-plugins-common          	       1       98        8       89        0
 7609 monitoring-plugins-contrib         	       1       30        5       24        0
 7610 mopidy                             	       1       12        0       11        0
 7611 morse                              	       1       16        0       15        0
 7612 mosquitto-clients                  	       1       47        4       42        0
 7613 most                               	       1       61        5       55        0
 7614 mousetweaks                        	       1       46        0       45        0
 7615 mozc-server                        	       1       57        4       52        0
 7616 mozc-utils-gui                     	       1       57        0       56        0
 7617 mp3cd                              	       1       10        0        9        0
 7618 mp3gain                            	       1       50        0       49        0
 7619 mp3info                            	       1       64        0       63        0
 7620 mp3rename                          	       1       19        0       18        0
 7621 mp3splt-gtk                        	       1       13        0       12        0
 7622 mpack                              	       1      104        2      101        0
 7623 mpd                                	       1       47       16       29        1
 7624 mpeglib-trinity                    	       1       25        0       24        0
 7625 mpg321                             	       1      107        5      101        0
 7626 mplayer-gui                        	       1       54        2       51        0
 7627 mtr                                	       1      149        4      144        0
 7628 mugshot                            	       1       22        1       20        0
 7629 mullvad-browser                    	       1        5        1        3        0
 7630 munin-async                        	       1       11        0       10        0
 7631 musepack-tools                     	       1       35        0       34        0
 7632 musescore                          	       1       48        0       47        0
 7633 musl-dev                           	       1       19        0       18        0
 7634 musl-tools                         	       1       16        1       14        0
 7635 mutter                             	       1       30        0       29        0
 7636 mutter-common-bin                  	       1       18        0        4       13
 7637 mycli                              	       1       14        0       13        0
 7638 mypaint                            	       1       34        1       32        0
 7639 nast                               	       1       21        1       19        0
 7640 natpmpc                            	       1        5        0        4        0
 7641 nautilus-data                      	       1      229        1        9      218
 7642 navit                              	       1       13        2       10        0
 7643 navit-gui-internal                 	       1        9        1        7        0
 7644 ncmpc                              	       1       27        0       26        0
 7645 ndiff                              	       1      293        4      288        0
 7646 neomutt                            	       1       37        8       28        0
 7647 netavark                           	       1       61        2       58        0
 7648 netcdf-bin                         	       1       12        0       11        0
 7649 netdiag                            	       1       41        3       37        0
 7650 netgen                             	       1        6        0        5        0
 7651 netsurf-gtk                        	       1       47        1       45        0
 7652 nettle-bin                         	       1        7        0        6        0
 7653 network-manager-dbgsym             	       1       22        0       21        0
 7654 network-manager-fortisslvpn        	       1       43        5       37        0
 7655 network-manager-fortisslvpn-gnome  	       1       36        1       34        0
 7656 network-manager-iodine             	       1       24        6       17        0
 7657 network-manager-iodine-gnome       	       1       23        0       20        2
 7658 network-manager-l2tp               	       1       39        9       29        0
 7659 network-manager-l2tp-gnome         	       1       32        0       27        4
 7660 network-manager-ssh                	       1       39        9       29        0
 7661 network-manager-ssh-gnome          	       1       38        0       33        4
 7662 network-manager-sstp               	       1       21        5       15        0
 7663 network-manager-sstp-gnome         	       1       21        0       19        1
 7664 network-manager-strongswan         	       1       28        6       21        0
 7665 neuron                             	       1        2        0        1        0
 7666 nfstrace                           	       1       14        0       13        0
 7667 nfswatch                           	       1       20        0       19        0
 7668 nginx                              	       1      141       29       76       35
 7669 ngrep                              	       1       38        1       36        0
 7670 nheko                              	       1       27        1       25        0
 7671 nicotine                           	       1       13        0       12        0
 7672 nis                                	       1       12        0        3        8
 7673 nitrokey-app                       	       1        7        0        6        0
 7674 nkf                                	       1       39        0       38        0
 7675 nmh                                	       1       17        2       14        0
 7676 nnn                                	       1       48        0       47        0
 7677 noatun-plugins-trinity             	       1       24        0       23        0
 7678 noatun-trinity                     	       1       24        2       21        0
 7679 node-ajv                           	       1      213        2      210        0
 7680 node-babel-helper-define-polyfill-provider	       1      180        1      178        0
 7681 node-cacache                       	       1      192        4      187        0
 7682 node-colors                        	       1      202        1      200        0
 7683 node-coveralls                     	       1      153        0      152        0
 7684 node-css-selector-tokenizer        	       1      162        1      160        0
 7685 node-depd                          	       1      187        1      185        0
 7686 node-diff                          	       1      179        1      177        0
 7687 node-enhanced-resolve              	       1      169        1      167        0
 7688 node-escodegen                     	       1      180        2      177        0
 7689 node-esprima                       	       1      185        2      182        0
 7690 node-istanbul                      	       1      180        2      177        0
 7691 node-js-yaml                       	       1      185        2      182        0
 7692 node-jsesc                         	       1      181        2      178        0
 7693 node-json5                         	       1      183        2      180        0
 7694 node-lcov-parse                    	       1      153        0      152        0
 7695 node-less                          	       1       18        0       17        0
 7696 node-lodash                        	       1      184        1      181        1
 7697 node-mathjax-full                  	       1        1        0        0        0
 7698 node-memfs                         	       1      170        1      168        0
 7699 node-mime                          	       1      206        1      204        0
 7700 node-nopt                          	       1      217        2      214        0
 7701 node-opener                        	       1      189        2      186        0
 7702 node-postcss                       	       1      181        2      178        0
 7703 node-readable-stream               	       1      217        4      212        0
 7704 node-regjsparser                   	       1      180        2      177        0
 7705 node-requirejs                     	       1        7        0        6        0
 7706 node-rimraf                        	       1      216        3      212        0
 7707 node-semver                        	       1      218        3      214        0
 7708 node-speech-rule-engine            	       1        1        0        0        0
 7709 node-tap-mocha-reporter            	       1      153        0      152        0
 7710 node-tap-parser                    	       1      153        0      152        0
 7711 node-tape                          	       1      180        2      177        0
 7712 node-terser                        	       1      169        1      167        0
 7713 node-typescript                    	       1        8        1        6        0
 7714 node-webassemblyjs                 	       1      170        1      168        0
 7715 node-webpack-sources               	       1      169        1      167        0
 7716 node-which                         	       1      216        2      213        0
 7717 node-ws                            	       1      156        1      154        0
 7718 nomarch                            	       1       43        3       39        0
 7719 notepadqq                          	       1       21        2       18        0
 7720 notmuch                            	       1       33        4       28        0
 7721 npm                                	       1      191        4      186        0
 7722 nscd                               	       1       76       21       54        0
 7723 nslcd-utils                        	       1       19        3       15        0
 7724 nss-tlsd                           	       1        3        0        2        0
 7725 nstreams                           	       1       18        1       16        0
 7726 nted                               	       1       17        1       15        0
 7727 ntpdate                            	       1      683       12      231      439
 7728 ntpstat                            	       1       81        0       80        0
 7729 numactl                            	       1       29        0       28        0
 7730 numad                              	       1        3        1        1        0
 7731 nut-cgi                            	       1       14        0       13        0
 7732 nut-client                         	       1       69       11       57        0
 7733 nut-server                         	       1       64       13       50        0
 7734 nvi                                	       1       52        1       50        0
 7735 nvidia-fs-dkms                     	       1        2        0        1        0
 7736 nvidia-tesla-smi                   	       1        1        0        0        0
 7737 nvme-cli                           	       1       84        6       77        0
 7738 nyx                                	       1       34        1       32        0
 7739 oathtool                           	       1       72        1       70        0
 7740 obfs4proxy                         	       1       45        1       43        0
 7741 obs-build                          	       1        6        0        5        0
 7742 ocaml                              	       1       62        0       61        0
 7743 ocaml-base                         	       1       77        0       75        1
 7744 ocaml-base-nox                     	       1       31        2       19        9
 7745 ocaml-compiler-libs                	       1       64        1       60        2
 7746 ocaml-findlib                      	       1       42        0       41        0
 7747 ocaml-nox                          	       1       40        1       15       23
 7748 ocrad                              	       1       58        1       56        0
 7749 ocrmypdf                           	       1       62        8       53        0
 7750 octave-dev                         	       1       10        0        9        0
 7751 odbcinst                           	       1      263        1      261        0
 7752 oddjob                             	       1       14        1       12        0
 7753 ods2tsv                            	       1        1        0        0        0
 7754 ogdi-bin                           	       1       14        0       13        0
 7755 okular-dev                         	       1        3        0        2        0
 7756 onedriver                          	       1        1        0        0        0
 7757 onlyoffice-desktopeditors          	       1       13        0       12        0
 7758 oorexx                             	       1        1        0        0        0
 7759 openarena                          	       1       32        0       31        0
 7760 openarena-oacmp1                   	       1       31        0       30        0
 7761 openbox-kde-session                	       1        2        0        1        0
 7762 openclipart-libreoffice            	       1       51        1       49        0
 7763 openjdk-11-dbg                     	       1        5        0        4        0
 7764 openjdk-11-jdk                     	       1      127        0        8      118
 7765 openjdk-11-source                  	       1        9        0        8        0
 7766 openjdk-17-dbg                     	       1        8        0        7        0
 7767 openjdk-24-dbg                     	       1        1        0        0        0
 7768 openjdk-24-jdk                     	       1        3        0        0        2
 7769 openjdk-24-source                  	       1        1        0        0        0
 7770 openjdk-7-jre-headless             	       1       16        1       14        0
 7771 openlp                             	       1        5        0        4        0
 7772 openmp-extras-runtime              	       1       15        0       14        0
 7773 openpace                           	       1       14        1       12        0
 7774 openpaperwork-core                 	       1       12        0       11        0
 7775 openpaperwork-gtk                  	       1       12        0       11        0
 7776 openrct2                           	       1        4        0        3        0
 7777 openresolv                         	       1       55        7       47        0
 7778 openshot-qt                        	       1       83        1       81        0
 7779 openssh-tests                      	       1        1        0        0        0
 7780 openvpn-auth-ldap                  	       1        4        0        3        0
 7781 openvpn-auth-radius                	       1        6        0        5        0
 7782 openvpn-dco-dkms                   	       1       10        0        9        0
 7783 opera-beta                         	       1        4        0        3        0
 7784 orage                              	       1       73        2       70        0
 7785 osc                                	       1        7        0        6        0
 7786 osdlyrics                          	       1        6        1        4        0
 7787 osm2pgrouting                      	       1        3        0        2        0
 7788 osm2pgsql                          	       1        8        0        7        0
 7789 osmid                              	       1        4        0        3        0
 7790 osspd-alsa                         	       1        3        0        2        0
 7791 p7zip-rar                          	       1      105        4       86       14
 7792 packagesearch                      	       1       11        0       10        0
 7793 packit                             	       1       18        1       16        0
 7794 pacman                             	       1       23        0       22        0
 7795 paconvert                          	       1        1        0        0        0
 7796 page-crunch                        	       1       10        1        8        0
 7797 pageedit                           	       1        7        0        6        0
 7798 pahole                             	       1       62        4       57        0
 7799 palapeli                           	       1       62        0       61        0
 7800 palemoon                           	       1       59        7       51        0
 7801 pamu2fcfg                          	       1        8        0        7        0
 7802 paperwork-backend                  	       1       14        0       13        0
 7803 paperwork-gtk                      	       1       14        0       13        0
 7804 paprefs                            	       1       55        1       53        0
 7805 parley                             	       1       50        1       48        0
 7806 partclone                          	       1       59        0       58        0
 7807 partimage                          	       1       45        0       44        0
 7808 pass-otp                           	       1       24        1       22        0
 7809 passwdqc                           	       1        6        0        5        0
 7810 pastebinit                         	       1       89        2       86        0
 7811 pax                                	       1       74        1       72        0
 7812 pcapfix                            	       1       19        1       17        0
 7813 pcaputils                          	       1       23        1       21        0
 7814 pcmciautils                        	       1       55        1       53        0
 7815 pcsc-tools                         	       1       51        0       50        0
 7816 pcsxr                              	       1       15        0       14        0
 7817 pdf2djvu                           	       1       92        3       88        0
 7818 pdf2svg                            	       1       27        0       26        0
 7819 pdfcrack                           	       1       49        2       46        0
 7820 pdfposter                          	       1       29        0       28        0
 7821 pdfsam                             	       1       61        0       60        0
 7822 pdlzip                             	       1       10        1        8        0
 7823 pecomato                           	       1       16        1       14        0
 7824 perl-modules                       	       1       60        1       58        0
 7825 perltidy                           	       1       42        0       41        0
 7826 pev                                	       1       17        1       14        1
 7827 pgadmin4-desktop                   	       1       12        0       11        0
 7828 pgcli                              	       1        4        0        3        0
 7829 pgdbf                              	       1        8        0        7        0
 7830 php-composer-class-map-generator   	       1       38        3       34        0
 7831 php-composer-pcre                  	       1       38        3       34        0
 7832 php-composer-semver                	       1       48        3       44        0
 7833 php-composer-spdx-licenses         	       1       48        3       44        0
 7834 php-composer-xdebug-handler        	       1       46        3       42        0
 7835 php-date                           	       1        7        2        4        0
 7836 php-db                             	       1       14        1       12        0
 7837 php-doctrine-event-manager         	       1        3        0        2        0
 7838 php-doctrine-lexer                 	       1        6        0        5        0
 7839 php-doctrine-persistence           	       1        3        0        2        0
 7840 php-email-validator                	       1        6        0        5        0
 7841 php-fig-http-message-util          	       1       35        4       30        0
 7842 php-image-text                     	       1        2        0        1        0
 7843 php-jshrink                        	       1        1        0        0        0
 7844 php-json-schema                    	       1       46        3       42        0
 7845 php-mail                           	       1       13        1       11        0
 7846 php-mail-mime                      	       1       30        3       26        0
 7847 php-masterminds-html5              	       1       13        1       11        0
 7848 php-mdb2                           	       1        8        2        5        0
 7849 php-memcache                       	       1       24        1       22        0
 7850 php-monolog                        	       1        4        0        3        0
 7851 php-net-ldap2                      	       1        4        0        3        0
 7852 php-net-ldap3                      	       1        4        0        3        0
 7853 php-net-nntp                       	       1        1        0        0        0
 7854 php-net-sieve                      	       1       19        2       16        0
 7855 php-net-smtp                       	       1       31        3       27        0
 7856 php-net-url                        	       1        7        1        5        0
 7857 php-nikic-fast-route               	       1       36        4       31        0
 7858 php-phpmyadmin-motranslator        	       1       53        6       46        0
 7859 php-phpmyadmin-shapefile           	       1       51        6       44        0
 7860 php-phpmyadmin-sql-parser          	       1       50        6       43        0
 7861 php-psr-clock                      	       1        1        0        0        0
 7862 php-psr-container                  	       1       92        8       83        0
 7863 php-psr-event-dispatcher           	       1        5        0        4        0
 7864 php-psr-http-factory               	       1       40        4       35        0
 7865 php-psr-link                       	       1        2        0        1        0
 7866 php-psr-log                        	       1       98        8       89        0
 7867 php-seld-signal-handler            	       1       38        3       34        0
 7868 php-sql-formatter                  	       1        1        0        0        0
 7869 php-symfony-console                	       1       49        3       45        0
 7870 php-symfony-css-selector           	       1        3        0        2        0
 7871 php-symfony-finder                 	       1       51        3       47        0
 7872 php-symfony-mime                   	       1        4        0        3        0
 7873 php-symfony-polyfill-php80         	       1       41        4       36        0
 7874 php-symfony-polyfill-php84         	       1        1        0        0        0
 7875 php-symfony-process                	       1       50        3       46        0
 7876 php-symfony-string                 	       1       40        3       36        0
 7877 php-tcpdf                          	       1       62        5       56        0
 7878 php-tijsverkoyen-css-to-inline-styles	       1        2        0        1        0
 7879 php-twig-cache-extra               	       1        1        0        0        0
 7880 php-twig-cssinliner-extra          	       1        1        0        0        0
 7881 php-twig-html-extra                	       1        1        0        0        0
 7882 php-twig-i18n-extension            	       1       50        6       43        0
 7883 php-xml-svg                        	       1        3        0        2        0
 7884 php-zend-code                      	       1        3        0        2        0
 7885 php8.2-bcmath                      	       1       50       12       37        0
 7886 php8.2-bz2                         	       1       63       22       40        0
 7887 php8.2-common                      	       1      315       76      238        0
 7888 php8.2-curl                        	       1      147       44      102        0
 7889 php8.2-dev                         	       1       24        0       23        0
 7890 php8.2-gd                          	       1      149       43      105        0
 7891 php8.2-ldap                        	       1       47       15       31        0
 7892 php8.2-mbstring                    	       1      177       47      129        0
 7893 php8.2-mcrypt                      	       1       15        7        7        0
 7894 php8.2-mysql                       	       1      157       46      110        0
 7895 php8.2-opcache                     	       1      314       76      237        0
 7896 php8.2-readline                    	       1      314       76      237        0
 7897 php8.2-soap                        	       1       43       14       28        0
 7898 php8.2-xml                         	       1      198       52      145        0
 7899 php8.2-zip                         	       1      118       38       79        0
 7900 php8.4-cgi                         	       1        2        0        1        0
 7901 phpmyadmin                         	       1       64        7       56        0
 7902 picard                             	       1       46        1       44        0
 7903 picmi                              	       1       55        0       54        0
 7904 picocom                            	       1       47        2       44        0
 7905 pinentry-qt                        	       1      179        2      176        0
 7906 pipewire-audio-client-libraries    	       1       17        0        2       14
 7907 pipewire-v4l2                      	       1       24        0       23        0
 7908 pipsi                              	       1        3        0        2        0
 7909 pixiewps                           	       1       37        1       35        0
 7910 planets                            	       1        9        1        7        0
 7911 plasma-dataengines-addons          	       1      497        4       14      478
 7912 plasma-desktoptheme                	       1       41        6       15       19
 7913 plasma-discover-backend-flatpak    	       1       51        6       23       21
 7914 plasma-discover-backend-fwupd      	       1      419        3       11      404
 7915 plasma-sdk                         	       1        7        0        6        0
 7916 plasma-wallpapers-addons           	       1      491        4       11      475
 7917 plasma-workspace-dev               	       1        9        0        8        0
 7918 playerctl                          	       1       21        3       17        0
 7919 playit                             	       1        2        0        1        0
 7920 plplot-tcl-bin                     	       1        4        0        3        0
 7921 plplot-tcl-dev                     	       1        2        0        1        0
 7922 plptools                           	       1        3        0        2        0
 7923 pmount                             	       1      120        2      117        0
 7924 pnc                                	       1        2        0        1        0
 7925 pngcheck                           	       1       32        1       30        0
 7926 pnmixer                            	       1       26        3       22        0
 7927 polkit-agent-tde                   	       1       24        3       20        0
 7928 polybar                            	       1       43        3       39        0
 7929 pong2                              	       1        7        0        6        0
 7930 poster                             	       1       53        0       52        0
 7931 postfix-cdb                        	       1        6        0        5        0
 7932 postfix-pcre                       	       1       29        4       24        0
 7933 postfix-sqlite                     	       1      134        4      129        0
 7934 postgresql-11                      	       1       60       13       46        0
 7935 postgresql-13-snakeoil             	       1        4        1        2        0
 7936 postgresql-17                      	       1       17        5       11        0
 7937 postgresql-17-postgis-3            	       1        2        0        1        0
 7938 postgresql-client-11               	       1       75        7       67        0
 7939 postgresql-pltcl-17                	       1        1        0        0        0
 7940 powerline-go                       	       1        1        0        0        0
 7941 powershell                         	       1       10        1        8        0
 7942 powershell-preview                 	       1        1        0        0        0
 7943 pptp-linux                         	       1       63        4       58        0
 7944 pragha                             	       1        9        0        8        0
 7945 procinfo                           	       1       44        3       40        0
 7946 proftpd-mod-clamav                 	       1        1        0        0        0
 7947 progress                           	       1       35        1       33        0
 7948 prometheus-node-exporter-collectors	       1        4        0        3        0
 7949 protobuf-compiler                  	       1       68        0       67        0
 7950 proton-vpn-gtk-app                 	       1       13        1       11        0
 7951 protonmail-bridge                  	       1        6        0        5        0
 7952 psensor                            	       1       74        1       72        0
 7953 pspp                               	       1       10        0        9        0
 7954 psrip                              	       1       17        1       15        0
 7955 pssh                               	       1       10        1        8        0
 7956 pst-utils                          	       1       19        1       17        0
 7957 pt2-clone                          	       1        5        0        4        0
 7958 pulseaudio-module-x11              	       1       15        1       13        0
 7959 pulseeffects                       	       1       34        2       31        0
 7960 puma                               	       1        8        0        7        0
 7961 putty                              	       1       61        1       59        0
 7962 pycodestyle                        	       1       51        0       50        0
 7963 pyhoca-cli                         	       1       17        5       11        0
 7964 pypy3-dev                          	       1        3        0        2        0
 7965 pypy3-tk                           	       1        4        0        3        0
 7966 pyqso                              	       1        6        0        5        0
 7967 pyqt6-dev                          	       1        2        0        1        0
 7968 python-apt                         	       1      175       10      164        0
 7969 python-cairo                       	       1      225       14      210        0
 7970 python-cddb                        	       1       16        1       14        0
 7971 python-chardet                     	       1      275        4      270        0
 7972 python-cups                        	       1       20        1       18        0
 7973 python-cupshelpers                 	       1       13        1       11        0
 7974 python-dbus-dev                    	       1       66        2       63        0
 7975 python-debian                      	       1      127        4      122        0
 7976 python-defusedxml                  	       1       71        2       68        0
 7977 python-dev-is-python3              	       1       29        2       26        0
 7978 python-docutils                    	       1       76        3       72        0
 7979 python-feedparser                  	       1       59        1       56        1
 7980 python-gi-cairo                    	       1      107        1      105        0
 7981 python-libxml2                     	       1       89        2       86        0
 7982 python-mate-menu                   	       1       69        0       68        0
 7983 python-musicbrainz2                	       1       10        1        8        0
 7984 python-mutagen                     	       1       48        2       45        0
 7985 python-numpy                       	       1      224        1      222        0
 7986 python-odf-tools                   	       1      108        1      106        0
 7987 python-pil                         	       1      162        4      157        0
 7988 python-pycurl                      	       1      106        7       98        0
 7989 python-pygments                    	       1      105        3      101        0
 7990 python-pyinotify                   	       1       78        4       73        0
 7991 python-pyopencl-doc                	       1        7        0        5        1
 7992 python-pyparsing-doc               	       1        7        0        4        2
 7993 python-reportbug                   	       1      110        3      104        2
 7994 python-roman                       	       1       80        3       76        0
 7995 python-samba                       	       1       95        5       89        0
 7996 python-six                         	       1      371        5      363        2
 7997 python-smbc                        	       1       15        1       13        0
 7998 python-soappy                      	       1       67        2       64        0
 7999 python-support                     	       1      124       13      110        0
 8000 python-urwid                       	       1       25        4       20        0
 8001 python-utidylib                    	       1       56        1       54        0
 8002 python-wicd                        	       1      139        4      134        0
 8003 python-wstools                     	       1       69        2       66        0
 8004 python3-access2base                	       1        5        0        4        0
 8005 python3-acme                       	       1      183        5      177        0
 8006 python3-aiodns                     	       1       44        0       43        0
 8007 python3-aiorpcx                    	       1       17        0       16        0
 8008 python3-aiostream                  	       1       13        0       12        0
 8009 python3-alembic                    	       1       15        0       14        0
 8010 python3-apparmor                   	       1       94        0       93        0
 8011 python3-astrometry                 	       1       26        0       25        0
 8012 python3-astropy-iers-data          	       1        6        0        5        0
 8013 python3-async-generator            	       1       59        3       55        0
 8014 python3-asyncssh                   	       1        1        0        0        0
 8015 python3-augeas                     	       1       88       22       65        0
 8016 python3-av                         	       1        6        0        5        0
 8017 python3-beaker                     	       1        7        0        6        0
 8018 python3-bibtexparser               	       1        6        0        5        0
 8019 python3-binaryornot                	       1       26        0       25        0
 8020 python3-bitarray                   	       1        9        1        7        0
 8021 python3-bitmath                    	       1        1        0        0        0
 8022 python3-bitstring                  	       1       19        0       18        0
 8023 python3-bleach                     	       1       92        1       90        0
 8024 python3-blosc                      	       1       20        0       19        0
 8025 python3-botocore                   	       1       23        0       22        0
 8026 python3-bottle                     	       1       48        0       47        0
 8027 python3-bottleneck                 	       1       90        2       87        0
 8028 python3-breezy                     	       1       48        1       46        0
 8029 python3-brotlicffi                 	       1       27        4       22        0
 8030 python3-btrfs                      	       1       24        0       23        0
 8031 python3-bytecode                   	       1       66        0       65        0
 8032 python3-canmatrix                  	       1        3        0        2        0
 8033 python3-capstone                   	       1        7        0        6        0
 8034 python3-cbor                       	       1       23        0       22        0
 8035 python3-certbot                    	       1      183       13      169        0
 8036 python3-cftime                     	       1       11        0       10        0
 8037 python3-cheroot                    	       1       20        1       18        0
 8038 python3-cherrypy3                  	       1       14        0       13        0
 8039 python3-click-log                  	       1       26        0       25        0
 8040 python3-coloredlogs                	       1       69       11       57        0
 8041 python3-colorlog                   	       1       27        0       26        0
 8042 python3-colour                     	       1       11        0       10        0
 8043 python3-compyle                    	       1        2        0        1        0
 8044 python3-configargparse             	       1      190        3      186        0
 8045 python3-cookiecutter               	       1       25        0       24        0
 8046 python3-cpuinfo                    	       1       74        1       72        0
 8047 python3-cracklib                   	       1        4        0        3        0
 8048 python3-crc32c                     	       1        1        0        0        0
 8049 python3-croniter                   	       1       10        0        9        0
 8050 python3-cryptography-vectors       	       1        9        0        8        0
 8051 python3-cssselect2                 	       1       46        0       45        0
 8052 python3-cwcwidth                   	       1       14        0       13        0
 8053 python3-cyarray                    	       1        2        0        1        0
 8054 python3-cysignals-bare             	       1        2        0        1        0
 8055 python3-dask                       	       1       20        0       19        0
 8056 python3-deap                       	       1        2        0        1        0
 8057 python3-debugpy                    	       1       69        1       67        0
 8058 python3-distlib                    	       1      146        1      144        0
 8059 python3-distutils-extra            	       1       18        0       17        0
 8060 python3-django                     	       1       18        1       16        0
 8061 python3-docstring-to-markdown      	       1       35        0       34        0
 8062 python3-dropbox                    	       1       17        0       16        0
 8063 python3-dulwich                    	       1       83        3       79        0
 8064 python3-emoji                      	       1       15        0       14        0
 8065 python3-entrypoints                	       1      117        5      111        0
 8066 python3-erfa                       	       1       35        0       34        0
 8067 python3-etcd                       	       1        5        0        4        0
 8068 python3-exceptiongroup             	       1       93        3       89        0
 8069 python3-fastbencode                	       1       41        0       40        0
 8070 python3-fastimport                 	       1       78        1       76        0
 8071 python3-fastjsonschema             	       1       97        1       95        0
 8072 python3-fitz                       	       1       18        0       17        0
 8073 python3-fixtures                   	       1       14        0       13        0
 8074 python3-flask-cors                 	       1        5        0        4        0
 8075 python3-fontforge                  	       1       16        0       15        0
 8076 python3-freetype                   	       1       54        1       52        0
 8077 python3-fritzconnection            	       1        1        0        0        0
 8078 python3-frozendict                 	       1       16        0       15        0
 8079 python3-fsspec                     	       1       19        0       18        0
 8080 python3-gdbm-dbg                   	       1        3        0        2        0
 8081 python3-genshi                     	       1       13        0       12        0
 8082 python3-git-delete-merged-branches 	       1        3        0        2        0
 8083 python3-github                     	       1       44        0       43        0
 8084 python3-gmpy2                      	       1       18        1       16        0
 8085 python3-gnucash                    	       1       81        1       79        0
 8086 python3-googleapi                  	       1       14        0       13        0
 8087 python3-gtts                       	       1       15        0       14        0
 8088 python3-guiqwt                     	       1        3        0        2        0
 8089 python3-h5py                       	       1       19        0        2       16
 8090 python3-h5py-serial                	       1       20        0       19        0
 8091 python3-hid                        	       1       10        0        9        0
 8092 python3-hiredis                    	       1       31        0       30        0
 8093 python3-humanfriendly              	       1       76        1       74        0
 8094 python3-humanize                   	       1       31        1       29        0
 8095 python3-icalendar                  	       1       32        1       30        0
 8096 python3-inflection                 	       1       23        0       22        0
 8097 python3-internetarchive            	       1        2        0        1        0
 8098 python3-intervaltree               	       1       30        0       29        0
 8099 python3-ipaclient                  	       1       17        0       16        0
 8100 python3-ipalib                     	       1       17        0       16        0
 8101 python3-ipykernel                  	       1       81        1       79        0
 8102 python3-ipython-genutils           	       1      118        5      112        0
 8103 python3-ipywidgets                 	       1       54        1       52        0
 8104 python3-jaraco.collections         	       1       13        0       12        0
 8105 python3-jinja2-time                	       1       22        0       21        0
 8106 python3-jmespath                   	       1      106        0      105        0
 8107 python3-josepy                     	       1      183        3      179        0
 8108 python3-json5                      	       1        9        1        7        0
 8109 python3-jupyter-console            	       1       26        1       24        0
 8110 python3-jupyter-core               	       1      123        1      121        0
 8111 python3-jupyterlab-pygments        	       1       74        1       72        0
 8112 python3-kerberos                   	       1      138        3      134        0
 8113 python3-langdetect                 	       1       18        0       17        0
 8114 python3-launchpadlib               	       1       54        1       52        0
 8115 python3-ldap                       	       1       29        2       26        0
 8116 python3-libapparmor                	       1       95        1       93        0
 8117 python3-libcamera                  	       1        1        0        0        0
 8118 python3-libcloud                   	       1       63        0       62        0
 8119 python3-libdiscid                  	       1       60        1       58        0
 8120 python3-libipa-hbac                	       1       17        0       16        0
 8121 python3-libsmbios                  	       1       17        0       16        0
 8122 python3-libvoikko                  	       1       13        0       12        0
 8123 python3-linux-procfs               	       1       10        0        9        0
 8124 python3-lxml-html-clean            	       1       28        1       26        0
 8125 python3-ly                         	       1       15        0       14        0
 8126 python3-mariadb-connector          	       1        1        0        0        0
 8127 python3-mock                       	       1       93        1       91        0
 8128 python3-mpd                        	       1       18        0       17        0
 8129 python3-multibootusb               	       1        9        1        7        0
 8130 python3-multivolumefile            	       1       27        1       25        0
 8131 python3-mupdf                      	       1        2        0        1        0
 8132 python3-mysqldb                    	       1       67        2       64        0
 8133 python3-natsort                    	       1       88        2       85        0
 8134 python3-nbclient                   	       1       73        1       71        0
 8135 python3-nbformat                   	       1      117        1      115        0
 8136 python3-nbxmpp                     	       1       58        1       56        0
 8137 python3-nest-asyncio               	       1       73        1       71        0
 8138 python3-netcdf4                    	       1       10        0        9        0
 8139 python3-networkx                   	       1       92        0       91        0
 8140 python3-notebook                   	       1       56        1       54        0
 8141 python3-notify2                    	       1      193        9      183        0
 8142 python3-notmuch2                   	       1        8        0        7        0
 8143 python3-numcodecs                  	       1        7        0        6        0
 8144 python3-numexpr                    	       1       96        2       93        0
 8145 python3-numpydoc                   	       1       33        1       31        0
 8146 python3-omemo-dr                   	       1       12        0       11        0
 8147 python3-openai                     	       1        2        0        1        0
 8148 python3-opencamlib                 	       1       12        0       11        0
 8149 python3-opencv                     	       1       58        0       57        0
 8150 python3-openshot                   	       1       84        1       82        0
 8151 python3-openstep-plist             	       1        2        0        1        0
 8152 python3-orjson                     	       1       15        3       11        0
 8153 python3-oscrypto                   	       1       17        0       16        0
 8154 python3-osmapi                     	       1        2        0        1        0
 8155 python3-outcome                    	       1       71        3       67        0
 8156 python3-pandas                     	       1      104        1      102        0
 8157 python3-pandas-lib                 	       1      104        1      102        0
 8158 python3-pandocfilters              	       1       91        4       86        0
 8159 python3-parsedatetime              	       1      201        3      197        0
 8160 python3-paste                      	       1       15        0       14        0
 8161 python3-pastedeploy                	       1       13        0       12        0
 8162 python3-pathtools                  	       1        3        0        2        0
 8163 python3-patiencediff               	       1       48        0       47        0
 8164 python3-pcre2                      	       1        3        0        2        0
 8165 python3-pefile                     	       1       35        2       32        0
 8166 python3-pep8                       	       1       53        0       52        0
 8167 python3-pkginfo                    	       1       22        0       21        0
 8168 python3-portend                    	       1       14        0       13        0
 8169 python3-ppl                        	       1        9        0        8        0
 8170 python3-precis-i18n                	       1       60        0       59        0
 8171 python3-prometheus-client          	       1       67        1       65        0
 8172 python3-proto-plus                 	       1        1        0        0        0
 8173 python3-proton-core                	       1       14        0       13        0
 8174 python3-proton-keyring-linux       	       1       14        0       13        0
 8175 python3-proton-vpn-api-core        	       1       13        1       11        0
 8176 python3-proton-vpn-local-agent     	       1       11        1        9        0
 8177 python3-proton-vpn-network-manager 	       1       13        1       11        0
 8178 python3-psshlib                    	       1        9        0        8        0
 8179 python3-psycopg                    	       1        5        0        4        0
 8180 python3-pychromecast               	       1       17        0       16        0
 8181 python3-pyclamd                    	       1        6        1        4        0
 8182 python3-pyclipper                  	       1       10        0        9        0
 8183 python3-pycountry                  	       1       74        3       70        0
 8184 python3-pydantic-core              	       1       10        2        7        0
 8185 python3-pydata-sphinx-theme        	       1        6        0        5        0
 8186 python3-pydevd                     	       1       65        0       64        0
 8187 python3-pydocstyle                 	       1       31        0       30        0
 8188 python3-pydot                      	       1       95        0       94        0
 8189 python3-pygit2                     	       1       19        0       18        0
 8190 python3-pygraphviz                 	       1       90        0       89        0
 8191 python3-pykka                      	       1       13        1       11        0
 8192 python3-pylint-venv                	       1       23        0       22        0
 8193 python3-pyls-spyder                	       1       25        0       24        0
 8194 python3-pylsp                      	       1       35        0       34        0
 8195 python3-pylsp-black                	       1       24        0       23        0
 8196 python3-pylsp-jsonrpc              	       1       35        0       34        0
 8197 python3-pymediainfo                	       1       18        0       17        0
 8198 python3-pymssql                    	       1        6        0        5        0
 8199 python3-pymupdf                    	       1        2        0        1        0
 8200 python3-pymysql                    	       1       40        0       39        0
 8201 python3-pyocr                      	       1       17        0       16        0
 8202 python3-pyopencl                   	       1        6        0        5        0
 8203 python3-pyosmium                   	       1        6        0        5        0
 8204 python3-pyperclip                  	       1       57        0       56        0
 8205 python3-pypillowfight              	       1       14        0       13        0
 8206 python3-pyqt6.qsci                 	       1        9        0        8        0
 8207 python3-pyqt6.qtnfc                	       1        2        0        1        0
 8208 python3-pyscard                    	       1       38        0       37        0
 8209 python3-pyside2.qtnetwork          	       1       95        1       93        0
 8210 python3-pyside2.qtopengl           	       1      115        0      114        0
 8211 python3-pyside2.qtprintsupport     	       1       82        1       80        0
 8212 python3-pyside2.qtsvg              	       1      115        0      114        0
 8213 python3-pyside2.qtuitools          	       1      116        0      115        0
 8214 python3-pyside2.qtwebchannel       	       1       82        1       80        0
 8215 python3-pyside2.qtwebengine        	       1       78        0       77        0
 8216 python3-pyside2.qtwebenginecore    	       1       82        1       80        0
 8217 python3-pyside2.qtwebenginewidgets 	       1       82        1       80        0
 8218 python3-pyside2.qtxml              	       1      116        0      115        0
 8219 python3-pyside6.qtbluetooth        	       1        1        0        0        0
 8220 python3-pyside6.qtgui              	       1        3        1        1        0
 8221 python3-pyside6.qthelp             	       1        2        1        0        0
 8222 python3-pyside6.qtprintsupport     	       1        2        1        0        0
 8223 python3-pyside6.qtwidgets          	       1        3        1        1        0
 8224 python3-pysword                    	       1        5        0        4        0
 8225 python3-pytest-doctestplus         	       1       37        0       36        0
 8226 python3-pywayland                  	       1        1        0        0        0
 8227 python3-qpageview                  	       1       12        0       11        0
 8228 python3-qstylizer                  	       1       23        0       22        0
 8229 python3-qt-material                	       1        1        0        0        0
 8230 python3-random2                    	       1       20        0       19        0
 8231 python3-recoll                     	       1       31        1       29        0
 8232 python3-redis                      	       1       64        1       62        0
 8233 python3-repoze.lru                 	       1      222       38      183        0
 8234 python3-responses                  	       1        5        0        4        0
 8235 python3-rfc3339                    	       1      183        3      179        0
 8236 python3-rlpycairo                  	       1       51        1       49        0
 8237 python3-rpy2                       	       1       15        0       14        0
 8238 python3-scriptforge                	       1        3        0        2        0
 8239 python3-selenium                   	       1       36        3       32        0
 8240 python3-semver                     	       1       12        0       11        0
 8241 python3-setuptools-scm             	       1       63        0       62        0
 8242 python3-shapely                    	       1       44        0       43        0
 8243 python3-sip-dev                    	       1       13        0       12        0
 8244 python3-sklearn                    	       1       32        0       31        0
 8245 python3-slimmer                    	       1        4        0        3        0
 8246 python3-smartypants                	       1       14        1       12        0
 8247 python3-socks                      	       1      204        4      199        0
 8248 python3-spyder                     	       1       25        0       24        0
 8249 python3-spyder-kernels             	       1       25        0       24        0
 8250 python3-sqlglot                    	       1       11        0       10        0
 8251 python3-sqlite-fts4                	       1        9        0        8        0
 8252 python3-sss                        	       1       27        0       26        0
 8253 python3-stem                       	       1       49        4       44        0
 8254 python3-stemmer                    	       1       11        0       10        0
 8255 python3-stone                      	       1       17        0       16        0
 8256 python3-streamlink                 	       1       23        0       22        0
 8257 python3-tables                     	       1       94        1       92        0
 8258 python3-tables-lib                 	       1       94        1       92        0
 8259 python3-tabulate                   	       1       51        2       48        0
 8260 python3-tagpy                      	       1       13        0       12        0
 8261 python3-tblib                      	       1       16        0       15        0
 8262 python3-tempita                    	       1       17        0       16        0
 8263 python3-tempora                    	       1       14        0       13        0
 8264 python3-terminaltables3            	       1        1        0        0        0
 8265 python3-terminaltexteffects        	       1        1        0        0        0
 8266 python3-tesserocr                  	       1        6        0        5        0
 8267 python3-testtools                  	       1       15        0       14        0
 8268 python3-textdistance               	       1       25        0       24        0
 8269 python3-threadpoolctl              	       1       33        0       32        0
 8270 python3-three-merge                	       1       25        0       24        0
 8271 python3-tk-dbg                     	       1        7        0        6        0
 8272 python3-translate                  	       1       46        0       45        0
 8273 python3-transliterate              	       1        1        0        0        0
 8274 python3-trio                       	       1       71        3       67        0
 8275 python3-trove-classifiers          	       1       23        0       22        0
 8276 python3-twisted-bin                	       1       39        0       38        0
 8277 python3-typogrify                  	       1        4        0        3        0
 8278 python3-urwidtrees                 	       1        9        0        8        0
 8279 python3-usb                        	       1       64        1       62        0
 8280 python3-vlc                        	       1        8        0        7        0
 8281 python3-vobject                    	       1       86        0       85        0
 8282 python3-volatile                   	       1        1        0        0        0
 8283 python3-waitress                   	       1       14        0       13        0
 8284 python3-wand                       	       1        4        0        3        0
 8285 python3-whoosh                     	       1       20        0       19        0
 8286 python3-wsproto                    	       1       65        2       62        0
 8287 python3-wurlitzer                  	       1       25        0       24        0
 8288 python3-x2go                       	       1       19        0       18        0
 8289 python3-xattr                      	       1       20        0       19        0
 8290 python3-xcbgen                     	       1       12        0       11        0
 8291 python3-xcffib                     	       1       50        0       49        0
 8292 python3-xmltodict                  	       1       85        0       84        0
 8293 python3-yubico                     	       1       24        0       23        0
 8294 python3-zarr                       	       1        7        0        6        0
 8295 python3-zbar                       	       1       45        1       43        0
 8296 python3-zfpy                       	       1        5        0        4        0
 8297 python3-zope.event                 	       1      110       26       83        0
 8298 python3-zstandard                  	       1       15        2       12        0
 8299 python3.12-dev                     	       1       60        0       59        0
 8300 python3.12-gdbm                    	       1        7        0        6        0
 8301 python3.13-gdbm                    	       1        8        0        7        0
 8302 python3.4                          	       1       34        1       32        0
 8303 python3.4-minimal                  	       1       34        2       31        0
 8304 python3.9-venv                     	       1       46        0       45        0
 8305 pythonpy                           	       1        1        0        0        0
 8306 pyzo                               	       1        4        1        2        0
 8307 qalculate-gtk                      	       1       65        3       61        0
 8308 qapt-batch                         	       1        9        0        8        0
 8309 qca-qt6-utils                      	       1        1        0        0        0
 8310 qcoro-qt6-dev                      	       1        1        0        0        0
 8311 qdbm-util                          	       1        3        0        2        0
 8312 qdirstat                           	       1       37        0       36        0
 8313 qemu-user-static                   	       1       85        5       79        0
 8314 qhull-bin                          	       1       63        0       62        0
 8315 qml-module-org-kde-activities      	       1      529        2        9      517
 8316 qml-module-org-kde-ksysguard       	       1      509        7       25      476
 8317 qml6-module-org-kde-coreaddons     	       1       41        6       14       20
 8318 qml6-module-org-kde-desktop        	       1       40        6       14       19
 8319 qml6-module-org-kde-draganddrop    	       1       23        6       14        2
 8320 qml6-module-org-kde-kcmutils       	       1       60        6       14       39
 8321 qml6-module-org-kde-kdeconnect     	       1       36        6       11       18
 8322 qml6-module-org-kde-kirigami       	       1       71        6       15       49
 8323 qml6-module-org-kde-kirigamiaddons-components	       1       40        3       10       26
 8324 qml6-module-org-kde-kitemmodels    	       1       39        6       14       18
 8325 qml6-module-org-kde-kquickcontrolsaddons	       1       55        6       14       34
 8326 qml6-module-org-kde-ksvg           	       1       41        6       15       19
 8327 qml6-module-org-kde-kwindowsystem  	       1       24        6       14        3
 8328 qml6-module-org-kde-networkmanager 	       1       21        5       12        3
 8329 qml6-module-org-kde-notifications  	       1       24        5       14        4
 8330 qml6-module-org-kde-pipewire       	       1       23        6       14        2
 8331 qml6-module-org-kde-plasma-plasma5support	       1       23        6       15        1
 8332 qml6-module-org-kde-quickcharts    	       1       24        6       14        3
 8333 qml6-module-org-kde-sonnet         	       1       40        6       14       19
 8334 qml6-module-qt-labs-platform       	       1       79        6       14       58
 8335 qml6-module-qt-labs-qmlmodels      	       1       66        6       13       46
 8336 qml6-module-qt5compat-graphicaleffects	       1       61        6       16       38
 8337 qml6-module-qtcore                 	       1       57        6       12       38
 8338 qml6-module-qtqml-workerscript     	       1      139        5       17      116
 8339 qml6-module-qtquick-controls       	       1      145        6       17      121
 8340 qml6-module-qtquick-dialogs        	       1       86        6       14       65
 8341 qml6-module-qtquick-effects        	       1       29        6       14        8
 8342 qml6-module-qtquick-layouts        	       1      145        6       17      121
 8343 qml6-module-qtquick-templates      	       1      113        6       17       89
 8344 qml6-module-qtquick-window         	       1      104        6       17       80
 8345 qmlscene-qt6                       	       1        2        0        1        0
 8346 qt5-style-plugin-plastique         	       1      309        1        4      303
 8347 qt5ct                              	       1       91       11       79        0
 8348 qt6-3d-dev                         	       1        1        0        0        0
 8349 qt6-base-private-dev               	       1       14        0       13        0
 8350 qt6-connectivity-dev               	       1        1        0        0        0
 8351 qt6-datavis3d-dev                  	       1        1        0        0        0
 8352 qt6-datavisualization-dev          	       1        1        0        0        0
 8353 qt6-declarative-private-dev        	       1        1        0        0        0
 8354 qt6-graphs-dev                     	       1        1        0        0        0
 8355 qt6-grpc-dev                       	       1        1        0        0        0
 8356 qt6-grpc-tools                     	       1        1        0        0        0
 8357 qt6-languageserver-dev             	       1        1        0        0        0
 8358 qt6-languageserver-private-dev     	       1        1        0        0        0
 8359 qt6-location-dev                   	       1        1        0        0        0
 8360 qt6-multimedia-dev                 	       1       12        0       11        0
 8361 qt6-pdf-dev                        	       1        8        0        7        0
 8362 qt6-positioning-dev                	       1        8        0        7        0
 8363 qt6-positioning-private-dev        	       1        1        0        0        0
 8364 qt6-quick3d-dev                    	       1        1        0        0        0
 8365 qt6-quick3d-dev-tools              	       1        1        0        0        0
 8366 qt6-quick3d-private-dev            	       1        1        0        0        0
 8367 qt6-quick3dphysics-dev             	       1        1        0        0        0
 8368 qt6-quick3dphysics-dev-tools       	       1        1        0        0        0
 8369 qt6-remoteobjects-dev              	       1        1        0        0        0
 8370 qt6-scxml-dev                      	       1        1        0        0        0
 8371 qt6-speech-dev                     	       1        1        0        0        0
 8372 qt6-svg-private-dev                	       1        1        0        0        0
 8373 qt6-tools-private-dev              	       1        1        0        0        0
 8374 qt6-virtualkeyboard-dev            	       1        1        0        0        0
 8375 qt6-wayland                        	       1      283        2       12      268
 8376 qt6-wayland-private-dev            	       1        1        0        0        0
 8377 qt6-webengine-dev                  	       1        8        0        7        0
 8378 qt6-webengine-dev-tools            	       1        8        0        7        0
 8379 qt6-webengine-private-dev          	       1        1        0        0        0
 8380 qt6-websockets-private-dev         	       1        1        0        0        0
 8381 qt6-webview-dev                    	       1        1        0        0        0
 8382 qt6ct                              	       1       31        2       28        0
 8383 qtbase5-private-dev                	       1       42        0       41        0
 8384 qtcreator                          	       1       58        1       56        0
 8385 qtcreator-data                     	       1       63        0       62        0
 8386 qtdeclarative5-dev                 	       1      127        0      126        0
 8387 qtdeclarative5-dev-tools           	       1      132        0      129        2
 8388 qtkeychain-qt5-dev                 	       1       19        0       18        0
 8389 qtmultimedia5-dev                  	       1       51        0       50        0
 8390 qtxdg-tools                        	       1        7        1        5        0
 8391 quanta-data-trinity                	       1       24        0       23        0
 8392 quanta-trinity                     	       1       24        0       23        0
 8393 quassel-client                     	       1        8        1        6        0
 8394 quickemu                           	       1        5        0        4        0
 8395 quota                              	       1       57        9       47        0
 8396 r-cran-acepack                     	       1       37        1       35        0
 8397 r-cran-argparse                    	       1        2        0        1        0
 8398 r-cran-argparser                   	       1        1        0        0        0
 8399 r-cran-askpass                     	       1       42        1       40        0
 8400 r-cran-assertthat                  	       1       40        1       38        0
 8401 r-cran-bit64                       	       1       39        1       37        0
 8402 r-cran-bslib                       	       1       37        1       35        0
 8403 r-cran-cli                         	       1       44        1       42        0
 8404 r-cran-clock                       	       1       35        1       33        0
 8405 r-cran-cpp11                       	       1       38        1       36        0
 8406 r-cran-curl                        	       1       39        1       37        0
 8407 r-cran-data.table                  	       1       40        1       38        0
 8408 r-cran-fastcluster                 	       1        2        0        1        0
 8409 r-cran-filelock                    	       1        4        0        3        0
 8410 r-cran-geosphere                   	       1       34        1       32        0
 8411 r-cran-jsonlite                    	       1       42        1       40        0
 8412 r-cran-keyring                     	       1        4        0        3        0
 8413 r-cran-littler                     	       1       45        1       43        0
 8414 r-cran-lme4                        	       1       36        1       34        0
 8415 r-cran-pander                      	       1        8        0        7        0
 8416 r-cran-pdftools                    	       1        8        0        7        0
 8417 r-cran-processx                    	       1       38        1       36        0
 8418 r-cran-ps                          	       1       38        1       36        0
 8419 r-cran-purrr                       	       1       40        1       38        0
 8420 r-cran-r.utils                     	       1       36        1       34        0
 8421 r-cran-rappdirs                    	       1       41        1       39        0
 8422 r-cran-rbibutils                   	       1       35        1       33        0
 8423 r-cran-rdpack                      	       1       35        1       33        0
 8424 r-cran-readxl                      	       1       35        1       33        0
 8425 r-cran-reformulas                  	       1        1        0        0        0
 8426 r-cran-sodium                      	       1       38        1       36        0
 8427 r-cran-sp                          	       1       40        1       38        0
 8428 r-cran-stars                       	       1       33        1       31        0
 8429 r-cran-sys                         	       1       42        1       40        0
 8430 r-cran-tinytex                     	       1       41        1       39        0
 8431 r-cran-waldo                       	       1       37        1       35        0
 8432 r-cran-xfun                        	       1       42        1       40        0
 8433 r-cran-yaml                        	       1       44        1       42        0
 8434 r-cran-zoo                         	       1       37        1       35        0
 8435 racc                               	       1       83        2       80        0
 8436 radare2                            	       1       10        0        9        0
 8437 radeontop                          	       1       86        1       84        0
 8438 rakarrack                          	       1       11        0       10        0
 8439 ranger                             	       1       72        3       68        0
 8440 raptor2-utils                      	       1       14        0       13        0
 8441 rarcrack                           	       1       40        1       38        0
 8442 rarian-compat                      	       1       96        1       94        0
 8443 rbenv                              	       1        9        1        7        0
 8444 rclone-browser                     	       1       20        0       19        0
 8445 rcs                                	       1      128        8      119        0
 8446 rdate                              	       1       53        6       46        0
 8447 rdtool                             	       1        4        0        3        0
 8448 readpe                             	       1        2        0        1        0
 8449 reaver                             	       1       39        1       37        0
 8450 rebar3                             	       1        2        0        1        0
 8451 recollcmd                          	       1       38        3       34        0
 8452 recollgui                          	       1       37        1       35        0
 8453 redis-server                       	       1       86       10       75        0
 8454 redis-tools                        	       1       87       29       57        0
 8455 ree                                	       1       32        1       30        0
 8456 refracta2usb                       	       1        4        0        3        0
 8457 registry-tools                     	       1        6        0        5        0
 8458 reiser4progs                       	       1       35        0       34        0
 8459 remind                             	       1       32        1       30        0
 8460 repo                               	       1       17        2       14        0
 8461 repo-manager-loc-os                	       1        1        0        0        0
 8462 reprepro                           	       1       24        1       22        0
 8463 resolvconf                         	       1      204       26      177        0
 8464 restic                             	       1       24        0       23        0
 8465 retext                             	       1       22        0       21        0
 8466 rev-plugins                        	       1       20        0       19        0
 8467 rhino                              	       1       48        1       46        0
 8468 rhythmbox-dev                      	       1        1        0        0        0
 8469 rhythmbox-plugin-alternative-toolbar	       1        9        0        8        0
 8470 rkhunter                           	       1      164       10      153        0
 8471 rocm-core                          	       1       17        0       16        0
 8472 rocm-opencl                        	       1       16        1       14        0
 8473 rocminfo                           	       1       20        1       18        0
 8474 rocprofiler-register               	       1       11        1        9        0
 8475 rocs                               	       1       43        0       42        0
 8476 rpi-imager                         	       1       51        0       50        0
 8477 rr                                 	       1        6        0        5        0
 8478 rsgain                             	       1        2        0        1        0
 8479 rsh-server                         	       1       11        2        8        0
 8480 rss-glx                            	       1       22        0       21        0
 8481 rstudio                            	       1       29        0       28        0
 8482 rtl-433                            	       1       17        1       15        0
 8483 rtw89-dkms                         	       1        2        0        1        0
 8484 ruby-actioncable                   	       1       11        0       10        0
 8485 ruby-actionmailbox                 	       1       10        0        9        0
 8486 ruby-actionmailer                  	       1       14        0       13        0
 8487 ruby-actionpack                    	       1       14        0       13        0
 8488 ruby-actionpack-xml-parser         	       1        6        0        5        0
 8489 ruby-actiontext                    	       1       10        0        9        0
 8490 ruby-actionview                    	       1       14        0       13        0
 8491 ruby-activejob                     	       1       14        0       13        0
 8492 ruby-activemodel                   	       1       17        0       16        0
 8493 ruby-activerecord                  	       1       16        0       15        0
 8494 ruby-activestorage                 	       1       11        0       10        0
 8495 ruby-activesupport                 	       1       24        1       22        0
 8496 ruby-acts-as-taggable-on           	       1        1        0        0        0
 8497 ruby-afm                           	       1        6        0        5        0
 8498 ruby-ahoy-email                    	       1        1        0        0        0
 8499 ruby-all-dev                       	       1       12        0       11        0
 8500 ruby-ascii85                       	       1        6        0        5        0
 8501 ruby-asciidoctor                   	       1       63        1       61        0
 8502 ruby-asciidoctor-pdf               	       1        2        0        1        0
 8503 ruby-aubio                         	       1        4        0        3        0
 8504 ruby-bootsnap                      	       1        7        0        6        0
 8505 ruby-builder                       	       1       42        1       40        0
 8506 ruby-bundler                       	       1       47        3       43        0
 8507 ruby-byebug                        	       1       11        0       10        0
 8508 ruby-cairo                         	       1       35        0       34        0
 8509 ruby-cairo-gobject                 	       1       35        0       34        0
 8510 ruby-childprocess                  	       1       35        1       33        0
 8511 ruby-css-parser                    	       1        8        0        7        0
 8512 ruby-curses                        	       1       10        0        9        0
 8513 ruby-debian                        	       1       91       10       80        0
 8514 ruby-diffy                         	       1       22        1       20        0
 8515 ruby-erubi                         	       1       55        1       53        0
 8516 ruby-eventmachine                  	       1       20        4       15        0
 8517 ruby-excon                         	       1       30        1       28        0
 8518 ruby-faraday                       	       1       33        1       31        0
 8519 ruby-fog-core                      	       1       26        1       24        0
 8520 ruby-fog-libvirt                   	       1       26        1       24        0
 8521 ruby-formatador                    	       1       26        1       24        0
 8522 ruby-gio2                          	       1       37        1       35        0
 8523 ruby-glib2                         	       1       38        1       36        0
 8524 ruby-globalid                      	       1       14        0       13        0
 8525 ruby-gobject-introspection         	       1       37        1       35        0
 8526 ruby-google-protobuf               	       1       24        1       22        0
 8527 ruby-googleapis-common-protos-types	       1       24        1       22        0
 8528 ruby-googleauth                    	       1       24        1       22        0
 8529 ruby-gtk3                          	       1       25        0       24        0
 8530 ruby-hamster                       	       1        3        0        2        0
 8531 ruby-hashery                       	       1        5        0        4        0
 8532 ruby-hivex                         	       1        1        0        0        0
 8533 ruby-http-parser.rb                	       1       11        1        9        0
 8534 ruby-ipaddress                     	       1       17        1       15        0
 8535 ruby-jwt                           	       1       25        1       23        0
 8536 ruby-kramdown                      	       1       22        1       20        0
 8537 ruby-libvirt                       	       1       26        1       24        0
 8538 ruby-listen                        	       1       58        1       56        0
 8539 ruby-little-plugger                	       1       24        1       22        0
 8540 ruby-logging                       	       1       24        1       22        0
 8541 ruby-method-source                 	       1       15        1       13        0
 8542 ruby-mime-types                    	       1       66        1       64        0
 8543 ruby-mime-types-data               	       1       62        1       60        0
 8544 ruby-mini-portile2                 	       1       79        1       77        0
 8545 ruby-multipart-post                	       1       31        1       29        0
 8546 ruby-net-scp                       	       1       30        1       28        0
 8547 ruby-net-sftp                      	       1       30        1       28        0
 8548 ruby-net-ssh                       	       1       32        1       30        0
 8549 ruby-os                            	       1       24        1       22        0
 8550 ruby-pango                         	       1       35        0       34        0
 8551 ruby-pdf-core                      	       1        6        0        5        0
 8552 ruby-pdf-reader                    	       1        5        0        4        0
 8553 ruby-pkg-config                    	       1      117        3      113        0
 8554 ruby-posix-spawn                   	       1        6        0        5        0
 8555 ruby-prawn                         	       1        4        0        3        0
 8556 ruby-prawn-icon                    	       1        2        0        1        0
 8557 ruby-prawn-svg                     	       1        2        0        1        0
 8558 ruby-prawn-table                   	       1        3        0        2        0
 8559 ruby-prawn-templates               	       1        2        0        1        0
 8560 ruby-rack                          	       1       39        2       36        0
 8561 ruby-rack-livereload               	       1        1        0        0        0
 8562 ruby-rack-session                  	       1        1        0        0        0
 8563 ruby-rails-html-sanitizer          	       1       14        0       13        0
 8564 ruby-railties                      	       1       14        0       13        0
 8565 ruby-rb-inotify                    	       1       58        1       56        0
 8566 ruby-rc4                           	       1        5        0        4        0
 8567 ruby-rchardet                      	       1       17        1       15        0
 8568 ruby-rd                            	       1        5        0        4        0
 8569 ruby-rmagick                       	       1       25        0       24        0
 8570 ruby-rouge                         	       1       22        1       20        0
 8571 ruby-rubame                        	       1        4        0        3        0
 8572 ruby-signet                        	       1       24        1       22        0
 8573 ruby-sys-proctable                 	       1       12        1       10        0
 8574 ruby-thor                          	       1       57        1       55        0
 8575 ruby-ttfunk                        	       1        7        0        6        0
 8576 ruby-tzinfo                        	       1       27        1       25        0
 8577 ruby-unf-ext                       	       1       74        1       72        0
 8578 ruby-unicode                       	       1       71        5       65        0
 8579 ruby-useragent                     	       1        1        0        0        0
 8580 ruby-vagrant-cloud                 	       1       29        1       27        0
 8581 ruby-wavefile                      	       1        4        0        3        0
 8582 ruby-websocket                     	       1       10        0        9        0
 8583 ruby-websocket-driver              	       1       11        0       10        0
 8584 ruby-zeitwerk                      	       1       19        0       18        0
 8585 ruby-zip                           	       1       61        1       59        0
 8586 ruby2.7-dev                        	       1       14        0       13        0
 8587 ruby2.7-doc                        	       1       12        0       11        0
 8588 ruby3.1-dev                        	       1       75        2       72        0
 8589 rust                               	       1        1        0        0        0
 8590 rust-analyzer                      	       1        2        0        1        0
 8591 rust-clippy                        	       1       14        0       13        0
 8592 rust-gdb                           	       1       29        0       28        0
 8593 rust-llvm                          	       1       12        0       11        0
 8594 rust-src                           	       1        8        0        7        0
 8595 rustfmt                            	       1       18        0       17        0
 8596 rwho                               	       1       13        0       12        0
 8597 rzip                               	       1       42        1       40        0
 8598 s-tui                              	       1       29        0       28        0
 8599 s3fs                               	       1        3        0        2        0
 8600 samba-ad-dc                        	       1       18        2       11        4
 8601 samba-testsuite                    	       1        7        0        6        0
 8602 sambamba                           	       1        1        0        0        0
 8603 sane                               	       1      146        4      141        0
 8604 sbcl                               	       1       68        1       66        0
 8605 sbcl-source                        	       1       11        0       10        0
 8606 sbuild                             	       1       25        1       23        0
 8607 sc3-plugins-server                 	       1        5        0        4        0
 8608 scantv                             	       1       27        1       25        0
 8609 scdoc                              	       1       20        0       19        0
 8610 schism                             	       1       20        0       19        0
 8611 scilab-include                     	       1       17        0       16        0
 8612 scilab-minimal-bin                 	       1       17        0       16        0
 8613 sciteproj                          	       1       10        0        9        0
 8614 scratch                            	       1       22        0       21        0
 8615 screenie                           	       1       21        0       20        0
 8616 scrypt                             	       1        3        0        2        0
 8617 seamonkey-mozilla-build            	       1       10        1        8        0
 8618 secure-delete                      	       1       40        1       38        0
 8619 seergdb                            	       1        2        0        1        0
 8620 selinux-utils                      	       1      106        2      103        0
 8621 sen                                	       1        4        0        3        0
 8622 serdi                              	       1        5        0        4        0
 8623 service-wrapper                    	       1        8        0        7        0
 8624 setserial                          	       1       66        3       62        0
 8625 sgmlspl                            	       1       84        0       83        0
 8626 shfmt                              	       1        8        0        7        0
 8627 shiboken2                          	       1      121        0      120        0
 8628 shim-helpers-arm64-signed          	       1        3        0        2        0
 8629 shntool                            	       1       48        0       47        0
 8630 shorewall                          	       1       20        1       18        0
 8631 shorewall-core                     	       1       20        1       15        3
 8632 shorewall-init                     	       1        5        0        4        0
 8633 shorewall-lite                     	       1        1        0        0        0
 8634 shorewall6                         	       1        6        0        5        0
 8635 shorewall6-lite                    	       1        1        0        0        0
 8636 shotcut                            	       1       59        1       57        0
 8637 showfoto                           	       1        8        0        7        0
 8638 shtool                             	       1       58        1       56        0
 8639 shutter                            	       1       16        0       15        0
 8640 sidplay-base                       	       1       10        0        9        0
 8641 signify-openbsd                    	       1       17        1       15        0
 8642 signon-ui-qt                       	       1        2        0        1        0
 8643 signon-ui-x11                      	       1       26        0       25        0
 8644 signond                            	       1       29        0       28        0
 8645 silicon-sword                      	       1        2        0        1        0
 8646 silverjuke                         	       1       10        0        9        0
 8647 simplyhtml                         	       1       33        0       32        0
 8648 singular-ui                        	       1       15        1       13        0
 8649 singular-ui-emacs                  	       1        2        1        0        0
 8650 sip-dev                            	       1       15        0       14        0
 8651 sipcrack                           	       1       17        1       15        0
 8652 sipgrep                            	       1       16        1       14        0
 8653 sipvicious                         	       1       16        1       14        0
 8654 skanpage                           	       1       11        0       10        0
 8655 slime                              	       1       24        0       23        0
 8656 slimjet                            	       1        7        0        5        1
 8657 sloccount                          	       1       25        0       24        0
 8658 smart-notifier                     	       1       58        4       53        0
 8659 smb-nat                            	       1        9        1        7        0
 8660 smb4k                              	       1       26        0       25        0
 8661 smbios-utils                       	       1       17        0       16        0
 8662 smbldap-tools                      	       1       10        1        8        0
 8663 smp-utils                          	       1        4        0        3        0
 8664 snap                               	       1       28        2       25        0
 8665 snapper                            	       1       18        1       16        0
 8666 snd-common                         	       1       12        0        9        2
 8667 sndio-tools                        	       1       22        0       21        0
 8668 sngrep                             	       1       21        1       19        0
 8669 solfege                            	       1       19        1       17        0
 8670 sonata                             	       1       14        0       13        0
 8671 songrec                            	       1        2        0        1        0
 8672 sonic-pi                           	       1        3        0        2        0
 8673 sonic-pi-server                    	       1        3        0        2        0
 8674 sordi                              	       1        8        0        7        0
 8675 soundkonverter                     	       1       28        0       27        0
 8676 source-extractor                   	       1       26        0       25        0
 8677 sparse                             	       1        5        0        4        0
 8678 spawn-fcgi                         	       1       81        3       77        0
 8679 spectre-meltdown-checker           	       1       33        1       31        0
 8680 speex                              	       1       62        0       61        0
 8681 spfquery                           	       1        9        0        8        0
 8682 spyder                             	       1       23        1       21        0
 8683 sq                                 	       1        5        0        4        0
 8684 sqlcipher                          	       1        7        0        6        0
 8685 sqlite-utils                       	       1        9        0        8        0
 8686 sqlitebrowser                      	       1      128        3      124        0
 8687 squashfs-tools-ng                  	       1       27        3       23        0
 8688 squeak-vm                          	       1       23        0       22        0
 8689 sqv                                	       1        7        3        3        0
 8690 ssh-audit                          	       1       26        1       24        0
 8691 sshpass                            	       1      122        4      117        0
 8692 sssd-ad-common                     	       1       27        1       25        0
 8693 sssd-common                        	       1       27        2       24        0
 8694 sssd-ipa                           	       1       27        1       14       11
 8695 step                               	       1       44        0       43        0
 8696 stepic                             	       1       15        1       13        0
 8697 storm-lang                         	       1        1        0        0        0
 8698 storm-lang-crypto                  	       1        1        0        0        0
 8699 storm-lang-sql                     	       1        1        0        0        0
 8700 storm-lang-ui                      	       1        1        0        0        0
 8701 stow                               	       1       29        0       28        0
 8702 streamlink                         	       1       23        0       22        0
 8703 stress-ng                          	       1       40        0       39        0
 8704 stressant                          	       1        6        0        5        0
 8705 stretchplayer                      	       1        7        0        6        0
 8706 strip-nondeterminism               	       1        2        0        1        0
 8707 strongswan-charon                  	       1       72       11       60        0
 8708 strongswan-libcharon               	       1       74       11       62        0
 8709 strongswan-starter                 	       1       72       13       58        0
 8710 stterm                             	       1       72        1       70        0
 8711 supercollider-server               	       1        7        0        6        0
 8712 superkaramba-trinity               	       1       24        0       23        0
 8713 supertuxkart                       	       1       67        0       66        0
 8714 supertuxkart-data                  	       1       68        0       55       12
 8715 svgtune                            	       1        5        0        4        0
 8716 swami                              	       1        7        0        6        0
 8717 swayimg                            	       1        9        0        8        0
 8718 swaykbdd                           	       1        3        0        2        0
 8719 sweep                              	       1        9        0        8        0
 8720 swig                               	       1       91        1       89        0
 8721 swig4.0                            	       1       77        1       75        0
 8722 sxhkd                              	       1       37        5       31        0
 8723 sxmo-utils                         	       1        2        0        1        0
 8724 syslinux-utils                     	       1       52        0       51        0
 8725 systemctl                          	       1       44        2       41        0
 8726 systemctl-service-shim             	       1       27        2       24        0
 8727 systemd-boot-efi                   	       1        1        0        0        0
 8728 systemd-repart                     	       1        1        0        0        0
 8729 systemd-ukify                      	       1        2        0        1        0
 8730 sysvinit                           	       1     3119        1       53     3064
 8731 tailscale                          	       1       12        4        7        0
 8732 tap                                	       1        2        0        1        0
 8733 tap-plugins                        	       1       80        5       74        0
 8734 tasksh                             	       1        4        0        3        0
 8735 tcl-itcl4-dev                      	       1        1        0        0        0
 8736 tcl-memchan-dev                    	       1        1        0        0        0
 8737 tcl-thread                         	       1        4        0        3        0
 8738 tcl8.6-dev                         	       1      120        1      118        0
 8739 tcllib                             	       1      112        1      110        0
 8740 tclx8.4                            	       1        9        0        8        0
 8741 tclx8.4-dev                        	       1        1        0        0        0
 8742 tcpflow                            	       1       25        1       23        0
 8743 tcplay                             	       1       12        2        9        0
 8744 tcpreplay                          	       1       19        1       17        0
 8745 tcptrace                           	       1       33        1       31        0
 8746 tcptraceroute                      	       1       46        2       43        0
 8747 tcpxtract                          	       1       21        1       19        0
 8748 tdeaddons-tdefile-plugins-trinity  	       1       25        2       22        0
 8749 tdeadmin-tdefile-plugins-trinity   	       1       26        0       25        0
 8750 tdeartwork-style-trinity           	       1       26        0       25        0
 8751 tdebase-tdeio-plugins-trinity      	       1       36        5       30        0
 8752 tdebase-tdeio-smb-trinity          	       1       32        2       29        0
 8753 tdebase-trinity-bin                	       1       37        5       31        0
 8754 tdednssd-trinity                   	       1       25        4       20        0
 8755 tdefilereplace-trinity             	       1       25        0       24        0
 8756 tdegraphics-tdefile-plugins-trinity	       1       29        5       23        0
 8757 tdeiconedit-trinity                	       1       27        1       25        0
 8758 tdelibs-data-trinity               	       1       41        4       36        0
 8759 tdelibs14-trinity                  	       1       40        6       33        0
 8760 tdelirc-trinity                    	       1       23        0       22        0
 8761 tdemid-trinity                     	       1       23        0       22        0
 8762 tdemultimedia-tdefile-plugins-trinity	       1       26        0       25        0
 8763 tdemultimedia-tdeio-plugins-trinity	       1       33        1       31        0
 8764 tdenetwork-filesharing-trinity     	       1       25        0       24        0
 8765 tdenetwork-tdefile-plugins-trinity 	       1       26        0       25        0
 8766 tdepasswd-trinity                  	       1       35        1       33        0
 8767 tdepim-tdefile-plugins-trinity     	       1       26        0       25        0
 8768 tdepim-tdeio-plugins-trinity       	       1       29        1       27        0
 8769 tdepim-tderesources-trinity        	       1       30        1       28        0
 8770 tdepim-wizards-trinity             	       1       24        0       23        0
 8771 tdepowersave-trinity               	       1       27        4       22        0
 8772 tdeprint-trinity                   	       1       34        1       32        0
 8773 tdescreensaver-trinity             	       1       27        0       26        0
 8774 tdescreensaver-xsavers-trinity     	       1       27        0       26        0
 8775 tdessh-trinity                     	       1       24        0       23        0
 8776 tdewalletmanager-trinity           	       1       30        1       28        0
 8777 tdm-trinity                        	       1       33        4       28        0
 8778 tdom-dev                           	       1        1        0        0        0
 8779 tecla                              	       1       20        0       19        0
 8780 tennix                             	       1        1        0        0        0
 8781 terminfo                           	       1        6        0        5        0
 8782 terminology-data                   	       1       18        0        2       15
 8783 terser                             	       1      169        1      167        0
 8784 tesseract-ocr                      	       1      253        3      249        0
 8785 texmaker                           	       1       41        0       40        0
 8786 texworks-scripting-lua             	       1        4        0        3        0
 8787 texworks-scripting-python          	       1        4        0        3        0
 8788 tftp-hpa                           	       1       55        1       53        0
 8789 thonny                             	       1       29        2       26        0
 8790 threema                            	       1        4        0        3        0
 8791 thunderbird-l10n-en-ca             	       1        4        0        3        0
 8792 thunderbird-l10n-es-ar             	       1        5        1        3        0
 8793 thunderbird-l10n-fr                	       1       34        6       27        0
 8794 thunderbird-l10n-nl                	       1        8        0        7        0
 8795 thunderbird-l10n-pl                	       1       13        0       12        0
 8796 thunderbird-l10n-ru                	       1       11        1        9        0
 8797 tidy                               	       1      113        2      110        0
 8798 tiger                              	       1       24        6       17        0
 8799 tigervnc-tools                     	       1       76        3       72        0
 8800 tightvncpasswd                     	       1      141        4      136        0
 8801 tightvncserver                     	       1      126        8      117        0
 8802 tilda                              	       1       22        3       18        0
 8803 tilde                              	       1        4        0        3        0
 8804 timg                               	       1        5        0        4        0
 8805 tint                               	       1       23        0       22        0
 8806 tinysparql                         	       1        1        0        0        0
 8807 tipp10                             	       1       22        1       20        0
 8808 tk-itk4-dev                        	       1        1        0        0        0
 8809 tk8.6-dev                          	       1       97        1       95        0
 8810 tkblt-dev                          	       1        6        0        5        0
 8811 tkcon                              	       1       21        0       20        0
 8812 tkinfo                             	       1       17        0       16        0
 8813 tklib                              	       1       33        0       32        0
 8814 tldr-hs                            	       1        5        0        4        0
 8815 tlp                                	       1       93       17       75        0
 8816 tmispell-voikko                    	       1       10        0        9        0
 8817 tofrodos                           	       1       78        1       76        0
 8818 tomb                               	       1       16        1       14        0
 8819 tomcat9                            	       1       16        3       12        0
 8820 tomcat9-common                     	       1       17        4       12        0
 8821 tor                                	       1      250       47      202        0
 8822 torbrowser-launcher                	       1      108        9       98        0
 8823 torsocks                           	       1      264       21      242        0
 8824 tpm-tools                          	       1        9        0        8        0
 8825 tpm-tools-pkcs11                   	       1        2        0        1        0
 8826 translate-toolkit                  	       1       46        1       44        0
 8827 translatelocally                   	       1        2        0        1        0
 8828 traverso                           	       1        2        0        1        0
 8829 treeline                           	       1        8        2        5        0
 8830 trivy                              	       1        3        0        2        0
 8831 tty-record                         	       1        2        0        1        0
 8832 tty-share                          	       1        1        0        0        0
 8833 tua                                	       1        1        0        0        0
 8834 tudu                               	       1        3        0        2        0
 8835 tuned                              	       1       10        0        9        0
 8836 tuxguitar-fluidsynth               	       1        6        0        5        0
 8837 tuxguitar-synth-lv2                	       1        4        0        3        0
 8838 tuxmath                            	       1       31        0       30        0
 8839 tuxpaint-config                    	       1       41        0       40        0
 8840 tuxpaint-dev                       	       1        2        0        1        0
 8841 twin-trinity                       	       1       35        4       30        0
 8842 twm                                	       1       44        0       43        0
 8843 typora                             	       1        6        0        5        0
 8844 u-boot-qemu                        	       1        9        0        8        0
 8845 ubertooth                          	       1        5        0        4        0
 8846 ublock-origin-combined             	       1       10        0        9        0
 8847 udev                               	       1     1981        4       55     1921
 8848 udevil                             	       1       34        1       32        0
 8849 udfclient                          	       1       16        0       15        0
 8850 udftools                           	       1       62        2       59        0
 8851 udiskie                            	       1       31        2       28        0
 8852 ufoai                              	       1       18        0       17        0
 8853 uget                               	       1       33        0       32        0
 8854 ugrep                              	       1       23        1       21        0
 8855 uim                                	       1       36        3       32        0
 8856 uim-data                           	       1       37        1       19       16
 8857 uim-fep                            	       1       34        0       33        0
 8858 uim-gtk2.0                         	       1       33        0       32        0
 8859 uim-gtk3                           	       1       33        2       30        0
 8860 uim-mozc                           	       1       29        1       17       10
 8861 uim-plugins                        	       1       36        2       18       15
 8862 uim-qt5                            	       1       34        0       33        0
 8863 uim-qt5-immodule                   	       1       34        1        7       25
 8864 uim-xim                            	       1       36        3       32        0
 8865 umbrello                           	       1       49        0       48        0
 8866 umbrello-data                      	       1       40        0       39        0
 8867 uml-utilities                      	       1       59       13       45        0
 8868 umockdev                           	       1        2        0        1        0
 8869 unadf                              	       1       18        0       17        0
 8870 unhide                             	       1      155        7      147        0
 8871 unhide.rb                          	       1      137        3      133        0
 8872 unicode                            	       1       23        0       22        0
 8873 unison-2.52                        	       1       69        3       65        0
 8874 unison-2.53                        	       1        8        1        6        0
 8875 units                              	       1       74        2       71        0
 8876 unixodbc-dev                       	       1      104        0      103        0
 8877 unp                                	       1       49        2       46        0
 8878 unpaper                            	       1      139        1      137        0
 8879 urlview                            	       1       41        0       40        0
 8880 usbip                              	       1       23        2       20        0
 8881 usbredirect                        	       1       19        2       16        0
 8882 user-mode-linux                    	       1        8        0        7        0
 8883 user-session-migration             	       1       11        0       10        0
 8884 utalk                              	       1        5        0        4        0
 8885 uucp                               	       1       12        2        9        0
 8886 v4l-conf                           	       1       43        1       41        0
 8887 vagrant                            	       1       37        1       35        0
 8888 vagrant-libvirt                    	       1       26        1       24        0
 8889 vagrant-sshfs                      	       1        6        0        5        0
 8890 valgrind                           	       1      205        3      201        0
 8891 vamp-plugin-sdk                    	       1       80        1       78        0
 8892 vapoursynth                        	       1       34        2       31        0
 8893 vbindiff                           	       1       19        1       17        0
 8894 vde-switch                         	       1       64        1       62        0
 8895 vde-wirefilter                     	       1       64        1       62        0
 8896 vde2                               	       1       75        1       73        0
 8897 vde2-cryptcab                      	       1       13        0       12        0
 8898 vdeplug                            	       1       64        1       62        0
 8899 vdpauinfo                          	       1       92        1       90        0
 8900 vim-addon-manager                  	       1      134        2      131        0
 8901 vim-scripts                        	       1      102        1      100        0
 8902 virt-what                          	       1       48        1       46        0
 8903 virtiofsd                          	       1        4        0        3        0
 8904 virtualbox-7.0                     	       1      133       19      113        0
 8905 virtualbox-7.1                     	       1       42       11       30        0
 8906 visualvm                           	       1       16        0       15        0
 8907 vitables                           	       1        5        0        4        0
 8908 vkroots-headers                    	       1        1        0        0        0
 8909 vlan                               	       1      114        3      110        0
 8910 vlc                                	       1     1191        1       50     1139
 8911 vlc-data                           	       1     1648        1       15     1631
 8912 vlc-nox                            	       1       18        1       11        5
 8913 vlc-plugin-notify                  	       1     1103        1       13     1088
 8914 vlc-plugin-samba                   	       1     1061        1       11     1048
 8915 voikko-fi                          	       1       23        0       22        0
 8916 volumeicon-alsa                    	       1       87        4       82        0
 8917 vorbisgain                         	       1       84        1       82        0
 8918 vpx-tools                          	       1       11        0       10        0
 8919 vtun                               	       1       27        3       23        0
 8920 vvmd                               	       1        2        0        1        0
 8921 vvmplayer                          	       1        2        1        0        0
 8922 wabt                               	       1       26        3       22        0
 8923 wamerican-huge                     	       1       23        1       21        0
 8924 wamerican-insane                   	       1       26        1       24        0
 8925 wamerican-large                    	       1       21        1       19        0
 8926 wamerican-small                    	       1       21        1       19        0
 8927 warmux                             	       1       24        0       23        0
 8928 warzone2100                        	       1       17        0       16        0
 8929 wasi-libc                          	       1       13        0       12        0
 8930 watchdog                           	       1       16        5       10        0
 8931 waterfox                           	       1        8        1        6        0
 8932 waydroid                           	       1        7        1        5        0
 8933 wayfire                            	       1        8        0        7        0
 8934 wayland-scanner++                  	       1        7        0        6        0
 8935 wayout                             	       1        2        0        1        0
 8936 waypipe                            	       1        8        0        7        0
 8937 wbritish-huge                      	       1       26        2       23        0
 8938 wbritish-insane                    	       1       31        2       28        0
 8939 wbritish-large                     	       1       20        2       17        0
 8940 wbritish-small                     	       1       20        2       17        0
 8941 wbulgarian                         	       1       21        1       19        0
 8942 wcanadian                          	       1       17        1       15        0
 8943 wcanadian-huge                     	       1       17        1       15        0
 8944 wcanadian-insane                   	       1       18        1       16        0
 8945 wcanadian-large                    	       1       17        1       15        0
 8946 wcanadian-small                    	       1       17        1       15        0
 8947 wcatalan                           	       1       22        1       20        0
 8948 webkit2gtk-driver                  	       1        3        0        2        0
 8949 webmin                             	       1       65        8       56        0
 8950 webpack                            	       1      169        1      167        0
 8951 weplab                             	       1       18        1       16        0
 8952 wesnoth-1.16-core                  	       1       28        0       27        0
 8953 wesnoth-1.18                       	       1       12        1       10        0
 8954 wfaroese                           	       1       14        1       12        0
 8955 wgaelic                            	       1       14        1       12        0
 8956 wgerman-medical                    	       1       27        1       25        0
 8957 wget2                              	       1       19        1       17        0
 8958 whatsapp-for-linux                 	       1        2        0        1        0
 8959 whatweb                            	       1       16        1       14        0
 8960 whitakers-words                    	       1        2        0        1        0
 8961 wicd-curses                        	       1       13        2       10        0
 8962 wicd-daemon                        	       1      134       18      115        0
 8963 wifi-qr                            	       1        3        0        2        0
 8964 wimtools                           	       1       33        0       32        0
 8965 wine-devel-dbg                     	       1        2        0        1        0
 8966 wine-devel-dev                     	       1        1        0        0        0
 8967 wine32-preloader                   	       1       25        1       23        0
 8968 wings3d                            	       1       16        0       15        0
 8969 winpr-utils                        	       1       12        0       11        0
 8970 winpr3-utils                       	       1        3        0        2        0
 8971 wireguard-tools                    	       1      193       13      179        0
 8972 wireshark                          	       1      299        2       22      274
 8973 wireshark-qt                       	       1      276        1      274        0
 8974 wirish                             	       1       17        1       15        0
 8975 wmaker                             	       1       69        8       60        0
 8976 wmaker-utils                       	       1       26        0       25        0
 8977 wmanx                              	       1       14        1       12        0
 8978 wmctrl                             	       1      161        4      156        0
 8979 wmmoonclock                        	       1       20        0       19        0
 8980 wmnd                               	       1        8        1        6        0
 8981 wmnet                              	       1       14        0       13        0
 8982 wob                                	       1        4        0        3        0
 8983 wordgrinder-ncurses                	       1       17        1       15        0
 8984 wordplay                           	       1       11        0       10        0
 8985 workrave                           	       1       16        1       14        0
 8986 wpagui                             	       1       51        1       49        0
 8987 wportuguese                        	       1       19        1       17        0
 8988 wswedish                           	       1       31        1       29        0
 8989 wswiss                             	       1       23        1       21        0
 8990 wtype                              	       1        6        0        5        0
 8991 wukrainian                         	       1       21        2       18        0
 8992 wvkbd                              	       1        3        0        2        0
 8993 wx-common                          	       1      154        2      151        0
 8994 wxedid                             	       1        4        0        3        0
 8995 wzip                               	       1       16        1       14        0
 8996 x265                               	       1       40        0       39        0
 8997 x2goclient                         	       1       76        7       68        0
 8998 x2goserver                         	       1       65       11       53        0
 8999 x2goserver-desktopsharing          	       1        5        0        4        0
 9000 x2x                                	       1       19        0       18        0
 9001 x86dis                             	       1        3        0        2        0
 9002 xattr                              	       1       16        0       15        0
 9003 xautomation                        	       1       19        0       18        0
 9004 xawtv                              	       1       25        1       23        0
 9005 xball                              	       1       12        0       11        0
 9006 xc3sprog                           	       1        2        0        1        0
 9007 xcalib                             	       1       63        0       62        0
 9008 xcb                                	       1       31        1       29        0
 9009 xcfa                               	       1       14        0       13        0
 9010 xdgmenumaker                       	       1       10        0        9        0
 9011 xemacs21-bin                       	       1      287        0      286        0
 9012 xemacs21-mule-canna-wnn            	       1      270        0      269        0
 9013 xemacs21-support                   	       1      287        0      286        0
 9014 xfce4-clipman-plugin               	       1     1664        6       27     1630
 9015 xfce4-cpufreq-plugin               	       1     1665        6       37     1621
 9016 xfce4-cpugraph-plugin              	       1     1671       17      101     1552
 9017 xfce4-docklike-plugin              	       1        1        0        0        0
 9018 xfce4-mixer                        	       1       11        1        9        0
 9019 xfce4-verve-plugin                 	       1     1660        1       35     1623
 9020 xfce4-volumed                      	       1       17        1       15        0
 9021 xfce4-wavelan-plugin               	       1     1657        3       14     1639
 9022 xfce4-xkb-plugin                   	       1     1667       19      118     1529
 9023 xfe                                	       1       74        0       73        0
 9024 xfig                               	       1       79        0       78        0
 9025 xfsdump                            	       1       53        2       50        0
 9026 xindy                              	       1       19        0       18        0
 9027 xine-ui                            	       1       57        0       56        0
 9028 xinetd                             	       1      109       25       83        0
 9029 xinput-calibrator                  	       1       15        0       14        0
 9030 xjadeo                             	       1       67        2       64        0
 9031 xkeycaps                           	       1       30        0       29        0
 9032 xl2tpd                             	       1       60       10       49        0
 9033 xlunzip                            	       1        5        0        4        0
 9034 xmacro                             	       1        7        0        6        0
 9035 xmahjongg                          	       1       32        0       31        0
 9036 xmms2-core                         	       1       97        1       95        0
 9037 xmms2-plugin-alsa                  	       1       90        1       88        0
 9038 xmms2-plugin-id3v2                 	       1       90        1       88        0
 9039 xmms2-plugin-mad                   	       1       89        1       87        0
 9040 xmms2-plugin-vorbis                	       1       89        1       87        0
 9041 xmonad                             	       1       23        1       21        0
 9042 xonsh                              	       1        4        0        3        0
 9043 xorriso-tcltk                      	       1        9        0        8        0
 9044 xournalpp                          	       1       40        1       38        0
 9045 xpaint                             	       1       55        1       53        0
 9046 xpdf                               	       1      236       14      221        0
 9047 xpenguins                          	       1       11        0       10        0
 9048 xplot-xplot.org                    	       1       31        1       29        0
 9049 xscreensaver-screensaver-webcollage	       1       39        0       38        0
 9050 xsensors                           	       1       64        1       62        0
 9051 xserver-xorg                       	       1     3179        1       16     3161
 9052 xserver-xorg-input-kbd             	       1       57        1       55        0
 9053 xserver-xorg-input-libinput-dev    	       1        8        0        7        0
 9054 xserver-xorg-input-synaptics       	       1      246       30      215        0
 9055 xserver-xorg-input-vmmouse         	       1       17        1       15        0
 9056 xserver-xorg-video-cirrus          	       1      146        1      144        0
 9057 xserver-xorg-video-dummy           	       1      122        2      119        0
 9058 xserver-xorg-video-geode           	       1       13        1       11        0
 9059 xserver-xorg-video-mach64          	       1      146        1      144        0
 9060 xserver-xorg-video-mga             	       1      151        2      148        0
 9061 xserver-xorg-video-modesetting     	       1       18        1       16        0
 9062 xserver-xorg-video-neomagic        	       1      146        1      144        0
 9063 xserver-xorg-video-nvidia-tesla    	       1        1        0        0        0
 9064 xserver-xorg-video-openchrome      	       1      145        2      142        0
 9065 xserver-xorg-video-r128            	       1      150        1      148        0
 9066 xserver-xorg-video-savage          	       1      145        1      143        0
 9067 xserver-xorg-video-siliconmotion   	       1      137        1      135        0
 9068 xserver-xorg-video-sisusb          	       1      144        1      142        0
 9069 xserver-xorg-video-tdfx            	       1      142        1      140        0
 9070 xserver-xorg-video-trident         	       1      140        1      138        0
 9071 xtables-addons-common              	       1       10        0        9        0
 9072 xtables-addons-dkms                	       1       10        0        9        0
 9073 xtermcontrol                       	       1       17        0       16        0
 9074 xtightvncviewer                    	       1      154        6      147        0
 9075 xtrlock                            	       1       25        1       23        0
 9076 xtron                              	       1        4        0        3        0
 9077 xttitle                            	       1       10        0        9        0
 9078 xva-img                            	       1       14        1       12        0
 9079 xwallpaper                         	       1       15        2       12        0
 9080 xwaylandvideobridge                	       1       16        3       12        0
 9081 xzgv                               	       1       41        3       37        0
 9082 yabasic                            	       1        6        0        5        0
 9083 yandex-browser-stable              	       1       27        3       23        0
 9084 yaze                               	       1        1        0        0        0
 9085 ycmd                               	       1       15        1       13        0
 9086 ynew                               	       1        1        0        0        0
 9087 yorick-z                           	       1        6        0        5        0
 9088 yoshimi                            	       1       18        2       15        0
 9089 yq                                 	       1        8        0        7        0
 9090 ytcc                               	       1        3        0        2        0
 9091 ytfzf                              	       1       11        0       10        0
 9092 yudit                              	       1       15        0       14        0
 9093 zabbix-agent                       	       1       49       10       38        0
 9094 zathura                            	       1      123       10      112        0
 9095 zeitgeist-core                     	       1       91       12       78        0
 9096 zfs-auto-snapshot                  	       1        7        1        5        0
 9097 zfs-zed                            	       1       63       18       44        0
 9098 zint-qt                            	       1        7        0        6        0
 9099 zoxide                             	       1        5        0        4        0
 9100 zpaq                               	       1       31        1       29        0
 9101 zsh-common                         	       1     1233       24       66     1142
 9102 zsh-static                         	       1        5        0        4        0
 9103 zulupolkit                         	       1       35        0       34        0
 9104 zynaddsubfx-dssi                   	       1       13        0       12        0
 9105 zynaddsubfx-lv2                    	       1        6        0        5        0
 9106 zynaddsubfx-vst                    	       1        5        0        4        0
 9107 zytrax                             	       1        4        0        3        0
 9108 0ad-data                           	       0       61        0        0       61
 9109 0ad-data-common                    	       0       63        0        0       63
 9110 0install                           	       0       12        0       12        0
 9111 0install-core                      	       0       33        0       33        0
 9112 0xffff                             	       0        3        1        2        0
 9113 1c-enterprise-8.3.18.1208-common   	       0        1        0        0        1
 9114 1c-enterprise-8.3.18.1208-common-nls	       0        1        0        0        1
 9115 1c-enterprise-8.3.18.1208-crs      	       0        1        0        0        1
 9116 1c-enterprise-8.3.18.1208-server   	       0        1        0        0        1
 9117 1c-enterprise-8.3.18.1208-server-nls	       0        1        0        0        1
 9118 1c-enterprise-8.3.18.1208-ws       	       0        1        0        0        1
 9119 1c-enterprise-8.3.18.1208-ws-nls   	       0        1        0        0        1
 9120 1c-enterprise-8.3.18.1563-common   	       0        1        0        0        1
 9121 1c-enterprise-8.3.18.1563-common-nls	       0        1        0        0        1
 9122 1c-enterprise-8.3.18.1563-crs      	       0        1        0        0        1
 9123 1c-enterprise-8.3.18.1563-server   	       0        1        0        0        1
 9124 1c-enterprise-8.3.18.1563-server-nls	       0        1        0        0        1
 9125 1c-enterprise-8.3.18.1563-ws       	       0        1        0        0        1
 9126 1c-enterprise-8.3.18.1563-ws-nls   	       0        1        0        0        1
 9127 1c-enterprise-8.3.19.1665-common   	       0        1        0        0        1
 9128 1c-enterprise-8.3.19.1665-common-nls	       0        1        0        0        1
 9129 1c-enterprise-8.3.19.1665-crs      	       0        1        0        0        1
 9130 1c-enterprise-8.3.19.1665-server   	       0        1        0        0        1
 9131 1c-enterprise-8.3.19.1665-server-nls	       0        1        0        0        1
 9132 1c-enterprise-8.3.19.1665-ws       	       0        1        0        0        1
 9133 1c-enterprise-8.3.19.1665-ws-nls   	       0        1        0        0        1
 9134 1c-enterprise83-common             	       0        1        0        0        1
 9135 1c-enterprise83-common-nls         	       0        1        0        0        1
 9136 1c-enterprise83-crs                	       0        1        0        0        1
 9137 1c-enterprise83-server             	       0        1        0        0        1
 9138 1c-enterprise83-server-nls         	       0        1        0        0        1
 9139 1c-enterprise83-ws                 	       0        1        0        0        1
 9140 1c-enterprise83-ws-nls             	       0        1        0        0        1
 9141 1oom                               	       0        1        0        1        0
 9142 1password                          	       0        8        1        2        5
 9143 1password-cli                      	       0        3        0        3        0
 9144 2048                               	       0       14        0       14        0
 9145 2048-qt                            	       0       20        1       19        0
 9146 2ping                              	       0        7        0        7        0
 9147 2vcard                             	       0        6        0        6        0
 9148 3270-common                        	       0       12        0       12        0
 9149 389-ds-base-libs                   	       0        1        0        0        1
 9150 3dchess                            	       0        4        1        3        0
 9151 3depict                            	       0        1        0        1        0
 9152 4digits                            	       0        3        0        3        0
 9153 4g8                                	       0        4        0        4        0
 9154 4kimagecompressor                  	       0        1        0        1        0
 9155 4kslideshowmaker                   	       0        1        0        1        0
 9156 4kstogram                          	       0        1        0        1        0
 9157 4ktokkit                           	       0        2        0        2        0
 9158 4kvideodownloader                  	       0        6        0        5        1
 9159 4kvideodownloaderplus              	       0        2        0        2        0
 9160 4kvideotomp3                       	       0        1        0        1        0
 9161 4kyoutubetomp3                     	       0        1        0        1        0
 9162 4l                                 	       0        1        0        1        0
 9163 4pane                              	       0        4        1        3        0
 9164 4ti2                               	       0       13        2       11        0
 9165 6tunnel                            	       0        3        0        3        0
 9166 7kaa                               	       0       16        0       16        0
 9167 7kaa-data                          	       0       16        0        0       16
 9168 7w                                 	       0        1        0        1        0
 9169 8814au                             	       0        1        0        0        1
 9170 915resolution                      	       0        1        0        1        0
 9171 9base                              	       0        9        0        9        0
 9172 9menu                              	       0       17        0       17        0
 9173 9mount                             	       0        7        1        6        0
 9174 9wm                                	       0        7        0        7        0
 9175 a2d                                	       0        2        0        2        0
 9176 a2jmidid                           	       0       31        3       28        0
 9177 a2ps                               	       0       71        2       69        0
 9178 a56                                	       0        2        0        2        0
 9179 a7xpg                              	       0        9        0        9        0
 9180 a7xpg-data                         	       0        9        0        0        9
 9181 aa3d                               	       0        6        0        6        0
 9182 aac-enc                            	       0        7        0        7        0
 9183 aacgain                            	       0        2        0        2        0
 9184 aacplusenc                         	       0        5        0        5        0
 9185 aacskeys                           	       0       10        0       10        0
 9186 aad                                	       0        1        0        1        0
 9187 aajm                               	       0        3        0        3        0
 9188 aasvg                              	       0        2        0        2        0
 9189 abacas                             	       0        1        0        1        0
 9190 abakus-trinity                     	       0        1        0        1        0
 9191 abbtr                              	       0        2        0        2        0
 9192 abby                               	       0        1        0        1        0
 9193 abcl                               	       0        3        0        3        0
 9194 abcmidi                            	       0       10        0       10        0
 9195 abe-data                           	       0        9        0        0        9
 9196 abgate                             	       0        4        0        4        0
 9197 abi-compliance-checker             	       0       10        0       10        0
 9198 abi-dumper                         	       0        5        0        5        0
 9199 abi-monitor                        	       0        4        0        4        0
 9200 abi-tracker                        	       0        4        0        4        0
 9201 abicheck                           	       0        6        0        6        0
 9202 abigail-doc                        	       0        2        0        0        2
 9203 abigail-tools                      	       0        3        0        3        0
 9204 abinit                             	       0        1        0        1        0
 9205 abinit-data                        	       0        1        0        0        1
 9206 abinit-doc                         	       0        1        0        0        1
 9207 abiword-common                     	       0       99        0        0       99
 9208 abiword-help                       	       0        2        0        0        2
 9209 abiword-plugin-grammar             	       0       88        0        1       87
 9210 abiword-plugin-mathview            	       0        1        0        0        1
 9211 abiword-plugins-gnome              	       0        1        0        0        1
 9212 ableton-link-utils                 	       0        2        0        2        0
 9213 ableton-link-utils-gui             	       0        1        0        1        0
 9214 abntex                             	       0        1        0        0        1
 9215 abr2gbr                            	       0        5        0        5        0
 9216 abraca                             	       0        1        0        1        0
 9217 abricotine                         	       0        1        0        0        1
 9218 abrowser                           	       0        4        1        3        0
 9219 abrowser-locale-es                 	       0        1        1        0        0
 9220 abs-guide                          	       0       14        0        0       14
 9221 abuse                              	       0        2        0        2        0
 9222 abuse-lib                          	       0        2        0        0        2
 9223 abuse-sfx                          	       0        1        0        0        1
 9224 abw2epub                           	       0       11        0       11        0
 9225 abw2odt                            	       0       12        0       12        0
 9226 abx                                	       0        3        0        3        0
 9227 abyss                              	       0        1        0        1        0
 9228 acarsdec                           	       0        1        0        1        0
 9229 acccheck                           	       0        1        0        1        0
 9230 accel-ppp                          	       0        1        0        1        0
 9231 accerciser                         	       0        1        0        1        0
 9232 accounts-qml-module-doc            	       0        1        0        0        1
 9233 ace-gperf                          	       0        3        0        3        0
 9234 ace-of-penguins                    	       0       53        2       51        0
 9235 acedb-other                        	       0        2        0        1        1
 9236 acedb-other-belvu                  	       0        1        0        0        1
 9237 acedb-other-dotter                 	       0        1        0        0        1
 9238 acetoneiso                         	       0       19        0       19        0
 9239 acfax                              	       0        1        0        1        0
 9240 acheck                             	       0        1        0        1        0
 9241 acheck-rules                       	       0        1        0        0        1
 9242 achilles                           	       0        1        0        1        0
 9243 ack                                	       0       29        1       28        0
 9244 ack-grep                           	       0        3        0        0        3
 9245 acl2                               	       0        5        0        5        0
 9246 acl2-books                         	       0        5        0        5        0
 9247 acl2-books-certs                   	       0        5        0        5        0
 9248 acl2-books-source                  	       0        5        0        5        0
 9249 acl2-doc                           	       0        3        0        3        0
 9250 acl2-emacs                         	       0        1        0        1        0
 9251 acl2-infix                         	       0        2        0        2        0
 9252 acl2-infix-source                  	       0        2        0        2        0
 9253 acl2-source                        	       0        5        0        0        5
 9254 aclock.app                         	       0        2        0        2        0
 9255 acm                                	       0        5        0        5        0
 9256 acme                               	       0        5        0        5        0
 9257 acme-tiny                          	       0        5        0        5        0
 9258 acnhscale                          	       0        1        0        1        0
 9259 aconnectgui                        	       0       13        2       11        0
 9260 acorn-fdisk                        	       0        3        0        3        0
 9261 acpi-call-dkms                     	       0       34        0        0       34
 9262 acpi-call-tools                    	       0        1        0        0        1
 9263 acpi-ec                            	       0        1        0        0        1
 9264 acpi-override-initramfs            	       0        1        0        0        1
 9265 acpi-support-base                  	       0      812        0        0      812
 9266 acpidump                           	       0        5        0        0        5
 9267 acpitail                           	       0       17        0       17        0
 9268 acpitool-dbg                       	       0        1        0        1        0
 9269 acroread                           	       0        9        1        8        0
 9270 acroread-data                      	       0       10        1        9        0
 9271 acroread-debian-files              	       0        9        1        8        0
 9272 acroread-dictionary-en             	       0        9        0        9        0
 9273 acroread-doc-de                    	       0        1        0        1        0
 9274 acroread-escript                   	       0        2        0        2        0
 9275 acroread-fonts-jpn                 	       0        2        0        2        0
 9276 acroread-l10n-en                   	       0       10        0       10        0
 9277 acroread-plugin-speech             	       0        1        0        1        0
 9278 acroread-plugins                   	       0        2        0        2        0
 9279 actiona                            	       0        4        0        4        0
 9280 activitywatch                      	       0        2        1        1        0
 9281 ada-reference-manual-2005          	       0        4        0        0        4
 9282 ada-reference-manual-2012          	       0       14        0        0       14
 9283 ada-reference-manual-2020          	       0        7        0        0        7
 9284 adabrowse                          	       0        1        0        1        0
 9285 adanaxisgpl                        	       0        1        0        1        0
 9286 adanaxisgpl-data                   	       0        1        0        0        1
 9287 adapta-colorpack                   	       0        1        0        0        1
 9288 adapta-gtk-theme                   	       0       16        0        2       14
 9289 adapta-kde                         	       0        9        0        0        9
 9290 adapterremoval                     	       0        1        0        1        0
 9291 adcli                              	       0        9        0        9        0
 9292 add-apt-key                        	       0        1        0        1        0
 9293 addressmanager.app                 	       0        3        0        3        0
 9294 adduser-ng                         	       0        1        0        1        0
 9295 adduser-ng-doc                     	       0        1        0        0        1
 9296 adduser-ng-doc-devel               	       0        1        0        1        0
 9297 adduser-plugin-quota               	       0        1        0        1        0
 9298 adequate                           	       0       27        5       22        0
 9299 adjtimex                           	       0       11        0       11        0
 9300 adlint                             	       0        1        0        1        0
 9301 admesh                             	       0        4        0        4        0
 9302 adminer                            	       0        6        0        6        0
 9303 adms                               	       0        3        0        3        0
 9304 adns-tools                         	       0       11        1       10        0
 9305 adobe-flash-player                 	       0        1        0        0        1
 9306 adobe-flash-player-browserplugin   	       0        1        0        1        0
 9307 adobe-flashplugin                  	       0        1        0        1        0
 9308 adobeair                           	       0        1        0        0        1
 9309 adobereader-enu                    	       0        9        0        9        0
 9310 adonthell                          	       0        7        0        7        0
 9311 adonthell-data                     	       0        7        0        7        0
 9312 adoptium-ca-certificates           	       0       17        0        0       17
 9313 adoptopenjdk-11-hotspot            	       0        2        1        1        0
 9314 adoptopenjdk-11-hotspot-jre        	       0        1        1        0        0
 9315 adoptopenjdk-8-hotspot             	       0        7        1        6        0
 9316 adoptopenjdk-8-hotspot-jre         	       0        3        1        2        0
 9317 adoptopenjdk-8-openj9              	       0        1        0        1        0
 9318 adplay                             	       0        4        0        4        0
 9319 adplug-utils                       	       0       10        0       10        0
 9320 adql-java                          	       0        2        0        0        2
 9321 advancecomp                        	       0       57        2       55        0
 9322 advanced-rest-client               	       0        1        0        0        1
 9323 advi                               	       0        6        0        6        0
 9324 advi-examples                      	       0        2        0        0        2
 9325 adw-gtk3                           	       0        1        0        0        1
 9326 adwaita-icon-theme                 	       0     3794        0        0     3794
 9327 adwaita-qt                         	       0      107        3        7       97
 9328 adwaita-qt-data                    	       0        1        0        0        1
 9329 adwaita-qt4                        	       0        1        0        1        0
 9330 adwaita-qt6                        	       0       17        0        0       17
 9331 adzapper                           	       0        1        0        1        0
 9332 aegis-virus-scanner                	       0        1        0        1        0
 9333 aegisub                            	       0       15        0       15        0
 9334 aegisub-l10n                       	       0        5        0        0        5
 9335 aeolus                             	       0       12        0       12        0
 9336 aephea                             	       0        2        0        0        2
 9337 aerc                               	       0        7        2        5        0
 9338 aes2501-wy                         	       0        1        0        1        0
 9339 aesfix                             	       0       24        0       24        0
 9340 aeskeyfind                         	       0       25        0       25        0
 9341 aeskulap                           	       0       21        1       20        0
 9342 aeson-pretty                       	       0        7        0        7        0
 9343 aespipe                            	       0        9        0        9        0
 9344 aether                             	       0        1        0        0        1
 9345 aevol                              	       0        1        0        1        0
 9346 aewan                              	       0        5        0        5        0
 9347 aewm                               	       0        2        0        2        0
 9348 aewm++                             	       0        9        0        9        0
 9349 aewm++-goodies                     	       0        9        0        9        0
 9350 afdko                              	       0        2        0        2        0
 9351 afdko-bin                          	       0        5        0        0        5
 9352 afdko-doc                          	       0        1        0        0        1
 9353 affiche.app                        	       0        3        0        3        0
 9354 afflib-tools                       	       0       25        0       25        0
 9355 afick-doc                          	       0        1        0        0        1
 9356 afick-gui                          	       0        1        0        1        0
 9357 afio                               	       0       11        0       11        0
 9358 afl                                	       0        1        0        0        1
 9359 afl++                              	       0        8        0        8        0
 9360 afl++-clang                        	       0        3        0        2        1
 9361 afl++-doc                          	       0        7        0        7        0
 9362 aft                                	       0        2        0        2        0
 9363 aften                              	       0        2        0        2        0
 9364 aftershot2x64                      	       0        1        0        1        0
 9365 aftershot3x64                      	       0        1        0        1        0
 9366 afterstep                          	       0       10        0       10        0
 9367 afterstep-data                     	       0       11        0        0       11
 9368 afuse                              	       0        7        0        7        0
 9369 agda                               	       0        2        0        0        2
 9370 agda-stdlib                        	       0        2        0        0        2
 9371 agda-stdlib-doc                    	       0        2        0        0        2
 9372 age                                	       0       21        0       21        0
 9373 agedu                              	       0       10        1        9        0
 9374 agenda.app                         	       0        3        0        3        0
 9375 aggregate                          	       0       10        0       10        0
 9376 aglfn                              	       0      421        0        0      421
 9377 agrep                              	       0        6        0        6        0
 9378 agress                             	       0        1        0        1        0
 9379 ags                                	       0        1        0        1        0
 9380 ahcpd                              	       0        2        1        1        0
 9381 aide-common                        	       0       13        4        9        0
 9382 aide-dynamic                       	       0        1        0        1        0
 9383 aidl                               	       0       30        0       30        0
 9384 aiksaurus                          	       0        5        1        4        0
 9385 ain-imager                         	       0        1        0        1        0
 9386 airdroid                           	       0        4        0        0        4
 9387 airgraph-ng                        	       0       15        0       15        0
 9388 airport-utils                      	       0        2        0        2        0
 9389 airsnort                           	       0        1        0        1        0
 9390 airspy                             	       0        8        0        8        0
 9391 airspyhf                           	       0        5        0        5        0
 9392 airstrike-common                   	       0        6        0        0        6
 9393 aish                               	       0        1        0        1        0
 9394 aj-snapshot                        	       0       13        0       13        0
 9395 akira                              	       0        2        0        2        0
 9396 akonadi-backend-mysql              	       0      500        1       25      474
 9397 akonadi-backend-postgresql         	       0        3        0        0        3
 9398 akonadi-backend-sqlite             	       0       26        1        3       22
 9399 akonadi-contacts-data              	       0      537        0        1      536
 9400 akonadi-mime-data                  	       0      509       15       36      458
 9401 akqml                              	       0        9        0        0        9
 9402 alacconvert                        	       0        1        0        1        0
 9403 aladin                             	       0        2        0        2        0
 9404 alarm-clock                        	       0        1        0        1        0
 9405 alarm-clock-applet                 	       0        8        0        8        0
 9406 alarm-clock-applet-gconf-migration 	       0        5        0        5        0
 9407 albatross-gtk-theme                	       0       84        0        0       84
 9408 album                              	       0        6        0        6        0
 9409 album-data                         	       0        5        0        5        0
 9410 aldo                               	       0       16        0       16        0
 9411 ale                                	       0        3        0        3        0
 9412 alembic                            	       0        7        0        6        1
 9413 alevt                              	       0        2        1        1        0
 9414 alevtd                             	       0        1        0        1        0
 9415 alex                               	       0        3        1        2        0
 9416 alex4                              	       0        7        0        7        0
 9417 alex4-data                         	       0        7        0        0        7
 9418 alexandria                         	       0        1        0        1        0
 9419 alfa                               	       0        2        0        2        0
 9420 alfaview                           	       0        1        0        1        0
 9421 algobox                            	       0        6        0        6        0
 9422 algotutor                          	       0        2        0        2        0
 9423 alice                              	       0        3        0        3        0
 9424 alien-arena                        	       0        3        0        3        0
 9425 alien-arena-data                   	       0        3        0        0        3
 9426 alien-arena-server                 	       0        1        0        1        0
 9427 alienblaster                       	       0        5        0        5        0
 9428 alienblaster-data                  	       0        5        0        0        5
 9429 aliki                              	       0        3        0        3        0
 9430 alire                              	       0        4        0        4        0
 9431 alkimia-bin                        	       0        4        0        4        0
 9432 alkimia-data                       	       0        5        0        0        5
 9433 all-knowing-dns                    	       0        1        0        1        0
 9434 allegro-demo                       	       0        1        0        1        0
 9435 allegro-demo-data                  	       0        1        0        0        1
 9436 allegro4-doc                       	       0        4        0        0        4
 9437 allegro5-build-deps                	       0        1        0        0        1
 9438 alleyoop                           	       0        3        0        3        0
 9439 alliance                           	       0        1        0        1        0
 9440 alltray                            	       0        2        0        2        0
 9441 allure                             	       0        2        0        2        0
 9442 almanah                            	       0        2        0        2        0
 9443 alot                               	       0        6        0        6        0
 9444 alot-doc                           	       0        1        0        0        1
 9445 alpine-chroot-install              	       0        4        0        4        0
 9446 alpine-doc                         	       0       69        0        0       69
 9447 alsa-base                          	       0       51        0        0       51
 9448 alsa-firmware                      	       0        1        0        1        0
 9449 alsa-scarlett-gui                  	       0        3        1        2        0
 9450 alsa-sndio                         	       0        1        0        0        1
 9451 alsa-source                        	       0        1        0        0        1
 9452 alsa-ucm-conf                      	       0     3214        0        0     3214
 9453 alsa-ucm-conf-yogabook             	       0        1        0        0        1
 9454 alsaplayer-alsa                    	       0       78        0        1       77
 9455 alsaplayer-common                  	       0       77        4       73        0
 9456 alsaplayer-daemon                  	       0        8        0        0        8
 9457 alsaplayer-gtk                     	       0       71        0        3       68
 9458 alsaplayer-jack                    	       0       16        0        0       16
 9459 alsaplayer-nas                     	       0        4        0        0        4
 9460 alsaplayer-oss                     	       0       10        0        0       10
 9461 alsaplayer-text                    	       0       16        0        0       16
 9462 alsaplayer-xosd                    	       0        7        0        0        7
 9463 alsoft-conf                        	       0        1        0        1        0
 9464 alter-sequence-alignment           	       0        1        0        1        0
 9465 altermime                          	       0       25        0       25        0
 9466 altree                             	       0        1        0        1        0
 9467 alure-doc                          	       0        1        0        0        1
 9468 alure-utils                        	       0        1        0        1        0
 9469 amanda-client                      	       0       15        4       11        0
 9470 amanda-common                      	       0       15        4       11        0
 9471 amanda-server                      	       0        4        0        4        0
 9472 amap-align                         	       0        4        0        4        0
 9473 amarok-common                      	       0        8        0        1        7
 9474 amarok-common-trinity              	       0        6        0        6        0
 9475 amarok-doc                         	       0        3        0        0        3
 9476 amarok-engine-akode-trinity        	       0        2        0        2        0
 9477 amarok-engine-xine-trinity         	       0        6        2        4        0
 9478 amarok-engine-yauap-trinity        	       0        1        0        1        0
 9479 amarok-trinity                     	       0        6        2        4        0
 9480 amarok-trinity-dbg                 	       0        1        0        1        0
 9481 amavisd-milter                     	       0        1        0        1        0
 9482 amavisd-new                        	       0       27        6       21        0
 9483 amazon-ecr-credential-helper       	       0        1        0        1        0
 9484 amb-plugins                        	       0       19        1       18        0
 9485 ambdec                             	       0       12        0       12        0
 9486 amber                              	       0        2        0        2        0
 9487 amd-clinfo                         	       0        1        0        1        0
 9488 amd-libopencl1                     	       0        1        0        1        0
 9489 amd-opencl-dev                     	       0        2        0        0        2
 9490 amd-opencl-icd                     	       0        4        0        0        4
 9491 amd-opencl-icd-legacy              	       0        1        0        0        1
 9492 amd-smi-lib                        	       0        3        0        3        0
 9493 amdgcn-tools                       	       0        5        0        5        0
 9494 amdgpu                             	       0        2        0        0        2
 9495 amdgpu-core                        	       0       22        0        0       22
 9496 amdgpu-dkms-headers                	       0        2        0        2        0
 9497 amdgpu-doc                         	       0        2        0        0        2
 9498 amdgpu-lib                         	       0        7        0        0        7
 9499 amdgpu-lib32                       	       0        4        0        0        4
 9500 amdgpu-pin                         	       0        2        0        0        2
 9501 amdgpu-pro-core                    	       0        3        0        0        3
 9502 amdgpu-pro-pin                     	       0        1        0        0        1
 9503 ament-cmake                        	       0        1        0        0        1
 9504 ament-cmake-core                   	       0        2        0        0        2
 9505 ament-cmake-python                 	       0        2        0        0        2
 9506 ament-cmake-xmllint                	       0        1        0        0        1
 9507 amf-codec-headers                  	       0        1        0        1        0
 9508 amfora                             	       0       10        0       10        0
 9509 amide                              	       0       10        0       10        0
 9510 amideco                            	       0        5        0        5        0
 9511 amiga-fdisk-cross                  	       0        5        0        5        0
 9512 amiwm                              	       0        5        0        5        0
 9513 amixer-gtk                         	       0        2        0        2        0
 9514 amoebax                            	       0       11        0       11        0
 9515 amoebax-data                       	       0       11        0        0       11
 9516 amora-applet                       	       0        1        0        1        0
 9517 ampache-themes                     	       0        1        0        0        1
 9518 amphetamine                        	       0        5        0        5        0
 9519 amphetamine-data                   	       0        5        0        0        5
 9520 ampliconnoise                      	       0        1        0        1        0
 9521 amqp-specs                         	       0        3        0        0        3
 9522 amqp-tools                         	       0        2        0        2        0
 9523 amrenc                             	       0        3        0        3        0
 9524 amrnb                              	       0        1        0        1        0
 9525 amrwb                              	       0        1        0        1        0
 9526 ams                                	       0       11        0       11        0
 9527 ams.lv2                            	       0        1        0        1        0
 9528 amsynth                            	       0       13        0       13        0
 9529 amtterm                            	       0        3        0        3        0
 9530 amule-emc                          	       0        3        0        3        0
 9531 amule-gnome-support                	       0        3        0        0        3
 9532 anacrolix-dms                      	       0        3        0        3        0
 9533 analitza-common                    	       0       52        0        0       52
 9534 analizo                            	       0        1        0        1        0
 9535 anarchism                          	       0        8        0        0        8
 9536 anbox                              	       0       11        0       11        0
 9537 and                                	       0        8        3        5        0
 9538 andi                               	       0        1        0        1        0
 9539 android-file-transfer              	       0       46        3       43        0
 9540 android-framework-res              	       0       28        0        0       28
 9541 android-libaapt                    	       0       61        0        0       61
 9542 android-libadb                     	       0       61        0        1       60
 9543 android-libandroidfw               	       0       62        0        0       62
 9544 android-libandroidfw-dev           	       0        2        0        2        0
 9545 android-libart                     	       0       26        1       24        1
 9546 android-libbacktrace               	       0       80        0        0       80
 9547 android-libbacktrace-dev           	       0        2        0        0        2
 9548 android-libbase                    	       0      337        3       10      324
 9549 android-libbase-dev                	       0        3        0        3        0
 9550 android-libboringssl               	       0      308        3       10      295
 9551 android-libboringssl-dev           	       0        3        0        3        0
 9552 android-libcrypto-utils            	       0       70        0        1       69
 9553 android-libcrypto-utils-dev        	       0        1        0        1        0
 9554 android-libcutils                  	       0      336        3       10      323
 9555 android-libcutils-dev              	       0        3        0        3        0
 9556 android-libetc1                    	       0       24        0        0       24
 9557 android-libetc1-dev                	       0        1        0        1        0
 9558 android-libext4-utils              	       0       41        0        0       41
 9559 android-libext4-utils-dev          	       0        3        0        3        0
 9560 android-libf2fs-utils              	       0       14        0        0       14
 9561 android-libf2fs-utils-dev          	       0        1        0        1        0
 9562 android-libfec                     	       0        3        0        0        3
 9563 android-libfec-dev                 	       0        1        0        1        0
 9564 android-liblog                     	       0      337        3       10      324
 9565 android-liblog-dev                 	       0        4        0        4        0
 9566 android-libnativebridge            	       0       27        0        0       27
 9567 android-libnativehelper            	       0        4        0        0        4
 9568 android-libnativehelper-dev        	       0        2        0        2        0
 9569 android-libnativeloader            	       0       27        0        0       27
 9570 android-libselinux                 	       0        5        0        0        5
 9571 android-libselinux-dev             	       0        2        0        2        0
 9572 android-libsepol                   	       0        3        0        0        3
 9573 android-libsepol-dev               	       0        2        0        2        0
 9574 android-libsparse                  	       0      189        0        0      189
 9575 android-libsparse-dev              	       0        2        0        2        0
 9576 android-libunwind                  	       0       58        0        0       58
 9577 android-libunwind-dev              	       0        1        0        1        0
 9578 android-libutils                   	       0       79        0        0       79
 9579 android-libutils-dev               	       0        2        0        2        0
 9580 android-libziparchive              	       0      236        0        0      236
 9581 android-libziparchive-dev          	       0        3        0        3        0
 9582 android-logtags-tools              	       0        1        0        1        0
 9583 android-platform-frameworks-native-headers	       0        2        0        2        0
 9584 android-platform-libcore-headers   	       0        1        0        1        0
 9585 android-platform-system-core-headers	       0        3        0        3        0
 9586 android-platform-tools-base        	       0        4        0        4        0
 9587 android-sdk                        	       0       27        1       26        0
 9588 android-sdk-build-tools            	       0       29        0        0       29
 9589 android-sdk-build-tools-common     	       0       31        0        0       31
 9590 android-sdk-common                 	       0       31        0       31        0
 9591 android-sdk-ext4-utils             	       0        1        0        1        0
 9592 android-sdk-helper                 	       0        1        0        0        1
 9593 android-sdk-libsparse-utils        	       0        7        0        7        0
 9594 android-sdk-platform-23            	       0        6        0        0        6
 9595 android-sdk-platform-tools         	       0       78        0        0       78
 9596 android-tools-adb                  	       0       32        1        1       30
 9597 android-tools-adbd                 	       0        5        0        5        0
 9598 android-tools-fastboot             	       0       16        0        0       16
 9599 angband                            	       0       16        0       16        0
 9600 angband-data                       	       0       16        0        0       16
 9601 angband-doc                        	       0        2        0        0        2
 9602 angelfish                          	       0        3        1        2        0
 9603 angrydd                            	       0       14        0       14        0
 9604 anica-deb-source-using-tor-alpha   	       0        1        0        0        1
 9605 anica-deb-source-using-tor-beta    	       0        1        0        0        1
 9606 anica-deb-source-using-tor-stable  	       0        1        0        0        1
 9607 animals                            	       0       10        0       10        0
 9608 anjuta                             	       0        5        0        5        0
 9609 anjuta-common                      	       0       16        0       16        0
 9610 anjuta-extras                      	       0        3        0        0        3
 9611 anki                               	       0       14        0       14        0
 9612 anomaly                            	       0        2        0        2        0
 9613 anope                              	       0        1        0        1        0
 9614 anorack                            	       0        1        0        1        0
 9615 ansible                            	       0       65        1       64        0
 9616 ansible-core                       	       0       47        0       47        0
 9617 ansible-doc                        	       0        3        0        0        3
 9618 ansible-lint                       	       0       10        0       10        0
 9619 ansible-mitogen                    	       0        2        0        2        0
 9620 ansifilter-gui                     	       0        9        0        9        0
 9621 ansilove                           	       0        2        0        2        0
 9622 ant-contrib                        	       0      142        0        0      142
 9623 ant-contrib-cpptasks               	       0        1        0        0        1
 9624 ant-doc                            	       0       18        0        0       18
 9625 ant-optional                       	       0      839        0        0      839
 9626 anteater                           	       0        1        0        1        0
 9627 antennavis                         	       0        9        0        9        0
 9628 anthy-common                       	       0       71        1        7       63
 9629 anthy-el                           	       0        1        0        1        0
 9630 antigravitaattori                  	       0        5        0        5        0
 9631 antimicro                          	       0       11        0       11        0
 9632 antimicrox                         	       0        1        0        1        0
 9633 antimony                           	       0        3        0        3        0
 9634 antiword                           	       0       82        3       79        0
 9635 antix-archive-keyring              	       0        2        0        0        2
 9636 antix-libs                         	       0        1        0        1        0
 9637 antlr-doc                          	       0        2        0        2        0
 9638 antlr3-gunit-maven-plugin          	       0        1        0        0        1
 9639 antlr3-maven-plugin                	       0        1        0        0        1
 9640 antlr3.2                           	       0        8        0        8        0
 9641 antlr3.2-gunit-maven-plugin        	       0        1        0        0        1
 9642 antlr3.2-maven-plugin              	       0        1        0        0        1
 9643 antlr4-doc                         	       0        2        0        0        2
 9644 antlr4-maven-plugin                	       0        4        0        0        4
 9645 antofox-keyrings                   	       0        1        0        0        1
 9646 antpm                              	       0        2        0        2        0
 9647 anydesk                            	       0       52       11       41        0
 9648 anymeal                            	       0        2        0        2        0
 9649 anypaper                           	       0        1        0        1        0
 9650 anyremote                          	       0        8        0        8        0
 9651 anyremote-data                     	       0        8        0        0        8
 9652 anyremote-doc                      	       0        3        0        0        3
 9653 anytun                             	       0        1        0        1        0
 9654 anytype                            	       0        1        0        1        0
 9655 aobook                             	       0        1        0        1        0
 9656 aocc-compiler-4.2.0                	       0        1        0        1        0
 9657 aocl-linux-aocc-4.2.0              	       0        1        0        1        0
 9658 aodh-common                        	       0        1        0        1        0
 9659 aodh-evaluator                     	       0        1        0        1        0
 9660 aodh-notifier                      	       0        1        0        1        0
 9661 aoetools                           	       0        2        0        2        0
 9662 aoflagger                          	       0        2        0        2        0
 9663 aoflagger-dev                      	       0        2        0        2        0
 9664 aom-tools                          	       0        7        0        7        0
 9665 aosd-cat                           	       0        5        0        5        0
 9666 aot-client-gl                      	       0        1        0        1        0
 9667 aot-client-sdl                     	       0        1        0        1        0
 9668 ap-utils                           	       0        4        0        4        0
 9669 apache2-data                       	       0      790        0        0      790
 9670 apache2-dev                        	       0       19        0       19        0
 9671 apache2-doc                        	       0      365        0        0      365
 9672 apache2-mpm-prefork                	       0        2        0        0        2
 9673 apache2-mpm-worker                 	       0        2        0        1        1
 9674 apache2-ssl-dev                    	       0        2        0        2        0
 9675 apache2-suexec-custom              	       0        6        2        4        0
 9676 apache2-suexec-pristine            	       0       30        3       27        0
 9677 apache2.2-bin                      	       0        3        0        2        1
 9678 apache2.2-common                   	       0        2        0        1        1
 9679 apbs                               	       0        6        0        6        0
 9680 apbs-data                          	       0        6        0        0        6
 9681 apcalc                             	       0     1012        0       55      957
 9682 apcalc-common                      	       0       73        0        0       73
 9683 apcalc-dev                         	       0        2        0        1        1
 9684 apcupsd                            	       0       58       12       46        0
 9685 apcupsd-cgi                        	       0       14        1       13        0
 9686 apcupsd-doc                        	       0       48        3       45        0
 9687 apel                               	       0       14        0       14        0
 9688 apertium                           	       0        7        0        7        0
 9689 apertium-afr-nld                   	       0        1        0        0        1
 9690 apertium-anaphora                  	       0        2        0        2        0
 9691 apertium-arg-cat                   	       0        1        0        0        1
 9692 apertium-bel-rus                   	       0        1        0        0        1
 9693 apertium-br-fr                     	       0        1        0        0        1
 9694 apertium-cat-ita                   	       0        1        0        0        1
 9695 apertium-cat-srd                   	       0        1        0        0        1
 9696 apertium-crh-tur                   	       0        1        0        0        1
 9697 apertium-cy-en                     	       0        1        0        0        1
 9698 apertium-dan-nor                   	       0        1        0        0        1
 9699 apertium-dev                       	       0        3        0        3        0
 9700 apertium-en-es                     	       0        4        0        0        4
 9701 apertium-en-gl                     	       0        1        0        0        1
 9702 apertium-eng-cat                   	       0        1        0        0        1
 9703 apertium-eng-spa                   	       0        2        0        0        2
 9704 apertium-eo-ca                     	       0        1        0        0        1
 9705 apertium-eo-en                     	       0        2        0        0        2
 9706 apertium-eo-es                     	       0        2        0        0        2
 9707 apertium-eo-fr                     	       0        2        0        0        2
 9708 apertium-es-ast                    	       0        1        0        0        1
 9709 apertium-es-gl                     	       0        1        0        0        1
 9710 apertium-es-pt                     	       0        3        0        0        3
 9711 apertium-es-ro                     	       0        2        0        0        2
 9712 apertium-eu-en                     	       0        1        0        0        1
 9713 apertium-eu-es                     	       0        1        0        0        1
 9714 apertium-eval-translator           	       0        3        0        3        0
 9715 apertium-fr-es                     	       0        2        0        0        2
 9716 apertium-fra                       	       0        1        0        0        1
 9717 apertium-fra-cat                   	       0        1        0        0        1
 9718 apertium-get                       	       0        3        0        3        0
 9719 apertium-hbs-eng                   	       0        1        0        0        1
 9720 apertium-hbs-mkd                   	       0        1        0        0        1
 9721 apertium-hbs-slv                   	       0        1        0        0        1
 9722 apertium-ind-zlm                   	       0        1        0        0        1
 9723 apertium-is-sv                     	       0        1        0        0        1
 9724 apertium-isl-eng                   	       0        1        0        0        1
 9725 apertium-isl-swe                   	       0        1        0        0        1
 9726 apertium-kaz-tat                   	       0        1        0        0        1
 9727 apertium-lex-tools                 	       0        5        0        5        0
 9728 apertium-mk-bg                     	       0        1        0        0        1
 9729 apertium-mk-en                     	       0        1        0        0        1
 9730 apertium-mkd-bul                   	       0        1        0        0        1
 9731 apertium-mkd-eng                   	       0        1        0        0        1
 9732 apertium-mlt-ara                   	       0        1        0        0        1
 9733 apertium-nno-nob                   	       0        1        0        0        1
 9734 apertium-oc-ca                     	       0        1        0        0        1
 9735 apertium-oc-es                     	       0        1        0        0        1
 9736 apertium-oci-fra                   	       0        1        0        0        1
 9737 apertium-pol-szl                   	       0        1        0        0        1
 9738 apertium-por-cat                   	       0        1        0        0        1
 9739 apertium-pt-ca                     	       0        1        0        0        1
 9740 apertium-pt-gl                     	       0        1        0        0        1
 9741 apertium-recursive                 	       0        2        0        2        0
 9742 apertium-rus-ukr                   	       0        1        0        0        1
 9743 apertium-separable                 	       0        2        0        2        0
 9744 apertium-simpleton                 	       0        1        0        0        1
 9745 apertium-sme-nob                   	       0        1        0        0        1
 9746 apertium-spa                       	       0        2        0        0        2
 9747 apertium-spa-arg                   	       0        1        0        0        1
 9748 apertium-spa-ast                   	       0        1        0        0        1
 9749 apertium-spa-cat                   	       0        1        0        0        1
 9750 apertium-spa-ita                   	       0        1        0        0        1
 9751 apertium-srd-ita                   	       0        1        0        0        1
 9752 apertium-swe-dan                   	       0        1        0        0        1
 9753 apertium-swe-nor                   	       0        1        0        0        1
 9754 apertium-urd-hin                   	       0        1        0        0        1
 9755 apf-firewall                       	       0        1        0        1        0
 9756 apfs-dkms                          	       0        2        0        2        0
 9757 apfsprogs                          	       0        4        0        4        0
 9758 apgdiff                            	       0        4        0        4        0
 9759 api-sanity-checker                 	       0        5        0        5        0
 9760 apidog                             	       0        1        0        1        0
 9761 apitrace                           	       0        7        0        7        0
 9762 apitrace-gui                       	       0        3        0        3        0
 9763 apitrace-tracers                   	       0        7        0        0        7
 9764 apkinfo                            	       0        6        0        6        0
 9765 apkverifier                        	       0        4        0        4        0
 9766 apl                                	       0        2        0        2        0
 9767 aplus-fsf                          	       0        2        0        2        0
 9768 aplus-fsf-doc                      	       0        1        0        0        1
 9769 apmd                               	       0        7        0        7        0
 9770 apmplanner2                        	       0        1        0        1        0
 9771 apng2gif                           	       0        6        1        5        0
 9772 apngasm                            	       0        7        1        6        0
 9773 apngdis                            	       0        7        1        6        0
 9774 apngopt                            	       0        6        0        6        0
 9775 apophenia-bin                      	       0        1        0        1        0
 9776 apostrophe                         	       0        5        0        5        0
 9777 app-install-data                   	       0       13        0        0       13
 9778 apparix                            	       0        2        0        2        0
 9779 apparmor-easyprof                  	       0        3        0        3        0
 9780 apparmor-notify                    	       0       19        1       18        0
 9781 apparmor-profiles                  	       0       41        0        0       41
 9782 apparmor-profiles-extra            	       0       39        0        0       39
 9783 apper-data                         	       0       93        0        5       88
 9784 appflowy                           	       0        2        0        2        0
 9785 appimagelauncher                   	       0        2        1        1        0
 9786 appmenu-gtk2-module                	       0       59        0        6       53
 9787 appmenu-gtk3-module                	       0       63        8       19       36
 9788 appmenu-qt                         	       0        4        0        0        4
 9789 appmenu-registrar                  	       0       59        0        1       58
 9790 apprise                            	       0        1        0        1        0
 9791 approx                             	       0        1        0        1        0
 9792 appstream-compose                  	       0        3        0        0        3
 9793 appstream-doc                      	       0        2        0        0        2
 9794 appstream-generator                	       0        2        0        2        0
 9795 appstream-glib-doc                 	       0        1        0        0        1
 9796 appstream-index                    	       0        2        1        0        1
 9797 appstream-util                     	       0       13        0       13        0
 9798 apriltag                           	       0        1        0        1        0
 9799 aprs-symbols                       	       0        2        0        0        2
 9800 aprsdigi                           	       0        4        0        4        0
 9801 aprx                               	       0        6        1        5        0
 9802 apsfilter                          	       0        7        1        6        0
 9803 apt-build                          	       0        9        0        9        0
 9804 apt-build-deps-depends             	       0        1        0        0        1
 9805 apt-cacher                         	       0        8        1        7        0
 9806 apt-cacher-ng                      	       0       21        7       14        0
 9807 apt-clone                          	       0       11        0       11        0
 9808 apt-config-auto-update             	       0       15        0        0       15
 9809 apt-config-icons                   	       0      854        0        0      854
 9810 apt-config-icons-hidpi             	       0      510        0        0      510
 9811 apt-config-icons-large             	       0      522        0        0      522
 9812 apt-config-icons-large-hidpi       	       0      503        0        0      503
 9813 apt-cudf                           	       0        4        0        4        0
 9814 apt-dater                          	       0        6        0        6        0
 9815 apt-dater-host                     	       0       28        4       24        0
 9816 apt-dbgsym                         	       0        3        0        3        0
 9817 apt-doc                            	       0       48        0        0       48
 9818 apt-dpkg-ref                       	       0        8        0        0        8
 9819 apt-dpkg-ref-build-deps            	       0        1        0        0        1
 9820 apt-forktracer                     	       0       20        0       20        0
 9821 apt-howto-common                   	       0        1        0        1        0
 9822 apt-howto-de                       	       0        1        0        0        1
 9823 apt-listbugs                       	       0       70        6       64        0
 9824 apt-listdifferences                	       0        2        0        2        0
 9825 apt-move                           	       0        4        0        4        0
 9826 apt-ntop-stable                    	       0        3        0        0        3
 9827 apt-offline                        	       0        8        0        8        0
 9828 apt-offline-gui                    	       0        3        0        3        0
 9829 apt-rdepends                       	       0       66        3       63        0
 9830 apt-show-source                    	       0       16        0       16        0
 9831 apt-transport-debtorrent           	       0        1        0        1        0
 9832 apt-transport-https                	       0      708        4       51      653
 9833 apt-transport-in-toto              	       0        1        0        1        0
 9834 apt-transport-s3                   	       0        4        0        4        0
 9835 apt-transport-tor                  	       0       43        7       36        0
 9836 apt-utils-dbgsym                   	       0        3        0        3        0
 9837 apt-venv                           	       0        8        0        8        0
 9838 aptconf                            	       0        1        0        1        0
 9839 aptdaemon                          	       0        8        0        8        0
 9840 aptdaemon-data                     	       0       14        0        0       14
 9841 aptfs                              	       0        1        0        1        0
 9842 aptitude-build-deps-depends        	       0        1        0        0        1
 9843 aptitude-dbgsym                    	       0        1        0        1        0
 9844 aptitude-doc-cs                    	       0        7        0        0        7
 9845 aptitude-doc-en                    	       0      225        0        0      225
 9846 aptitude-doc-es                    	       0        4        0        0        4
 9847 aptitude-doc-fi                    	       0        1        0        0        1
 9848 aptitude-doc-fr                    	       0        5        0        0        5
 9849 aptitude-doc-it                    	       0        2        0        0        2
 9850 aptitude-doc-ja                    	       0        1        0        0        1
 9851 aptitude-doc-nl                    	       0        2        0        0        2
 9852 aptitude-doc-ru                    	       0        3        0        0        3
 9853 aptitude-robot                     	       0        2        0        2        0
 9854 aptlinex                           	       0        1        0        1        0
 9855 aptly                              	       0        6        1        5        0
 9856 aptly-publisher                    	       0        1        0        1        0
 9857 apvlv                              	       0       10        1        9        0
 9858 apwal                              	       0        1        0        1        0
 9859 aqbanking-tool                     	       0        1        0        0        1
 9860 aqbanking-tools                    	       0       12        0       12        0
 9861 ara                                	       0        3        0        3        0
 9862 arachne-pnr                        	       0        6        0        6        0
 9863 arachne-pnr-chipdb                 	       0        8        0        0        8
 9864 aragorn                            	       0        1        0        1        0
 9865 aranym                             	       0        2        0        2        0
 9866 arb                                	       0        2        0        2        0
 9867 arb-common                         	       0        2        0        2        0
 9868 arb-doc                            	       0        2        0        0        2
 9869 arbtt                              	       0        3        1        2        0
 9870 arc-icon-theme                     	       0        1        0        0        1
 9871 arc-kde                            	       0        3        0        0        3
 9872 arch-install-scripts               	       0       10        0       10        0
 9873 architecture-properties            	       0        2        0        0        2
 9874 archive-files                      	       0        1        0        1        0
 9875 archlinux-keyring                  	       0        4        0        0        4
 9876 archmage                           	       0       12        0       12        0
 9877 arctica-greeter-guest-session      	       0        6        0        6        0
 9878 arctica-greeter-remote-logon       	       0        2        0        0        2
 9879 arctican-plugins-lv2               	       0        1        0        1        0
 9880 ardentryst                         	       0        5        0        5        0
 9881 ardour-data                        	       0       68        0        0       68
 9882 ardour-medias                      	       0        1        0        0        1
 9883 arduino-core                       	       0       11        0       11        0
 9884 arduino-mighty-1284p               	       0        2        0        2        0
 9885 arduino-mk                         	       0       25        0       25        0
 9886 arename                            	       0        1        0        1        0
 9887 ares                               	       0        5        0        5        0
 9888 argentum-age-build-deps            	       0        1        0        0        1
 9889 argon2                             	       0       10        0       10        0
 9890 argos                              	       0        1        0        1        0
 9891 argyll                             	       0       32        0       32        0
 9892 argyll-doc                         	       0        5        0        0        5
 9893 argyll-ref                         	       0       32        0        0       32
 9894 aria                               	       0        1        0        1        0
 9895 ario-common                        	       0       11        0        0       11
 9896 arkhart                            	       0        1        0        1        0
 9897 arkrpg                             	       0        1        0        1        0
 9898 arm-trusted-firmware               	       0        2        0        0        2
 9899 arm-trusted-firmware-tools         	       0        2        0        2        0
 9900 armagetronad                       	       0       14        0       14        0
 9901 armagetronad-common                	       0       14        0        0       14
 9902 armagetronad-dedicated             	       0        1        0        1        0
 9903 armbian-bsp-cli-orangepizero2w-current	       0        1        0        1        0
 9904 armbian-config                     	       0        1        0        1        0
 9905 armbian-firmware                   	       0        2        0        2        0
 9906 armbian-zsh                        	       0        1        0        0        1
 9907 armcord                            	       0        1        0        1        0
 9908 armory                             	       0        1        0        1        0
 9909 arno-iptables-firewall             	       0        9        2        7        0
 9910 aroarfw-dev                        	       0        1        0        1        0
 9911 arp-scan                           	       0       97        1       96        0
 9912 arpage                             	       0        1        0        1        0
 9913 arpalert                           	       0        9        2        7        0
 9914 arping                             	       0       68        2       66        0
 9915 arpon                              	       0        1        0        1        0
 9916 arptables                          	       0       12        0       12        0
 9917 arpwatch                           	       0       22        1       21        0
 9918 array-info                         	       0        4        0        4        0
 9919 arronax                            	       0        1        0        1        0
 9920 arronax-thunar                     	       0        1        0        0        1
 9921 artefetcher                        	       0        1        0        1        0
 9922 artfastqgenerator                  	       0        1        0        1        0
 9923 artha                              	       0        8        0        8        0
 9924 arts                               	       0        1        0        0        1
 9925 arts-trinity                       	       0       29        0        0       29
 9926 artyfx                             	       0        1        0        1        0
 9927 arubasign                          	       0        1        0        1        0
 9928 as31                               	       0        4        0        4        0
 9929 asc                                	       0        8        0        8        0
 9930 asc-data                           	       0        8        0        0        8
 9931 asc-music                          	       0        8        0        0        8
 9932 ascd                               	       0        2        0        2        0
 9933 ascdc                              	       0        1        0        1        0
 9934 ascii-image-converter              	       0        1        0        1        0
 9935 ascii2binary                       	       0       10        1        9        0
 9936 asciiart                           	       0       12        0       12        0
 9937 asciidoc                           	       0       66        0        0       66
 9938 asciidoc-common                    	       0       77        0        0       77
 9939 asciidoc-dblatex                   	       0       47        0        0       47
 9940 asciidoc-doc                       	       0       13        0        0       13
 9941 asciidoc-fop                       	       0        4        0        0        4
 9942 asciidoc-tests                     	       0        4        0        4        0
 9943 asciidoctor-doc                    	       0        7        0        0        7
 9944 asciio                             	       0        1        0        1        0
 9945 asclock                            	       0       12        1       11        0
 9946 asclock-themes                     	       0       13        0        0       13
 9947 asdftool                           	       0        1        0        1        0
 9948 aseprite                           	       0        3        0        3        0
 9949 ash                                	       0       13        5        8        0
 9950 asiya24-vfont                      	       0        1        0        0        1
 9951 asl-apt-repos                      	       0        1        0        1        0
 9952 asmail                             	       0        3        0        3        0
 9953 asmixer                            	       0        3        0        3        0
 9954 asmon                              	       0        2        0        2        0
 9955 asn                                	       0        1        0        1        0
 9956 asn1c                              	       0        3        0        3        0
 9957 asn1c-doc                          	       0        2        0        0        2
 9958 asoundconf-gtk                     	       0        1        0        1        0
 9959 asp.net-examples                   	       0        1        0        1        0
 9960 aspcud                             	       0        6        0        6        0
 9961 aspectc++                          	       0        2        0        2        0
 9962 aspectj                            	       0       12        1       11        0
 9963 aspell-am                          	       0        3        0        3        0
 9964 aspell-ar                          	       0        5        0        5        0
 9965 aspell-ar-large                    	       0        5        0        5        0
 9966 aspell-bg                          	       0        6        0        6        0
 9967 aspell-bn                          	       0        3        0        3        0
 9968 aspell-br                          	       0        4        0        4        0
 9969 aspell-ca                          	       0       10        0       10        0
 9970 aspell-cy                          	       0        3        0        3        0
 9971 aspell-da                          	       0        7        0        7        0
 9972 aspell-de-1901                     	       0       72        1       71        0
 9973 aspell-de-alt                      	       0       17        0        1       16
 9974 aspell-doc                         	       0       20        0        0       20
 9975 aspell-eo                          	       0       11        1       10        0
 9976 aspell-eo-cx7                      	       0        1        0        1        0
 9977 aspell-et                          	       0        4        0        4        0
 9978 aspell-eu                          	       0        4        0        4        0
 9979 aspell-eu-es                       	       0        2        0        0        2
 9980 aspell-fa                          	       0        4        0        4        0
 9981 aspell-fi                          	       0        1        1        0        0
 9982 aspell-fo                          	       0        1        0        1        0
 9983 aspell-ga                          	       0        7        0        7        0
 9984 aspell-gl-minimos                  	       0        5        0        5        0
 9985 aspell-gu                          	       0        3        0        3        0
 9986 aspell-he                          	       0        4        0        4        0
 9987 aspell-hi                          	       0        3        0        3        0
 9988 aspell-hr                          	       0        4        0        4        0
 9989 aspell-hsb                         	       0        7        0        7        0
 9990 aspell-hu                          	       0       18        0       18        0
 9991 aspell-hy                          	       0        2        0        2        0
 9992 aspell-is                          	       0        4        0        4        0
 9993 aspell-kk                          	       0        3        0        3        0
 9994 aspell-kn                          	       0        1        0        1        0
 9995 aspell-ku                          	       0        3        0        3        0
 9996 aspell-lt                          	       0        3        0        3        0
 9997 aspell-lv                          	       0        3        0        3        0
 9998 aspell-ml                          	       0        3        0        3        0
 9999 aspell-mr                          	       0        3        0        3        0
10000 aspell-nl                          	       0       25        0       25        0
10001 aspell-or                          	       0        1        0        1        0
10002 aspell-pa                          	       0        3        0        3        0
10003 aspell-pt                          	       0        3        0        0        3
10004 aspell-pt-pt                       	       0        7        2        5        0
10005 aspell-ro                          	       0       13        0       13        0
10006 aspell-sk                          	       0       12        0       12        0
10007 aspell-sl                          	       0        3        0        3        0
10008 aspell-sv                          	       0       21        0       21        0
10009 aspell-ta                          	       0        4        0        4        0
10010 aspell-te                          	       0        3        0        3        0
10011 aspell-tl                          	       0        3        0        3        0
10012 aspell-uk                          	       0       26        1       25        0
10013 aspell-uz                          	       0        1        0        1        0
10014 aspera-scp-client                  	       0        1        0        1        0
10015 aspia-client                       	       0        1        0        1        0
10016 aspia-console                      	       0        1        0        1        0
10017 aspia-relay                        	       0        1        0        1        0
10018 aspic                              	       0        5        2        3        0
10019 aspnetcore-runtime-2.1             	       0        1        0        0        1
10020 aspnetcore-runtime-2.2             	       0        1        0        0        1
10021 aspnetcore-runtime-3.1             	       0        1        0        0        1
10022 aspnetcore-runtime-5.0             	       0        4        0        0        4
10023 aspnetcore-runtime-6.0             	       0        9        0        0        9
10024 aspnetcore-runtime-7.0             	       0       11        0        1       10
10025 aspnetcore-runtime-8.0             	       0       14        0        0       14
10026 aspnetcore-runtime-9.0             	       0        2        0        0        2
10027 aspnetcore-targeting-pack-3.1      	       0        2        0        0        2
10028 aspnetcore-targeting-pack-5.0      	       0        3        0        0        3
10029 aspnetcore-targeting-pack-6.0      	       0        9        0        0        9
10030 aspnetcore-targeting-pack-7.0      	       0        9        0        0        9
10031 aspnetcore-targeting-pack-8.0      	       0       14        0        0       14
10032 aspnetcore-targeting-pack-9.0      	       0        2        0        0        2
10033 asql                               	       0        2        0        2        0
10034 asr-manpages                       	       0        5        0        0        5
10035 assaultcube                        	       0        5        0        5        0
10036 assetfinder                        	       0        1        0        1        0
10037 assimp-utils                       	       0        3        0        3        0
10038 assogiate                          	       0        1        0        1        0
10039 assword                            	       0        1        0        1        0
10040 astcenc                            	       0        1        0        1        0
10041 asterisk                           	       0       11        5        6        0
10042 asterisk-config                    	       0       11        0        0       11
10043 asterisk-core-sounds-en            	       0       11        0        0       11
10044 asterisk-core-sounds-en-gsm        	       0       11        0        0       11
10045 asterisk-core-sounds-en-wav        	       0        1        0        0        1
10046 asterisk-dahdi                     	       0        2        1        1        0
10047 asterisk-doc                       	       0        3        0        0        3
10048 asterisk-mobile                    	       0        1        0        1        0
10049 asterisk-modules                   	       0       11        5        6        0
10050 asterisk-moh-opsound-gsm           	       0       11        0        0       11
10051 asterisk-mp3                       	       0        1        0        1        0
10052 asterisk-mysql                     	       0        1        0        1        0
10053 asterisk-ooh323                    	       0        2        2        0        0
10054 asterisk-opus                      	       0        3        1        2        0
10055 asterisk-prompt-de                 	       0        2        0        0        2
10056 asterisk-voicemail                 	       0       10        4        6        0
10057 asterisk-vpb                       	       0        1        1        0        0
10058 astro-catalogs                     	       0        2        0        0        2
10059 astro-datareduction                	       0        2        0        0        2
10060 astro-development                  	       0        2        0        0        2
10061 astro-education                    	       0        1        0        0        1
10062 astro-frameworks                   	       0        2        0        0        2
10063 astro-gdl                          	       0        2        0        0        2
10064 astro-java                         	       0        1        0        0        1
10065 astro-publication                  	       0        1        0        0        1
10066 astro-python3                      	       0        1        0        0        1
10067 astro-radioastronomy               	       0        1        0        0        1
10068 astro-simulation                   	       0        1        0        0        1
10069 astro-tasks                        	       0       12        0        0       12
10070 astro-tcltk                        	       0        2        0        0        2
10071 astro-telescopecontrol             	       0        1        0        0        1
10072 astro-tools                        	       0        2        0        0        2
10073 astro-viewers                      	       0        1        0        0        1
10074 astro-virtual-observatory          	       0        1        0        0        1
10075 astroid                            	       0        1        0        1        0
10076 astromatic                         	       0        2        0        0        2
10077 astromenace                        	       0        6        0        6        0
10078 astromenace-data-src               	       0        6        0        0        6
10079 astrometry-data-tycho2             	       0        2        0        0        2
10080 astrometry-data-tycho2-07          	       0        2        0        0        2
10081 astrometry-data-tycho2-07-littleendian	       0        2        0        0        2
10082 astrometry-data-tycho2-08          	       0        2        0        0        2
10083 astrometry-data-tycho2-08-littleendian	       0        2        0        0        2
10084 astrometry-data-tycho2-09          	       0        2        0        0        2
10085 astrometry-data-tycho2-09-littleendian	       0        2        0        0        2
10086 astrometry-data-tycho2-10-19       	       0        2        0        0        2
10087 astrometry-data-tycho2-10-19-littleendian	       0        2        0        0        2
10088 astrometry.net                     	       0       27        1       26        0
10089 astronciaiptv                      	       0        1        0        1        0
10090 astronomical-almanac               	       0       14        0       14        0
10091 astropy-utils                      	       0        7        0        7        0
10092 astyle                             	       0       24        0       24        0
10093 asused                             	       0        3        0        3        0
10094 asylum                             	       0        5        0        5        0
10095 asylum-data                        	       0        5        0        0        5
10096 asymptote-doc                      	       0      109        0        0      109
10097 at-spi2-doc                        	       0        2        0        0        2
10098 atac                               	       0        1        0        1        0
10099 atanks-data                        	       0       10        0        0       10
10100 atari-fdisk-cross                  	       0        1        0        1        0
10101 atari800                           	       0        3        0        3        0
10102 atdts                              	       0        1        0        1        0
10103 aterm                              	       0        3        0        3        0
10104 aterm-ml                           	       0        2        0        2        0
10105 atf-sh                             	       0        1        0        1        0
10106 atfs                               	       0        3        0        3        0
10107 atftp                              	       0       16        0       16        0
10108 atftpd                             	       0       21        2       19        0
10109 athcool                            	       0        1        0        1        0
10110 athena-jot                         	       0        1        0        1        0
10111 atitvout                           	       0        1        0        1        0
10112 atlasvpn-release                   	       0        1        0        0        1
10113 atlc                               	       0        3        0        3        0
10114 atlc-examples                      	       0        1        0        0        1
10115 atm-tools                          	       0        1        0        1        0
10116 atom                               	       0       13        0       13        0
10117 atom4                              	       0        8        0        8        0
10118 atomic                             	       0        5        0        0        5
10119 atomicparsley                      	       0       35        0       35        0
10120 atomix                             	       0       24        2       22        0
10121 atomix-data                        	       0       24        0        0       24
10122 atop                               	       0      116       26       90        0
10123 atril-common                       	       0     2002        1       11     1990
10124 atrinik-client                     	       0        1        0        1        0
10125 atris                              	       0        1        0        1        0
10126 atsar                              	       0        2        0        2        0
10127 attal                              	       0        1        0        1        0
10128 attal-themes-cyberpunk             	       0        1        0        0        1
10129 attal-themes-medieval              	       0        1        0        0        1
10130 attract                            	       0        1        0        1        0
10131 atuin                              	       0        2        0        2        0
10132 aubio-tools                        	       0        7        0        7        0
10133 auctex                             	       0       32        3       29        0
10134 audacious-dev                      	       0        3        0        3        0
10135 audacious-dumb                     	       0        1        0        0        1
10136 audacity-data                      	       0      514        0       41      473
10137 audiofile-tools                    	       0        8        1        7        0
10138 audiorelay                         	       0        1        0        1        0
10139 audiotools                         	       0       14        1       13        0
10140 auditd                             	       0       52       12       40        0
10141 audmes                             	       0        3        0        3        0
10142 audtty                             	       0        2        0        2        0
10143 aufs-dev                           	       0        2        0        2        0
10144 aufs-dkms                          	       0       20        0       19        1
10145 aufs-modules-2.6.26-486-voyage     	       0        1        0        0        1
10146 aufs-source                        	       0        1        0        0        1
10147 aufs-tools                         	       0       28        0       28        0
10148 aufs-util                          	       0        2        0        2        0
10149 augeas-doc                         	       0        4        0        0        4
10150 augeas-lenses                      	       0      401        0        0      401
10151 augustus-data                      	       0        1        0        0        1
10152 aumix                              	       0       42        0       42        0
10153 aumix-common                       	       0       50        0       50        0
10154 aumix-gtk                          	       0        7        0        7        0
10155 auralquiz                          	       0        6        0        6        0
10156 austin                             	       0        2        0        2        0
10157 ausweisapp2                        	       0        6        0        6        0
10158 authbind                           	       0       13        1       12        0
10159 authprogs                          	       0        2        0        2        0
10160 auto-07p                           	       0        1        0        1        0
10161 auto-apt                           	       0        5        1        4        0
10162 auto-apt-proxy                     	       0        3        0        3        0
10163 auto-complete-el                   	       0        3        0        0        3
10164 auto-install-el                    	       0        2        0        2        0
10165 auto-multiple-choice-common        	       0        4        0        4        0
10166 auto-multiple-choice-doc           	       0        4        0        0        4
10167 auto-multiple-choice-doc-pdf       	       0        2        0        0        2
10168 autobook                           	       0        2        0        2        0
10169 autoclass                          	       0        3        0        3        0
10170 autoconf-archive                   	       0      105        0        0      105
10171 autoconf-build-deps                	       0        1        0        0        1
10172 autoconf-dickey                    	       0        4        0        4        0
10173 autoconf-dickey-build-deps         	       0        1        0        0        1
10174 autoconf-doc                       	       0       47        0        0       47
10175 autoconf2.13                       	       0       26        0       26        0
10176 autoconf2.59                       	       0        4        0        4        0
10177 autoconf2.64                       	       0       15        0       15        0
10178 autoconf2.69                       	       0       14        0       14        0
10179 autoconf2.69-build-deps            	       0        1        0        0        1
10180 autocutsel                         	       0       16        6       10        0
10181 autodep8                           	       0       31        2       29        0
10182 autodia                            	       0       10        0       10        0
10183 autodir                            	       0        1        0        1        0
10184 autodock                           	       0        1        0        1        0
10185 autodock-vina                      	       0        1        0        1        0
10186 autofdo                            	       0        1        0        1        0
10187 autoflake                          	       0        2        0        2        0
10188 autofs                             	       0      121       24       97        0
10189 autofs-ldap                        	       0        2        0        0        2
10190 autogen-doc                        	       0       52        0        0       52
10191 autogrid                           	       0        1        0        1        0
10192 autoimport                         	       0        1        0        1        0
10193 autokey-common                     	       0       20        0       20        0
10194 autokey-gtk                        	       0       17        0       17        0
10195 autokey-qt                         	       0        5        0        5        0
10196 autolog                            	       0        1        1        0        0
10197 automake-1.15                      	       0        7        0        7        0
10198 automake1.10                       	       0        3        0        3        0
10199 automake1.11                       	       0       18        0       18        0
10200 automake1.4                        	       0        4        0        4        0
10201 automake1.9                        	       0        6        0        6        0
10202 automake1.9-doc                    	       0        2        0        0        2
10203 automoc                            	       0        1        0        1        0
10204 automysqlbackup                    	       0        3        0        3        0
10205 autopano-sift-c                    	       0        1        0        1        0
10206 autopostgresqlbackup               	       0        4        1        3        0
10207 autoproject                        	       0        7        2        5        0
10208 autopsy                            	       0       16        0       16        0
10209 autoradio                          	       0        1        0        1        0
10210 autorandr                          	       0       13        5        8        0
10211 autorenamer                        	       0        1        1        0        0
10212 autorevision                       	       0        3        0        3        0
10213 autossh                            	       0       48        4       44        0
10214 autosuspend                        	       0        1        0        1        0
10215 autotalent                         	       0       14        1       13        0
10216 autotrace                          	       0        3        0        3        0
10217 auxilium                           	       0        1        0        1        0
10218 ava                                	       0        1        1        0        0
10219 avahi-autoipd-dbgsym               	       0        3        0        3        0
10220 avahi-daemon-dbgsym                	       0        3        0        3        0
10221 avahi-discover                     	       0       32        1       31        0
10222 avahi-dnsconfd                     	       0        9        1        8        0
10223 avahi-dnsconfd-dbgsym              	       0        3        0        3        0
10224 avahi-ui-utils                     	       0       13        0       13        0
10225 avahi-ui-utils-dbgsym              	       0        2        0        2        0
10226 avahi-utils-dbgsym                 	       0        3        0        3        0
10227 avarice                            	       0        9        0        9        0
10228 avce00                             	       0       11        0       11        0
10229 avidemux                           	       0       28        0        3       25
10230 avidemux3-cli-dev                  	       0        1        0        1        0
10231 avidemux3-cli-runtime              	       0        3        0        3        0
10232 avidemux3-core-dev                 	       0        1        0        1        0
10233 avidemux3-core-runtime             	       0        3        0        0        3
10234 avidemux3-plugins-cli-plugins      	       0        3        0        3        0
10235 avidemux3-plugins-common-plugins   	       0        3        0        3        0
10236 avidemux3-plugins-qt5-plugins      	       0        3        0        3        0
10237 avidemux3-qt5-dev                  	       0        1        0        1        0
10238 avidemux3-qt5-runtime              	       0        3        0        3        0
10239 avidemux3-settings-settings        	       0        3        0        3        0
10240 aview                              	       0       13        1       12        0
10241 avinfo                             	       0        1        0        1        0
10242 avisynthplus-yuuki                 	       0        1        0        0        1
10243 avldrums.lv2                       	       0        7        1        6        0
10244 avldrums.lv2-data                  	       0        2        0        2        0
10245 avldrums.lv2-soundfont             	       0        6        0        6        0
10246 avm-firmware                       	       0        1        0        1        0
10247 avm-source                         	       0        1        0        0        1
10248 avogadro-data                      	       0        4        0        0        4
10249 avra                               	       0       23        0       23        0
10250 avrdude-doc                        	       0       23        0        0       23
10251 avrprog                            	       0        1        0        1        0
10252 avw.lv2                            	       0        1        0        0        1
10253 awardeco                           	       0        5        0        5        0
10254 aweather                           	       0        1        0        1        0
10255 awesfx                             	       0        9        1        8        0
10256 awesome-dbgsym                     	       0        1        0        1        0
10257 awesome-doc                        	       0       11        0        0       11
10258 awf-gtk3                           	       0        2        0        2        0
10259 awf-gtk4                           	       0        1        0        1        0
10260 awffull                            	       0        1        0        1        0
10261 awscli                             	       0       22        1       21        0
10262 awstats                            	       0       19        3       16        0
10263 ax25-apps                          	       0        7        0        7        0
10264 ax25-node                          	       0        1        0        1        0
10265 ax25-tools                         	       0       10        0       10        0
10266 ax25-xtools                        	       0        8        0        8        0
10267 ax25mail-utils                     	       0        7        0        7        0
10268 ax25spyd                           	       0        1        0        1        0
10269 axe                                	       0        3        0        3        0
10270 axe-demultiplexer                  	       0        1        0        1        0
10271 axiom-doc                          	       0        2        0        0        2
10272 axiom-hypertex-data                	       0        2        0        0        2
10273 axiom-source                       	       0        3        0        0        3
10274 axis-archive-keyring               	       0        1        0        0        1
10275 axmail                             	       0        2        0        2        0
10276 axoloti                            	       0        1        0        1        0
10277 axprotector                        	       0        1        0        0        1
10278 ayatana-indicator-bluetooth        	       0        6        2        4        0
10279 ayatana-indicator-datetime         	       0        1        0        1        0
10280 ayatana-indicator-keyboard         	       0        1        0        1        0
10281 ayatana-indicator-messages         	       0        1        0        1        0
10282 ayatana-indicator-notifications    	       0        1        0        1        0
10283 ayatana-indicator-printers         	       0        2        0        2        0
10284 ayatana-indicator-sound            	       0        1        0        1        0
10285 ayatana-settings                   	       0        1        0        1        0
10286 ayatana-webmail                    	       0        1        0        1        0
10287 ayttm                              	       0        1        0        1        0
10288 ayu-theme                          	       0        1        0        0        1
10289 azuredatastudio                    	       0        1        0        1        0
10290 b3sum                              	       0        6        0        6        0
10291 babeld                             	       0        1        0        1        0
10292 babeltrace                         	       0        1        0        1        0
10293 backblaze-b2                       	       0        1        0        1        0
10294 backdown                           	       0        1        0        1        0
10295 backintime-qt4                     	       0        2        0        1        1
10296 backup-common-2pir                 	       0        1        0        0        1
10297 backup-manager                     	       0        5        0        5        0
10298 backup-manager-doc                 	       0        2        0        0        2
10299 backup2l                           	       0        8        4        4        0
10300 backupninja                        	       0        8        2        6        0
10301 backuppc                           	       0        8        1        7        0
10302 backuppc-rsync                     	       0        7        0        0        7
10303 bacon                              	       0        1        0        1        0
10304 bacula                             	       0        1        0        0        1
10305 bacula-bscan                       	       0        3        0        3        0
10306 bacula-client                      	       0        5        0        1        4
10307 bacula-common                      	       0        8        2        6        0
10308 bacula-common-mysql                	       0        1        0        1        0
10309 bacula-common-pgsql                	       0        2        1        1        0
10310 bacula-console                     	       0        5        0        5        0
10311 bacula-console-qt                  	       0        2        0        2        0
10312 bacula-director                    	       0        3        1        2        0
10313 bacula-director-common             	       0        1        0        0        1
10314 bacula-director-mysql              	       0        1        0        0        1
10315 bacula-director-pgsql              	       0        2        0        0        2
10316 bacula-fd                          	       0        7        2        5        0
10317 bacula-sd                          	       0        3        1        2        0
10318 bacula-server                      	       0        1        0        0        1
10319 baidunetdisk                       	       0        3        0        3        0
10320 bake                               	       0        1        0        1        0
10321 balance                            	       0        1        0        1        0
10322 balazar                            	       0        1        0        1        0
10323 balazar3                           	       0        2        0        0        2
10324 balazar3-2d                        	       0        1        0        0        1
10325 balazar3-3d                        	       0        2        0        0        2
10326 balazar3-common                    	       0        2        0        2        0
10327 balazarbrothers                    	       0        1        0        1        0
10328 balder2d                           	       0        1        0        1        0
10329 balder2d-data                      	       0        1        0        0        1
10330 balena-etcher-electron             	       0        6        0        6        0
10331 ballerburg                         	       0        4        0        4        0
10332 ballview                           	       0        1        0        1        0
10333 ballz                              	       0        5        0        5        0
10334 ballz-data                         	       0        5        0        0        5
10335 baloo-kf5-dev                      	       0        4        0        4        0
10336 balsa                              	       0        2        0        2        0
10337 balsa-data                         	       0        2        0        0        2
10338 bam                                	       0        4        0        4        0
10339 bambam                             	       0       14        1       13        0
10340 bambootracker                      	       0        4        1        3        0
10341 bandit                             	       0        2        0        0        2
10342 bandwidthd                         	       0        1        0        1        0
10343 banshee                            	       0        5        0        5        0
10344 banshee-community-extensions       	       0        1        0        0        1
10345 banshee-extension-alarm            	       0        1        0        1        0
10346 banshee-extension-albumartwriter   	       0        1        0        1        0
10347 banshee-extension-ampache          	       0        1        0        1        0
10348 banshee-extension-awn              	       0        1        0        1        0
10349 banshee-extension-coverwallpaper   	       0        1        0        1        0
10350 banshee-extension-duplicatesongdetector	       0        1        0        1        0
10351 banshee-extension-foldersync       	       0        1        0        1        0
10352 banshee-extension-jamendo          	       0        1        0        1        0
10353 banshee-extension-lastfmfingerprint	       0        1        0        1        0
10354 banshee-extension-lcd              	       0        1        0        1        0
10355 banshee-extension-lirc             	       0        1        0        1        0
10356 banshee-extension-liveradio        	       0        1        0        1        0
10357 banshee-extension-lyrics           	       0        1        0        1        0
10358 banshee-extension-magnatune        	       0        1        0        1        0
10359 banshee-extension-mirage           	       0        2        0        2        0
10360 banshee-extension-openvp           	       0        1        0        1        0
10361 banshee-extension-radiostationfetcher	       0        1        0        1        0
10362 banshee-extension-randombylastfm   	       0        1        0        1        0
10363 banshee-extension-telepathy        	       0        1        0        1        0
10364 banshee-extension-zeitgeistdataprovider	       0        1        0        1        0
10365 banshee-extensions-common          	       0        2        0        0        2
10366 banyanapp                          	       0        1        0        0        1
10367 bar                                	       0        3        0        3        0
10368 barcode                            	       0       52        0       52        0
10369 bareftp                            	       0        1        0        1        0
10370 bareos-bconsole                    	       0        5        0        5        0
10371 bareos-client                      	       0        4        0        0        4
10372 bareos-common                      	       0        9        1        8        0
10373 bareos-filedaemon                  	       0        9        1        8        0
10374 bareos-filedaemon-python-plugins-common	       0        2        0        2        0
10375 bareos-filedaemon-python3-plugin   	       0        2        0        2        0
10376 bareos-traymonitor                 	       0        3        0        3        0
10377 bareos-webui                       	       0        1        0        1        0
10378 baresip                            	       0        8        0        0        8
10379 baresip-core                       	       0       15        0       15        0
10380 baresip-ffmpeg                     	       0       10        0       10        0
10381 baresip-gstreamer                  	       0       11        0       11        0
10382 baresip-gtk                        	       0       11        0       11        0
10383 baresip-x11                        	       0        9        0        9        0
10384 barman                             	       0        3        1        2        0
10385 barman-cli                         	       0        4        1        3        0
10386 barman-cli-cloud                   	       0        1        0        1        0
10387 barnowl                            	       0        1        0        1        0
10388 barrage                            	       0        3        0        3        0
10389 barrier                            	       0       34        9       25        0
10390 bart                               	       0        2        0        2        0
10391 bart-view                          	       0        2        0        2        0
10392 base-files                         	       0     4158        0        0     4158
10393 base58                             	       0       17        0       17        0
10394 basex                              	       0        4        0        4        0
10395 basez                              	       0       16        0       16        0
10396 bash-argsparse                     	       0        1        0        0        1
10397 bash-charmap                       	       0        1        0        1        0
10398 bash-deb-build                     	       0        1        0        1        0
10399 bash-doc                           	       0       42        0        0       42
10400 bash-optimg                        	       0        1        0        1        0
10401 bash-static                        	       0       10        0       10        0
10402 bashacks                           	       0        3        0        3        0
10403 bashacks-doc                       	       0        3        0        0        3
10404 bashburn                           	       0        5        0        5        0
10405 bashdb                             	       0        1        0        1        0
10406 bashtop                            	       0        8        0        8        0
10407 basilisk2                          	       0        6        1        5        0
10408 basix-doc                          	       0        1        0        0        1
10409 basket                             	       0       10        1        9        0
10410 basket-data                        	       0       10        0        0       10
10411 basket-kontact-integration-trinity 	       0        1        0        1        0
10412 basket-trinity                     	       0        1        0        1        0
10413 bastion                            	       0        1        0        0        1
10414 batmon.app                         	       0        3        0        3        0
10415 bats                               	       0        4        0        4        0
10416 bats-assert                        	       0        2        0        2        0
10417 bats-file                          	       0        2        0        2        0
10418 bats-support                       	       0        2        0        2        0
10419 battery-stats                      	       0        9        3        6        0
10420 bazaar-doc                         	       0        1        0        0        1
10421 bazel-6.1.0                        	       0        1        0        1        0
10422 bazel-bootstrap                    	       0        2        0        2        0
10423 bazel-bootstrap-data               	       0        2        0        2        0
10424 bazel-platforms                    	       0        1        0        0        1
10425 bazel-rules-cc                     	       0        1        0        1        0
10426 bazel-rules-java                   	       0        1        0        0        1
10427 bazel-rules-proto                  	       0        1        0        1        0
10428 bazel-skylib                       	       0        1        0        0        1
10429 bb                                 	       0       24        0       24        0
10430 bbdb                               	       0        2        0        0        2
10431 bbdb3                              	       0        4        0        4        0
10432 bbmail                             	       0        1        0        1        0
10433 bbpager                            	       0        6        0        6        0
10434 bbrun                              	       0        5        0        5        0
10435 bbswitch-dkms                      	       0       21        0        0       21
10436 bbswitch-source                    	       0        2        0        0        2
10437 bbtime                             	       0        3        0        3        0
10438 bcache-tools                       	       0        9        0        9        0
10439 bcachefs-tools                     	       0        2        0        2        0
10440 bcachefs-tools-dbgsym              	       0        1        0        1        0
10441 bcal                               	       0        3        0        3        0
10442 bcc                                	       0        8        0        8        0
10443 bcftools                           	       0        1        0        1        0
10444 bchunk                             	       0       38        1       37        0
10445 bcm5700-source                     	       0        1        0        0        1
10446 bcnc                               	       0        3        0        3        0
10447 bcpp                               	       0        9        0        9        0
10448 bcrelay                            	       0        6        0        6        0
10449 bcron                              	       0        1        1        0        0
10450 bcrypt                             	       0        5        0        5        0
10451 bda-monitoring-plugins-bridge-interface	       0        1        0        1        0
10452 bda-monitoring-plugins-broken-symlinks	       0        1        0        1        0
10453 bda-monitoring-plugins-cert-local  	       0        1        0        1        0
10454 bda-monitoring-plugins-count-files 	       0        1        0        1        0
10455 bda-monitoring-plugins-file-age-redux	       0        1        0        1        0
10456 bda-monitoring-plugins-file-md5sum 	       0        1        0        1        0
10457 bda-monitoring-plugins-imap-login  	       0        1        0        1        0
10458 bda-monitoring-plugins-letsencrypt-expiry-1.0	       0        1        0        1        0
10459 bda-monitoring-plugins-mdadm-status	       0        1        0        1        0
10460 bda-monitoring-plugins-pjsip-registration	       0        1        0        1        0
10461 bda-monitoring-plugins-sync-krb    	       0        1        0        1        0
10462 bda-monitoring-plugins-unknown-mac 	       0        1        0        1        0
10463 bdbvu                              	       0        1        0        1        0
10464 bdebstrap                          	       0        3        0        3        0
10465 bdf2sfd                            	       0        2        0        2        0
10466 bdfresize                          	       0        6        0        6        0
10467 bdsup2sub                          	       0        3        0        3        0
10468 bdvmdbg                            	       0        4        0        4        0
10469 beagle                             	       0        1        0        1        0
10470 beamium                            	       0        2        0        2        0
10471 beancount                          	       0        1        0        1        0
10472 beancounter                        	       0        2        0        2        0
10473 bear                               	       0       12        0       12        0
10474 beast-mcmc                         	       0        1        0        1        0
10475 beast2-mcmc                        	       0        1        0        1        0
10476 beav                               	       0        6        0        6        0
10477 bedops                             	       0        1        0        1        0
10478 bedtools                           	       0        5        0        5        0
10479 beebeep                            	       0        1        0        1        0
10480 beef                               	       0        2        0        2        0
10481 beegfs-client                      	       0        1        0        1        0
10482 beegfs-common                      	       0        1        0        0        1
10483 beegfs-helperd                     	       0        1        0        1        0
10484 beegfs-utils                       	       0        1        0        1        0
10485 beekeeper-studio                   	       0        4        0        2        2
10486 beep-media-player                  	       0        1        0        1        0
10487 beep-media-player-wma              	       0        1        0        1        0
10488 beets                              	       0       12        0       12        0
10489 beets-doc                          	       0        5        0        0        5
10490 beid-mozilla-extension             	       0        8        0        0        8
10491 beid-mozilla-webext                	       0        8        0        1        7
10492 beignet                            	       0        3        0        0        3
10493 beignet-opencl-icd                 	       0        9        0        0        9
10494 bellsoft-java11-full               	       0        1        0        1        0
10495 bellsoft-java13-full               	       0        1        0        1        0
10496 bellsoft-java14-full               	       0        1        0        1        0
10497 bellsoft-java15-full               	       0        1        0        1        0
10498 bellsoft-java16-full               	       0        1        0        1        0
10499 bellsoft-java21-full               	       0        1        0        1        0
10500 bellsoft-java8                     	       0        1        0        1        0
10501 bellsoft-java8-full                	       0        1        0        1        0
10502 belvu                              	       0        2        0        2        0
10503 beneath-a-steel-sky                	       0       20        0       20        0
10504 bento4                             	       0        1        0        1        0
10505 bepasty                            	       0        1        0        1        0
10506 berkeley-abc                       	       0       10        0       10        0
10507 berusky                            	       0       16        0       16        0
10508 berusky-data                       	       0       16        0        0       16
10509 berusky2                           	       0        8        0        8        0
10510 berusky2-data                      	       0        8        0        0        8
10511 besagent                           	       0        9        1        8        0
10512 bettercap                          	       0        4        0        4        0
10513 between                            	       0       11        0       11        0
10514 bf-utf-source                      	       0        8        0        0        8
10515 bfgminer                           	       0        1        0        1        0
10516 bforartists                        	       0        2        0        2        0
10517 bfs                                	       0        3        0        3        0
10518 bgcode                             	       0        1        0        1        0
10519 bgpdump                            	       0        1        0        1        0
10520 bgpq3                              	       0        4        0        4        0
10521 bgpq4                              	       0        4        0        4        0
10522 biabam                             	       0        2        0        2        0
10523 bibata-cursor-theme                	       0       15        0        0       15
10524 bibclean                           	       0       10        0       10        0
10525 bibcursed                          	       0        4        0        4        0
10526 bible-kjv                          	       0       10        1        9        0
10527 bible-kjv-text                     	       0       11        0        0       11
10528 bibledit                           	       0        3        0        3        0
10529 bibledit-bibletime                 	       0        1        0        1        0
10530 bibledit-cloud                     	       0        1        0        1        0
10531 bibledit-cloud-data                	       0        1        0        1        0
10532 bibledit-data                      	       0        3        0        3        0
10533 bibledit-gtk                       	       0        1        0        1        0
10534 bibledit-gtk-data                  	       0        1        0        1        0
10535 bibledit-xiphos                    	       0        1        0        1        0
10536 bibletime                          	       0       20        0       20        0
10537 bibletime-data                     	       0       20        0        0       20
10538 biblioteq                          	       0        1        0        0        1
10539 biboumi                            	       0        1        0        1        0
10540 bibtex2html                        	       0        6        1        5        0
10541 bibtexconv                         	       0        5        0        5        0
10542 bibtool                            	       0       13        0       13        0
10543 bibus-doc-en                       	       0        1        0        0        1
10544 bibutils                           	       0        9        0        9        0
10545 bidentd                            	       0        1        0        1        0
10546 biew                               	       0        1        0        1        0
10547 big-cursor                         	       0       30        0        0       30
10548 biglybt                            	       0        4        0        4        0
10549 bijiben                            	       0        6        0        6        0
10550 billard-gl                         	       0       13        0       13        0
10551 billard-gl-data                    	       0       13        0        0       13
10552 biloba                             	       0        4        0        4        0
10553 biloba-data                        	       0        4        0        0        4
10554 bin86                              	       0       27        1       26        0
10555 binance                            	       0        1        0        1        0
10556 binclock                           	       0        3        0        3        0
10557 bind-dnsutils                      	       0        1        0        1        0
10558 bind-host                          	       0        1        0        1        0
10559 bind-libs                          	       0        1        0        0        1
10560 bind9-doc                          	       0       38        0        0       38
10561 bind9utils                         	       0      116        5       28       83
10562 bindechexascii                     	       0        6        0        6        0
10563 bindfs                             	       0       14        0       14        0
10564 bing                               	       0       12        1       11        0
10565 biniax2                            	       0       15        0       15        0
10566 biniax2-data                       	       0       15        0        0       15
10567 binkd                              	       0        3        0        3        0
10568 bino                               	       0        3        0        3        0
10569 binpac                             	       0        1        0        1        0
10570 binstats                           	       0        6        0        6        0
10571 binutils-aarch64-linux-gnu         	       0       52        3       49        0
10572 binutils-alpha-linux-gnu           	       0        3        0        3        0
10573 binutils-arm-elf                   	       0        1        0        1        0
10574 binutils-arm-linux-gnueabihf       	       0       37        1       36        0
10575 binutils-arm-none-eabi             	       0       59        2       57        0
10576 binutils-common                    	       0     2696        0        0     2696
10577 binutils-djgpp                     	       0        1        0        1        0
10578 binutils-doc                       	       0       55        0        0       55
10579 binutils-for-build                 	       0        3        0        0        3
10580 binutils-for-host                  	       0        2        0        0        2
10581 binutils-gold-powerpc64le-linux-gnu	       0        1        0        1        0
10582 binutils-hppa-linux-gnu            	       0        4        0        4        0
10583 binutils-hppa-linux-gnu-dbg        	       0        1        0        1        0
10584 binutils-hppa64-linux-gnu          	       0        5        0        5        0
10585 binutils-hppa64-linux-gnu-dbg      	       0        1        0        1        0
10586 binutils-i686-gnu                  	       0        1        0        1        0
10587 binutils-ia16-elf                  	       0        1        0        1        0
10588 binutils-m68hc1x                   	       0        1        0        1        0
10589 binutils-m68k-linux-gnu            	       0        1        0        1        0
10590 binutils-mingw-w64                 	       0        9        0        0        9
10591 binutils-mingw-w64-i686            	       0       43        1       42        0
10592 binutils-mingw-w64-ucrt64          	       0        3        0        3        0
10593 binutils-mingw-w64-x86-64          	       0       47        1       46        0
10594 binutils-mips-linux-gnu            	       0        7        0        7        0
10595 binutils-mips64-linux-gnuabi64     	       0        1        0        1        0
10596 binutils-mips64el-linux-gnuabi64   	       0        4        0        4        0
10597 binutils-mipsel-linux-gnu          	       0        7        0        7        0
10598 binutils-msp430                    	       0        2        0        2        0
10599 binutils-multiarch-dbg             	       0        1        0        1        0
10600 binutils-multiarch-dev             	       0        4        0        0        4
10601 binutils-or1k-elf                  	       0        1        0        1        0
10602 binutils-powerpc-linux-gnu         	       0        5        0        5        0
10603 binutils-powerpc64-linux-gnu       	       0        6        0        6        0
10604 binutils-riscv64-linux-gnu         	       0       11        1       10        0
10605 binutils-riscv64-unknown-elf       	       0        3        0        3        0
10606 binutils-s390x-linux-gnu           	       0        4        0        4        0
10607 binutils-source                    	       0        2        0        0        2
10608 binutils-sparc64-linux-gnu         	       0        3        0        3        0
10609 binutils-x86-64-linux-gnux32       	       0        6        0        6        0
10610 binutils-xtensa-lx106              	       0        2        0        2        0
10611 binutils-z80                       	       0        6        0        6        0
10612 binwalk                            	       0       41        0       41        0
10613 bioperl                            	       0        3        0        3        0
10614 bioperl-run                        	       0        3        0        3        0
10615 biosdisk                           	       0        1        0        1        0
10616 biosig-tools                       	       0        2        0        2        0
10617 bird                               	       0        3        0        3        0
10618 bird-bgp                           	       0        1        0        0        1
10619 bird-doc                           	       0        2        0        0        2
10620 bird2                              	       0        5        2        3        0
10621 birdfont                           	       0       10        0       10        0
10622 birdfont-common                    	       0        8        0        0        8
10623 birthday                           	       0        4        0        4        0
10624 bison++                            	       0        1        0        1        0
10625 bisonc++                           	       0        5        0        5        0
10626 bisonc++-doc                       	       0        4        0        4        0
10627 bisq                               	       0        8        0        8        0
10628 bit4id-ipki                        	       0        1        0        1        0
10629 bitcoin-cpuminer                   	       0        1        0        1        0
10630 bitcoin-qt                         	       0        7        0        7        0
10631 bitcoin-tx                         	       0        2        0        2        0
10632 bitcoind                           	       0        4        0        4        0
10633 bitdefender-security-tools         	       0        1        0        1        0
10634 bitlbee                            	       0        9        2        7        0
10635 bitlbee-common                     	       0       12        0        0       12
10636 bitlbee-dev                        	       0        2        0        1        1
10637 bitlbee-facebook                   	       0        1        0        1        0
10638 bitlbee-libpurple                  	       0        3        1        2        0
10639 bitlbee-libpurple-dbgsym           	       0        1        0        1        0
10640 bitlbee-plugin-facebook            	       0        1        0        1        0
10641 bitlbee-plugin-mastodon            	       0        2        0        2        0
10642 bitlbee-plugin-otr                 	       0        2        0        2        0
10643 bitlbee-steam                      	       0        1        0        1        0
10644 bitmap-mule                        	       0        1        0        1        0
10645 bitmeter                           	       0        2        0        2        0
10646 bitpim-lib                         	       0        1        0        1        0
10647 bitscope-dso                       	       0        1        0        1        0
10648 bitshuffle                         	       0        1        0        1        0
10649 bitsnpicas                         	       0        1        0        1        0
10650 bitsquare                          	       0        1        0        1        0
10651 bitstormlite                       	       0        2        0        2        0
10652 bittornado                         	       0       64        1       63        0
10653 bittorrent                         	       0        5        0        5        0
10654 bittorrent-gui                     	       0        3        0        3        0
10655 bitwarden                          	       0        4        0        1        3
10656 bitwig-studio                      	       0        5        0        5        0
10657 bitwise                            	       0        2        0        2        0
10658 bjeps2xx                           	       0        1        0        1        0
10659 bkchem                             	       0        1        0        1        0
10660 blabel                             	       0        1        0        1        0
10661 black-box                          	       0       12        0       12        0
10662 blackbird-gtk-theme                	       0      169        0        0      169
10663 blackbox                           	       0       15        1       14        0
10664 blackbox-terminal                  	       0        1        0        1        0
10665 blackbox-themes                    	       0        4        0        0        4
10666 blackdev-plymouth-theme            	       0        1        0        0        1
10667 blacs-mpi-test                     	       0        1        0        1        0
10668 blacs-test-common                  	       0        1        0        1        0
10669 blahtexml                          	       0        6        2        4        0
10670 blanket                            	       0        1        0        1        0
10671 blast                              	       0        1        0        1        0
10672 blast2                             	       0        3        0        0        3
10673 blastem                            	       0        9        0        9        0
10674 blcr-util                          	       0        1        0        1        0
10675 blender-dbg                        	       0        1        0        1        0
10676 blender-doc                        	       0        2        0        0        2
10677 blends-common                      	       0       25        1       24        0
10678 blends-doc                         	       0        3        0        0        3
10679 blends-tasks                       	       0        3        0        0        3
10680 blepvco                            	       0        8        1        7        0
10681 blhc                               	       0        1        0        1        0
10682 blink                              	       0        1        0        1        0
10683 blinkd                             	       0        1        0        1        0
10684 bliss                              	       0        5        0        5        0
10685 blktrace                           	       0        8        0        8        0
10686 blobandconquer                     	       0        3        0        3        0
10687 blobandconquer-data                	       0        4        0        4        0
10688 blobby-build-deps                  	       0        1        0        0        1
10689 blobby-data                        	       0        8        0        0        8
10690 blobby-dbgsym                      	       0        1        0        1        0
10691 bloboats                           	       0        5        0        5        0
10692 blobwars                           	       0       12        0       12        0
10693 blobwars-data                      	       0       12        0       12        0
10694 blockade                           	       0        1        0        1        0
10695 blockattack                        	       0       18        0       18        0
10696 blockbench                         	       0        2        0        0        2
10697 blockfinder                        	       0        1        0        1        0
10698 blocks-of-the-undead               	       0       10        0       10        0
10699 blocks-of-the-undead-data          	       0       10        0        0       10
10700 blogc                              	       0        1        0        1        0
10701 blogc-make                         	       0        1        0        1        0
10702 blogc-runserver                    	       0        1        0        1        0
10703 blogilo                            	       0        1        0        1        0
10704 blosxom                            	       0        1        0        1        0
10705 bls-standalone                     	       0        2        0        2        0
10706 blt                                	       0      900        0        0      900
10707 blt-demo                           	       0       16        0        0       16
10708 bluebrain-hpc-coding-conventions   	       0        1        0        0        1
10709 bluefish-data                      	       0       64        0        1       63
10710 bluefish-doc-pdf                   	       0        1        0        0        1
10711 bluefish-doc-ps                    	       0        1        0        0        1
10712 bluefish-plugins                   	       0       63        0        1       62
10713 bluegriffon                        	       0        4        0        4        0
10714 bluej                              	       0        5        1        4        0
10715 bluejeans-v2                       	       0        3        0        0        3
10716 bluemindo                          	       0        1        0        1        0
10717 bluemon                            	       0       19        0       19        0
10718 blueprint                          	       0        1        0        1        0
10719 blueprint-compiler                 	       0        1        0        1        0
10720 blueprint-tools                    	       0        2        0        2        0
10721 bluetooth                          	       0     1556        0        0     1556
10722 bluewho                            	       0        2        0        2        0
10723 bluez-alsa                         	       0        2        0        0        2
10724 bluez-compat                       	       0        3        0        3        0
10725 bluez-gstreamer                    	       0        2        0        0        2
10726 bluez-source                       	       0       18        0        0       18
10727 bluez-test-scripts                 	       0       35        0        0       35
10728 bluez-tools-dbgsym                 	       0        1        0        1        0
10729 bluez-utils                        	       0        3        0        0        3
10730 blupimania                         	       0        2        0        2        0
10731 blupimania-common                  	       0        2        0        0        2
10732 blur-effect                        	       0        3        0        3        0
10733 bluraybackup                       	       0        4        0        4        0
10734 bmagic                             	       0        1        0        1        0
10735 bmap-tools                         	       0       12        0       12        0
10736 bmon                               	       0       89        2       87        0
10737 bmt                                	       0        1        0        1        0
10738 bnd                                	       0       54        2       52        0
10739 bnfc                               	       0        2        0        2        0
10740 boca.amd64                         	       0        1        0        1        0
10741 bochs                              	       0       14        0       14        0
10742 bochs-doc                          	       0        6        0        0        6
10743 bochs-sdl                          	       0        7        0        1        6
10744 bochs-term                         	       0        5        0        2        3
10745 bochs-wx                           	       0       12        0        3        9
10746 bochs-x                            	       0        4        0        2        2
10747 bochsbios                          	       0       16        0        0       16
10748 bodr                               	       0        9        0        0        9
10749 bogl-bterm                         	       0        1        0        1        0
10750 bogl-utils                         	       0        3        0        3        0
10751 bogofilter                         	       0      294        0        0      294
10752 bogofilter-common                  	       0      296        0        0      296
10753 bogofilter-sqlite                  	       0        4        0        4        0
10754 boinc                              	       0       15        0        0       15
10755 boinc-app-seti                     	       0        1        0        0        1
10756 boinc-client-opencl                	       0        1        0        0        1
10757 boinc-screensaver                  	       0        2        0        2        0
10758 boinc-virtualbox                   	       0        2        0        0        2
10759 boinctui                           	       0        6        1        5        0
10760 bolt-16                            	       0        1        0        1        0
10761 bombadillo                         	       0        1        0        1        0
10762 bomberclone                        	       0       14        0       14        0
10763 bomberclone-data                   	       0       14        0        0       14
10764 bombermaze                         	       0        1        0        1        0
10765 bombono-dvd                        	       0        1        0        1        0
10766 bombono-dvd-data                   	       0        3        0        0        3
10767 bomstrip                           	       0        1        0        1        0
10768 bongosurfer                        	       0        1        0        1        0
10769 boogie                             	       0        1        0        1        0
10770 boohu                              	       0        4        0        4        0
10771 bookletimposer                     	       0       15        1       14        0
10772 booksorg                           	       0        1        0        1        0
10773 boolector                          	       0        1        0        1        0
10774 boomaga                            	       0       15        0       15        0
10775 boost-defaults-build-deps          	       0        1        0        0        1
10776 boost1.71-build-deps               	       0        1        0        0        1
10777 boot-info                          	       0        1        0        1        0
10778 boot-info-script                   	       0        7        0        7        0
10779 boot-repair                        	       0        3        0        3        0
10780 boot-sav                           	       0        3        0        0        3
10781 boot-sav-extra                     	       0        3        0        0        3
10782 bootcd                             	       0        2        0        2        0
10783 bootchart                          	       0        2        0        2        0
10784 bootchart-view                     	       0        1        0        1        0
10785 bootchart2                         	       0        2        0        2        0
10786 bootiso                            	       0        1        0        1        0
10787 bootlogd-dbgsym                    	       0        2        0        2        0
10788 bootp                              	       0        5        0        5        0
10789 bootparamd                         	       0        3        1        2        0
10790 bootpc                             	       0        2        0        2        0
10791 bootscript-odroidc4                	       0        1        0        0        1
10792 bootscript-odroidm1                	       0        1        0        0        1
10793 bootstrap-icons                    	       0        2        0        0        2
10794 bootterm                           	       0        2        0        2        0
10795 borgbackup                         	       0       68        1       67        0
10796 borgbackup-doc                     	       0       10        0        0       10
10797 borgbackup2                        	       0        1        0        1        0
10798 borgcalendar                       	       0        2        0        2        0
10799 borgmatic                          	       0       16        0       16        0
10800 bos                                	       0        1        0        1        0
10801 bosh                               	       0        5        0        5        0
10802 boson                              	       0        1        0        1        0
10803 boson-data                         	       0        1        0        0        1
10804 boson-music                        	       0        1        0        0        1
10805 bossa                              	       0        4        0        4        0
10806 bossa-cli                          	       0        5        0        5        0
10807 boswars                            	       0       12        0       12        0
10808 boswars-data                       	       0       12        0        0       12
10809 botan                              	       0        6        0        6        0
10810 botch                              	       0        1        0        1        0
10811 botch-doc                          	       0        2        0        0        2
10812 bottlerocket                       	       0        3        1        2        0
10813 bottom                             	       0        3        0        3        0
10814 bouncy                             	       0       10        0       10        0
10815 bowtie                             	       0        3        0        3        0
10816 bowtie2                            	       0        2        0        2        0
10817 boxer                              	       0        1        0        1        0
10818 boxer-data                         	       0        1        0        0        1
10819 boxes                              	       0        9        0        9        0
10820 boxshade                           	       0        2        0        2        0
10821 bpfcc-tools                        	       0        3        0        3        0
10822 bpfmon                             	       0       27        0       27        0
10823 bpftool                            	       0        7        0        7        0
10824 bpftrace                           	       0        5        0        5        0
10825 bplay                              	       0       10        1        9        0
10826 bpm-tools                          	       0        3        0        3        0
10827 bpta                               	       0        1        0        0        1
10828 bpython                            	       0        8        0        8        0
10829 bpython3                           	       0        1        0        1        0
10830 bpytop                             	       0       36        2       34        0
10831 br2684ctl                          	       0        1        0        1        0
10832 braa                               	       0       26        0       26        0
10833 brag                               	       0        2        0        2        0
10834 braillefont                        	       0        1        0        1        0
10835 braindump                          	       0        1        0        1        0
10836 brainparty                         	       0       15        0       15        0
10837 brainparty-data                    	       0       15        0        0       15
10838 brandy                             	       0        6        0        6        0
10839 brasero-cdrkit                     	       0      371        0        0      371
10840 brasero-common                     	       0      599        0        0      599
10841 brave-browser-beta                 	       0        6        1        5        0
10842 brave-browser-nightly              	       0        5        0        5        0
10843 brave-keyring                      	       0      201        0        0      201
10844 brazilian-conjugate                	       0        2        0        2        0
10845 breathe-doc                        	       0        1        0        0        1
10846 breeze-cursor-theme                	       0      647        0        0      647
10847 breeze-dev                         	       0        3        0        0        3
10848 breeze-gtk-theme                   	       0      581        0        0      581
10849 breeze-icon-theme                  	       0      688        0        0      688
10850 breeze-icon-theme-rcc              	       0       16        0        0       16
10851 breeze-wallpaper                   	       0       27        0        0       27
10852 breitbandmessung                   	       0        1        0        0        1
10853 brewtarget                         	       0        2        0        2        0
10854 brgenml1cupswrapper                	       0        5        0        0        5
10855 brgenml1lpr                        	       0        3        0        0        3
10856 brhl2040lpr                        	       0        1        0        1        0
10857 brhl2140lpr                        	       0        2        0        2        0
10858 briar-desktop                      	       0        3        0        3        0
10859 brick-flash                        	       0        1        0        1        0
10860 brickos-doc                        	       0        1        0        0        1
10861 brickv                             	       0        1        0        1        0
10862 bricscadv20                        	       0        1        0        1        0
10863 bricscadv22                        	       0        1        0        1        0
10864 bricscadv23                        	       0        1        0        1        0
10865 bricscadv24                        	       0        1        0        1        0
10866 bricscadv25                        	       0        1        0        1        0
10867 brig                               	       0        1        0        1        0
10868 brightd                            	       0        5        1        4        0
10869 brightnessctl-dbgsym               	       0        1        0        1        0
10870 brightnesspicker                   	       0        1        0        1        0
10871 briquolo                           	       0       10        0       10        0
10872 briquolo-data                      	       0       10        0        0       10
10873 bristol                            	       0        4        0        4        0
10874 bristol-data                       	       0        4        0        0        4
10875 brlcad                             	       0        1        0        1        0
10876 brltty-build-deps                  	       0        1        0        0        1
10877 brltty-speechd                     	       0        1        0        1        0
10878 brmfc7320lpr                       	       0        1        0        1        0
10879 brmfc7820nlpr                      	       0        1        0        1        0
10880 brmfcfaxcups                       	       0        3        0        3        0
10881 brmfcfaxdrv                        	       0        1        0        0        1
10882 brmfcfaxlpd                        	       0        1        0        0        1
10883 bro-aux                            	       0        1        0        1        0
10884 bro-common                         	       0        1        0        0        1
10885 broadcom-sta-common                	       0        7        0        0        7
10886 broadcom-sta-source                	       0        6        0        0        6
10887 broot                              	       0        3        0        3        0
10888 brother-udev-rule-type1            	       0        8        0        0        8
10889 browser-plugin-evince              	       0        4        0        4        0
10890 browser-plugin-freshplayer-nacl    	       0        1        0        1        0
10891 browser-plugin-freshplayer-pepperflash	       0        6        0        0        6
10892 browser-plugin-gnash               	       0       13        0       13        0
10893 browser-plugin-lightspark          	       0        2        0        2        0
10894 browser-plugin-vlc                 	       0        1        0        1        0
10895 browsh                             	       0        8        0        8        0
10896 brp-pacu                           	       0        3        0        3        0
10897 brscan-skey                        	       0       67        0        3       64
10898 brscan2                            	       0        7        0        7        0
10899 brscan3                            	       0        8        0        8        0
10900 brscan4                            	       0       67        0       67        0
10901 brscan5                            	       0        7        0        1        6
10902 bruno                              	       0        3        0        0        3
10903 brutalchess                        	       0       16        0       16        0
10904 brutefir                           	       0        1        0        1        0
10905 bruteforce-salted-openssl          	       0       25        0       25        0
10906 bruteforce-wallet                  	       0       21        0       21        0
10907 brutespray                         	       0       22        0       22        0
10908 brz-debian                         	       0        4        0        4        0
10909 brz-doc                            	       0       12        0        0       12
10910 brz-loom                           	       0        1        0        1        0
10911 bs1770gain                         	       0        7        0        7        0
10912 bs2b-ladspa                        	       0        6        1        5        0
10913 bsc                                	       0        1        0        1        0
10914 bsdcpio                            	       0        4        0        0        4
10915 bsdextrautils-dbgsym               	       0        1        0        1        0
10916 bsdiff                             	       0       18        0       18        0
10917 bsdiff-dbgsym                      	       0        1        0        1        0
10918 bsdowl                             	       0        1        0        1        0
10919 bsdtar                             	       0       20        0        0       20
10920 bsdutils-dbgsym                    	       0        2        0        2        0
10921 bsfilter                           	       0       22        1       21        0
10922 bsh                                	       0       35        1       34        0
10923 bsh-doc                            	       0       13        0        0       13
10924 bsh-gcj                            	       0        1        0        1        0
10925 bsh-src                            	       0        1        0        0        1
10926 btag                               	       0        5        0        5        0
10927 btanks                             	       0       11        0       11        0
10928 btanks-data                        	       0       11        0        0       11
10929 btcheck                            	       0        6        0        6        0
10930 btest                              	       0        1        0        1        0
10931 btfs                               	       0        4        0        4        0
10932 btoa                               	       0        1        0        1        0
10933 btrbk                              	       0        5        0        5        0
10934 btrfs-compsize                     	       0       24        0       24        0
10935 btrfs-heatmap                      	       0       23        0       23        0
10936 btrfs-tools                        	       0       46        0        1       45
10937 btrustbiss                         	       0        1        0        1        0
10938 btscanner                          	       0       50        0       50        0
10939 btyacc                             	       0        1        0        1        0
10940 bubblefishymon                     	       0        3        0        3        0
10941 bubbros                            	       0        1        0        1        0
10942 bucardo                            	       0        1        0        1        0
10943 buckap                             	       0        2        0        2        0
10944 bucklespring                       	       0        7        1        6        0
10945 bucklespring-data                  	       0        7        0        0        7
10946 budgie-app-launcher-applet         	       0        1        0        0        1
10947 budgie-applications-menu-applet    	       0        3        0        0        3
10948 budgie-appmenu-applet              	       0        1        0        0        1
10949 budgie-backgrounds                 	       0        1        0        0        1
10950 budgie-brightness-controller-applet	       0        4        0        0        4
10951 budgie-clockworks-applet           	       0        1        0        0        1
10952 budgie-control-center              	       0        5        0        5        0
10953 budgie-control-center-data         	       0        5        0        0        5
10954 budgie-core                        	       0        7        1        6        0
10955 budgie-core-dev                    	       0        1        0        1        0
10956 budgie-countdown-applet            	       0        1        0        0        1
10957 budgie-desktop                     	       0        7        0        0        7
10958 budgie-desktop-doc                 	       0        2        0        0        2
10959 budgie-desktop-view                	       0        8        1        7        0
10960 budgie-dropby-applet               	       0        1        0        0        1
10961 budgie-extras-common               	       0        7        0        0        7
10962 budgie-extras-daemon               	       0        2        0        2        0
10963 budgie-hotcorners-applet           	       0        1        0        1        0
10964 budgie-indicator-applet            	       0        1        0        0        1
10965 budgie-kangaroo-applet             	       0        1        0        0        1
10966 budgie-keyboard-autoswitch-applet  	       0        2        0        0        2
10967 budgie-network-manager-applet      	       0        1        0        0        1
10968 budgie-previews                    	       0        1        0        1        0
10969 budgie-previews-applet             	       0        1        0        0        1
10970 budgie-quickchar                   	       0        1        0        1        0
10971 budgie-quicknote-applet            	       0        1        0        0        1
10972 budgie-recentlyused-applet         	       0        1        0        0        1
10973 budgie-rotation-lock-applet        	       0        1        0        0        1
10974 budgie-showtime-applet             	       0        1        0        0        1
10975 budgie-sntray-plugin               	       0        2        0        0        2
10976 budgie-takeabreak-applet           	       0        1        0        0        1
10977 budgie-trash-applet                	       0        1        0        0        1
10978 budgie-visualspace-applet          	       0        1        0        0        1
10979 budgie-wallstreet                  	       0        2        0        2        0
10980 budgie-weathershow-applet          	       0        2        0        0        2
10981 budgie-window-mover-applet         	       0        1        0        0        1
10982 budgie-window-shuffler             	       0        2        0        2        0
10983 budgie-workspace-overview-applet   	       0        1        0        0        1
10984 budgie-workspace-stopwatch-applet  	       0        1        0        0        1
10985 budgie-workspace-wallpaper-applet  	       0        1        0        0        1
10986 buffy                              	       0        1        0        1        0
10987 bugsquish                          	       0        4        0        4        0
10988 bugz                               	       0        2        0        2        0
10989 bugzilla-cli                       	       0        2        0        2        0
10990 buici-clock                        	       0        8        0        8        0
10991 build                              	       0        2        0        2        0
10992 build-essential                    	       0     2224        0        0     2224
10993 buildapp                           	       0        2        0        2        0
10994 buildbot-doc                       	       0        2        0        0        2
10995 buildtorrent                       	       0        4        0        4        0
10996 buku                               	       0       14        1       13        0
10997 bulky                              	       0        3        1        2        0
10998 bully                              	       0       22        0       22        0
10999 bum                                	       0        1        0        1        0
11000 bumblebee                          	       0       20        4       16        0
11001 bumblebee-nvidia                   	       0        6        0        0        6
11002 bumprace                           	       0        4        0        4        0
11003 bumprace-data                      	       0        4        0        0        4
11004 bumpversion                        	       0        2        0        2        0
11005 bundler                            	       0       30        0        0       30
11006 bundlewrap                         	       0        1        0        1        0
11007 bunsen-thunar                      	       0        1        0        0        1
11008 bup-doc                            	       0      501        0        0      501
11009 burgerspace                        	       0       10        0       10        0
11010 burn                               	       0        1        0        1        0
11011 burner-cdrkit                      	       0       12        0        0       12
11012 burner-common                      	       0       14        0        0       14
11013 burp                               	       0        3        1        2        0
11014 bustle                             	       0        7        0        7        0
11015 bustle-pcap                        	       0        7        0        7        0
11016 busybox-static                     	       0       82        7       75        0
11017 busybox-syslogd                    	       0        1        0        1        0
11018 buthead                            	       0        3        0        3        0
11019 butt                               	       0        2        0        2        0
11020 butteraugli                        	       0        2        0        2        0
11021 buzztrax                           	       0        5        1        4        0
11022 bwa                                	       0        5        0        5        0
11023 bwbar                              	       0        1        0        1        0
11024 bwbasic                            	       0       11        0       11        0
11025 bwidget                            	       0       54        0        0       54
11026 bwm-ng                             	       0       32        0       32        0
11027 bximage                            	       0       13        0       13        0
11028 byacc-dbgsym                       	       0        1        0        1        0
11029 byedpi                             	       0        1        0        1        0
11030 bygfoot                            	       0        2        0        2        0
11031 bygfoot-data                       	       0        2        0        0        2
11032 bytedance-feishu-stable            	       0        1        0        1        0
11033 bytes-circle                       	       0        1        0        1        0
11034 byzanz                             	       0       11        0       11        0
11035 bzflag                             	       0       11        0        0       11
11036 bzflag-client                      	       0       19        0       19        0
11037 bzflag-data                        	       0       19        0        0       19
11038 bzflag-server                      	       0       13        0       13        0
11039 bzip2-doc                          	       0      401        0        0      401
11040 bzip3                              	       0       17        0       17        0
11041 bzr                                	       0       45        1        9       35
11042 bzr-builddeb                       	       0        4        0        1        3
11043 bzr-doc                            	       0        7        0        0        7
11044 bzrtools                           	       0       11        1        3        7
11045 c++-annotations                    	       0        5        0        5        0
11046 c++-annotations-contrib            	       0        6        0        0        6
11047 c++-annotations-dvi                	       0        5        0        0        5
11048 c++-annotations-html               	       0        7        0        0        7
11049 c++-annotations-latex              	       0        5        0        0        5
11050 c++-annotations-pdf                	       0        9        0        0        9
11051 c++-annotations-ps                 	       0        5        0        0        5
11052 c++-annotations-txt                	       0        5        0        0        5
11053 c-cpp-reference                    	       0        1        0        1        0
11054 c2hs                               	       0        1        0        1        0
11055 c2hs-doc                           	       0        1        0        1        0
11056 c3270                              	       0        6        0        6        0
11057 c44-freeimage                      	       0        1        0        1        0
11058 ca-cacert                          	       0       11        0        0       11
11059 ca-certificates-java               	       0     1636        0        0     1636
11060 ca-installer                       	       0        1        0        1        0
11061 ca-misc-dev                        	       0        1        0        1        0
11062 ca-netif                           	       0        1        0        1        0
11063 cabal-debian                       	       0        6        0        6        0
11064 cabal-install                      	       0       16        0       16        0
11065 cabot                              	       0        1        0        1        0
11066 cachefilesd                        	       0        3        0        3        0
11067 cackey                             	       0        1        0        1        0
11068 cacti                              	       0        3        0        3        0
11069 cacti-spine                        	       0        1        0        1        0
11070 cadabra                            	       0        1        0        1        0
11071 cadaver                            	       0       15        1       14        0
11072 caddy                              	       0        2        0        2        0
11073 cadence                            	       0        3        0        3        0
11074 cadence-data                       	       0        5        0        0        5
11075 cadence-tools                      	       0        4        0        4        0
11076 cadzinho                           	       0        1        0        1        0
11077 cafeobj                            	       0        3        0        3        0
11078 cafeobj-mode                       	       0        1        0        1        0
11079 caffe-tools-cpu                    	       0        1        0        1        0
11080 cage                               	       0        5        0        5        0
11081 cairo-5c                           	       0        6        0        0        6
11082 cairo-clock                        	       0        1        0        1        0
11083 cairo-dock                         	       0        3        0        0        3
11084 cairo-dock-alsamixer-plug-in       	       0        7        0        2        5
11085 cairo-dock-animated-icons-plug-in  	       0        6        0        2        4
11086 cairo-dock-cairo-penguin-plug-in   	       0        5        0        2        3
11087 cairo-dock-clipper-plug-in         	       0        7        0        2        5
11088 cairo-dock-clock-plug-in           	       0        6        0        2        4
11089 cairo-dock-core                    	       0       10        0       10        0
11090 cairo-dock-dbus-plug-in            	       0        5        0        5        0
11091 cairo-dock-desklet-rendering-plug-in	       0        5        0        2        3
11092 cairo-dock-dev                     	       0        2        0        2        0
11093 cairo-dock-dialog-rendering-plug-in	       0        5        0        2        3
11094 cairo-dock-dnd2share-plug-in       	       0        5        0        2        3
11095 cairo-dock-drop-indicator-plug-in  	       0        5        0        2        3
11096 cairo-dock-dustbin-plug-in         	       0        5        0        2        3
11097 cairo-dock-folders-plug-in         	       0        5        0        2        3
11098 cairo-dock-gmenu-plug-in           	       0        5        0        2        3
11099 cairo-dock-gnome-integration-plug-in	       0        4        0        2        2
11100 cairo-dock-icon-effect-plug-in     	       0        5        0        2        3
11101 cairo-dock-illusion-plug-in        	       0        5        0        2        3
11102 cairo-dock-impulse-plug-in         	       0        4        0        2        2
11103 cairo-dock-kde-integration-plug-in 	       0        3        0        0        3
11104 cairo-dock-keyboard-indicator-plug-in	       0        5        0        2        3
11105 cairo-dock-logout-plug-in          	       0        5        0        2        3
11106 cairo-dock-mail-plug-in            	       0        5        0        2        3
11107 cairo-dock-messaging-menu-plug-in  	       0        5        0        2        3
11108 cairo-dock-motion-blur-plug-in     	       0        5        0        2        3
11109 cairo-dock-musicplayer-plug-in     	       0        5        0        2        3
11110 cairo-dock-netspeed-plug-in        	       0        5        0        2        3
11111 cairo-dock-plug-in-data            	       0       19        0        2       17
11112 cairo-dock-plug-ins                	       0        3        0        0        3
11113 cairo-dock-powermanager-plug-in    	       0        6        0        2        4
11114 cairo-dock-quick-browser-plug-in   	       0        5        0        2        3
11115 cairo-dock-recent-events-plug-in   	       0        5        0        2        3
11116 cairo-dock-remote-control-plug-in  	       0        5        0        2        3
11117 cairo-dock-rendering-plug-in       	       0        5        0        2        3
11118 cairo-dock-rssreader-plug-in       	       0        5        0        2        3
11119 cairo-dock-shortcuts-plug-in       	       0        5        0        2        3
11120 cairo-dock-showdesktop-plug-in     	       0        5        0        2        3
11121 cairo-dock-showmouse-plug-in       	       0        5        0        2        3
11122 cairo-dock-slider-plug-in          	       0        5        0        2        3
11123 cairo-dock-stack-plug-in           	       0        5        0        2        3
11124 cairo-dock-switcher-plug-in        	       0        5        0        2        3
11125 cairo-dock-system-monitor-plug-in  	       0        5        0        2        3
11126 cairo-dock-systray-plug-in         	       0        5        0        2        3
11127 cairo-dock-terminal-plug-in        	       0        5        0        2        3
11128 cairo-dock-tomboy-plug-in          	       0        5        0        2        3
11129 cairo-dock-toons-plug-in           	       0        5        0        2        3
11130 cairo-dock-weather-plug-in         	       0        6        0        2        4
11131 cairo-dock-wifi-plug-in            	       0        5        0        2        3
11132 cairo-dock-xfce-integration-plug-in	       0        6        0        0        6
11133 cairo-dock-xgamma-plug-in          	       0        5        0        2        3
11134 cairosvg                           	       0       10        0       10        0
11135 caja-actions                       	       0       20        4       16        0
11136 caja-actions-common                	       0       21        0        0       21
11137 caja-admin                         	       0       74        0        0       74
11138 caja-dropbox                       	       0        8        1        7        0
11139 caja-extension-fma                 	       0        1        0        0        1
11140 caja-mediainfo                     	       0       14        0        0       14
11141 caja-nextcloud                     	       0        6        0        0        6
11142 caja-share                         	       0       19        3        9        7
11143 caja-xattr-tags                    	       0       10        3        4        3
11144 cakephp                            	       0        1        0        1        0
11145 cakephp-scripts                    	       0        1        0        1        0
11146 calamares-extensions               	       0        1        0        0        1
11147 calamares-extensions-data          	       0        2        0        0        2
11148 calamares-settings-debian          	       0        6        3        3        0
11149 calamaris                          	       0        3        1        2        0
11150 calc-common                        	       0     1004        0        0     1004
11151 calc-dev                           	       0        3        0        3        0
11152 calcoo                             	       0        8        0        8        0
11153 calculix-ccx                       	       0      103        0      103        0
11154 calculix-ccx-doc                   	       0        3        0        0        3
11155 calculix-ccx-test                  	       0        1        0        0        1
11156 calculix-cgx                       	       0        6        0        6        0
11157 calculix-cgx-examples              	       0        2        0        0        2
11158 calcurse-dbgsym                    	       0        1        0        1        0
11159 calendar                           	       0      126        2      124        0
11160 calf-ladspa                        	       0       13        0       12        1
11161 calligra-data                      	       0       56        0        0       56
11162 calligra-gemini-data               	       0        6        0        0        6
11163 calligra-l10n-de                   	       0        2        0        0        2
11164 calligra-l10n-es                   	       0        1        0        0        1
11165 calligra-l10n-it                   	       0        1        0        0        1
11166 calligra-l10n-pl                   	       0        1        0        0        1
11167 calligra-l10n-ru                   	       0        1        0        0        1
11168 calligra-l10n-zhcn                 	       0        1        0        0        1
11169 calligra-semanticitems             	       0        1        0        1        0
11170 calligraauthor                     	       0        1        0        1        0
11171 calligraflow                       	       0        1        0        1        0
11172 calligraflow-data                  	       0        1        0        0        1
11173 calligraplan                       	       0       11        0       11        0
11174 calligrasheets-data                	       0       23        0        0       23
11175 calligrastage-data                 	       0       23        0        0       23
11176 calligrawords-data                 	       0       41        0        0       41
11177 calypso                            	       0        1        0        1        0
11178 cam                                	       0        1        0        1        0
11179 cambiainit-trinity                 	       0        4        0        0        4
11180 camera.app                         	       0        4        0        4        0
11181 camitk-config                      	       0        1        0        1        0
11182 camitk-imp                         	       0        1        0        1        0
11183 caml2html                          	       0        1        0        1        0
11184 camlp4                             	       0       16        0       16        0
11185 camlp5                             	       0        2        0        2        0
11186 camorama                           	       0       10        0       10        0
11187 camotics                           	       0        1        0        1        0
11188 camping                            	       0        1        0        1        0
11189 camstream                          	       0        2        0        2        0
11190 camstream-doc                      	       0        3        0        0        3
11191 camv-rnd                           	       0        3        0        0        3
11192 camv-rnd-core                      	       0        3        0        3        0
11193 camv-rnd-doc                       	       0        3        0        0        3
11194 camv-rnd-export-extra              	       0        1        0        1        0
11195 camv-rnd-export-gd                 	       0        3        0        3        0
11196 camv-rnd-export-vector             	       0        3        0        3        0
11197 camv-rnd-import                    	       0        3        0        3        0
11198 camv-rnd-lib-gui                   	       0        3        0        3        0
11199 can-utils                          	       0       12        0       12        0
11200 canadian-ham-exam                  	       0        3        0        3        0
11201 canberra-gtk-play                  	       0        1        0        1        0
11202 candevstudio                       	       0        1        0        0        1
11203 caneda                             	       0        8        0        8        0
11204 canna                              	       0        2        0        2        0
11205 canna-utils                        	       0        3        0        3        0
11206 cantata                            	       0       23        0       23        0
11207 cantor-backend-kalgebra            	       0        6        0        0        6
11208 cantor-backend-lua                 	       0        2        0        0        2
11209 cantor-backend-maxima              	       0        4        0        0        4
11210 cantor-backend-octave              	       0        2        0        0        2
11211 cantor-backend-qalculate           	       0       45        0        0       45
11212 cantor-backend-sage                	       0        1        0        0        1
11213 cantor-backend-scilab              	       0        3        0        0        3
11214 capi4hylafax                       	       0        1        0        1        0
11215 capistrano                         	       0        1        0        1        0
11216 capiutils                          	       0        3        0        3        0
11217 capnproto                          	       0        5        0        5        0
11218 capplets-data                      	       0        2        0        0        2
11219 cappuccino                         	       0        1        0        1        0
11220 caprine                            	       0        1        0        1        0
11221 caps-lv2                           	       0        2        0        0        2
11222 caps-lv2-data                      	       0        2        0        0        2
11223 capstats                           	       0        3        0        3        0
11224 capstone-tool                      	       0       23        0       23        0
11225 capsule-nextflow                   	       0        1        0        1        0
11226 carapace-bin                       	       0        1        0        1        0
11227 cardinal                           	       0        1        0        1        0
11228 cardinal-data                      	       0        1        0        0        1
11229 cardpeek-data                      	       0       11        0        0       11
11230 cargo-binutils                     	       0        1        0        1        0
11231 cargo-doc                          	       0       17        0        0       17
11232 cargo-mozilla                      	       0        3        0        3        0
11233 cargo-web                          	       0        1        0        1        0
11234 caribou                            	       0       36        0       36        0
11235 caribou-antler                     	       0        6        0        6        0
11236 carla                              	       0        7        0        7        0
11237 carla-bridge-linux32               	       0        2        0        2        0
11238 carla-bridge-linux64               	       0        2        0        2        0
11239 carla-bridge-win32                 	       0        3        0        3        0
11240 carla-bridge-win64                 	       0        4        0        4        0
11241 carla-bridge-wine32                	       0        2        0        0        2
11242 carla-bridge-wine64                	       0        1        0        0        1
11243 carla-data                         	       0        7        0        0        7
11244 carla-git                          	       0        2        1        1        0
11245 carla-git-data                     	       0        2        0        0        2
11246 carla-lv2                          	       0        3        0        1        2
11247 carla-vst                          	       0        3        0        1        2
11248 carla-vst-wine                     	       0        1        0        1        0
11249 carmetal                           	       0       11        1       10        0
11250 carton                             	       0        3        0        3        0
11251 casacore-data                      	       0        5        0        0        5
11252 casacore-data-igrf                 	       0        5        0        0        5
11253 casacore-data-jpl-de200            	       0        5        0        0        5
11254 casacore-data-jpl-de405            	       0        5        0        0        5
11255 casacore-data-lines                	       0        5        0        0        5
11256 casacore-data-observatories        	       0        5        0        0        5
11257 casacore-data-sources              	       0        5        0        0        5
11258 casacore-data-tai-utc              	       0        5        0        5        0
11259 casacore-dev                       	       0        1        0        1        0
11260 casacore-tools                     	       0        1        0        1        0
11261 caspar                             	       0        3        0        3        0
11262 cassbeam                           	       0        3        0        3        0
11263 cassiopee                          	       0        1        0        1        0
11264 castle-combat                      	       0        1        0        1        0
11265 castle-game-engine-doc             	       0        2        0        2        0
11266 cataclysm-dda-build-deps           	       0        1        0        0        1
11267 cataclysm-dda-curses               	       0        9        1        8        0
11268 cataclysm-dda-curses-dbgsym        	       0        1        0        1        0
11269 cataclysm-dda-data                 	       0       16        1        0       15
11270 cataclysm-dda-sdl                  	       0       11        0       11        0
11271 cataclysm-dda-sdl-dbgsym           	       0        1        0        1        0
11272 catarina                           	       0        1        0        1        0
11273 catch                              	       0        2        0        0        2
11274 catch2                             	       0       51        0       46        5
11275 catcodec                           	       0        3        0        3        0
11276 catgirl                            	       0        1        0        1        0
11277 catia                              	       0        4        0        4        0
11278 catimg                             	       0       26        0       26        0
11279 catkin                             	       0        2        0        2        0
11280 causeway-dosx                      	       0        1        0        1        0
11281 cava                               	       0       18        3       15        0
11282 caveconverter                      	       0        1        0        1        0
11283 caveexpress                        	       0        7        0        7        0
11284 caveexpress-data                   	       0        7        0        0        7
11285 cavepacker                         	       0        7        0        7        0
11286 cavepacker-data                    	       0        7        0        0        7
11287 cb2bib                             	       0        8        2        6        0
11288 cba                                	       0        2        0        2        0
11289 cbatticon                          	       0        6        0        6        0
11290 cbflib-bin                         	       0        1        0        1        0
11291 cbindgen                           	       0        7        0        7        0
11292 cbios                              	       0       12        0        0       12
11293 cbm                                	       0       13        0       13        0
11294 cbmc                               	       0        1        0        1        0
11295 cbmconvert                         	       0        2        0        2        0
11296 cbonsai                            	       0        7        0        7        0
11297 cbp2make                           	       0        3        0        3        0
11298 cbrowser                           	       0        1        0        1        0
11299 cc-tool                            	       0        2        0        2        0
11300 cc1541                             	       0        3        0        3        0
11301 cc65                               	       0        9        0        9        0
11302 cc65-doc                           	       0        5        0        0        5
11303 ccache-dbgsym                      	       0        2        0        2        0
11304 ccal                               	       0       19        1       18        0
11305 ccbuild                            	       0        3        0        3        0
11306 cccc                               	       0        7        0        7        0
11307 cccd                               	       0        3        0        3        0
11308 ccd2iso                            	       0       17        1       16        0
11309 ccdiff                             	       0        6        0        6        0
11310 ccextractor                        	       0        5        0        5        0
11311 ccfe                               	       0        1        0        1        0
11312 cciss-vol-status                   	       0        1        0        1        0
11313 cclib                              	       0       38        1       37        0
11314 cclive                             	       0       13        0       13        0
11315 ccls                               	       0        6        1        5        0
11316 ccmalloc                           	       0        1        0        1        0
11317 cconv                              	       0        1        0        1        0
11318 cct                                	       0        1        0        1        0
11319 cct-examples                       	       0        1        0        1        0
11320 ccze                               	       0       38        4       34        0
11321 cd-circleprint                     	       0        2        0        2        0
11322 cd-hit                             	       0        1        0        1        0
11323 cd-paranoia                        	       0       17        0       17        0
11324 cd5                                	       0        3        0        3        0
11325 cdargs                             	       0        6        0        6        0
11326 cdbackup                           	       0        3        0        3        0
11327 cdbfasta                           	       0        2        0        2        0
11328 cdbs-build-deps                    	       0        1        0        0        1
11329 cdcd                               	       0        5        0        5        0
11330 cdck                               	       0       14        0       14        0
11331 cdcover                            	       0        4        0        4        0
11332 cdda2wav                           	       0        2        0        2        0
11333 cddb                               	       0        2        0        2        0
11334 cdde                               	       0        2        0        2        0
11335 cde                                	       0        2        0        2        0
11336 cdebconf                           	       0        9        0        9        0
11337 cdebconf-gtk                       	       0        5        0        5        0
11338 cdebootstrap                       	       0       16        0       16        0
11339 cdebootstrap-static                	       0        2        0        2        0
11340 cdecl                              	       0        5        0        5        0
11341 cdemu-client                       	       0        1        0        1        0
11342 cdemu-daemon                       	       0        2        0        2        0
11343 cdfs-src                           	       0        1        0        0        1
11344 cdftools                           	       0        1        0        1        0
11345 cdist                              	       0        3        1        2        0
11346 cdist-doc                          	       0        3        0        0        3
11347 cdlabelgen                         	       0        7        0        7        0
11348 cdo                                	       0        3        0        3        0
11349 cdogs-sdl                          	       0        1        0        1        0
11350 cdogs-sdl-build-deps               	       0        1        0        0        1
11351 cdogs-sdl-data                     	       0        1        0        0        1
11352 cdogs-sdl-dbgsym                   	       0        1        0        1        0
11353 cdpr                               	       0        2        0        2        0
11354 cdr2odg                            	       0        3        0        3        0
11355 cdrecord                           	       0        2        0        2        0
11356 cdrkit-doc                         	       0       22        0        0       22
11357 cdrtools                           	       0        1        0        1        0
11358 cdrtools-doc                       	       0        1        0        0        1
11359 cdw                                	       0       15        0       15        0
11360 cec-utils                          	       0        3        0        3        0
11361 cecilia                            	       0        5        0        5        0
11362 cedar-backup3                      	       0        1        0        1        0
11363 cedar-backup3-doc                  	       0        1        0        0        1
11364 cedilla                            	       0        1        0        1        0
11365 ceferino                           	       0        3        0        3        0
11366 ceferino-data                      	       0        3        0        0        3
11367 ceilometer-alarm-evaluator         	       0        1        0        0        1
11368 ceilometer-alarm-notifier          	       0        1        0        0        1
11369 celery                             	       0        2        0        2        0
11370 celestia                           	       0       10        0        0       10
11371 celestia-common                    	       0       12        0        0       12
11372 celestia-common-nonfree            	       0        4        0        0        4
11373 celestia-data                      	       0        1        0        0        1
11374 celestia-glut                      	       0        3        0        3        0
11375 celestia-gnome                     	       0        6        0        6        0
11376 celestia-gtk                       	       0        4        0        4        0
11377 celestia-hold                      	       0        1        0        0        1
11378 celestia-kde                       	       0        1        0        1        0
11379 celestia-qt6                       	       0        1        1        0        0
11380 celestia-textures-hires            	       0        1        0        0        1
11381 celestia-tools                     	       0        1        1        0        0
11382 cellwriter                         	       0        2        0        2        0
11383 celt                               	       0        1        0        1        0
11384 celt-doc                           	       0        1        0        0        1
11385 cen64                              	       0        4        0        4        0
11386 ceni                               	       0        8        0        8        0
11387 cenon.app                          	       0        3        0        3        0
11388 cenon.app-common                   	       0        3        0        3        0
11389 centerim                           	       0        3        0        3        0
11390 centerim-common                    	       0        3        0        3        0
11391 centerim-utf8                      	       0        1        0        1        0
11392 ceph                               	       0        4        0        0        4
11393 ceph-base                          	       0        4        0        4        0
11394 ceph-common                        	       0        7        1        6        0
11395 ceph-fs-common                     	       0        2        0        2        0
11396 ceph-fuse                          	       0        4        0        4        0
11397 ceph-mds                           	       0        3        0        3        0
11398 ceph-mgr                           	       0        2        0        2        0
11399 ceph-mgr-modules-core              	       0        2        0        0        2
11400 ceph-mon                           	       0        4        0        4        0
11401 ceph-osd                           	       0        4        1        3        0
11402 cephfs-shell                       	       0        2        0        2        0
11403 cephfs-top                         	       0        1        0        1        0
11404 cereal                             	       0        1        0        1        0
11405 ceres-solver-doc                   	       0        1        0        1        0
11406 ceres-theme-gtk                    	       0        2        0        1        1
11407 cernlib-base                       	       0        1        0        0        1
11408 certspotter                        	       0        5        0        5        0
11409 cervisia                           	       0       43        0       43        0
11410 ceve                               	       0        1        0        0        1
11411 cewl                               	       0       24        0       24        0
11412 cfengine2                          	       0        1        0        1        0
11413 cfengine3                          	       0        8        2        6        0
11414 cfi-en                             	       0        6        0        0        6
11415 cfi-sv                             	       0        1        0        0        1
11416 cfingerd                           	       0        2        0        2        0
11417 cflow                              	       0       13        0       13        0
11418 cflow-doc                          	       0        3        0        0        3
11419 cflow-l10n                         	       0        2        0        0        2
11420 cfourcc                            	       0        1        0        1        0
11421 cfv                                	       0        1        0        1        0
11422 cg3                                	       0        6        0        6        0
11423 cg3-dev                            	       0        1        0        0        1
11424 cgdb                               	       0       14        0       14        0
11425 cgi-mapserver                      	       0        5        1        4        0
11426 cgilib                             	       0        1        0        1        0
11427 cgit                               	       0        6        0        6        0
11428 cgmanager                          	       0       10        0       10        0
11429 cgminer                            	       0        4        0        4        0
11430 cgns-convert                       	       0        2        0        2        0
11431 cgoban                             	       0       25        1       24        0
11432 cgpt                               	       0       14        0       14        0
11433 cgroup-bin                         	       0        6        0        0        6
11434 cgroup-tools                       	       0       43        0       43        0
11435 cgvg                               	       0        3        0        3        0
11436 cgview                             	       0        3        0        3        0
11437 ch5m3d                             	       0        2        0        2        0
11438 chai                               	       0        2        0        2        0
11439 chake                              	       0        1        0        1        0
11440 chalk-data-trinity                 	       0        4        0        0        4
11441 chalk-trinity                      	       0        4        0        4        0
11442 chameleon-cursor-theme             	       0       15        0        0       15
11443 changeme                           	       0        6        1        5        0
11444 changetrack                        	       0        1        0        1        0
11445 chaos-strikes-back-data-csb        	       0        1        0        1        0
11446 chaos-strikes-back-data-dm         	       0        1        0        1        0
11447 chaos-strikes-back-game            	       0        1        0        0        1
11448 chaosreader                        	       0       25        0       25        0
11449 chaplin                            	       0        1        0        1        0
11450 charmap.app                        	       0        7        0        7        0
11451 charmap.app-common                 	       0        7        0        0        7
11452 charmtimetracker                   	       0        3        0        3        0
11453 charon-cmd                         	       0        1        0        1        0
11454 chartgeany                         	       0        1        0        0        1
11455 charybdis                          	       0        1        0        1        0
11456 chase                              	       0        7        0        7        0
11457 chat-gpt                           	       0        1        0        1        0
11458 chats                              	       0        1        0        0        1
11459 chatty                             	       0        1        0        1        0
11460 chdrvfont                          	       0        1        0        0        1
11461 check                              	       0       31        1       30        0
11462 check-all-the-things               	       0        1        0        1        0
11463 check-mk-agent                     	       0        7        1        6        0
11464 check-mk-agent-logwatch            	       0        1        0        1        0
11465 check-pgactivity                   	       0        1        0        1        0
11466 check-postgres                     	       0        3        1        2        0
11467 checkinstall                       	       0       94        3       91        0
11468 checkit-tiff                       	       0        1        0        1        0
11469 checkmp3                           	       0        1        0        1        0
11470 checkpolicy                        	       0       12        1       11        0
11471 checkra1n                          	       0        3        0        3        0
11472 checksec                           	       0        4        0        4        0
11473 checkstyle                         	       0        4        0        4        0
11474 checkstyle-doc                     	       0        1        0        0        1
11475 cheese-common                      	       0      559        0        0      559
11476 cheesecutter                       	       0        6        0        6        0
11477 chef-workstation                   	       0        1        0        1        0
11478 chemeq                             	       0        2        0        2        0
11479 chemical-mime-data                 	       0       31        0        0       31
11480 chemical-structures                	       0        3        0        3        0
11481 chemical-structures-data           	       0        3        0        0        3
11482 chemtool                           	       0       13        2       11        0
11483 cherokee                           	       0        2        0        2        0
11484 cherokee-admin                     	       0        2        0        2        0
11485 cherrytree                         	       0       18        2       16        0
11486 chess.app                          	       0        3        0        3        0
11487 chessx                             	       0       10        0       10        0
11488 chexquest-data                     	       0        1        0        0        1
11489 chexquest2-data                    	       0        1        0        0        1
11490 chezmoi                            	       0        2        0        2        0
11491 chezscheme                         	       0        7        0        7        0
11492 chezscheme-dev                     	       0        2        0        2        0
11493 chezscheme-doc                     	       0        1        0        0        1
11494 chia-blockchain                    	       0        4        0        0        4
11495 chia-blockchain-cli                	       0        1        0        1        0
11496 chiaki                             	       0        1        0        1        0
11497 chiark-really                      	       0        4        0        4        0
11498 chiark-rwbuffer                    	       0        1        0        1        0
11499 chiark-scripts                     	       0        3        0        3        0
11500 chiark-tcl-applet                  	       0        1        0        1        0
11501 chiark-utils-bin                   	       0        5        0        5        0
11502 chibicc                            	       0        1        0        1        0
11503 chicago95-theme-all                	       0        1        0        0        1
11504 chicago95-theme-backgrounds        	       0        1        0        0        1
11505 chicago95-theme-cursors            	       0        1        0        0        1
11506 chicago95-theme-doc                	       0        1        0        0        1
11507 chicago95-theme-fonts              	       0        1        0        0        1
11508 chicago95-theme-gtk                	       0        2        0        0        2
11509 chicago95-theme-icons              	       0        1        0        0        1
11510 chicago95-theme-login-sound        	       0        1        0        0        1
11511 chicago95-theme-plus               	       0        1        0        1        0
11512 chicago95-theme-plymouth           	       0        1        0        0        1
11513 chicago95-theme-sounds             	       0        1        0        0        1
11514 chicken-bin                        	       0        7        0        7        0
11515 childsplay                         	       0        4        0        4        0
11516 childsplay-alphabet-sounds-ca      	       0        1        0        0        1
11517 childsplay-alphabet-sounds-ru      	       0        1        0        0        1
11518 chimera2                           	       0        1        0        1        0
11519 chimeraslayer                      	       0        1        0        1        0
11520 chipmunk-dev                       	       0        1        0        0        1
11521 chirp                              	       0       22        0       22        0
11522 chirpstack                         	       0        1        0        1        0
11523 chirpstack-gateway-bridge          	       0        1        0        1        0
11524 chkboot                            	       0        8        2        6        0
11525 chkconfig                          	       0       15        0       15        0
11526 chm2pdf                            	       0        2        0        2        0
11527 chmsee                             	       0        1        0        1        0
11528 chocolate-doom                     	       0       19        0       19        0
11529 chocolate-doom-build-deps          	       0        1        0        0        1
11530 chocolate-doom-dbgsym              	       0        1        0        1        0
11531 choosewm                           	       0        3        0        3        0
11532 choqok                             	       0        2        0        2        0
11533 chordii                            	       0        1        0        1        0
11534 chowmatrix                         	       0        2        0        2        0
11535 chr                                	       0        1        0        1        0
11536 chroma                             	       0        3        0        3        0
11537 chroma-curses                      	       0        2        0        2        0
11538 chroma-data                        	       0        4        0        0        4
11539 chrome-gnome-shell                 	       0      158        2       30      126
11540 chrome-remote-desktop              	       0        9        2        7        0
11541 chromedriver                       	       0        2        0        0        2
11542 chromium-browser                   	       0        2        0        2        0
11543 chromium-browser-l10n              	       0        1        0        1        0
11544 chromium-bsu-data                  	       0       20        0        0       20
11545 chromium-codecs-ffmpeg-extra       	       0        3        0        3        0
11546 chromium-gost-stable               	       0        2        0        2        0
11547 chromium-inspector                 	       0       13        0        0       13
11548 chromium-lwn4chrome                	       0        6        0        0        6
11549 chromium-tt-rss-notifier           	       0        2        0        0        2
11550 chromium-ublock-origin             	       0       10        0        0       10
11551 chromium-widevine                  	       0        1        0        0        1
11552 chromono                           	       0        3        0        3        0
11553 chronicle                          	       0        1        0        1        0
11554 chrootuid                          	       0        2        0        2        0
11555 cht.sh                             	       0        2        0        2        0
11556 chuck                              	       0        5        0        5        0
11557 chuck-data                         	       0        3        0        0        3
11558 chwala                             	       0        1        0        1        0
11559 ciderwebmail                       	       0        1        1        0        0
11560 cie-middleware                     	       0        1        0        0        1
11561 cil                                	       0        1        0        1        0
11562 cim-schema                         	       0        1        0        0        1
11563 cimg-dev                           	       0        3        0        3        0
11564 cimg-doc                           	       0        2        0        0        2
11565 cimg-examples                      	       0        3        0        3        0
11566 cin                                	       0        4        0        4        0
11567 cinc-workstation                   	       0        2        0        2        0
11568 cinder-api                         	       0        1        0        1        0
11569 cinder-backup                      	       0        1        0        1        0
11570 cinder-common                      	       0        1        0        1        0
11571 cinder-scheduler                   	       0        1        0        1        0
11572 cinder-volume                      	       0        1        0        1        0
11573 cinelerra                          	       0        2        0        2        0
11574 cinelerra-data                     	       0        2        0        2        0
11575 cinelerra-doc                      	       0        2        0        0        2
11576 cinelerra-gg                       	       0        1        0        1        0
11577 cinnabar-icon-theme                	       0      195        0        0      195
11578 cinnamon-control-center-data       	       0      284        0        0      284
11579 cinnamon-core                      	       0      273        0        0      273
11580 cinnamon-desktop-environment       	       0      247        0        0      247
11581 cinnamon-doc                       	       0        7        0        0        7
11582 cinnamon-screensaver-x-plugin      	       0       13        0        0       13
11583 cinnamon-session-common            	       0      287        0        0      287
11584 cinnamon-settings-daemon-dev       	       0        1        0        1        0
11585 cinny                              	       0        2        0        2        0
11586 circle-flags-svg                   	       0        3        0        0        3
11587 circos                             	       0        1        0        1        0
11588 circos-tools                       	       0        1        0        1        0
11589 circuit-macros                     	       0        1        0        0        1
11590 circuslinux                        	       0       12        0       12        0
11591 circuslinux-data                   	       0       12        0        0       12
11592 cisco-anyconnect-dart              	       0        1        0        1        0
11593 cisco7crack                        	       0       17        0       17        0
11594 citadel-client                     	       0        3        0        3        0
11595 citadel-doc                        	       0        1        0        0        1
11596 citation-style-language-styles     	       0        4        0        0        4
11597 civetweb                           	       0        1        0        1        0
11598 ckan                               	       0        3        0        3        0
11599 ckb-next                           	       0        1        1        0        0
11600 ckbuilder                          	       0        2        0        2        0
11601 ckeditor                           	       0        5        0        4        1
11602 ckeditor3                          	       0        1        0        0        1
11603 ckermit                            	       0       25        1       24        0
11604 ckport                             	       0        1        0        1        0
11605 ckport-database                    	       0        1        0        1        0
11606 cksfv                              	       0        8        0        8        0
11607 cl-abnf                            	       0        1        0        0        1
11608 cl-acl-compat                      	       0        3        0        0        3
11609 cl-actionlib                       	       0        2        0        0        2
11610 cl-actionlib-msgs                  	       0        2        0        0        2
11611 cl-agnostic-lizard                 	       0        2        0        0        2
11612 cl-alexandria                      	       0       21        0        0       21
11613 cl-anaphora                        	       0        3        0        0        3
11614 cl-asdf                            	       0       32        0        0       32
11615 cl-asdf-finalizers                 	       0        2        0        0        2
11616 cl-asdf-flv                        	       0        5        0        0        5
11617 cl-asdf-system-connections         	       0        1        0        0        1
11618 cl-aserve                          	       0        1        0        0        1
11619 cl-babel                           	       0        5        0        0        5
11620 cl-base64                          	       0        3        0        0        3
11621 cl-bond                            	       0        2        0        0        2
11622 cl-bordeaux-threads                	       0        3        0        0        3
11623 cl-cffi                            	       0        5        0        5        0
11624 cl-chipz                           	       0        2        0        0        2
11625 cl-chunga                          	       0        1        0        0        1
11626 cl-closer-mop                      	       0        1        0        0        1
11627 cl-closure-common                  	       0        2        0        0        2
11628 cl-clx-sbcl                        	       0       20        0        0       20
11629 cl-consfigurator                   	       0        1        0        1        0
11630 cl-containers                      	       0        3        0        0        3
11631 cl-contextl                        	       0        1        0        0        1
11632 cl-csv                             	       0        3        0        0        3
11633 cl-cxml                            	       0        1        0        0        1
11634 cl-db3                             	       0        1        0        0        1
11635 cl-diagnostic-msgs                 	       0        1        0        0        1
11636 cl-drakma                          	       0        1        0        0        1
11637 cl-dynamic-classes                 	       0        3        0        0        3
11638 cl-dynamic-reconfigure             	       0        1        0        0        1
11639 cl-esrap                           	       0        2        0        0        2
11640 cl-f2cl                            	       0        1        0        0        1
11641 cl-fad                             	       0        3        0        0        3
11642 cl-fiveam                          	       0        4        0        0        4
11643 cl-flexi-streams                   	       0       24        0        0       24
11644 cl-geometry-msgs                   	       0        2        0        0        2
11645 cl-getopt                          	       0        1        0        0        1
11646 cl-global-vars                     	       0        2        0        0        2
11647 cl-heredoc                         	       0        2        0        0        2
11648 cl-htmlgen                         	       0        1        0        0        1
11649 cl-hyperobject                     	       0        1        0        0        1
11650 cl-interpol                        	       0        3        0        0        3
11651 cl-ironclad                        	       0        3        0        0        3
11652 cl-iterate                         	       0        4        0        0        4
11653 cl-kmrcl                           	       0        3        0        0        3
11654 cl-launch                          	       0        6        0        6        0
11655 cl-lml                             	       0        1        0        0        1
11656 cl-lml2                            	       0        1        0        0        1
11657 cl-local-time                      	       0        1        0        0        1
11658 cl-log                             	       0        1        0        0        1
11659 cl-lparallel                       	       0        1        0        0        1
11660 cl-lw-compat                       	       0        2        0        0        2
11661 cl-map-msgs                        	       0        2        0        0        2
11662 cl-markdown                        	       0        3        0        0        3
11663 cl-md5                             	       0        2        0        0        2
11664 cl-metabang-bind                   	       0        3        0        0        3
11665 cl-metatilities-base               	       0        3        0        0        3
11666 cl-modlisp                         	       0        1        0        0        1
11667 cl-move-base-msgs                  	       0        1        0        0        1
11668 cl-mustache                        	       0        1        0        0        1
11669 cl-named-readtables                	       0        3        0        0        3
11670 cl-nav-msgs                        	       0        2        0        0        2
11671 cl-nibbles                         	       0        3        0        0        3
11672 cl-nodelet                         	       0        2        0        0        2
11673 cl-opencv-apps                     	       0        1        0        0        1
11674 cl-osicat                          	       0        1        0        0        1
11675 cl-parse-number                    	       0        2        0        0        2
11676 cl-pcl-msgs                        	       0        1        0        0        1
11677 cl-pg                              	       0        2        0        0        2
11678 cl-photo                           	       0        2        0        0        2
11679 cl-pipes                           	       0        1        0        0        1
11680 cl-plus-ssl                        	       0        1        0        0        1
11681 cl-polled-camera                   	       0        1        0        0        1
11682 cl-postgres                        	       0        1        0        0        1
11683 cl-ppcre                           	       0       24        0        0       24
11684 cl-ptester                         	       0        3        0        0        3
11685 cl-puri                            	       0        3        0        0        3
11686 cl-qmynd                           	       0        1        0        0        1
11687 cl-quicklisp                       	       0       10        0        0       10
11688 cl-regex                           	       0        2        0        0        2
11689 cl-reversi                         	       0        4        0        0        4
11690 cl-rfc2388                         	       0        1        0        0        1
11691 cl-roscpp-msg                      	       0        1        0        0        1
11692 cl-rosgraph-msgs                   	       0        2        0        0        2
11693 cl-rss                             	       0        1        0        0        1
11694 cl-rt                              	       0       22        0        0       22
11695 cl-s-sql                           	       0        1        0        0        1
11696 cl-sensor-msgs                     	       0        2        0        0        2
11697 cl-shape-msgs                      	       0        2        0        0        2
11698 cl-simple-date                     	       0        1        0        0        1
11699 cl-split-sequence                  	       0        2        0        0        2
11700 cl-sql                             	       0        3        0        0        3
11701 cl-sql-mysql                       	       0        1        0        1        0
11702 cl-sql-oracle                      	       0        1        0        0        1
11703 cl-sql-sqlite3                     	       0        1        0        0        1
11704 cl-sql-uffi                        	       0        2        0        2        0
11705 cl-sqlite                          	       0        2        0        0        2
11706 cl-std-msgs                        	       0        2        0        0        2
11707 cl-std-srvs                        	       0        2        0        0        2
11708 cl-stereo-msgs                     	       0        1        0        0        1
11709 cl-swank                           	       0       23        0        0       23
11710 cl-tf                              	       0        1        0        0        1
11711 cl-tf2-msgs                        	       0        1        0        0        1
11712 cl-tf2-srvs                        	       0        1        0        0        1
11713 cl-topic-tools                     	       0        1        0        0        1
11714 cl-trajectory-msgs                 	       0        1        0        0        1
11715 cl-trivial-backtrace               	       0        4        0        0        4
11716 cl-trivial-features                	       0        5        0        0        5
11717 cl-trivial-garbage                 	       0        1        0        0        1
11718 cl-trivial-gray-streams            	       0       25        0        0       25
11719 cl-trivial-utf-8                   	       0        1        0        0        1
11720 cl-uax-15                          	       0        1        0        0        1
11721 cl-uffi                            	       0        4        0        0        4
11722 cl-uffi-tests                      	       0        2        0        2        0
11723 cl-umlisp                          	       0        1        0        0        1
11724 cl-umlisp-orf                      	       0        1        0        0        1
11725 cl-unicode                         	       0        5        0        0        5
11726 cl-usocket                         	       0        1        0        0        1
11727 cl-utilities                       	       0        1        0        0        1
11728 cl-uuid                            	       0        1        0        0        1
11729 cl-visualization-msgs              	       0        1        0        0        1
11730 cl-who                             	       0        1        0        0        1
11731 cl-xmls                            	       0        1        0        0        1
11732 cl-zip                             	       0        1        0        0        1
11733 cl-zpb-ttf                         	       0        2        0        0        2
11734 cl-zs3                             	       0        1        0        0        1
11735 clamav-base                        	       0      279        0        0      279
11736 clamav-cvdupdate                   	       0        5        0        5        0
11737 clamav-doc                         	       0        4        0        0        4
11738 clamav-docs                        	       0       48        0        0       48
11739 clamav-milter                      	       0       10        3        7        0
11740 clamav-testfiles                   	       0        9        0        0        9
11741 clamav-testfiles-rar               	       0        3        0        0        3
11742 clamsmtp                           	       0        6        3        3        0
11743 clamtk-gnome                       	       0       10        0        0       10
11744 clamz                              	       0        4        0        4        0
11745 clanbomber                         	       0        1        0        1        0
11746 clanbomber-data                    	       0        1        0        0        1
11747 clang-10                           	       0        5        0        5        0
11748 clang-11                           	       0      105        0      105        0
11749 clang-11-doc                       	       0        6        0        6        0
11750 clang-11-examples                  	       0        2        0        0        2
11751 clang-13                           	       0       26        0       26        0
11752 clang-13-doc                       	       0        3        0        3        0
11753 clang-13-examples                  	       0        3        0        0        3
11754 clang-14-doc                       	       0        5        0        5        0
11755 clang-15-doc                       	       0        2        0        2        0
11756 clang-16                           	       0       51        0       51        0
11757 clang-16-doc                       	       0        6        0        6        0
11758 clang-16-examples                  	       0        5        0        0        5
11759 clang-18-doc                       	       0        1        0        1        0
11760 clang-19-doc                       	       0        4        0        0        4
11761 clang-19-examples                  	       0        2        0        0        2
11762 clang-20                           	       0        2        0        2        0
11763 clang-3.5                          	       0        9        0        9        0
11764 clang-3.5-doc                      	       0        1        0        1        0
11765 clang-3.8                          	       0        5        0        5        0
11766 clang-3.8-doc                      	       0        1        0        0        1
11767 clang-3.9-doc                      	       0        1        0        0        1
11768 clang-6.0                          	       0        7        0        7        0
11769 clang-7                            	       0       15        0       15        0
11770 clang-8                            	       0        2        0        2        0
11771 clang-9                            	       0       33        0       33        0
11772 clang-9-doc                        	       0        4        0        0        4
11773 clang-9-examples                   	       0        2        0        0        2
11774 clang-format-11                    	       0        4        0        4        0
11775 clang-format-13                    	       0        5        0        5        0
11776 clang-format-16                    	       0        4        1        3        0
11777 clang-format-18                    	       0        2        0        2        0
11778 clang-format-20                    	       0        1        0        1        0
11779 clang-format-7                     	       0        3        0        3        0
11780 clang-format-9                     	       0        2        0        2        0
11781 clang-tidy-11                      	       0        7        0        6        1
11782 clang-tidy-13                      	       0        3        0        3        0
11783 clang-tidy-16                      	       0        4        0        4        0
11784 clang-tidy-18                      	       0        2        0        2        0
11785 clang-tidy-20                      	       0        1        0        1        0
11786 clang-tidy-6.0                     	       0        1        0        1        0
11787 clang-tidy-7                       	       0        2        0        2        0
11788 clang-tidy-9                       	       0        1        0        1        0
11789 clang-tools                        	       0       19        0       19        0
11790 clang-tools-10                     	       0        2        0        2        0
11791 clang-tools-11                     	       0       11        0       11        0
11792 clang-tools-13                     	       0        7        0        7        0
11793 clang-tools-15                     	       0        5        0        5        0
11794 clang-tools-16                     	       0        7        0        7        0
11795 clang-tools-18                     	       0        2        0        2        0
11796 clang-tools-20                     	       0        1        0        1        0
11797 clang-tools-6.0                    	       0        1        0        1        0
11798 clang-tools-7                      	       0        3        0        3        0
11799 clang-tools-9                      	       0        3        0        3        0
11800 clangd-11                          	       0        1        0        1        0
11801 clangd-13                          	       0        2        0        2        0
11802 clangd-14                          	       0       51        1       50        0
11803 clangd-16                          	       0        7        0        7        0
11804 clangd-18                          	       0        2        0        2        0
11805 clangd-20                          	       0        1        0        1        0
11806 clangd-9                           	       0        1        0        1        0
11807 clapper                            	       0        2        1        1        0
11808 clara                              	       0        1        0        1        0
11809 clash-verge                        	       0        1        0        1        0
11810 clasp                              	       0        9        0        9        0
11811 classpath-common                   	       0        1        0        1        0
11812 classpath-doc                      	       0        1        0        1        0
11813 classpath-gtkpeer                  	       0        1        0        1        0
11814 classpath-tools                    	       0        1        0        1        0
11815 claudia                            	       0        3        0        3        0
11816 claws-mail-acpi-notifier           	       0       34        0        1       33
11817 claws-mail-address-keeper          	       0       48        0        6       42
11818 claws-mail-archiver-plugin         	       0       40        0        0       40
11819 claws-mail-attach-remover          	       0       42        0        3       39
11820 claws-mail-attach-warner           	       0       40        0        6       34
11821 claws-mail-bogofilter              	       0       49        1        8       40
11822 claws-mail-bogofilter-dbgsym       	       0        1        0        1        0
11823 claws-mail-bsfilter-plugin         	       0       18        0        0       18
11824 claws-mail-clamd-plugin            	       0       41        0        0       41
11825 claws-mail-dbgsym                  	       0        1        0        1        0
11826 claws-mail-dillo-viewer            	       0       41        0        3       38
11827 claws-mail-doc                     	       0       25        0        0       25
11828 claws-mail-extra-plugins           	       0       25        0        0       25
11829 claws-mail-fancy-plugin            	       0       25        0        1       24
11830 claws-mail-feeds-reader            	       0       37        0        3       34
11831 claws-mail-fetchinfo-plugin        	       0       34        0        1       33
11832 claws-mail-gdata-plugin            	       0       28        0        0       28
11833 claws-mail-i18n                    	       0      163        2       15      146
11834 claws-mail-keyword-warner          	       0       15        0        1       14
11835 claws-mail-libravatar              	       0       32        0        0       32
11836 claws-mail-litehtml-viewer         	       0       35        0        4       31
11837 claws-mail-mailmbox-plugin         	       0       39        0        6       33
11838 claws-mail-managesieve             	       0       31        0        2       29
11839 claws-mail-multi-notifier          	       0       41        0        7       34
11840 claws-mail-newmail-plugin          	       0       31        0        2       29
11841 claws-mail-pdf-viewer              	       0       48        1        9       38
11842 claws-mail-pgpinline               	       0       49        0        6       43
11843 claws-mail-pgpinline-dbgsym        	       0        1        0        1        0
11844 claws-mail-pgpmime                 	       0       55        1        8       46
11845 claws-mail-pgpmime-dbgsym          	       0        1        0        1        0
11846 claws-mail-plugins                 	       0       30        0        0       30
11847 claws-mail-python-plugin           	       0       17        0        0       17
11848 claws-mail-smime-plugin            	       0       41        1        5       35
11849 claws-mail-spam-report             	       0       36        0        1       35
11850 claws-mail-spamassassin            	       0       36        1        1       34
11851 claws-mail-themes                  	       0       38        0        0       38
11852 claws-mail-tnef-parser             	       0       35        0        2       33
11853 claws-mail-tools                   	       0       41        0        0       41
11854 claws-mail-vcalendar-plugin        	       0       44        0        6       38
11855 clazy                              	       0       14        0       14        0
11856 clblast-utils                      	       0        1        0        1        0
11857 cleancss                           	       0        7        0        7        0
11858 clearlooks-phenix-cinnabar-theme   	       0      208        0        0      208
11859 clearlooks-phenix-darkpurpy-theme  	       0      130        0        0      130
11860 clearlooks-phenix-deepsea-theme    	       0      533        0        0      533
11861 clearlooks-phenix-lightpurpy-theme 	       0       20        0        0       20
11862 clearlooks-phenix-purpy-theme      	       0       26        0        0       26
11863 clearlooks-phenix-sapphire-theme   	       0     2294        0        0     2294
11864 clearlooks-phenix-theme            	       0       19        0        0       19
11865 cleo                               	       0        1        0        1        0
11866 clevis                             	       0        8        0        8        0
11867 clevis-initramfs                   	       0        6        0        0        6
11868 clevis-luks                        	       0        8        0        8        0
11869 clevis-tpm1                        	       0        3        0        3        0
11870 clevis-tpm2                        	       0        2        0        2        0
11871 clevis-udisks2                     	       0        2        1        1        0
11872 clfswm                             	       0        3        0        3        0
11873 cli                                	       0        1        0        1        0
11874 cli-common                         	       0      322        0        0      322
11875 cli-shell-utils                    	       0        4        0        0        4
11876 cli-spinner                        	       0        2        0        2        0
11877 clif                               	       0        1        0        1        0
11878 cliff-tablib                       	       0        1        0        1        0
11879 clinfo-amdgpu-pro                  	       0        1        0        0        1
11880 clipf                              	       0        1        0        1        0
11881 clipgrab                           	       0        4        0        4        0
11882 clips                              	       0        5        0        5        0
11883 clips-common                       	       0        5        0        0        5
11884 clips-doc                          	       0        6        0        0        6
11885 cliq                               	       0        1        0        1        0
11886 cliquer                            	       0        9        0        9        0
11887 clirr                              	       0        1        0        1        0
11888 clisp-doc                          	       0       22        0        0       22
11889 clisp-module-berkeley-db           	       0        7        0        7        0
11890 clisp-module-clx                   	       0       11        0       11        0
11891 clisp-module-dbus                  	       0        7        0        7        0
11892 clisp-module-fastcgi               	       0        2        0        2        0
11893 clisp-module-gdbm                  	       0        7        0        7        0
11894 clisp-module-libsvm                	       0        2        0        2        0
11895 clisp-module-pari                  	       0        2        0        2        0
11896 clisp-module-pcre                  	       0        8        0        8        0
11897 clisp-module-postgresql            	       0        8        0        8        0
11898 clisp-module-zlib                  	       0        8        0        8        0
11899 clive                              	       0        1        0        1        0
11900 cloc                               	       0       37        0       37        0
11901 clog                               	       0        1        0        1        0
11902 clojure1.4                         	       0        1        0        1        0
11903 clojure1.6                         	       0        1        0        1        0
11904 clonalframe                        	       0        1        0        1        0
11905 clonezilla                         	       0       31        0       31        0
11906 cloog-ppl                          	       0        1        0        1        0
11907 cloop-src                          	       0        2        0        0        2
11908 cloop-utils                        	       0        2        0        2        0
11909 closure-compiler                   	       0        3        0        3        0
11910 cloud-image-utils                  	       0       65        1       64        0
11911 cloud-init                         	       0        5        0        5        0
11912 cloud-initramfs-growroot           	       0        1        0        0        1
11913 cloud-sptheme-common               	       0        1        0        0        1
11914 cloud-utils                        	       0        7        0        0        7
11915 cloudcompare                       	       0        1        0        1        0
11916 cloudflare-ddns                    	       0        1        0        1        0
11917 cloudflare-dynamic-dns             	       0        1        0        1        0
11918 cloudflare-warp                    	       0        2        0        2        0
11919 cloudprint                         	       0        1        0        1        0
11920 clpeak                             	       0        1        0        1        0
11921 clsparse-doc                       	       0        1        0        0        1
11922 clsync                             	       0        2        0        2        0
11923 cltl                               	       0        4        0        0        4
11924 clustalo                           	       0        7        0        7        0
11925 clustalw                           	       0        6        0        6        0
11926 clustalx                           	       0        2        0        2        0
11927 cluster-glue                       	       0        8        0        8        0
11928 clusterssh                         	       0       18        0       18        0
11929 cm-super                           	       0      157        0        1      156
11930 cm-super-build-deps                	       0        1        0        0        1
11931 cm-super-minimal                   	       0      170        0        2      168
11932 cm-super-x11                       	       0       13        0        0       13
11933 cm3-linuxlibc6-5.8.6               	       0        1        0        1        0
11934 cmake-dbgsym                       	       0        1        0        1        0
11935 cmake-doc                          	       0       34        0        0       34
11936 cmake-fedora                       	       0        6        0        0        6
11937 cmake-format                       	       0       12        0       12        0
11938 cmake-qt-gui                       	       0       32        0       32        0
11939 cmake-vala                         	       0        6        0        0        6
11940 cmark                              	       0       14        0       14        0
11941 cmark-gfm                          	       0        2        0        2        0
11942 cmatrix-xfont                      	       0       21        0        0       21
11943 cme                                	       0       24        1       23        0
11944 cmigemo                            	       0        2        0        2        0
11945 cmigemo-common                     	       0        3        0        3        0
11946 cminpack-doc                       	       0        1        0        0        1
11947 cmis-client                        	       0        1        0        1        0
11948 cmocka-doc                         	       0       19        0        0       19
11949 cmor-tables                        	       0        1        0        0        1
11950 cmtk                               	       0        1        0        1        0
11951 cmucl-docs                         	       0        2        0        0        2
11952 cmucl-source                       	       0        2        0        0        2
11953 cn.com.10jqka                      	       0        1        0        1        0
11954 cncnet                             	       0        2        0        2        0
11955 cncupslbp161zs                     	       0        1        0        0        1
11956 cncupslbp214zs                     	       0        1        0        0        1
11957 cncupslbp215zs                     	       0        1        0        0        1
11958 cncupsmf110zs                      	       0        1        0        0        1
11959 cncupsmf260zs                      	       0        1        0        0        1
11960 cncupsmf420zs                      	       0        1        0        0        1
11961 cncupsmf520zs                      	       0        1        0        0        1
11962 cndrvcups-capt                     	       0        6        0        6        0
11963 cndrvcups-common                   	       0        7        0        7        0
11964 cndrvcups-ufr2-uk                  	       0        1        0        1        0
11965 cndrvcups-ufr2-us                  	       0        1        0        1        0
11966 cndrvcups-utility                  	       0        1        0        1        0
11967 cndrvsane-drc225                   	       0        1        0        1        0
11968 cnee                               	       0       10        0       10        0
11969 cnews                              	       0        1        0        1        0
11970 cnijfilter-common                  	       0        8        0        8        0
11971 cnijfilter-ix6800series            	       0        2        0        2        0
11972 cnijfilter-mg2500series            	       0        2        0        2        0
11973 cnijfilter-mg3500series            	       0        3        0        3        0
11974 cnijfilter2                        	       0       15        1       14        0
11975 cnrcupsiprc170zs                   	       0        1        0        0        1
11976 cnrcupsiprc710zk                   	       0        1        0        0        1
11977 cnrcupsir1643i2zs                  	       0        1        0        0        1
11978 cnrcupsir2425zk                    	       0        1        0        0        1
11979 cnrcupsir2625zk                    	       0        1        0        0        1
11980 cnrcupsir2635zk                    	       0        1        0        0        1
11981 cnrcupsiradv4725zk                 	       0        1        0        0        1
11982 cnrcupsiradv4745zk                 	       0        1        0        0        1
11983 cnrcupsiradv527zk                  	       0        1        0        0        1
11984 cnrcupsiradv527zs                  	       0        1        0        0        1
11985 cnrcupsiradv6000zk                 	       0        1        0        0        1
11986 cnrcupsiradv617zk                  	       0        1        0        0        1
11987 cnrcupsiradv617zs                  	       0        1        0        0        1
11988 cnrcupsiradv6755zk                 	       0        1        0        0        1
11989 cnrcupsiradv6780zk                 	       0        1        0        0        1
11990 cnrcupsiradv6860zs                 	       0        1        0        0        1
11991 cnrcupsiradv717zk                  	       0        1        0        0        1
11992 cnrcupsiradv717zs                  	       0        1        0        0        1
11993 cnrcupsiradv8705zk                 	       0        1        0        0        1
11994 cnrcupsiradv8786zk                 	       0        1        0        0        1
11995 cnrcupsiradvc257zk                 	       0        1        0        0        1
11996 cnrcupsiradvc257zs                 	       0        1        0        0        1
11997 cnrcupsiradvc3720zk                	       0        1        0        0        1
11998 cnrcupsiradvc3725zk                	       0        1        0        0        1
11999 cnrcupsiradvc3826zs                	       0        1        0        0        1
12000 cnrcupsiradvc3830zs                	       0        1        0        0        1
12001 cnrcupsiradvc3835zs                	       0        1        0        0        1
12002 cnrcupsiradvc477zk                 	       0        1        0        0        1
12003 cnrcupsiradvc477zs                 	       0        1        0        0        1
12004 cnrcupsiradvc568zs                 	       0        1        0        0        1
12005 cnrcupsiradvc5735zk                	       0        1        0        0        1
12006 cnrcupsiradvc5750zk                	       0        1        0        0        1
12007 cnrcupsiradvc5840zs                	       0        2        0        0        2
12008 cnrcupsiradvc5860zs                	       0        2        0        0        2
12009 cnrcupsiradvc7765zk                	       0        1        0        0        1
12010 cnrcupsiradvc7780zk                	       0        1        0        0        1
12011 cnrcupsirc3120lzk                  	       0        1        0        0        1
12012 cnrcupsirc3120zk                   	       0        1        0        0        1
12013 cnrcupsirc3125zk                   	       0        1        0        0        1
12014 cnrcupsirc3226zs                   	       0        1        0        0        1
12015 cnrcupslbp1127czk                  	       0        1        0        0        1
12016 cnrcupslbp1127czs                  	       0        1        0        0        1
12017 cnrcupslbp12382zs                  	       0        1        0        0        1
12018 cnrcupslbp1238zk                   	       0        1        0        0        1
12019 cnrcupslbp1238zs                   	       0        1        0        0        1
12020 cnrcupslbp1538czs                  	       0        1        0        0        1
12021 cnrcupslbp222zk                    	       0        1        0        0        1
12022 cnrcupslbp223zk                    	       0        1        0        0        1
12023 cnrcupslbp225zk                    	       0        1        0        0        1
12024 cnrcupslbp226zk                    	       0        1        0        0        1
12025 cnrcupslbp227zk                    	       0        1        0        0        1
12026 cnrcupslbp228zk                    	       0        1        0        0        1
12027 cnrcupslbp236zs                    	       0        1        0        0        1
12028 cnrcupslbp237zs                    	       0        1        0        0        1
12029 cnrcupsmf1127czk                   	       0        1        0        0        1
12030 cnrcupsmf1127czs                   	       0        1        0        0        1
12031 cnrcupsmf12382zs                   	       0        1        0        0        1
12032 cnrcupsmf1238zk                    	       0        1        0        0        1
12033 cnrcupsmf1238zs                    	       0        1        0        0        1
12034 cnrcupsmf1538czs                   	       0        1        0        0        1
12035 cnrcupsmf1643izs                   	       0        1        0        0        1
12036 cnrcupsmf450zs                     	       0        1        0        0        1
12037 cnrcupsx1643pzk                    	       0        1        0        0        1
12038 cnrdrvcups-ufr2-uk                 	       0        6        1        5        0
12039 cnrdrvcups-ufr2-us                 	       0        7        0        7        0
12040 cntlm                              	       0        2        0        2        0
12041 cobang                             	       0        1        0        1        0
12042 coccinella                         	       0        1        0        1        0
12043 coccinelle                         	       0       10        0       10        0
12044 coccinelle-doc                     	       0        4        0        4        0
12045 cockatrice                         	       0        1        0        1        0
12046 cockpit                            	       0        2        0        0        2
12047 cockpit-bridge                     	       0        5        0        5        0
12048 cockpit-doc                        	       0        2        0        0        2
12049 cockpit-machines                   	       0        1        0        0        1
12050 cockpit-networkmanager             	       0        1        0        0        1
12051 cockpit-packagekit                 	       0        3        0        0        3
12052 cockpit-pcp                        	       0        1        0        1        0
12053 cockpit-storaged                   	       0        4        0        0        4
12054 cockpit-system                     	       0        3        0        0        3
12055 cockpit-tests                      	       0        1        0        1        0
12056 cockpit-ws                         	       0        2        0        2        0
12057 coda                               	       0        4        0        4        0
12058 code-aster-gui                     	       0        1        0        1        0
12059 code-brand                         	       0        1        0        0        1
12060 code-exploration                   	       0        1        0        1        0
12061 code-saturne                       	       0        1        0        0        1
12062 code-saturne-bin                   	       0        2        0        2        0
12063 code-saturne-data                  	       0        2        0        2        0
12064 code-saturne-doc                   	       0        2        0        0        2
12065 code-saturne-include               	       0        1        0        1        0
12066 code-server                        	       0        2        0        2        0
12067 code2html                          	       0        2        0        2        0
12068 codeblocks-contrib                 	       0       18        1       17        0
12069 codeblocks-contrib-common          	       0        2        0        0        2
12070 codeblocks-dbg                     	       0        1        0        1        0
12071 codeblocks-dev                     	       0        7        1        6        0
12072 codeblocks-headers                 	       0        1        0        1        0
12073 codeblocks-libwxcontrib0           	       0        2        0        1        1
12074 codeblocks-libwxcontrib0-dbgsym    	       0        1        0        1        0
12075 codeblocks-wxcontrib-dev           	       0        1        0        0        1
12076 codeblocks-wxcontrib-headers       	       0        1        0        0        1
12077 codebreaker                        	       0        1        0        1        0
12078 codec2                             	       0        5        0        3        2
12079 codec2-dev                         	       0        1        0        1        0
12080 codecgraph                         	       0        4        0        4        0
12081 codecrypt                          	       0        4        0        4        0
12082 codecs-antix                       	       0        1        0        1        0
12083 codecserver                        	       0        1        0        1        0
12084 codecserver-driver-all             	       0        1        0        0        1
12085 codecserver-driver-ambe3k          	       0        1        0        0        1
12086 codegroup                          	       0        4        0        4        0
12087 codemeter                          	       0        1        0        1        0
12088 coderay                            	       0        2        0        2        0
12089 codesearch                         	       0        2        0        2        0
12090 codespell                          	       0        6        0        6        0
12091 codium-insiders                    	       0        1        0        1        0
12092 codonw                             	       0        1        0        1        0
12093 coffeescript-doc                   	       0        5        0        0        5
12094 cog                                	       0        2        0        2        0
12095 coinor-cbc                         	       0       10        0       10        0
12096 coinor-clp                         	       0        8        0        8        0
12097 coinor-csdp                        	       0        2        0        2        0
12098 coinor-csdp-doc                    	       0        2        0        0        2
12099 coinor-libbonmin4                  	       0        3        0        0        3
12100 coinor-libcbc-dev                  	       0        3        0        3        0
12101 coinor-libcbc3                     	       0     2635        0        0     2635
12102 coinor-libcbc3.1                   	       0      190        0        0      190
12103 coinor-libcgl-dev                  	       0        3        0        3        0
12104 coinor-libcgl1                     	       0     2824        0        0     2824
12105 coinor-libclp-dev                  	       0        6        0        6        0
12106 coinor-libclp1                     	       0     2824        0        0     2824
12107 coinor-libcoinmp-dev               	       0        3        0        3        0
12108 coinor-libcoinmp0                  	       0       95        0        0       95
12109 coinor-libcoinmp1                  	       0       12        0        0       12
12110 coinor-libcoinmp1v5                	       0     2724        0        0     2724
12111 coinor-libcoinutils-doc            	       0        1        0        0        1
12112 coinor-libcoinutils3               	       0       13        0        0       13
12113 coinor-libcoinutils3v5             	       0     2807        0        0     2807
12114 coinor-libipopt-dev                	       0        1        0        1        0
12115 coinor-libipopt1v5                 	       0        5        0        0        5
12116 coinor-libosi1                     	       0       12        0        0       12
12117 coinor-libosi1v5                   	       0     2813        0        0     2813
12118 coinor-libsymphony3                	       0        7        0        0        7
12119 coinor-symphony                    	       0        6        0        6        0
12120 coinst                             	       0        2        0        2        0
12121 coinst-viewer                      	       0        1        0        1        0
12122 coldfire                           	       0        1        0        1        0
12123 collaboraoffice                    	       0        3        0        0        3
12124 collaboraoffice-dict-de            	       0        1        0        0        1
12125 collaboraoffice-dict-en            	       0        1        0        0        1
12126 collaboraoffice-dict-fr            	       0        2        0        0        2
12127 collaboraoffice-ure                	       0        3        0        0        3
12128 collaboraofficebasis-base          	       0        1        0        0        1
12129 collaboraofficebasis-calc          	       0        3        0        0        3
12130 collaboraofficebasis-core          	       0        3        0        0        3
12131 collaboraofficebasis-de            	       0        1        0        0        1
12132 collaboraofficebasis-draw          	       0        3        0        0        3
12133 collaboraofficebasis-en-us         	       0        3        0        0        3
12134 collaboraofficebasis-extension-pdf-import	       0        3        0        0        3
12135 collaboraofficebasis-fr            	       0        1        0        0        1
12136 collaboraofficebasis-graphicfilter 	       0        3        0        0        3
12137 collaboraofficebasis-images        	       0        3        0        0        3
12138 collaboraofficebasis-impress       	       0        3        0        0        3
12139 collaboraofficebasis-math          	       0        3        0        0        3
12140 collaboraofficebasis-ooofonts      	       0        3        0        0        3
12141 collaboraofficebasis-ooolinguistic 	       0        3        0        0        3
12142 collaboraofficebasis-writer        	       0        3        0        0        3
12143 collaboraofficebasis-xsltfilter    	       0        1        0        0        1
12144 collada-dom-2.4.0                  	       0        1        0        1        0
12145 collatinus                         	       0        2        0        2        0
12146 collectd                           	       0       26        0        0       26
12147 collectd-core                      	       0       30        3       27        0
12148 collectd-dev                       	       0        1        0        1        0
12149 collectd-utils                     	       0        3        0        3        0
12150 collectd-web                       	       0        1        0        1        0
12151 collectl                           	       0        3        0        3        0
12152 colmap                             	       0        1        0        1        0
12153 colobot                            	       0       17        1       16        0
12154 colobot-common                     	       0       17        0        0       17
12155 colobot-common-sounds              	       0       17        0        0       17
12156 colobot-common-textures            	       0       17        0        0       17
12157 colobot-dev-doc                    	       0        1        0        0        1
12158 colorcode                          	       0        6        0        6        0
12159 colord-data                        	       0     2862        0        0     2862
12160 colord-gtk-utils                   	       0        6        0        6        0
12161 colord-kde                         	       0        4        1        3        0
12162 colord-sensor-argyll               	       0        1        0        0        1
12163 colorgcc                           	       0        3        0        3        0
12164 colorize                           	       0        7        0        7        0
12165 colorized-logs                     	       0       14        1       13        0
12166 colormake                          	       0       10        0       10        0
12167 colortail                          	       0        7        0        7        0
12168 colortest                          	       0       12        0       12        0
12169 colortest-python                   	       0        1        0        1        0
12170 colossal-cave-adventure            	       0       12        0       12        0
12171 colplot                            	       0        3        0        3        0
12172 com.360.browser-stable             	       0        1        0        1        0
12173 com.alibabainc.dingtalk            	       0        1        0        1        0
12174 com.eastmoney.emapp                	       0        1        0        0        1
12175 com.github.johnfactotum.foliate    	       0        4        0        4        0
12176 com.kylart.anikki                  	       0        1        0        0        1
12177 com.tdx.yhzq                       	       0        1        0        1        0
12178 com.zwsoft.zwcad2025               	       0        1        0        1        0
12179 comcom32                           	       0        1        0        0        1
12180 comcom64                           	       0        1        0        0        1
12181 comex-base                         	       0        1        0        1        0
12182 comgt                              	       0        3        0        3        0
12183 comix                              	       0        2        0        2        0
12184 comixcursors-lefthanded            	       0        5        0        0        5
12185 comixcursors-lefthanded-opaque     	       0        2        0        0        2
12186 comixcursors-righthanded           	       0        9        0        0        9
12187 comixcursors-righthanded-opaque    	       0        5        0        0        5
12188 commandbox                         	       0        1        0        1        0
12189 commit-patch                       	       0        1        0        1        0
12190 common-lisp-controller             	       0        7        0        7        0
12191 comparepdf                         	       0       12        1       11        0
12192 compartment                        	       0        3        0        3        0
12193 compat-libstdc++-296               	       0        1        0        0        1
12194 compface                           	       0       11        0       11        0
12195 compiz                             	       0       32        0        0       32
12196 compiz-core-trinity                	       0        2        0        2        0
12197 compiz-fusion-bcop                 	       0        1        0        1        0
12198 compiz-fusion-extras               	       0        1        0        0        1
12199 compiz-fusion-plugins-extra-trinity	       0        2        0        2        0
12200 compiz-fusion-plugins-main-trinity 	       0        2        0        2        0
12201 compiz-plugins-default             	       0       13        0        0       13
12202 compiz-plugins-main-default        	       0        1        0        0        1
12203 compiz-plugins-trinity             	       0        2        0        2        0
12204 compiz-tde-trinity                 	       0        1        0        1        0
12205 compiz-trinity                     	       0        2        0        0        2
12206 compizconfig-backend-tdeconfig-trinity	       0        1        0        1        0
12207 compizconfig-python                	       0        1        0        1        0
12208 complexity                         	       0        8        0        8        0
12209 complexity-doc                     	       0        1        0        0        1
12210 composablekernel-dev               	       0        2        0        2        0
12211 compositekey                       	       0        1        1        0        0
12212 compositekey-bin                   	       0        1        1        0        0
12213 comptext                           	       0        2        0        2        0
12214 compton-conf                       	       0        6        0        6        0
12215 compton-conf-l10n                  	       0        7        0        0        7
12216 comptty                            	       0        2        0        2        0
12217 comskip                            	       0        1        0        1        0
12218 comskip-gui                        	       0        2        0        2        0
12219 conan                              	       0        1        0        1        0
12220 concalc                            	       0       10        0       10        0
12221 concordance                        	       0        3        0        3        0
12222 concordance-common                 	       0        3        0        3        0
12223 conda                              	       0        7        0        7        0
12224 conda-package-handling             	       0        3        0        3        0
12225 condor-doc                         	       0        1        0        0        1
12226 confget                            	       0        7        1        6        0
12227 config-package-dev                 	       0        4        0        4        0
12228 configconsola                      	       0        1        0        0        1
12229 configuradorfnmt                   	       0       10        0        9        1
12230 configure-debian                   	       0       13        1       12        0
12231 congruity                          	       0        3        0        3        0
12232 conkeror                           	       0        1        0        1        0
12233 conkeror-spawn-process-helper      	       0        1        0        1        0
12234 conky                              	       0       92        0        0       92
12235 conky-all                          	       0       39        6       33        0
12236 conky-cli                          	       0        1        0        1        0
12237 connectagram                       	       0       11        0       11        0
12238 connectagram-data                  	       0       11        0        0       11
12239 connman-doc                        	       0        9        0        0        9
12240 connman-gtk-xdg-autostart          	       0        2        0        0        2
12241 connman-ui                         	       0       17        0       17        0
12242 conntrack                          	       0       41        3       38        0
12243 conntrackd                         	       0        7        4        3        0
12244 conquest-common                    	       0        1        0        0        1
12245 conquest-data                      	       0        1        0        0        1
12246 conquest-dbase                     	       0        1        0        1        0
12247 conquest-gl                        	       0        1        0        1        0
12248 conquest-libs                      	       0        1        0        1        0
12249 cons                               	       0        1        0        1        0
12250 conservation-code                  	       0        1        0        1        0
12251 consola                            	       0        1        0        1        0
12252 consolation                        	       0        1        1        0        0
12253 console-braille                    	       0        3        0        3        0
12254 console-data                       	       0      131        0        0      131
12255 console-log                        	       0        3        0        0        3
12256 consolefonts-base                  	       0        2        0        0        2
12257 conspy                             	       0        6        1        5        0
12258 consul                             	       0        1        0        1        0
12259 containerd.io                      	       0       99       23       76        0
12260 containers-storage                 	       0       16        0       16        0
12261 context-doc-nonfree                	       0        1        0        0        1
12262 context-modules                    	       0       92        0        0       92
12263 context-nonfree                    	       0        2        0        1        1
12264 contextfree                        	       0        2        0        2        0
12265 conv-tools                         	       0        4        0        4        0
12266 converse-desktop                   	       0        1        0        0        1
12267 convert-pgn                        	       0        1        0        1        0
12268 convertall                         	       0       13        0       13        0
12269 convertfs                          	       0        1        0        1        0
12270 convmv                             	       0       50        1       49        0
12271 cookiecutter                       	       0        2        0        2        0
12272 cookietool                         	       0        6        1        5        0
12273 cool-retro-term                    	       0       33        0       33        0
12274 coolkey                            	       0        6        0        6        0
12275 coolmail                           	       0        1        0        1        0
12276 coolwsd                            	       0        2        0        2        0
12277 coppwr                             	       0        1        0        1        0
12278 copycat                            	       0        1        0        1        0
12279 copyfs                             	       0        2        0        2        0
12280 copyq                              	       0       19        4       15        0
12281 copyq-doc                          	       0        7        0        0        7
12282 copyq-plugins                      	       0       16        4       12        0
12283 copyright-update                   	       0        3        0        3        0
12284 coq                                	       0        3        0        3        0
12285 coq-doc-html                       	       0        1        0        0        1
12286 corebird                           	       0        1        0        1        0
12287 coreboot-configurator              	       0        1        0        1        0
12288 coreboot-utils-doc                 	       0       19        0       19        0
12289 corectrl                           	       0        8        2        6        0
12290 coredhcp-client                    	       0        2        0        2        0
12291 coredhcp-server                    	       0        1        0        1        0
12292 corekeeper                         	       0        1        0        1        0
12293 corewars                           	       0        1        0        1        0
12294 corkscrew                          	       0        2        0        2        0
12295 corosync                           	       0        6        2        4        0
12296 corosync-dev                       	       0        1        0        0        1
12297 corsix-th                          	       0        1        0        1        0
12298 corsix-th-data                     	       0        1        0        0        1
12299 cotp                               	       0        1        0        1        0
12300 coturn                             	       0       16        3       13        0
12301 countrycodes                       	       0        1        0        0        1
12302 courier-authdaemon                 	       0       23        4       19        0
12303 courier-authlib                    	       0       35        8       27        0
12304 courier-authlib-postgresql         	       0        2        1        1        0
12305 courier-authlib-userdb             	       0       22        3       19        0
12306 courier-base                       	       0       21        7       14        0
12307 courier-doc                        	       0        3        0        0        3
12308 courier-imap                       	       0       15        5       10        0
12309 courier-imap-ssl                   	       0        3        1        0        2
12310 courier-maildrop                   	       0        3        0        1        2
12311 courier-mta                        	       0        8        3        5        0
12312 courier-pcp                        	       0        1        0        1        0
12313 courier-pop                        	       0        4        2        2        0
12314 courier-pop-ssl                    	       0        1        0        0        1
12315 courier-pythonfilter               	       0        1        0        1        0
12316 courier-ssl                        	       0        2        1        0        1
12317 covered                            	       0        5        0        5        0
12318 covered-doc                        	       0        1        0        0        1
12319 covi                               	       0        1        0        1        0
12320 cowbell                            	       0        1        0        1        0
12321 cowbuilder                         	       0       53        1       52        0
12322 cowdancer                          	       0       58        1       57        0
12323 cowpatty                           	       0       24        0       24        0
12324 cowsay-off                         	       0      125        0        0      125
12325 coz-profiler                       	       0        5        0        5        0
12326 cozy                               	       0        1        0        1        0
12327 cpad-common                        	       0        1        0        1        0
12328 cpan-listchanges                   	       0        4        0        4        0
12329 cpanminus                          	       0       39        1       38        0
12330 cpanoutdated                       	       0        4        0        4        0
12331 cpants-lint                        	       0        1        0        1        0
12332 cpdb-backend-cups                  	       0       12        0        4        8
12333 cpdb-backend-file                  	       0        1        0        1        0
12334 cpdb-libs-tools                    	       0        1        0        1        0
12335 cpdf                               	       0        1        0        1        0
12336 cpio-doc                           	       0        9        0        0        9
12337 cpio-win32                         	       0        3        0        0        3
12338 cpipe                              	       0        2        0        2        0
12339 cpl-plugin-amber                   	       0        2        0        0        2
12340 cpl-plugin-fors                    	       0        2        0        0        2
12341 cpl-plugin-giraf                   	       0        2        0        0        2
12342 cpl-plugin-hawki                   	       0        2        0        0        2
12343 cpl-plugin-kmos                    	       0        1        0        0        1
12344 cpl-plugin-muse                    	       0        2        0        0        2
12345 cpl-plugin-naco                    	       0        2        0        0        2
12346 cpl-plugin-uves                    	       0        2        0        0        2
12347 cpl-plugin-vimos                   	       0        2        0        0        2
12348 cpl-plugin-visir                   	       0        2        0        0        2
12349 cpl-plugin-xshoo                   	       0        2        0        0        2
12350 cpm                                	       0        1        0        1        0
12351 cpmtools                           	       0        6        0        6        0
12352 cpp-10-aarch64-linux-gnu           	       0        7        0        7        0
12353 cpp-10-alpha-linux-gnu             	       0        1        0        1        0
12354 cpp-10-arm-linux-gnueabi           	       0        6        0        6        0
12355 cpp-10-arm-linux-gnueabihf         	       0        7        0        7        0
12356 cpp-10-doc                         	       0        4        0        0        4
12357 cpp-10-hppa-linux-gnu              	       0        2        0        2        0
12358 cpp-10-i686-linux-gnu              	       0        3        0        3        0
12359 cpp-10-mipsel-linux-gnu            	       0        1        0        1        0
12360 cpp-10-powerpc-linux-gnu           	       0        1        0        1        0
12361 cpp-10-powerpc64-linux-gnu         	       0        2        0        2        0
12362 cpp-10-riscv64-linux-gnu           	       0        3        0        3        0
12363 cpp-10-s390x-linux-gnu             	       0        1        0        1        0
12364 cpp-10-sparc64-linux-gnu           	       0        1        0        1        0
12365 cpp-11                             	       0       93        1       92        0
12366 cpp-11-arm-linux-gnueabi           	       0        1        0        1        0
12367 cpp-11-arm-linux-gnueabihf         	       0        1        0        1        0
12368 cpp-11-i686-linux-gnu              	       0        1        0        1        0
12369 cpp-12-alpha-linux-gnu             	       0        1        0        1        0
12370 cpp-12-doc                         	       0       17        0        0       17
12371 cpp-12-hppa-linux-gnu              	       0        1        0        1        0
12372 cpp-12-m68k-linux-gnu              	       0        1        0        1        0
12373 cpp-12-mips-linux-gnu              	       0        4        0        4        0
12374 cpp-12-mips64-linux-gnuabi64       	       0        1        0        1        0
12375 cpp-12-mipsel-linux-gnu            	       0        3        0        3        0
12376 cpp-12-powerpc-linux-gnu           	       0        2        0        2        0
12377 cpp-12-powerpc64-linux-gnu         	       0        1        0        1        0
12378 cpp-12-s390x-linux-gnu             	       0        2        0        2        0
12379 cpp-12-sparc64-linux-gnu           	       0        1        0        1        0
12380 cpp-12-x86-64-linux-gnux32         	       0        4        0        4        0
12381 cpp-13                             	       0      150        3      147        0
12382 cpp-13-aarch64-linux-gnu           	       0        2        0        2        0
12383 cpp-13-arm-linux-gnueabihf         	       0        1        0        1        0
12384 cpp-13-dbgsym                      	       0        1        0        1        0
12385 cpp-13-doc                         	       0        3        0        0        3
12386 cpp-13-i686-linux-gnu              	       0        4        0        4        0
12387 cpp-13-powerpc64le-linux-gnu       	       0        1        0        1        0
12388 cpp-13-riscv64-linux-gnu           	       0        1        0        1        0
12389 cpp-13-x86-64-linux-gnu            	       0      131        3      128        0
12390 cpp-14-aarch64-linux-gnu           	       0        5        0        5        0
12391 cpp-14-alpha-linux-gnu             	       0        1        0        1        0
12392 cpp-14-arm-linux-gnueabi           	       0        1        0        1        0
12393 cpp-14-arm-linux-gnueabihf         	       0        4        0        4        0
12394 cpp-14-doc                         	       0        2        0        0        2
12395 cpp-14-for-build                   	       0        1        0        0        1
12396 cpp-14-hppa-linux-gnu              	       0        1        0        1        0
12397 cpp-14-i686-linux-gnu              	       0        6        0        6        0
12398 cpp-14-powerpc-linux-gnu           	       0        2        0        2        0
12399 cpp-14-powerpc64-linux-gnu         	       0        3        0        3        0
12400 cpp-14-powerpc64le-linux-gnu       	       0        1        0        1        0
12401 cpp-14-riscv64-linux-gnu           	       0        3        0        3        0
12402 cpp-14-s390x-linux-gnu             	       0        1        0        1        0
12403 cpp-14-sparc64-linux-gnu           	       0        1        0        1        0
12404 cpp-15                             	       0        2        0        2        0
12405 cpp-15-x86-64-linux-gnu            	       0        2        0        2        0
12406 cpp-3.3                            	       0        3        0        3        0
12407 cpp-4.1                            	       0        4        0        4        0
12408 cpp-4.2                            	       0        2        0        2        0
12409 cpp-4.3                            	       0        8        0        8        0
12410 cpp-4.3-arm-linux-gnueabi          	       0        1        0        1        0
12411 cpp-4.4                            	       0       16        1       15        0
12412 cpp-4.4-doc                        	       0        1        0        0        1
12413 cpp-4.5                            	       0        1        0        1        0
12414 cpp-4.6                            	       0       29        0       29        0
12415 cpp-4.7                            	       0        7        0        7        0
12416 cpp-4.7-doc                        	       0        2        0        0        2
12417 cpp-4.8                            	       0       64        0       64        0
12418 cpp-4.8-doc                        	       0        1        0        0        1
12419 cpp-4.9-doc                        	       0        2        0        0        2
12420 cpp-5                              	       0        5        0        5        0
12421 cpp-6                              	       0      416       10      406        0
12422 cpp-6-aarch64-linux-gnu            	       0        2        0        2        0
12423 cpp-6-arm-linux-gnueabihf          	       0        1        0        1        0
12424 cpp-6-doc                          	       0        3        0        0        3
12425 cpp-7                              	       0       10        0       10        0
12426 cpp-7-doc                          	       0        1        0        0        1
12427 cpp-8-aarch64-linux-gnu            	       0        7        0        7        0
12428 cpp-8-arm-linux-gnueabi            	       0        2        0        2        0
12429 cpp-8-arm-linux-gnueabihf          	       0        4        0        4        0
12430 cpp-8-doc                          	       0        1        0        0        1
12431 cpp-8-i686-linux-gnu               	       0        2        0        2        0
12432 cpp-8-mips-linux-gnu               	       0        1        0        1        0
12433 cpp-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
12434 cpp-8-mipsel-linux-gnu             	       0        1        0        1        0
12435 cpp-8-x86-64-linux-gnux32          	       0        2        0        2        0
12436 cpp-9                              	       0       36        0       36        0
12437 cpp-9-aarch64-linux-gnu            	       0        1        0        1        0
12438 cpp-9-arm-linux-gnueabi            	       0        1        0        1        0
12439 cpp-9-arm-linux-gnueabihf          	       0        2        0        2        0
12440 cpp-9-doc                          	       0        1        0        0        1
12441 cpp-alpha-linux-gnu                	       0        3        0        3        0
12442 cpp-doc                            	       0       19        0        0       19
12443 cpp-for-build                      	       0        1        0        0        1
12444 cpp-hppa-linux-gnu                 	       0        3        0        3        0
12445 cpp-m68k-linux-gnu                 	       0        1        0        1        0
12446 cpp-mips-linux-gnu                 	       0        5        0        5        0
12447 cpp-mips64-linux-gnuabi64          	       0        1        0        1        0
12448 cpp-mips64el-linux-gnuabi64        	       0        1        0        1        0
12449 cpp-mipsel-linux-gnu               	       0        5        0        5        0
12450 cpp-powerpc-linux-gnu              	       0        5        0        5        0
12451 cpp-powerpc64-linux-gnu            	       0        5        0        5        0
12452 cpp-powerpc64le-linux-gnu          	       0        1        0        1        0
12453 cpp-s390x-linux-gnu                	       0        4        0        4        0
12454 cpp-sparc64-linux-gnu              	       0        3        0        3        0
12455 cpp-x86-64-linux-gnux32            	       0        1        0        1        0
12456 cppad                              	       0        2        0        0        2
12457 cppcms                             	       0        2        0        2        0
12458 cppcms-dev                         	       0        1        0        1        0
12459 cppdb                              	       0        3        0        3        0
12460 cpplint                            	       0        7        0        7        0
12461 cppman                             	       0        3        0        3        0
12462 cppreference-doc-en-html           	       0        9        0        9        0
12463 cppreference-doc-en-qch            	       0        5        0        0        5
12464 cpputest                           	       0        7        0        7        0
12465 cppzmq-dev                         	       0       31        0        0       31
12466 cpqacuxe                           	       0        1        0        1        0
12467 cprocsp-cptools-gtk-64             	       0        1        0        1        0
12468 cprocsp-curl-64                    	       0        1        0        1        0
12469 cprocsp-pki-cades-64               	       0        1        0        1        0
12470 cprocsp-pki-plugin-64              	       0        1        0        1        0
12471 cprocsp-rdr-cloud-64               	       0        1        0        1        0
12472 cprocsp-rdr-cpfkc-64               	       0        1        0        1        0
12473 cprocsp-rdr-cryptoki-64            	       0        1        0        1        0
12474 cprocsp-rdr-edoc-64                	       0        1        0        1        0
12475 cprocsp-rdr-emv-64                 	       0        1        0        1        0
12476 cprocsp-rdr-gui-gtk-64             	       0        1        0        1        0
12477 cprocsp-rdr-infocrypt-64           	       0        1        0        1        0
12478 cprocsp-rdr-inpaspot-64            	       0        1        0        1        0
12479 cprocsp-rdr-jacarta-64             	       0        1        0        1        0
12480 cprocsp-rdr-kst-64                 	       0        1        0        1        0
12481 cprocsp-rdr-mskey-64               	       0        1        0        1        0
12482 cprocsp-rdr-novacard-64            	       0        1        0        1        0
12483 cprocsp-rdr-pcsc-64                	       0        1        0        1        0
12484 cprocsp-rdr-rosan-64               	       0        1        0        1        0
12485 cprocsp-rdr-rutoken-64             	       0        1        0        1        0
12486 cproto                             	       0        9        0        9        0
12487 cpu                                	       0        5        0        5        0
12488 cpu-checker                        	       0       48        0       48        0
12489 cpu-x-dbgsym                       	       0        1        0        1        0
12490 cpuburn                            	       0        6        0        6        0
12491 cpudyn                             	       0        2        0        2        0
12492 cpufreqd                           	       0       30        9       21        0
12493 cpuidtool                          	       0        4        0        4        0
12494 cpupower-gui                       	       0       15        1       14        0
12495 cpuset                             	       0        3        0        3        0
12496 cpustat                            	       0        8        0        8        0
12497 cputool                            	       0       14        0       14        0
12498 cqrlog                             	       0        8        0        8        0
12499 cqrlog-data                        	       0        7        0        0        7
12500 cque-en                            	       0        1        0        1        0
12501 crac                               	       0        1        0        1        0
12502 crack                              	       0       24        0       24        0
12503 crack-attack                       	       0       17        0       17        0
12504 crack-common                       	       0       28        0        0       28
12505 crack-md5                          	       0        4        0        4        0
12506 craft                              	       0        1        0        1        0
12507 crafty                             	       0        7        0        7        0
12508 crafty-bitmaps                     	       0        1        0        0        1
12509 crafty-books-medium                	       0        1        0        0        1
12510 crafty-books-medtosmall            	       0        5        0        0        5
12511 cramfsprogs                        	       0        7        0        7        0
12512 crash                              	       0        8        0        8        0
12513 crashme                            	       0        4        0        4        0
12514 crasm                              	       0        6        0        6        0
12515 crawl-common                       	       0      980        0        0      980
12516 crawl-tiles                        	       0       15        0       15        0
12517 crawl-tiles-data                   	       0       15        0        0       15
12518 crazydiskinfo                      	       0       10        0       10        0
12519 create-resources                   	       0       15        0        0       15
12520 createdisk                         	       0        1        0        1        0
12521 creddump7                          	       0       17        0       17        0
12522 credential-sheets                  	       0        3        0        3        0
12523 creduce                            	       0        3        0        3        0
12524 crimson                            	       0        5        0        5        0
12525 crip                               	       0        6        0        6        0
12526 crispy-doom                        	       0        7        0        7        0
12527 crispy-doom-build-deps             	       0        1        0        0        1
12528 crispy-doom-dbgsym                 	       0        1        0        1        0
12529 criticalmass                       	       0       10        0       10        0
12530 criticalmass-data                  	       0       10        0        0       10
12531 critterding                        	       0        3        0        3        0
12532 crm114                             	       0        2        0        2        0
12533 crmsh                              	       0        6        0        6        0
12534 cron-apt                           	       0       57       12       45        0
12535 cron-deja-vu                       	       0        1        0        1        0
12536 cronic                             	       0        1        0        1        0
12537 cronie                             	       0        2        0        2        0
12538 cronolog                           	       0        4        2        2        0
12539 cronometer                         	       0        6        0        6        0
12540 cronutils                          	       0       15        0       15        0
12541 cropgui                            	       0        1        0        1        0
12542 cross-config                       	       0       27        0        0       27
12543 crossbuild-essential-amd64         	       0        2        0        0        2
12544 crossbuild-essential-arm64         	       0       12        0        0       12
12545 crossbuild-essential-armel         	       0        3        0        0        3
12546 crossbuild-essential-armhf         	       0        8        0        0        8
12547 crossbuild-essential-i386          	       0        3        0        0        3
12548 crossbuild-essential-mips          	       0        2        0        0        2
12549 crossbuild-essential-mips64el      	       0        1        0        0        1
12550 crossbuild-essential-mipsel        	       0        2        0        0        2
12551 crossfire-client                   	       0        6        0        6        0
12552 crossfire-client-gtk2              	       0        1        0        0        1
12553 crossfire-client-images            	       0        7        0        0        7
12554 crossfire-client-sounds            	       0        1        0        0        1
12555 crossfire-common                   	       0        4        0        0        4
12556 crossfire-doc                      	       0        3        0        0        3
12557 crossfire-maps                     	       0        5        0        0        5
12558 crossfire-server                   	       0        4        0        4        0
12559 crossftp                           	       0        1        0        0        1
12560 crossgrader                        	       0        1        0        1        0
12561 crossover                          	       0       11        1        9        1
12562 crow-translate                     	       0        2        1        1        0
12563 crowdsec                           	       0        4        1        3        0
12564 crowdsec-firewall-bouncer          	       0        1        0        1        0
12565 crrcsim                            	       0        9        0        9        0
12566 crrcsim-data                       	       0        9        0        0        9
12567 crrcsim-doc                        	       0        2        0        0        2
12568 crudini                            	       0        3        0        3        0
12569 cruft                              	       0       15        0        3       12
12570 cruft-common                       	       0        6        0        6        0
12571 cruft-ng                           	       0       21        0       21        0
12572 crypt++el                          	       0        2        0        2        0
12573 cryptcat                           	       0        8        0        8        0
12574 cryptkeeper                        	       0        1        0        1        0
12575 cryptol                            	       0        1        0        1        0
12576 cryptonose                         	       0        1        0        1        0
12577 cryptsetup-modified-functions      	       0        3        1        2        0
12578 cryptsetup-nuke-password           	       0        4        0        4        0
12579 cryptsetup-run                     	       0      144        1       18      125
12580 crystal                            	       0        6        0        5        1
12581 crystal1.12                        	       0        1        0        1        0
12582 crystal1.12-docs                   	       0        1        0        0        1
12583 crystal1.12-samples                	       0        1        0        0        1
12584 crystalcursors                     	       0       17        0        0       17
12585 cs                                 	       0        1        0        1        0
12586 cscope                             	       0       52        0       52        0
12587 cscope-el                          	       0        1        0        0        1
12588 csladspa                           	       0        9        1        8        0
12589 csmash                             	       0        1        0        1        0
12590 csmash-data                        	       0        1        0        0        1
12591 csound-data                        	       0       34        0        0       34
12592 csound-doc                         	       0        8        0        0        8
12593 csound-manpages                    	       0        1        0        0        1
12594 csound-plugins                     	       0       25        0        0       25
12595 csound-soundfont                   	       0       30        0        0       30
12596 csoundqt                           	       0        7        0        7        0
12597 csoundqt-examples                  	       0        7        0        0        7
12598 css-mode                           	       0        1        0        1        0
12599 cssc                               	       0        2        0        2        0
12600 cssed                              	       0        1        0        1        0
12601 cssmin                             	       0        4        0        4        0
12602 csstidy                            	       0       11        0       11        0
12603 cstocs                             	       0        5        0        5        0
12604 cstream                            	       0        4        0        4        0
12605 csv2latex                          	       0        6        1        5        0
12606 csvkit                             	       0       11        0       11        0
12607 csvkit-doc                         	       0        4        0        0        4
12608 csvtool                            	       0       20        0       20        0
12609 csync2                             	       0        1        0        1        0
12610 ctdb                               	       0        3        0        3        0
12611 ctdconverter                       	       0        1        0        1        0
12612 cthumb                             	       0        1        0        1        0
12613 ctioga2                            	       0        1        0        1        0
12614 ctn                                	       0        2        0        2        0
12615 ctop                               	       0        3        0        3        0
12616 ctorrent                           	       0       17        0       17        0
12617 ctpp2-utils                        	       0        1        0        1        0
12618 ctsim                              	       0        4        0        4        0
12619 ctsim-doc                          	       0        5        0        0        5
12620 ctsim-help                         	       0        4        0        0        4
12621 ctwm                               	       0        5        0        5        0
12622 cube2                              	       0       10        0       10        0
12623 cube2-data                         	       0        5        0        5        0
12624 cubicsdr                           	       0       20        0       20        0
12625 cucumber                           	       0        1        0        1        0
12626 cuda                               	       0        2        0        0        2
12627 cuda-12-6                          	       0        1        0        0        1
12628 cuda-12-8                          	       0        1        0        0        1
12629 cuda-cccl-11-7                     	       0        1        0        1        0
12630 cuda-cccl-12-4                     	       0        2        0        2        0
12631 cuda-cccl-12-6                     	       0        4        0        3        1
12632 cuda-cccl-12-8                     	       0        1        0        1        0
12633 cuda-command-line-tools-10-0       	       0        1        0        1        0
12634 cuda-command-line-tools-11-7       	       0        1        0        0        1
12635 cuda-command-line-tools-12-4       	       0        2        0        0        2
12636 cuda-command-line-tools-12-6       	       0        3        0        0        3
12637 cuda-command-line-tools-12-8       	       0        1        0        0        1
12638 cuda-command-line-tools-9-0        	       0        1        1        0        0
12639 cuda-compiler-10-0                 	       0        1        0        0        1
12640 cuda-compiler-11-7                 	       0        1        0        0        1
12641 cuda-compiler-12-4                 	       0        2        0        0        2
12642 cuda-compiler-12-6                 	       0        3        0        0        3
12643 cuda-compiler-12-8                 	       0        1        0        0        1
12644 cuda-core-9-0                      	       0        1        0        0        1
12645 cuda-cross-aarch64-10-0            	       0        1        0        0        1
12646 cuda-crt-12-4                      	       0        2        0        2        0
12647 cuda-crt-12-6                      	       0        4        0        3        1
12648 cuda-crt-12-8                      	       0        1        0        1        0
12649 cuda-cublas-10-0                   	       0        1        0        0        1
12650 cuda-cublas-9-0                    	       0        1        0        0        1
12651 cuda-cublas-cross-aarch64-10-0     	       0        1        0        1        0
12652 cuda-cublas-dev-10-0               	       0        1        0        1        0
12653 cuda-cublas-dev-9-0                	       0        1        1        0        0
12654 cuda-cudart-10-0                   	       0        1        0        0        1
12655 cuda-cudart-11-7                   	       0        1        0        1        0
12656 cuda-cudart-12-4                   	       0        2        0        0        2
12657 cuda-cudart-12-6                   	       0        4        0        0        4
12658 cuda-cudart-12-8                   	       0        1        0        0        1
12659 cuda-cudart-9-0                    	       0        1        0        0        1
12660 cuda-cudart-cross-aarch64-10-0     	       0        1        0        1        0
12661 cuda-cudart-dev-10-0               	       0        1        1        0        0
12662 cuda-cudart-dev-11-7               	       0        1        0        1        0
12663 cuda-cudart-dev-12-4               	       0        2        1        1        0
12664 cuda-cudart-dev-12-6               	       0        4        1        3        0
12665 cuda-cudart-dev-12-8               	       0        1        0        1        0
12666 cuda-cudart-dev-9-0                	       0        1        1        0        0
12667 cuda-cufft-10-0                    	       0        1        0        0        1
12668 cuda-cufft-9-0                     	       0        1        0        0        1
12669 cuda-cufft-cross-aarch64-10-0      	       0        1        0        1        0
12670 cuda-cufft-dev-10-0                	       0        1        0        1        0
12671 cuda-cufft-dev-9-0                 	       0        1        1        0        0
12672 cuda-cuobjdump-10-0                	       0        1        0        1        0
12673 cuda-cuobjdump-11-7                	       0        1        0        1        0
12674 cuda-cuobjdump-12-4                	       0        2        0        2        0
12675 cuda-cuobjdump-12-6                	       0        3        0        2        1
12676 cuda-cuobjdump-12-8                	       0        1        0        1        0
12677 cuda-cupti-10-0                    	       0        1        0        1        0
12678 cuda-cupti-11-7                    	       0        1        0        1        0
12679 cuda-cupti-12-4                    	       0        2        0        2        0
12680 cuda-cupti-12-6                    	       0        4        0        3        1
12681 cuda-cupti-12-8                    	       0        1        0        1        0
12682 cuda-cupti-dev-11-7                	       0        1        0        1        0
12683 cuda-cupti-dev-12-4                	       0        2        0        2        0
12684 cuda-cupti-dev-12-6                	       0        4        0        3        1
12685 cuda-cupti-dev-12-8                	       0        1        0        1        0
12686 cuda-curand-10-0                   	       0        1        0        0        1
12687 cuda-curand-9-0                    	       0        1        0        0        1
12688 cuda-curand-cross-aarch64-10-0     	       0        1        0        1        0
12689 cuda-curand-dev-10-0               	       0        1        0        1        0
12690 cuda-curand-dev-9-0                	       0        1        1        0        0
12691 cuda-cusolver-10-0                 	       0        1        0        0        1
12692 cuda-cusolver-9-0                  	       0        1        0        0        1
12693 cuda-cusolver-cross-aarch64-10-0   	       0        1        0        1        0
12694 cuda-cusolver-dev-10-0             	       0        1        0        1        0
12695 cuda-cusolver-dev-9-0              	       0        1        1        0        0
12696 cuda-cusparse-10-0                 	       0        1        0        0        1
12697 cuda-cusparse-9-0                  	       0        1        0        0        1
12698 cuda-cusparse-cross-aarch64-10-0   	       0        1        0        1        0
12699 cuda-cusparse-dev-10-0             	       0        1        0        1        0
12700 cuda-cusparse-dev-9-0              	       0        1        1        0        0
12701 cuda-cuxxfilt-11-7                 	       0        1        0        1        0
12702 cuda-cuxxfilt-12-4                 	       0        2        0        2        0
12703 cuda-cuxxfilt-12-6                 	       0        3        0        2        1
12704 cuda-cuxxfilt-12-8                 	       0        1        0        1        0
12705 cuda-demo-suite-12-6               	       0        1        0        0        1
12706 cuda-demo-suite-12-8               	       0        1        0        0        1
12707 cuda-documentation-10-0            	       0        1        0        0        1
12708 cuda-documentation-11-7            	       0        1        0        0        1
12709 cuda-documentation-12-4            	       0        2        0        0        2
12710 cuda-documentation-12-6            	       0        3        0        0        3
12711 cuda-documentation-12-8            	       0        1        0        0        1
12712 cuda-documentation-9-0             	       0        1        0        0        1
12713 cuda-driver-cross-aarch64-10-0     	       0        1        0        1        0
12714 cuda-driver-dev-10-0               	       0        1        0        1        0
12715 cuda-driver-dev-11-7               	       0        1        0        1        0
12716 cuda-driver-dev-12-4               	       0        2        0        2        0
12717 cuda-driver-dev-12-6               	       0        4        1        3        0
12718 cuda-driver-dev-12-8               	       0        1        0        1        0
12719 cuda-driver-dev-9-0                	       0        1        1        0        0
12720 cuda-drivers                       	       0        1        0        0        1
12721 cuda-drivers-525                   	       0        1        0        0        1
12722 cuda-drivers-530                   	       0        1        0        0        1
12723 cuda-drivers-565                   	       0        1        0        0        1
12724 cuda-gdb-10-0                      	       0        1        0        1        0
12725 cuda-gdb-11-7                      	       0        1        0        1        0
12726 cuda-gdb-12-4                      	       0        2        0        2        0
12727 cuda-gdb-12-6                      	       0        3        0        2        1
12728 cuda-gdb-12-8                      	       0        1        0        1        0
12729 cuda-gpu-library-advisor-10-0      	       0        1        0        1        0
12730 cuda-keyring                       	       0        5        0        0        5
12731 cuda-libraries-11-7                	       0        1        0        0        1
12732 cuda-libraries-12-4                	       0        2        0        0        2
12733 cuda-libraries-12-6                	       0        3        0        0        3
12734 cuda-libraries-12-8                	       0        1        0        0        1
12735 cuda-libraries-dev-10-0            	       0        1        0        0        1
12736 cuda-libraries-dev-11-7            	       0        1        0        0        1
12737 cuda-libraries-dev-12-4            	       0        2        0        0        2
12738 cuda-libraries-dev-12-6            	       0        3        0        0        3
12739 cuda-libraries-dev-12-8            	       0        1        0        0        1
12740 cuda-libraries-dev-9-0             	       0        1        0        0        1
12741 cuda-license-10-0                  	       0        1        0        0        1
12742 cuda-license-9-0                   	       0        1        0        0        1
12743 cuda-memcheck-10-0                 	       0        1        0        1        0
12744 cuda-memcheck-11-7                 	       0        1        0        1        0
12745 cuda-misc-headers-10-0             	       0        1        0        1        0
12746 cuda-misc-headers-9-0              	       0        1        0        0        1
12747 cuda-misc-headers-cross-aarch64-10-0	       0        1        0        1        0
12748 cuda-npp-10-0                      	       0        1        0        0        1
12749 cuda-npp-9-0                       	       0        1        0        0        1
12750 cuda-npp-cross-aarch64-10-0        	       0        1        0        1        0
12751 cuda-npp-dev-10-0                  	       0        1        0        1        0
12752 cuda-npp-dev-9-0                   	       0        1        1        0        0
12753 cuda-nsight-10-0                   	       0        1        0        0        1
12754 cuda-nsight-11-7                   	       0        1        0        1        0
12755 cuda-nsight-12-4                   	       0        2        0        2        0
12756 cuda-nsight-12-6                   	       0        3        0        2        1
12757 cuda-nsight-12-8                   	       0        1        0        1        0
12758 cuda-nsight-compute-10-0           	       0        1        0        0        1
12759 cuda-nsight-compute-11-7           	       0        1        0        1        0
12760 cuda-nsight-compute-12-4           	       0        2        0        2        0
12761 cuda-nsight-compute-12-6           	       0        3        0        2        1
12762 cuda-nsight-compute-12-8           	       0        1        0        1        0
12763 cuda-nsight-compute-addon-l4t-10-0 	       0        1        0        0        1
12764 cuda-nsight-systems-11-7           	       0        1        0        1        0
12765 cuda-nsight-systems-12-4           	       0        2        0        2        0
12766 cuda-nsight-systems-12-6           	       0        3        0        2        1
12767 cuda-nsight-systems-12-8           	       0        1        0        1        0
12768 cuda-nvcc-10-0                     	       0        1        0        1        0
12769 cuda-nvcc-11-7                     	       0        1        0        1        0
12770 cuda-nvcc-12-4                     	       0        2        0        2        0
12771 cuda-nvcc-12-6                     	       0        4        0        3        1
12772 cuda-nvcc-12-8                     	       0        1        0        1        0
12773 cuda-nvdisasm-10-0                 	       0        1        0        1        0
12774 cuda-nvdisasm-11-7                 	       0        1        0        1        0
12775 cuda-nvdisasm-12-4                 	       0        2        0        2        0
12776 cuda-nvdisasm-12-6                 	       0        3        0        2        1
12777 cuda-nvdisasm-12-8                 	       0        1        0        1        0
12778 cuda-nvgraph-10-0                  	       0        1        0        0        1
12779 cuda-nvgraph-9-0                   	       0        1        0        0        1
12780 cuda-nvgraph-cross-aarch64-10-0    	       0        1        0        0        1
12781 cuda-nvgraph-dev-10-0              	       0        1        0        1        0
12782 cuda-nvgraph-dev-9-0               	       0        1        1        0        0
12783 cuda-nvjpeg-10-0                   	       0        1        0        0        1
12784 cuda-nvjpeg-dev-10-0               	       0        1        0        1        0
12785 cuda-nvml-cross-aarch64-10-0       	       0        1        0        0        1
12786 cuda-nvml-dev-10-0                 	       0        1        0        1        0
12787 cuda-nvml-dev-11-7                 	       0        1        0        1        0
12788 cuda-nvml-dev-12-4                 	       0        2        0        2        0
12789 cuda-nvml-dev-12-6                 	       0        3        1        2        0
12790 cuda-nvml-dev-12-8                 	       0        1        0        1        0
12791 cuda-nvml-dev-9-0                  	       0        1        1        0        0
12792 cuda-nvprof-10-0                   	       0        1        0        1        0
12793 cuda-nvprof-11-7                   	       0        1        0        1        0
12794 cuda-nvprof-12-4                   	       0        2        0        2        0
12795 cuda-nvprof-12-6                   	       0        4        1        3        0
12796 cuda-nvprof-12-8                   	       0        1        0        1        0
12797 cuda-nvprune-10-0                  	       0        1        0        1        0
12798 cuda-nvprune-11-7                  	       0        1        0        1        0
12799 cuda-nvprune-12-4                  	       0        2        0        2        0
12800 cuda-nvprune-12-6                  	       0        3        0        2        1
12801 cuda-nvprune-12-8                  	       0        1        0        1        0
12802 cuda-nvrtc-10-0                    	       0        1        0        0        1
12803 cuda-nvrtc-11-7                    	       0        1        0        0        1
12804 cuda-nvrtc-12-4                    	       0        2        0        0        2
12805 cuda-nvrtc-12-6                    	       0        3        0        0        3
12806 cuda-nvrtc-12-8                    	       0        1        0        0        1
12807 cuda-nvrtc-9-0                     	       0        1        0        0        1
12808 cuda-nvrtc-cross-aarch64-10-0      	       0        1        0        0        1
12809 cuda-nvrtc-dev-10-0                	       0        1        0        1        0
12810 cuda-nvrtc-dev-11-7                	       0        1        0        1        0
12811 cuda-nvrtc-dev-12-4                	       0        2        0        2        0
12812 cuda-nvrtc-dev-12-6                	       0        3        1        2        0
12813 cuda-nvrtc-dev-12-8                	       0        1        0        1        0
12814 cuda-nvrtc-dev-9-0                 	       0        1        1        0        0
12815 cuda-nvtx-10-0                     	       0        1        0        1        0
12816 cuda-nvtx-11-7                     	       0        1        0        1        0
12817 cuda-nvtx-12-4                     	       0        2        0        2        0
12818 cuda-nvtx-12-6                     	       0        3        1        2        0
12819 cuda-nvtx-12-8                     	       0        1        0        1        0
12820 cuda-nvvm-12-4                     	       0        2        0        2        0
12821 cuda-nvvm-12-6                     	       0        4        0        3        1
12822 cuda-nvvm-12-8                     	       0        1        0        1        0
12823 cuda-nvvp-10-0                     	       0        1        0        0        1
12824 cuda-nvvp-11-7                     	       0        1        0        1        0
12825 cuda-nvvp-12-4                     	       0        2        0        2        0
12826 cuda-nvvp-12-6                     	       0        3        0        2        1
12827 cuda-nvvp-12-8                     	       0        1        0        1        0
12828 cuda-opencl-12-4                   	       0        2        1        1        0
12829 cuda-opencl-12-6                   	       0        3        0        1        2
12830 cuda-opencl-12-8                   	       0        1        0        1        0
12831 cuda-opencl-dev-12-4               	       0        2        0        2        0
12832 cuda-opencl-dev-12-6               	       0        3        1        2        0
12833 cuda-opencl-dev-12-8               	       0        1        0        1        0
12834 cuda-profiler-api-12-4             	       0        2        0        2        0
12835 cuda-profiler-api-12-6             	       0        4        0        3        1
12836 cuda-profiler-api-12-8             	       0        1        0        1        0
12837 cuda-repo-cross-aarch64-10-0-local-10.0.326	       0        1        0        0        1
12838 cuda-repo-debian11-11-5-local      	       0        1        0        0        1
12839 cuda-repo-debian11-11-8-local      	       0        1        0        0        1
12840 cuda-repo-debian12-12-4-local      	       0        1        0        0        1
12841 cuda-repo-debian12-12-6-local      	       0        1        0        0        1
12842 cuda-repo-ubuntu1604               	       0        1        0        0        1
12843 cuda-repo-ubuntu1704-9-0-local     	       0        1        0        0        1
12844 cuda-repo-ubuntu1804-10-0-local-10.0.326-410.108	       0        1        0        0        1
12845 cuda-runtime-12-6                  	       0        1        0        0        1
12846 cuda-runtime-12-8                  	       0        1        0        0        1
12847 cuda-samples-10-0                  	       0        1        0        1        0
12848 cuda-samples-9-0                   	       0        1        0        0        1
12849 cuda-sanitizer-11-7                	       0        1        0        1        0
12850 cuda-sanitizer-12-4                	       0        2        0        2        0
12851 cuda-sanitizer-12-6                	       0        3        0        2        1
12852 cuda-sanitizer-12-8                	       0        1        0        1        0
12853 cuda-toolkit                       	       0        2        0        0        2
12854 cuda-toolkit-10-0                  	       0        1        0        0        1
12855 cuda-toolkit-11-7                  	       0        1        0        0        1
12856 cuda-toolkit-11-7-config-common    	       0        1        0        0        1
12857 cuda-toolkit-11-config-common      	       0        1        0        0        1
12858 cuda-toolkit-12-0-config-common    	       0        1        0        0        1
12859 cuda-toolkit-12-4                  	       0        2        0        0        2
12860 cuda-toolkit-12-4-config-common    	       0        2        0        0        2
12861 cuda-toolkit-12-6                  	       0        3        0        0        3
12862 cuda-toolkit-12-6-config-common    	       0        4        0        0        4
12863 cuda-toolkit-12-8                  	       0        1        0        0        1
12864 cuda-toolkit-12-8-config-common    	       0        1        0        0        1
12865 cuda-toolkit-12-config-common      	       0        7        0        0        7
12866 cuda-toolkit-9-0                   	       0        1        0        0        1
12867 cuda-toolkit-config-common         	       0        7        0        0        7
12868 cuda-tools-10-0                    	       0        1        0        0        1
12869 cuda-tools-11-7                    	       0        1        0        0        1
12870 cuda-tools-12-4                    	       0        2        0        0        2
12871 cuda-tools-12-6                    	       0        3        0        0        3
12872 cuda-tools-12-8                    	       0        1        0        0        1
12873 cuda-visual-tools-10-0             	       0        1        0        1        0
12874 cuda-visual-tools-11-7             	       0        1        0        0        1
12875 cuda-visual-tools-12-4             	       0        2        0        0        2
12876 cuda-visual-tools-12-6             	       0        3        0        0        3
12877 cuda-visual-tools-12-8             	       0        1        0        0        1
12878 cuda-visual-tools-9-0              	       0        1        0        0        1
12879 cudatext                           	       0        2        0        2        0
12880 cudf-tools                         	       0        2        0        2        0
12881 cudnn-local-repo-debian11-8.7.0.84 	       0        1        0        0        1
12882 cue2toc                            	       0       18        0       18        0
12883 culmus                             	       0       11        0        4        7
12884 culmus-fancy                       	       0       15        0        2       13
12885 cultivation                        	       0        9        0        9        0
12886 cuneiform                          	       0       19        0       19        0
12887 cuneiform-common                   	       0       20        0        0       20
12888 cup                                	       0       12        0       12        0
12889 cups-backend-bjnp                  	       0       24        0       24        0
12890 cups-common                        	       0     3027        0        0     3027
12891 cups-pdf                           	       0        9        0        0        9
12892 cups-pk-helper                     	       0     2604        0        0     2604
12893 cups-server-common                 	       0     2817        0        0     2817
12894 cups-tea4cups                      	       0       10        1        9        0
12895 cups-x2go                          	       0        5        0        5        0
12896 cupsddk                            	       0        3        0        0        3
12897 cupswrapperdcp7055                 	       0        1        0        0        1
12898 cupswrapperdcp7065dn               	       0        2        0        0        2
12899 cupswrapperdcp8085dn               	       0        1        0        0        1
12900 cupswrapperhl2140                  	       0        2        0        0        2
12901 cupswrapperhl2240                  	       0        1        0        0        1
12902 cupswrapperhl2270dw                	       0        2        0        0        2
12903 cupswrapperhl6050d                 	       0        1        0        0        1
12904 cupswrappermfc210c                 	       0        1        0        0        1
12905 cupswrappermfc5840cn               	       0        1        0        0        1
12906 cupswrappermfc7320                 	       0        1        0        0        1
12907 cupswrappermfc7360n                	       0        1        0        0        1
12908 cupswrappermfc7820n                	       0        1        0        0        1
12909 cupswrappermfc7860dw               	       0        4        0        0        4
12910 cupsys                             	       0        1        0        0        1
12911 cupsys-bsd                         	       0        1        0        0        1
12912 cupsys-client                      	       0        1        0        0        1
12913 cupsys-common                      	       0        1        0        0        1
12914 cupt                               	       0        6        0        6        0
12915 cura                               	       0       22        0       22        0
12916 cura-engine                        	       0       26        0       26        0
12917 curator                            	       0        1        0        1        0
12918 curlew                             	       0        1        0        1        0
12919 curlftpfs                          	       0       23        1       22        0
12920 curry-frontend                     	       0        2        0        2        0
12921 curseofwar-common                  	       0       18        0        0       18
12922 curtain                            	       0        2        0        2        0
12923 curvedns                           	       0        1        0        1        0
12924 curvevpn                           	       0        1        0        1        0
12925 curvevpn-server-run                	       0        1        0        0        1
12926 cutecom                            	       0       22        2       20        0
12927 cutemaze                           	       0        6        0        6        0
12928 cutesdr                            	       0       11        0       11        0
12929 cutils                             	       0       10        0       10        0
12930 cutmp3                             	       0        4        0        4        0
12931 cutter                             	       0        1        0        1        0
12932 cutycapt                           	       0        6        0        6        0
12933 cuyo                               	       0       18        0       18        0
12934 cuyo-data                          	       0       18        0        0       18
12935 cvc4                               	       0        2        0        2        0
12936 cvc5                               	       0        1        0        1        0
12937 cvm                                	       0        2        0        2        0
12938 cvs-autoreleasedeb                 	       0        1        0        1        0
12939 cvs-build-deps                     	       0        1        0        0        1
12940 cvs-buildpackage                   	       0        5        0        5        0
12941 cvs-dbgsym                         	       0        1        0        1        0
12942 cvs-fast-export                    	       0        3        0        3        0
12943 cvs-mailcommit                     	       0        1        0        1        0
12944 cvs2cl                             	       0        2        0        2        0
12945 cvs2svn                            	       0        3        1        2        0
12946 cvsbook                            	       0        1        0        0        1
12947 cvschangelogbuilder                	       0        1        0        1        0
12948 cvsconnect                         	       0        1        0        1        0
12949 cvsd                               	       0        2        1        1        0
12950 cvsgraph                           	       0        3        1        2        0
12951 cvsnt                              	       0        1        0        1        0
12952 cvsservice                         	       0       45        0       45        0
12953 cvssuck                            	       0        1        0        1        0
12954 cvsutils                           	       0        3        0        3        0
12955 cvsweb                             	       0        4        1        3        0
12956 cw                                 	       0       13        0       13        0
12957 cwcp                               	       0       14        0       14        0
12958 cwdaemon                           	       0        7        0        7        0
12959 cwebx                              	       0        4        0        4        0
12960 cwidget-build-deps-depends         	       0        1        0        0        1
12961 cwl-upgrader                       	       0        3        0        3        0
12962 cwl-utils                          	       0        1        0        1        0
12963 cwltool                            	       0        3        0        3        0
12964 cwm                                	       0       25        3       22        0
12965 cwp                                	       0       16        6       10        0
12966 cxref                              	       0        8        0        8        0
12967 cxref-doc                          	       0        4        0        0        4
12968 cxxtest                            	       0        3        0        3        0
12969 cya-2pir                           	       0        1        0        1        0
12970 cyanrip                            	       0        1        0        1        0
12971 cycfx2prog                         	       0        1        0        1        0
12972 cyclades-serial-client             	       0        1        0        1        0
12973 cyclist                            	       0        4        0        4        0
12974 cylance-protect                    	       0        1        0        1        0
12975 cylance-protect-ui                 	       0        1        0        1        0
12976 cyme                               	       0        1        0        1        0
12977 cynthiune.app                      	       0        6        0        6        0
12978 cypher-lint                        	       0        1        0        1        0
12979 cypher-shell                       	       0        2        0        2        0
12980 cyphesis-cpp-clients               	       0        1        0        1        0
12981 cyphesis-cpp-mason                 	       0        1        0        0        1
12982 cyrus-admin                        	       0        2        0        2        0
12983 cyrus-clients                      	       0        4        0        4        0
12984 cyrus-common                       	       0        4        1        3        0
12985 cyrus-doc                          	       0        3        0        0        3
12986 cyrus-imapd                        	       0        3        0        3        0
12987 cyrus-murder                       	       0        2        0        2        0
12988 cyrus-pop3d                        	       0        3        0        3        0
12989 cyrus-replication                  	       0        1        0        1        0
12990 cyrus-sasl2-doc                    	       0        5        0        0        5
12991 cysignals-tools                    	       0       14        0       14        0
12992 cytadela-data                      	       0        1        0        0        1
12993 cython                             	       0        6        1        5        0
12994 cython-doc                         	       0        3        0        0        3
12995 cython3-dbg                        	       0        1        0        1        0
12996 d-feet                             	       0       10        0       10        0
12997 d-itg                              	       0        1        0        1        0
12998 d-rats                             	       0        1        0        1        0
12999 d-shlibs                           	       0        6        0        6        0
13000 d-spy                              	       0        1        0        1        0
13001 d1h                                	       0        2        0        2        0
13002 d1x-rebirth                        	       0        4        0        4        0
13003 d2x-rebirth                        	       0        6        0        6        0
13004 d2x-rebirth-data                   	       0        2        0        0        2
13005 d3-dsv-tools                       	       0        1        0        1        0
13006 d52                                	       0        2        0        2        0
13007 daa2iso                            	       0        9        0        9        0
13008 dablin                             	       0        2        0        2        0
13009 dadadodo                           	       0        5        0        5        0
13010 daemon                             	       0       90        9       81        0
13011 daemonize                          	       0       25        0       25        0
13012 daemontools                        	       0       29        3       26        0
13013 daemontools-run                    	       0        9        0        9        0
13014 dahdi                              	       0        2        1        1        0
13015 dahdi-dkms                         	       0        2        1        1        0
13016 dahdi-firmware-nonfree             	       0        2        0        2        0
13017 dahdi-linux                        	       0        2        1        1        0
13018 dahdi-source                       	       0        1        0        1        0
13019 daisy-player                       	       0        2        0        2        0
13020 dalvik-exchange                    	       0        9        0        9        0
13021 dangen                             	       0        1        0        1        0
13022 dangerzone                         	       0        1        0        1        0
13023 dante-client                       	       0        6        1        5        0
13024 dante-server                       	       0        4        1        3        0
13025 daphne                             	       0        1        0        1        0
13026 daps                               	       0        1        0        1        0
13027 daptup                             	       0        2        0        2        0
13028 dar-docs                           	       0        9        0        0        9
13029 darcs                              	       0       33        0       33        0
13030 darcs-server                       	       0        1        0        1        0
13031 darcula                            	       0       24        0        0       24
13032 dares-qt                           	       0        1        0        1        0
13033 dark-oberon                        	       0        1        0        1        0
13034 darkblood-gtk-theme                	       0       25        0        0       25
13035 darkcold-gtk-theme                 	       0       31        0        0       31
13036 darkfire-gtk-theme                 	       0       27        0        0       27
13037 darkice                            	       0        8        0        8        0
13038 darkmint-gtk-theme                 	       0       33        0        0       33
13039 darknet                            	       0        1        0        1        0
13040 darkplaces                         	       0       23        0       23        0
13041 darkplaces-server                  	       0        2        0        2        0
13042 darkpurpy-icon-theme               	       0      119        0        0      119
13043 darkradiant                        	       0        1        0        1        0
13044 darkradiant-plugins-darkmod        	       0        1        0        0        1
13045 darkslide                          	       0        3        0        3        0
13046 darksnow                           	       0        2        0        2        0
13047 darkstat                           	       0       10        1        9        0
13048 darktable                          	       0      120        5      115        0
13049 darktable-tools-basecurve          	       0        1        0        1        0
13050 darktable-tools-noise              	       0        1        0        1        0
13051 darnwdl                            	       0        1        0        1        0
13052 dart                               	       0        1        0        1        0
13053 dart-doc                           	       0        1        0        0        1
13054 dash-el                            	       0        1        0        0        1
13055 dasher                             	       0       12        2       10        0
13056 dasher-data                        	       0       12        0        0       12
13057 dasm                               	       0        2        0        2        0
13058 datalad                            	       0        3        0        3        0
13059 datalad-container                  	       0        1        0        1        0
13060 datapacker                         	       0        1        0        1        0
13061 datefudge                          	       0        3        0        3        0
13062 dateutils                          	       0       21        1       20        0
13063 datovka                            	       0        1        0        1        0
13064 dattobd                            	       0        2        0        2        0
13065 dav-text                           	       0        1        0        1        0
13066 dav1d                              	       0        8        0        8        0
13067 davegnukem                         	       0        2        0        2        0
13068 davegnukem-data                    	       0        2        0        0        2
13069 davegnukem-datasrc                 	       0        1        0        0        1
13070 davegnukem-dbgsym                  	       0        1        0        1        0
13071 davfs2                             	       0       58        0       58        0
13072 davical                            	       0        2        0        2        0
13073 davinci-resolve                    	       0        1        1        0        0
13074 davix                              	       0        1        0        1        0
13075 davix-doc                          	       0        1        0        0        1
13076 davs2                              	       0        1        0        1        0
13077 dawg                               	       0        1        0        1        0
13078 dawgdic-tools                      	       0        1        0        1        0
13079 daytasks                           	       0        1        0        1        0
13080 db-upgrade-util                    	       0        3        0        0        3
13081 db4.4-util                         	       0        1        0        1        0
13082 db4.6-util                         	       0        2        0        2        0
13083 db4.7-util                         	       0        3        0        3        0
13084 db4.8-util                         	       0        5        0        5        0
13085 db4otool                           	       0        2        0        2        0
13086 db5.1-sql-util                     	       0        1        0        1        0
13087 db5.1-util                         	       0       36        0       36        0
13088 db5.3-doc                          	       0        7        0        0        7
13089 db5.3-sql-util                     	       0        4        0        4        0
13090 dbab                               	       0        3        1        2        0
13091 dballe                             	       0        1        0        1        0
13092 dballe-common                      	       0        1        0        0        1
13093 dbar                               	       0        4        0        4        0
13094 dbconfig-mysql                     	       0       82        0        0       82
13095 dbconfig-no-thanks                 	       0        4        0        0        4
13096 dbconfig-pgsql                     	       0        7        0        0        7
13097 dbconfig-sqlite                    	       0        1        0        0        1
13098 dbconfig-sqlite3                   	       0       12        0        0       12
13099 dbench                             	       0        5        0        5        0
13100 dbengine                           	       0        1        0        1        0
13101 dbf2mysql                          	       0        4        0        4        0
13102 dbgate                             	       0        3        0        0        3
13103 dbishell                           	       0        1        0        1        0
13104 dblatex-doc                        	       0       69        0        0       69
13105 dbndns                             	       0        2        1        1        0
13106 dbs                                	       0        2        0        2        0
13107 dbschema                           	       0        1        0        1        0
13108 dbtoepub                           	       0        7        0        7        0
13109 dbus-1-doc                         	       0        8        0        0        8
13110 dbus-build-deps                    	       0        1        0        0        1
13111 dbus-cpp-dev-examples              	       0        1        0        0        1
13112 dbus-cxx-dev                       	       0        1        0        1        0
13113 dbus-cxx2                          	       0        1        0        0        1
13114 dbus-cxx2-dbgsym                   	       0        1        0        1        0
13115 dbus-dbgsym                        	       0        1        0        1        0
13116 dbus-fake                          	       0        1        0        0        1
13117 dbus-session-bus-common            	       0     2983        0        0     2983
13118 dbus-system-bus-common             	       0     2981        0        2     2979
13119 dbus-test-runner                   	       0        1        0        1        0
13120 dbus-x11-dbgsym                    	       0        2        0        2        0
13121 dbvis                              	       0        6        1        3        2
13122 dc3dd                              	       0       27        0       27        0
13123 dcism                              	       0        2        0        2        0
13124 dcism-osc                          	       0        2        0        1        1
13125 dclock                             	       0       24        2       22        0
13126 dcm2niix                           	       0        5        0        5        0
13127 dcmtk                              	       0       26        1       25        0
13128 dcmtk-data                         	       0       12        0        0       12
13129 dcmtk-doc                          	       0        3        0        0        3
13130 dcmtk-www                          	       0        1        0        1        0
13131 dconf                              	       0        1        0        1        0
13132 dconf-build-deps                   	       0        1        0        0        1
13133 dconf-gsettings-backend-dbgsym     	       0        1        0        1        0
13134 dconf-service-dbgsym               	       0        1        0        1        0
13135 dconf-tools                        	       0       11        0        0       11
13136 dcp135ccupswrapper                 	       0        1        0        0        1
13137 dcp135clpr                         	       0        1        0        1        0
13138 dcp150ccupswrapper                 	       0        1        0        0        1
13139 dcp150clpr                         	       0        1        0        1        0
13140 dcp1510cupswrapper                 	       0        1        0        0        1
13141 dcp1510lpr                         	       0        1        0        0        1
13142 dcp165ccupswrapper                 	       0        1        0        0        1
13143 dcp165clpr                         	       0        1        0        1        0
13144 dcp7055lpr                         	       0        1        0        0        1
13145 dcp7065dnlpr                       	       0        2        0        0        2
13146 dcp8085dnlpr                       	       0        1        0        1        0
13147 dcp9015cdwcupswrapper              	       0        1        0        0        1
13148 dcp9015cdwlpr                      	       0        1        0        1        0
13149 dcp9020cdwcupswrapper              	       0        1        0        0        1
13150 dcp9020cdwlpr                      	       0        1        0        1        0
13151 dcpj1200wpdrv                      	       0        1        0        0        1
13152 dcpj125cupswrapper                 	       0        2        0        0        2
13153 dcpj125lpr                         	       0        2        0        2        0
13154 dcpj4120dwcupswrapper              	       0        1        0        0        1
13155 dcpj4120dwlpr                      	       0        1        1        0        0
13156 dcpj562dwcupswrapper               	       0        1        0        0        1
13157 dcpj562dwlpr                       	       0        1        0        1        0
13158 dcpj715wcupswrapper                	       0        2        0        0        2
13159 dcpj715wlpr                        	       0        2        0        2        0
13160 dcpj925dwcupswrapper               	       0        1        0        0        1
13161 dcpj925dwlpr                       	       0        1        0        1        0
13162 dcpl2510dpdrv                      	       0        2        0        0        2
13163 dcpl2520dwcupswrapper              	       0        1        0        0        1
13164 dcpl2520dwlpr                      	       0        1        0        0        1
13165 dcpl2530dwpdrv                     	       0        2        0        0        2
13166 dcpl2540dwcupswrapper              	       0        2        0        0        2
13167 dcpl2540dwlpr                      	       0        2        0        0        2
13168 dcpl2550dnpdrv                     	       0        1        0        0        1
13169 dcpl2550dwpdrv                     	       0        1        0        0        1
13170 dcpl3560cdwpdrv                    	       0        1        0        0        1
13171 dcpl5500dncupswrapper              	       0        2        0        0        2
13172 dcpl5500dnlpr                      	       0        2        0        0        2
13173 dcpt300cupswrapper                 	       0        1        0        0        1
13174 dcpt300lpr                         	       0        1        0        1        0
13175 dcpt310pdrv                        	       0        1        0        1        0
13176 dcpt510wpdrv                       	       0        1        0        1        0
13177 ddate                              	       0       14        0       14        0
13178 ddcci-dkms                         	       0        3        0        3        0
13179 ddccontrol                         	       0       18        1       17        0
13180 ddccontrol-db                      	       0       18        0        0       18
13181 ddclient                           	       0       19        3       16        0
13182 ddcui                              	       0        2        1        1        0
13183 ddcutil                            	       0       13        2       11        0
13184 ddcxinfo-kanotix                   	       0        1        0        1        0
13185 ddd                                	       0       48        0       48        0
13186 ddd-doc                            	       0       10        0        0       10
13187 dde-account-faces                  	       0        1        0        1        0
13188 dde-calendar                       	       0        2        0        2        0
13189 dde-qt5integration                 	       0       20        1        9       10
13190 dde-store                          	       0        1        0        1        0
13191 ddir                               	       0        4        0        4        0
13192 ddnet                              	       0        1        0        1        0
13193 ddnet-data                         	       0        2        0        0        2
13194 ddpt                               	       0        3        0        3        0
13195 ddrescue                           	       0        2        0        2        0
13196 ddrutility-dbgsym                  	       0        1        0        1        0
13197 dds2tar                            	       0        4        0        4        0
13198 ddskk                              	       0        1        0        1        0
13199 de4dot                             	       0       20        0       20        0
13200 deadbeef                           	       0        1        0        1        0
13201 deal                               	       0        9        0        9        0
13202 dealer                             	       0        9        0        9        0
13203 deb-gview                          	       0        4        0        4        0
13204 deb-installer                      	       0        1        0        1        0
13205 deb-multimedia-keyring             	       0      121        0        0      121
13206 deb-packager                       	       0        1        0        1        0
13207 deb.torproject.org-keyring         	       0       17        0        0       17
13208 debarchiver                        	       0        6        0        6        0
13209 debaux                             	       0        3        0        3        0
13210 debaux-debconf                     	       0        1        0        1        0
13211 debconf-doc                        	       0       32        0        0       32
13212 debconf-english                    	       0        2        0        0        2
13213 debconf-i18n                       	       0     4118        0        6     4112
13214 debconf-kde-data                   	       0      549        0        0      549
13215 debdate                            	       0        1        0        1        0
13216 debdelta                           	       0       10        0       10        0
13217 debdelta-doc                       	       0        3        0        0        3
13218 debdry                             	       0        1        0        1        0
13219 debfoster                          	       0       55        1       54        0
13220 debget                             	       0        1        0        1        0
13221 debhelper-build-deps               	       0        1        0        0        1
13222 debian-archive-keyring             	       0     4160        0        0     4160
13223 debian-astro-logo                  	       0        1        0        0        1
13224 debian-backports-keyring           	       0        1        0        0        1
13225 debian-builder                     	       0        6        0        6        0
13226 debian-cd                          	       0        5        0        0        5
13227 debian-edu-archive-keyring         	       0       10        0        0       10
13228 debian-edu-artwork                 	       0       13        0        0       13
13229 debian-edu-artwork-emerald         	       0        5        0        5        0
13230 debian-edu-config                  	       0        6        2        4        0
13231 debian-edu-doc-da                  	       0        1        0        0        1
13232 debian-edu-doc-de                  	       0        3        0        0        3
13233 debian-edu-doc-en                  	       0       14        0        0       14
13234 debian-edu-doc-es                  	       0        1        0        0        1
13235 debian-edu-doc-legacy-en           	       0        9        0        0        9
13236 debian-edu-install                 	       0        6        2        3        1
13237 debian-el                          	       0        9        0        0        9
13238 debian-faq                         	       0     3710        0        0     3710
13239 debian-faq-de                      	       0        6        0        0        6
13240 debian-faq-fr                      	       0        2        0        0        2
13241 debian-faq-nl                      	       0        1        0        0        1
13242 debian-faq-pt                      	       0        1        0        0        1
13243 debian-faq-ru                      	       0        1        0        0        1
13244 debian-handbook                    	       0       41        0        0       41
13245 debian-history                     	       0       11        0        0       11
13246 debian-installer                   	       0        3        0        0        3
13247 debian-installer-10-netboot-amd64  	       0        1        0        1        0
13248 debian-installer-10-netboot-i386   	       0        1        0        1        0
13249 debian-installer-11-netboot-amd64  	       0        2        0        2        0
13250 debian-installer-build-deps        	       0        1        0        0        1
13251 debian-kernel-handbook             	       0       73        0        0       73
13252 debian-keyring                     	       0      351        0        0      351
13253 debian-mate-default-settings       	       0      529        0        0      529
13254 debian-multimedia-keyring          	       0        6        0        0        6
13255 debian-package-book-de             	       0        1        0        0        1
13256 debian-package-scripts             	       0        1        0        1        0
13257 debian-paketmanagement-buch        	       0        2        0        0        2
13258 debian-podman-config-override      	       0       10        0        0       10
13259 debian-policy                      	       0       54        0        0       54
13260 debian-ports-archive-keyring       	       0       34        0        0       34
13261 debian-pulseaudio-config-override  	       0      120        0        0      120
13262 debian-refcard                     	       0       19        0        0       19
13263 debian-reference                   	       0        8        0        0        8
13264 debian-reference-de                	       0       14        0        0       14
13265 debian-reference-en                	       0       30        0        0       30
13266 debian-reference-es                	       0      267        0        0      267
13267 debian-reference-fr                	       0        9        0        0        9
13268 debian-reference-id                	       0        5        0        0        5
13269 debian-reference-it                	       0      112        0        0      112
13270 debian-reference-ja                	       0        7        0        0        7
13271 debian-reference-pt                	       0        7        0        0        7
13272 debian-reference-pt-br             	       0        2        0        0        2
13273 debian-reference-zh-cn             	       0        7        0        0        7
13274 debian-reference-zh-tw             	       0        7        0        0        7
13275 debian-security-support            	       0       15        1       14        0
13276 debiandoc-sgml                     	       0       16        0       16        0
13277 debiandoc-sgml-doc                 	       0        8        0        0        8
13278 debichem-tasks                     	       0       10        0        0       10
13279 debirf                             	       0        1        0        1        0
13280 debmake-doc                        	       0        8        0        0        8
13281 debmake-doc-build-deps             	       0        1        0        0        1
13282 debmirror                          	       0       14        0       14        0
13283 debocker                           	       0        1        0        1        0
13284 debomatic                          	       0        3        0        3        0
13285 debootstick                        	       0        3        0        3        0
13286 debram-data                        	       0        5        0        0        5
13287 debsigs                            	       0        7        1        6        0
13288 debsuryorg-archive-keyring         	       0       15        0        0       15
13289 debtree                            	       0       28        1       27        0
13290 debugedit-build-deps               	       0        1        0        0        1
13291 debugedit-dbgsym                   	       0        1        0        1        0
13292 debuginfod                         	       0        2        0        2        0
13293 debvm                              	       0        6        0        6        0
13294 deconz                             	       0        1        0        1        0
13295 decopy                             	       0        3        0        3        0
13296 deepin-album                       	       0        2        0        2        0
13297 deepin-app-store-runtime           	       0        1        0        0        1
13298 deepin-boot-maker                  	       0        2        0        2        0
13299 deepin-calculator                  	       0        5        0        5        0
13300 deepin-deb-installer               	       0        3        0        3        0
13301 deepin-elf-verify                  	       0        1        0        0        1
13302 deepin-gettext-tools               	       0        4        0        4        0
13303 deepin-icon-theme                  	       0       24        0        0       24
13304 deepin-image-viewer                	       0        3        0        3        0
13305 deepin-menu                        	       0        3        0        3        0
13306 deepin-movie                       	       0        5        0        5        0
13307 deepin-music                       	       0        4        0        4        0
13308 deepin-notifications               	       0        7        0        7        0
13309 deepin-picker                      	       0        2        0        2        0
13310 deepin-screen-recorder             	       0        4        0        4        0
13311 deepin-screenshot                  	       0        6        0        6        0
13312 deepin-shortcut-viewer             	       0        7        0        7        0
13313 deepin-sound-theme                 	       0        3        0        0        3
13314 deepin-terminal                    	       0        5        0        5        0
13315 deepin-voice-recorder              	       0        3        0        3        0
13316 deepsea-icon-theme                 	       0     2735        0        0     2735
13317 deezer-desktop                     	       0        2        0        0        2
13318 default-d-compiler                 	       0       10        0        0       10
13319 default-java-plugin                	       0       14        0        0       14
13320 default-jdk                        	       0      193        0        0      193
13321 default-jdk-doc                    	       0       41        0        0       41
13322 default-jdk-headless               	       0      235        0        0      235
13323 default-jre                        	       0     1387        0        0     1387
13324 default-jre-headless               	       0     1484        0        0     1484
13325 default-libmysqlclient-dev         	       0      101        0        0      101
13326 default-libmysqld-dev              	       0        4        0        0        4
13327 default-mysql-client               	       0       86        0        0       86
13328 default-mysql-client-core          	       0      485        0        0      485
13329 default-mysql-server               	       0       75        0        0       75
13330 default-mysql-server-core          	       0      486        0        0      486
13331 defendguin                         	       0        2        0        2        0
13332 defendguin-data                    	       0        2        0        0        2
13333 defoma                             	       0        3        0        3        0
13334 defoma-doc                         	       0        2        0        0        2
13335 defoma-ersatz                      	       0        1        0        0        1
13336 defrag                             	       0        1        0        1        0
13337 deheader                           	       0        7        0        7        0
13338 dehydrated                         	       0       13        4        9        0
13339 dehydrated-apache2                 	       0        1        0        0        1
13340 dehydrated-hook-ddns-tsig          	       0        1        0        0        1
13341 deken                              	       0        3        0        3        0
13342 delta                              	       0        2        0        2        0
13343 deltachat-desktop                  	       0        4        0        3        1
13344 deltarpm                           	       0        1        0        1        0
13345 deluge-torrent                     	       0        2        0        0        2
13346 deluge-web                         	       0        6        1        5        0
13347 delve                              	       0        1        0        1        0
13348 denemo-doc                         	       0       17        0        0       17
13349 depqbf                             	       0        3        0        3        0
13350 depthcharge-tools                  	       0        1        0        1        0
13351 derivations                        	       0        7        0        0        7
13352 designer-qt6-plugins               	       0        2        0        0        2
13353 desklaunch                         	       0        2        0        2        0
13354 deskmenu                           	       0        4        0        4        0
13355 desktop-autoloader                 	       0        1        0        1        0
13356 desktop-base                       	       0     2933        0        0     2933
13357 desktop-base-trinity               	       0       26        0        0       26
13358 desktop-effects-tde-trinity        	       0        1        0        1        0
13359 desktop-profiles                   	       0        4        1        3        0
13360 desktopfolder                      	       0        2        0        2        0
13361 desktopnova                        	       0        1        0        1        0
13362 desktopnova-module-gnome           	       0        1        0        1        0
13363 desktopnova-tray                   	       0        1        0        1        0
13364 desmume                            	       0       11        0       11        0
13365 detachtty                          	       0        3        0        3        0
13366 detectiteasy                       	       0        1        0        1        0
13367 detox                              	       0       41        6       35        0
13368 deutex                             	       0        8        0        8        0
13369 deutex-dbgsym                      	       0        1        0        1        0
13370 dev-kinsta                         	       0        1        0        1        0
13371 developers-reference-de            	       0        3        0        0        3
13372 developers-reference-fr            	       0        6        0        0        6
13373 developers-reference-ru            	       0        1        0        0        1
13374 devhelp-common                     	       0       63        0        0       63
13375 device3dfx-source                  	       0        1        0        0        1
13376 devilspie                          	       0        7        0        7        0
13377 devilspie2                         	       0        7        2        5        0
13378 devilutionx                        	       0        2        0        2        0
13379 devio                              	       0       11        0       11        0
13380 devkitpro-pacman                   	       0        1        0        1        0
13381 devrplay3                          	       0        2        0        0        2
13382 devscripts-build-deps              	       0        1        0        0        1
13383 devscripts-el                      	       0        1        0        0        1
13384 devtodo                            	       0        4        0        4        0
13385 devuan-apt-mirror-config-override  	       0        2        0        0        2
13386 devuan-baseconf                    	       0      680        0        0      680
13387 devuan-cups-filters-config-override	       0       12        0        0       12
13388 devuan-indices                     	       0        1        0        0        1
13389 devuan-keyring                     	       0     4149        0        0     4149
13390 devuan-lintian-profile             	       0       18        0       14        4
13391 devuan-speech-dispatcher-config-override	       0        2        0        0        2
13392 devuan-xdm-config-override         	       0        3        0        0        3
13393 dexed                              	       0        1        0        0        1
13394 dexed-lv2                          	       0        2        0        2        0
13395 dexed-vst                          	       0        1        0        1        0
13396 dextractor                         	       0        1        0        1        0
13397 dfcgen-gtk                         	       0        5        0        5        0
13398 dfu-programmer                     	       0       17        0       17        0
13399 dfu-util                           	       0       42        2       40        0
13400 dgedit                             	       0        1        0        1        0
13401 dgen                               	       0        3        0        3        0
13402 dh-acc                             	       0        2        0        2        0
13403 dh-ada-library                     	       0        2        0        2        0
13404 dh-apparmor                        	       0       23        0       23        0
13405 dh-buildinfo                       	       0       16        0       16        0
13406 dh-cmake                           	       0        6        0        6        0
13407 dh-consoledata                     	       0        2        1        1        0
13408 dh-cruft                           	       0        2        0        2        0
13409 dh-debputy                         	       0        1        0        1        0
13410 dh-di                              	       0        2        1        1        0
13411 dh-dlang                           	       0        1        0        0        1
13412 dh-dlopenlibdeps                   	       0        1        0        1        0
13413 dh-elpa                            	       0        3        0        3        0
13414 dh-exec                            	       0       69        2       67        0
13415 dh-golang                          	       0        7        0        7        0
13416 dh-kpatches                        	       0        3        0        3        0
13417 dh-linktree                        	       0        2        0        2        0
13418 dh-lisp                            	       0        3        0        3        0
13419 dh-lua                             	       0        3        0        3        0
13420 dh-make-golang                     	       0        4        0        4        0
13421 dh-make-php                        	       0        1        0        1        0
13422 dh-make-raku                       	       0        1        0        1        0
13423 dh-nodejs                          	       0        1        0        1        0
13424 dh-nss                             	       0        2        0        2        0
13425 dh-ocaml                           	       0        7        0        7        0
13426 dh-octave-autopkgtest              	       0        2        0        2        0
13427 dh-package-notes                   	       0        2        0        0        2
13428 dh-perl6                           	       0        2        0        2        0
13429 dh-php5                            	       0        4        0        4        0
13430 dh-raku                            	       0        1        0        1        0
13431 dh-runit                           	       0       11        0       11        0
13432 dh-shell-completions               	       0        2        0        2        0
13433 dh-systemd                         	       0       10        0        0       10
13434 dh-sysuser                         	       0        3        0        3        0
13435 dh-virtualenv                      	       0        6        0        6        0
13436 dhav2mkv                           	       0        1        0        1        0
13437 dhcp-client                        	       0        1        0        0        1
13438 dhcp-helper                        	       0        3        0        3        0
13439 dhcp-probe                         	       0        6        0        6        0
13440 dhcp3-client                       	       0        8        0        0        8
13441 dhcp3-common                       	       0        7        0        0        7
13442 dhcp3-server                       	       0        3        0        0        3
13443 dhcpcd-dbus                        	       0        3        0        0        3
13444 dhcpcd-gtk                         	       0        4        0        4        0
13445 dhcpcd5                            	       0       22        2        3       17
13446 dhcping                            	       0       20        2       18        0
13447 dhelp                              	       0       14        4       10        0
13448 dhewm3                             	       0        3        0        3        0
13449 dhewm3-d3xp                        	       0        2        0        0        2
13450 dhewm3-doom3                       	       0        3        0        0        3
13451 dhex                               	       0       20        0       20        0
13452 dhis-client                        	       0        1        0        1        0
13453 dhis-dns-engine                    	       0        1        0        1        0
13454 dhis-server                        	       0        1        0        1        0
13455 dhis-tools-dns                     	       0        1        0        1        0
13456 dhis-tools-genkeys                 	       0        2        0        2        0
13457 di                                 	       0       12        0       12        0
13458 di-netboot-assistant               	       0        1        0        1        0
13459 dia-common                         	       0      173        0        0      173
13460 dia-gnome                          	       0        5        0        0        5
13461 dia-libs                           	       0        7        0        0        7
13462 dia-rib-network                    	       0       22        0        0       22
13463 dia-shapes                         	       0      164        0        0      164
13464 dia2code                           	       0       17        0       17        0
13465 diadisvng                          	       0        1        0        1        0
13466 dialign                            	       0        3        0        3        0
13467 dialign-tx                         	       0        4        0        4        0
13468 dialign-tx-data                    	       0        4        0        0        4
13469 diamond-aligner                    	       0        1        0        1        0
13470 dianara                            	       0        1        0        1        0
13471 diatheke                           	       0        5        0        5        0
13472 dibbler-client                     	       0        1        0        1        0
13473 dibbler-doc                        	       0        1        0        0        1
13474 dibbler-server                     	       0        1        0        1        0
13475 dibuja                             	       0        2        0        2        0
13476 diceware                           	       0        9        0        9        0
13477 diceware-doc                       	       0        3        0        0        3
13478 dico-doc                           	       0        3        0        0        3
13479 dico-module-wordnet                	       0        1        0        0        1
13480 dicod                              	       0        4        1        3        0
13481 dicom3tools                        	       0        6        0        6        0
13482 dicombrowser                       	       0        1        0        1        0
13483 dicomnifti                         	       0        2        0        2        0
13484 dicompyler                         	       0        1        0        1        0
13485 dicomscope                         	       0        9        0        9        0
13486 dicomscope-doc                     	       0        2        0        0        2
13487 dict-bouvier                       	       0        3        0        0        3
13488 dict-cia-2002                      	       0        1        0        0        1
13489 dict-de-en                         	       0        2        0        0        2
13490 dict-devil                         	       0        8        0        0        8
13491 dict-elements                      	       0        6        0        0        6
13492 dict-foldoc                        	       0       12        0        1       11
13493 dict-freedict-afr-deu              	       0        2        0        0        2
13494 dict-freedict-afr-eng              	       0        2        0        0        2
13495 dict-freedict-ara-eng              	       0        2        0        0        2
13496 dict-freedict-bre-fra              	       0        2        0        0        2
13497 dict-freedict-ces-eng              	       0        2        0        0        2
13498 dict-freedict-ckb-kmr              	       0        2        0        0        2
13499 dict-freedict-cym-eng              	       0        2        0        0        2
13500 dict-freedict-dan-eng              	       0        2        0        0        2
13501 dict-freedict-deu-bul              	       0        1        0        0        1
13502 dict-freedict-deu-ell              	       0        1        0        0        1
13503 dict-freedict-deu-eng              	       0        9        0        1        8
13504 dict-freedict-deu-fin              	       0        1        0        0        1
13505 dict-freedict-deu-fra              	       0        4        0        0        4
13506 dict-freedict-deu-ind              	       0        1        0        0        1
13507 dict-freedict-deu-ita              	       0        2        0        0        2
13508 dict-freedict-deu-kur              	       0        2        0        0        2
13509 dict-freedict-deu-nld              	       0        3        0        0        3
13510 dict-freedict-deu-pol              	       0        1        0        0        1
13511 dict-freedict-deu-por              	       0        2        0        0        2
13512 dict-freedict-deu-rus              	       0        4        0        0        4
13513 dict-freedict-deu-spa              	       0        1        0        0        1
13514 dict-freedict-deu-swe              	       0        2        0        0        2
13515 dict-freedict-deu-tur              	       0        2        0        0        2
13516 dict-freedict-ell-bul              	       0        1        0        0        1
13517 dict-freedict-ell-eng              	       0        1        0        0        1
13518 dict-freedict-ell-fin              	       0        1        0        0        1
13519 dict-freedict-ell-fra              	       0        1        0        0        1
13520 dict-freedict-ell-ind              	       0        1        0        0        1
13521 dict-freedict-ell-ita              	       0        1        0        0        1
13522 dict-freedict-ell-jpn              	       0        1        0        0        1
13523 dict-freedict-ell-lat              	       0        1        0        0        1
13524 dict-freedict-ell-lit              	       0        1        0        0        1
13525 dict-freedict-ell-nld              	       0        1        0        0        1
13526 dict-freedict-ell-nor              	       0        1        0        0        1
13527 dict-freedict-ell-pol              	       0        1        0        0        1
13528 dict-freedict-ell-por              	       0        1        0        0        1
13529 dict-freedict-ell-rus              	       0        1        0        0        1
13530 dict-freedict-ell-spa              	       0        1        0        0        1
13531 dict-freedict-ell-swe              	       0        1        0        0        1
13532 dict-freedict-eng-afr              	       0        3        0        0        3
13533 dict-freedict-eng-ara              	       0        3        0        0        3
13534 dict-freedict-eng-bul              	       0        2        0        0        2
13535 dict-freedict-eng-ces              	       0        3        0        0        3
13536 dict-freedict-eng-cym              	       0        3        0        0        3
13537 dict-freedict-eng-dan              	       0        2        0        0        2
13538 dict-freedict-eng-deu              	       0       10        0        0       10
13539 dict-freedict-eng-ell              	       0        3        0        0        3
13540 dict-freedict-eng-fin              	       0        3        0        0        3
13541 dict-freedict-eng-fra              	       0        6        0        0        6
13542 dict-freedict-eng-gle              	       0        4        0        0        4
13543 dict-freedict-eng-hin              	       0        3        0        0        3
13544 dict-freedict-eng-hrv              	       0        3        0        0        3
13545 dict-freedict-eng-hun              	       0        3        0        0        3
13546 dict-freedict-eng-ind              	       0        1        0        0        1
13547 dict-freedict-eng-ita              	       0        7        0        0        7
13548 dict-freedict-eng-jpn              	       0        3        0        0        3
13549 dict-freedict-eng-lat              	       0        4        0        0        4
13550 dict-freedict-eng-lit              	       0        4        0        0        4
13551 dict-freedict-eng-nld              	       0        4        0        0        4
13552 dict-freedict-eng-nor              	       0        2        0        0        2
13553 dict-freedict-eng-pol              	       0        3        0        0        3
13554 dict-freedict-eng-por              	       0        3        0        0        3
13555 dict-freedict-eng-rom              	       0        3        0        0        3
13556 dict-freedict-eng-rus              	       0        9        0        0        9
13557 dict-freedict-eng-spa              	       0        8        0        0        8
13558 dict-freedict-eng-srp              	       0        3        0        0        3
13559 dict-freedict-eng-swe              	       0        5        0        0        5
13560 dict-freedict-eng-swh              	       0        3        0        0        3
13561 dict-freedict-eng-tur              	       0        3        0        0        3
13562 dict-freedict-epo-eng              	       0        2        0        1        1
13563 dict-freedict-fin-bul              	       0        1        0        0        1
13564 dict-freedict-fin-deu              	       0        1        0        0        1
13565 dict-freedict-fin-ell              	       0        2        0        0        2
13566 dict-freedict-fin-eng              	       0        2        0        0        2
13567 dict-freedict-fin-fra              	       0        1        0        0        1
13568 dict-freedict-fin-ind              	       0        1        0        0        1
13569 dict-freedict-fin-ita              	       0        1        0        0        1
13570 dict-freedict-fin-jpn              	       0        1        0        0        1
13571 dict-freedict-fin-lat              	       0        1        0        0        1
13572 dict-freedict-fin-lit              	       0        1        0        0        1
13573 dict-freedict-fin-nld              	       0        1        0        0        1
13574 dict-freedict-fin-nor              	       0        1        0        0        1
13575 dict-freedict-fin-pol              	       0        1        0        0        1
13576 dict-freedict-fin-por              	       0        1        0        0        1
13577 dict-freedict-fin-swe              	       0        1        0        0        1
13578 dict-freedict-fra-bre              	       0        2        0        0        2
13579 dict-freedict-fra-bul              	       0        1        0        0        1
13580 dict-freedict-fra-deu              	       0        5        0        0        5
13581 dict-freedict-fra-ell              	       0        2        0        0        2
13582 dict-freedict-fra-eng              	       0        9        0        1        8
13583 dict-freedict-fra-fin              	       0        1        0        0        1
13584 dict-freedict-fra-ita              	       0        1        0        0        1
13585 dict-freedict-fra-jpn              	       0        1        0        0        1
13586 dict-freedict-fra-lat              	       0        1        0        0        1
13587 dict-freedict-fra-lit              	       0        1        0        0        1
13588 dict-freedict-fra-nld              	       0        2        0        0        2
13589 dict-freedict-fra-pol              	       0        1        0        0        1
13590 dict-freedict-fra-por              	       0        1        0        0        1
13591 dict-freedict-fra-rus              	       0        3        0        0        3
13592 dict-freedict-fra-spa              	       0        1        0        0        1
13593 dict-freedict-fra-swe              	       0        1        0        0        1
13594 dict-freedict-fra-tur              	       0        1        0        0        1
13595 dict-freedict-gla-deu              	       0        2        0        0        2
13596 dict-freedict-gle-eng              	       0        3        0        0        3
13597 dict-freedict-gle-pol              	       0        2        0        0        2
13598 dict-freedict-hrv-eng              	       0        2        0        0        2
13599 dict-freedict-hun-eng              	       0        2        0        0        2
13600 dict-freedict-isl-eng              	       0        2        0        0        2
13601 dict-freedict-ita-bul              	       0        1        0        0        1
13602 dict-freedict-ita-deu              	       0        2        0        0        2
13603 dict-freedict-ita-ell              	       0        2        0        0        2
13604 dict-freedict-ita-eng              	       0        6        0        0        6
13605 dict-freedict-ita-fin              	       0        1        0        0        1
13606 dict-freedict-ita-ind              	       0        1        0        0        1
13607 dict-freedict-ita-jpn              	       0        1        0        0        1
13608 dict-freedict-ita-lit              	       0        1        0        0        1
13609 dict-freedict-ita-nor              	       0        1        0        0        1
13610 dict-freedict-ita-pol              	       0        1        0        0        1
13611 dict-freedict-ita-por              	       0        1        0        0        1
13612 dict-freedict-ita-rus              	       0        2        0        0        2
13613 dict-freedict-ita-spa              	       0        1        0        0        1
13614 dict-freedict-ita-swe              	       0        1        0        0        1
13615 dict-freedict-ita-tur              	       0        1        0        0        1
13616 dict-freedict-jpn-deu              	       0        2        0        0        2
13617 dict-freedict-jpn-eng              	       0        3        0        1        2
13618 dict-freedict-jpn-fra              	       0        2        0        0        2
13619 dict-freedict-jpn-rus              	       0        3        0        0        3
13620 dict-freedict-kha-deu              	       0        2        0        0        2
13621 dict-freedict-kha-eng              	       0        2        0        0        2
13622 dict-freedict-kur-deu              	       0        2        0        0        2
13623 dict-freedict-kur-eng              	       0        2        0        0        2
13624 dict-freedict-kur-tur              	       0        2        0        0        2
13625 dict-freedict-lat-deu              	       0        3        0        0        3
13626 dict-freedict-lat-eng              	       0        5        0        0        5
13627 dict-freedict-lit-eng              	       0        3        0        0        3
13628 dict-freedict-mkd-bul              	       0        2        0        0        2
13629 dict-freedict-nld-bul              	       0        1        0        0        1
13630 dict-freedict-nld-deu              	       0        2        0        0        2
13631 dict-freedict-nld-ell              	       0        1        0        0        1
13632 dict-freedict-nld-eng              	       0        4        0        1        3
13633 dict-freedict-nld-fin              	       0        1        0        0        1
13634 dict-freedict-nld-fra              	       0        2        0        0        2
13635 dict-freedict-nld-ind              	       0        1        0        0        1
13636 dict-freedict-nld-ita              	       0        1        0        0        1
13637 dict-freedict-nld-lat              	       0        1        0        0        1
13638 dict-freedict-nld-lit              	       0        1        0        0        1
13639 dict-freedict-nld-pol              	       0        1        0        0        1
13640 dict-freedict-nld-por              	       0        1        0        0        1
13641 dict-freedict-nld-rus              	       0        1        0        0        1
13642 dict-freedict-nld-spa              	       0        1        0        0        1
13643 dict-freedict-nld-swe              	       0        1        0        0        1
13644 dict-freedict-nno-nob              	       0        2        0        0        2
13645 dict-freedict-oci-cat              	       0        2        0        0        2
13646 dict-freedict-pol-bul              	       0        1        0        0        1
13647 dict-freedict-pol-deu              	       0        1        0        0        1
13648 dict-freedict-pol-ell              	       0        2        0        0        2
13649 dict-freedict-pol-eng              	       0        1        0        0        1
13650 dict-freedict-pol-fin              	       0        1        0        0        1
13651 dict-freedict-pol-fra              	       0        1        0        0        1
13652 dict-freedict-pol-gle              	       0        2        0        0        2
13653 dict-freedict-pol-ind              	       0        1        0        0        1
13654 dict-freedict-pol-ita              	       0        1        0        0        1
13655 dict-freedict-pol-jpn              	       0        1        0        0        1
13656 dict-freedict-pol-nld              	       0        1        0        0        1
13657 dict-freedict-pol-nor              	       0        1        0        0        1
13658 dict-freedict-pol-por              	       0        1        0        0        1
13659 dict-freedict-pol-rus              	       0        2        0        0        2
13660 dict-freedict-pol-spa              	       0        1        0        0        1
13661 dict-freedict-pol-swe              	       0        1        0        0        1
13662 dict-freedict-pol-tur              	       0        1        0        0        1
13663 dict-freedict-por-deu              	       0        2        0        0        2
13664 dict-freedict-por-eng              	       0        3        0        1        2
13665 dict-freedict-por-fra              	       0        1        0        0        1
13666 dict-freedict-por-spa              	       0        1        0        0        1
13667 dict-freedict-san-deu              	       0        2        0        0        2
13668 dict-freedict-slk-eng              	       0        2        0        0        2
13669 dict-freedict-slv-eng              	       0        1        0        0        1
13670 dict-freedict-spa-ast              	       0        2        0        0        2
13671 dict-freedict-spa-deu              	       0        1        0        0        1
13672 dict-freedict-spa-eng              	       0        7        0        1        6
13673 dict-freedict-spa-por              	       0        2        0        0        2
13674 dict-freedict-srp-eng              	       0        2        0        0        2
13675 dict-freedict-swe-bul              	       0        1        0        0        1
13676 dict-freedict-swe-deu              	       0        3        0        0        3
13677 dict-freedict-swe-ell              	       0        2        0        0        2
13678 dict-freedict-swe-eng              	       0        4        0        0        4
13679 dict-freedict-swe-fin              	       0        1        0        0        1
13680 dict-freedict-swe-fra              	       0        1        0        0        1
13681 dict-freedict-swe-ita              	       0        1        0        0        1
13682 dict-freedict-swe-jpn              	       0        1        0        0        1
13683 dict-freedict-swe-lat              	       0        1        0        0        1
13684 dict-freedict-swe-nld              	       0        1        0        0        1
13685 dict-freedict-swe-nor              	       0        1        0        0        1
13686 dict-freedict-swe-pol              	       0        1        0        0        1
13687 dict-freedict-swe-por              	       0        1        0        0        1
13688 dict-freedict-swe-rus              	       0        2        0        0        2
13689 dict-freedict-swe-spa              	       0        1        0        0        1
13690 dict-freedict-swe-tur              	       0        1        0        0        1
13691 dict-freedict-swh-eng              	       0        2        0        0        2
13692 dict-freedict-swh-pol              	       0        2        0        0        2
13693 dict-freedict-tur-deu              	       0        2        0        0        2
13694 dict-freedict-tur-eng              	       0        2        0        0        2
13695 dict-freedict-wol-fra              	       0        1        0        0        1
13696 dict-gazetteer                     	       0        1        0        0        1
13697 dict-gazetteer2k                   	       0        2        0        0        2
13698 dict-gazetteer2k-counties          	       0        2        0        0        2
13699 dict-gazetteer2k-places            	       0        2        0        0        2
13700 dict-gazetteer2k-zips              	       0        2        0        0        2
13701 dict-gcide                         	       0       25        0        2       23
13702 dict-jargon                        	       0       20        0        2       18
13703 dict-moby-thesaurus                	       0        7        0        0        7
13704 dict-vera                          	       0       10        0        1        9
13705 dict-wn                            	       0       21        0        1       20
13706 dictem                             	       0        3        2        1        0
13707 dictfmt                            	       0        6        2        4        0
13708 diction                            	       0        8        1        7        0
13709 dictionary-el                      	       0        1        0        0        1
13710 dictionaryreader.app               	       0        3        0        3        0
13711 didiwiki                           	       0        2        1        1        0
13712 dieharder                          	       0        9        0        9        0
13713 dietlibc-dev                       	       0        6        0        6        0
13714 dietlibc-doc                       	       0        3        0        0        3
13715 diff                               	       0       15        0        0       15
13716 diff-pdf                           	       0        1        0        1        0
13717 diff-pdf-wx                        	       0        1        0        1        0
13718 difference                         	       0        2        0        2        0
13719 diffmon                            	       0        3        1        2        0
13720 diffoscope                         	       0       22        0        1       21
13721 diffpdf                            	       0       32        1       31        0
13722 diffuse                            	       0       36        1       35        0
13723 diffutils-doc                      	       0       28        0        0       28
13724 digikam-data                       	       0       74        0        0       74
13725 digikam-doc                        	       0        1        0        0        1
13726 digikam-trinity                    	       0        3        0        3        0
13727 digilent.adept.runtime             	       0        1        0        1        0
13728 digilent.waveforms                 	       0        1        0        1        0
13729 digimend-dkms                      	       0        4        0        4        0
13730 digitemp                           	       0        7        0        7        0
13731 digup                              	       0        1        0        1        0
13732 dike6                              	       0        1        0        0        1
13733 dillo                              	       0      138        5      133        0
13734 dillo-build-deps                   	       0        1        0        0        1
13735 dillo-dbgsym                       	       0        1        0        1        0
13736 dimbl                              	       0        2        0        2        0
13737 dime                               	       0        4        0        4        0
13738 din                                	       0        1        0        1        0
13739 din-data                           	       0        1        0        0        1
13740 ding                               	       0       21        2       19        0
13741 dino                               	       0        1        0        1        0
13742 dino-im                            	       0       28        1       27        0
13743 dino-im-common                     	       0       28        1        3       24
13744 diod                               	       0        2        0        2        0
13745 diogenes                           	       0        1        0        1        0
13746 diploma                            	       0        7        0        0        7
13747 dir2ogg                            	       0       11        0       11        0
13748 dirb                               	       0       25        0       25        0
13749 dircproxy                          	       0        2        0        2        0
13750 dirdiff                            	       0       20        1       19        0
13751 directvnc                          	       0        8        0        8        0
13752 directx-headers-dev                	       0        5        0        5        0
13753 direwolf                           	       0       13        2       11        0
13754 direwolf-dbgsym                    	       0        1        0        1        0
13755 dirmngr-dbgsym                     	       0        1        0        1        0
13756 dirsearch                          	       0        1        0        1        0
13757 dirvish                            	       0       13        3       10        0
13758 dis51                              	       0        4        0        4        0
13759 disc-cover                         	       0        7        0        7        0
13760 discord-canary                     	       0        2        0        2        0
13761 discord-ptb                        	       0        2        0        2        0
13762 discord-repo                       	       0        1        0        0        1
13763 discount                           	       0        9        0        9        0
13764 discover1                          	       0        1        0        0        1
13765 discover1-data                     	       0        1        0        1        0
13766 discus                             	       0        7        0        7        0
13767 dish                               	       0        1        0        1        0
13768 disk-filltest                      	       0        3        0        3        0
13769 disk-manager                       	       0       15        0       15        0
13770 disksearch                         	       0        1        0        1        0
13771 disorderfs                         	       0        2        0        2        0
13772 disper                             	       0        3        0        3        0
13773 display-dhammapada                 	       0        4        0        4        0
13774 displaycal                         	       0        6        0        6        0
13775 dissenter-browser                  	       0        2        0        1        1
13776 dist                               	       0        2        0        2        0
13777 distcc                             	       0       10        0       10        0
13778 distcc-pump                        	       0        3        0        3        0
13779 distccmon-gnome                    	       0        4        0        4        0
13780 distrho-plugin-ports-lv2           	       0        1        0        1        0
13781 distro-defaults                    	       0        1        0        0        1
13782 distro-info-data                   	       0     3798        0        0     3798
13783 distrobox                          	       0        6        0        6        0
13784 distrobuilder-images               	       0        7        0        0        7
13785 disulfinder                        	       0        1        0        1        0
13786 disulfinder-data                   	       0        1        0        0        1
13787 dita-ot                            	       0        1        0        0        1
13788 dita-ot-doc                        	       0        1        0        0        1
13789 dive                               	       0        2        0        2        0
13790 diveintopython                     	       0        1        0        0        1
13791 divfix++                           	       0        1        0        1        0
13792 divi-desktop                       	       0        1        0        0        1
13793 divxenc                            	       0        2        0        2        0
13794 dizzy                              	       0       42        0       42        0
13795 dj64                               	       0        1        0        0        1
13796 djbdns                             	       0        2        0        2        0
13797 djbdns-conf                        	       0        1        0        1        0
13798 djbdns-utils                       	       0        4        1        3        0
13799 djdev64                            	       0        1        0        0        1
13800 djmount                            	       0        2        0        2        0
13801 djstub                             	       0        1        0        1        0
13802 djtools                            	       0        8        0        8        0
13803 djview                             	       0       11        0        0       11
13804 djview-plugin                      	       0        6        0        6        0
13805 djview3                            	       0        2        0        0        2
13806 djvu-imager-qt                     	       0        1        0        1        0
13807 djvu2pdf                           	       0        2        0        2        0
13808 djvubind                           	       0        6        0        6        0
13809 djvufix                            	       0        1        0        1        0
13810 djvulibre-desktop                  	       0       50        0        0       50
13811 djvulibre-plugin                   	       0        3        0        0        3
13812 djvuserve                          	       0        1        0        1        0
13813 dkimpy-milter                      	       0        1        0        1        0
13814 dkms-test-dkms                     	       0        1        0        0        1
13815 dkopp                              	       0        4        0        4        0
13816 dl10n                              	       0        2        0        2        0
13817 dlang-libevent                     	       0        1        0        0        1
13818 dlang-openssl                      	       0        2        0        0        2
13819 dleyna-renderer                    	       0        7        0        7        0
13820 dlint                              	       0       10        0       10        0
13821 dlm-controld                       	       0        1        0        1        0
13822 dlmodelbox                         	       0        1        0        1        0
13823 dlocate                            	       0       63       13       50        0
13824 dlume                              	       0        2        0        2        0
13825 dlz-ldap-enum                      	       0        1        0        0        1
13826 dmagnetic                          	       0        4        0        4        0
13827 dmake                              	       0        2        0        2        0
13828 dmarc-cat                          	       0        6        0        6        0
13829 dmarcts-report-parser              	       0        2        0        2        0
13830 dmd                                	       0        4        0        4        0
13831 dmg2img                            	       0       38        0       38        0
13832 dmg2img-dbgsym                     	       0        1        0        1        0
13833 dmktools                           	       0        6        0        6        0
13834 dmo-archive-keyring                	       0        2        0        0        2
13835 dmo-archive-keyring-udeb           	       0        1        0        0        1
13836 dmrconfig                          	       0        5        1        4        0
13837 dmtracedump                        	       0       85        0       85        0
13838 dmtx-utils                         	       0       10        0       10        0
13839 dmucs                              	       0        2        0        2        0
13840 dmz-cursor-theme                   	       0     2954        0        0     2954
13841 dnaclust                           	       0        1        0        1        0
13842 dnet-common                        	       0        1        0        1        0
13843 dnf                                	       0        3        0        3        0
13844 dnf-data                           	       0        3        0        3        0
13845 dnf-doc                            	       0        1        0        0        1
13846 dns-browse                         	       0        4        0        4        0
13847 dns-root-data                      	       0     2923        0        0     2923
13848 dns2tcp                            	       0        2        0        2        0
13849 dns323-firmware-tools              	       0        3        0        3        0
13850 dnscache                           	       0        1        0        1        0
13851 dnscap                             	       0        2        0        2        0
13852 dnscrypt-proxy                     	       0       19        4       15        0
13853 dnsdiag                            	       0        6        0        6        0
13854 dnsdist                            	       0        2        1        1        0
13855 dnshistory                         	       0        1        0        1        0
13856 dnsjit                             	       0        3        0        3        0
13857 dnsmap                             	       0        1        0        1        0
13858 dnsmasq-base-lua                   	       0        4        1        3        0
13859 dnsmasq-utils                      	       0       21        0       21        0
13860 dnsperf                            	       0        4        0        4        0
13861 dnsproxy                           	       0        1        0        1        0
13862 dnspyre                            	       0        1        0        1        0
13863 dnsrecon                           	       0       29        0       29        0
13864 dnss                               	       0        1        0        1        0
13865 dnstop                             	       0       15        1       14        0
13866 dnsvi                              	       0        2        0        2        0
13867 dnsviz                             	       0        1        0        1        0
13868 dnswalk                            	       0        6        0        6        0
13869 doas                               	       0       64        0        3       61
13870 doc-base-build-deps                	       0        1        0        0        1
13871 doc-central                        	       0        2        0        2        0
13872 doc-debian                         	       0     3712        0        0     3712
13873 doc-debian-es                      	       0        1        0        0        1
13874 doc-debian-fr                      	       0        4        0        0        4
13875 doc-iana                           	       0        1        0        0        1
13876 doc-linux-de                       	       0        3        0        0        3
13877 doc-linux-fr-html                  	       0        1        0        0        1
13878 doc-linux-fr-pdf                   	       0        1        0        0        1
13879 doc-linux-fr-text                  	       0        5        0        0        5
13880 doc-linux-html                     	       0        2        0        0        2
13881 doc-linux-nonfree-html             	       0        2        0        0        2
13882 doc-linux-nonfree-text             	       0        2        0        0        2
13883 doc-linux-text                     	       0       20        0        0       20
13884 doc-rfc                            	       0        6        0        0        6
13885 doc-rfc-experimental               	       0        7        0        0        7
13886 doc-rfc-fyi-bcp                    	       0        7        0        0        7
13887 doc-rfc-informational              	       0        7        0        0        7
13888 doc-rfc-misc                       	       0        8        0        0        8
13889 doc-rfc-old-std                    	       0        7        0        0        7
13890 doc-rfc-others                     	       0        7        0        0        7
13891 doc-rfc-std                        	       0       11        0        0       11
13892 doc-rfc-std-proposed               	       0        8        0        0        8
13893 docbook                            	       0      101        0        0      101
13894 docbook-defguide                   	       0       29        0        0       29
13895 docbook-doc                        	       0        2        0        0        2
13896 docbook-dsssl-doc                  	       0       14        0        0       14
13897 docbook-ebnf                       	       0        2        0        0        2
13898 docbook-html-forms                 	       0        3        0        0        3
13899 docbook-mathml                     	       0        6        0        0        6
13900 docbook-simple                     	       0        3        0        0        3
13901 docbook-slides                     	       0        2        0        0        2
13902 docbook-slides-demo                	       0        1        0        0        1
13903 docbook-to-man                     	       0       67        1       66        0
13904 docbook-website                    	       0        1        0        0        1
13905 docbook-xml                        	       0     2010        0        0     2010
13906 docbook-xsl                        	       0      859        0        0      859
13907 docbook-xsl-doc                    	       0        1        0        0        1
13908 docbook-xsl-doc-html               	       0       18        0        0       18
13909 docbook-xsl-doc-pdf                	       0        7        0        0        7
13910 docbook-xsl-doc-text               	       0        5        0        0        5
13911 docbook-xsl-ns                     	       0       28        0        0       28
13912 docbook-xsl-saxon                  	       0        6        0        0        6
13913 docbook2odf                        	       0        1        0        1        0
13914 docbook2x                          	       0        7        0        7        0
13915 docbook5-xml                       	       0       23        0        0       23
13916 docdiff                            	       0        5        0        5        0
13917 dochelp                            	       0       12        2       10        0
13918 docker                             	       0       61        0        2       59
13919 docker-buildx-plugin               	       0       75        0        0       75
13920 docker-ce                          	       0       97       24       73        0
13921 docker-ce-cli                      	       0       97       11       86        0
13922 docker-ce-rootless-extras          	       0       13        0       13        0
13923 docker-clean                       	       0        1        0        1        0
13924 docker-compose-plugin              	       0       80        0        1       79
13925 docker-ctop                        	       0        1        0        1        0
13926 docker-desktop                     	       0        2        0        2        0
13927 docker-doc                         	       0       15        0        0       15
13928 docker-gen                         	       0        1        0        1        0
13929 docker-scan-plugin                 	       0       16        0        0       16
13930 docknot                            	       0        2        0        2        0
13931 doclifter                          	       0        2        0        2        0
13932 doctest                            	       0        1        0        1        0
13933 doctest-dev                        	       0        2        0        2        0
13934 doctorj                            	       0        1        0        1        0
13935 docutils-common                    	       0      465        0        0      465
13936 docutils-doc                       	       0      273        0        0      273
13937 docview                            	       0        2        0        2        0
13938 dodgindiamond2                     	       0        3        0        3        0
13939 dodgy                              	       0        1        0        1        0
13940 dogecoin                           	       0        1        0        1        0
13941 dokujclient                        	       0        2        0        2        0
13942 dokuwiki                           	       0        6        1        5        0
13943 dolfinx-doc                        	       0        1        0        0        1
13944 dolibarr                           	       0        2        0        2        0
13945 dolphin-dev                        	       0        5        0        0        5
13946 dolphin-doc                        	       0       21        0        0       21
13947 dolphin-emu                        	       0       14        1       13        0
13948 dolphin-emu-data                   	       0       14        0        0       14
13949 dolphin-emu-git20151129            	       0        1        0        1        0
13950 dolphin-emulator                   	       0        1        0        1        0
13951 dolphin-megasync                   	       0        2        0        0        2
13952 dolphin-nextcloud                  	       0       19        3       11        5
13953 dolphin-owncloud                   	       0        5        1        2        2
13954 dolphin-plugins                    	       0       73        2        2       69
13955 dolphin-trinity                    	       0        1        0        1        0
13956 dolphin4                           	       0       14        0       14        0
13957 donkey                             	       0        2        0        2        0
13958 donkey-bolonkey                    	       0        1        0        1        0
13959 dooble                             	       0        2        0        2        0
13960 doodle                             	       0        1        0        1        0
13961 doom-alientc                       	       0        1        0        1        0
13962 doom-chretro                       	       0        1        0        1        0
13963 doom-classicep                     	       0        1        0        1        0
13964 doom-e1m4b-wad                     	       0        1        0        0        1
13965 doom-e1m8b-wad                     	       0        1        0        0        1
13966 doom-end2                          	       0        1        0        1        0
13967 doom-episode-hell                  	       0        1        0        1        0
13968 doom-eternity                      	       0        1        0        1        0
13969 doom-inferno                       	       0        1        0        1        0
13970 doom-iwad                          	       0        1        0        0        1
13971 doom-nhflep1                       	       0        1        0        1        0
13972 doom-odyssey-e1                    	       0        1        0        1        0
13973 doom-par                           	       0        1        0        1        0
13974 doom-sigil-wad                     	       0        1        0        0        1
13975 doom-subversion                    	       0        1        0        1        0
13976 doom-wad                           	       0        3        0        0        3
13977 doom-wad-shareware                 	       0       10        0        0       10
13978 doom2-alienvendetta                	       0        1        0        1        0
13979 doom2-eternaldoom                  	       0        1        0        1        0
13980 doom2-masterlevels-wad             	       0        1        0        0        1
13981 doom2-mordethep1                   	       0        1        0        1        0
13982 doom2-twilightzone                 	       0        1        0        1        0
13983 doom2-wad                          	       0        4        0        0        4
13984 doomlegacy-data                    	       0        1        0        0        1
13985 doomlegacy-sdl                     	       0        1        0        1        0
13986 doomsday                           	       0       12        0       12        0
13987 doomsday-common                    	       0       10        0       10        0
13988 doomsday-data                      	       0       10        0        0       10
13989 doomseeker                         	       0        3        0        3        0
13990 doomseeker-zandronum               	       0        3        0        0        3
13991 doona                              	       0       22        0       22        0
13992 dopewars                           	       0       12        0       12        0
13993 dopewars-data                      	       0       12        0        0       12
13994 dosage                             	       0        2        0        2        0
13995 dosbox-debug                       	       0        5        0        5        0
13996 dosbox-x                           	       0        4        0        4        0
13997 dosbox-x-data                      	       0        4        0        0        4
13998 doscan                             	       0        6        0        6        0
13999 doschk                             	       0        6        0        6        0
14000 dose-builddebcheck                 	       0        3        0        3        0
14001 dose-distcheck                     	       0        5        0        5        0
14002 dose-extra                         	       0        7        0        7        0
14003 dosemu                             	       0       16        0       16        0
14004 dossizola                          	       0        6        0        6        0
14005 dossizola-data                     	       0        6        0        0        6
14006 dot2tex                            	       0       16        0       16        0
14007 dotdrop                            	       0        1        0        1        0
14008 dotmcp                             	       0        1        0        1        0
14009 dotnet-apphost-pack-3.1            	       0        2        0        2        0
14010 dotnet-apphost-pack-5.0            	       0        3        0        3        0
14011 dotnet-hostfxr-2.1                 	       0        1        0        0        1
14012 dotnet-hostfxr-2.2                 	       0        1        0        0        1
14013 dotnet-hostfxr-3.1                 	       0        2        0        0        2
14014 dotnet-hostfxr-5.0                 	       0        4        0        0        4
14015 dotnet-hostfxr-6.0                 	       0       11        0        0       11
14016 dotnet-hostfxr-7.0                 	       0       12        0        1       11
14017 dotnet-hostfxr-9.0                 	       0        2        0        0        2
14018 dotnet-runtime-2.1                 	       0        1        0        1        0
14019 dotnet-runtime-2.2                 	       0        1        0        1        0
14020 dotnet-runtime-3.1                 	       0        1        0        1        0
14021 dotnet-runtime-5.0                 	       0        4        0        4        0
14022 dotnet-runtime-6.0                 	       0       11        0        0       11
14023 dotnet-runtime-7.0                 	       0       12        0        1       11
14024 dotnet-runtime-9.0                 	       0        2        0        0        2
14025 dotnet-runtime-deps-2.1            	       0        1        0        0        1
14026 dotnet-runtime-deps-2.2            	       0        1        0        0        1
14027 dotnet-runtime-deps-3.1            	       0        2        0        0        2
14028 dotnet-runtime-deps-5.0            	       0        4        0        0        4
14029 dotnet-runtime-deps-6.0            	       0       11        0        0       11
14030 dotnet-runtime-deps-7.0            	       0       12        0        0       12
14031 dotnet-runtime-deps-8.0            	       0       14        0        0       14
14032 dotnet-runtime-deps-9.0            	       0        2        0        0        2
14033 dotnet-sdk-2.1                     	       0        1        0        1        0
14034 dotnet-sdk-2.2                     	       0        1        0        1        0
14035 dotnet-sdk-3.1                     	       0        1        0        1        0
14036 dotnet-sdk-5.0                     	       0        3        0        3        0
14037 dotnet-targeting-pack-3.1          	       0        2        0        0        2
14038 dotnet-targeting-pack-5.0          	       0        3        0        0        3
14039 dotnet-targeting-pack-6.0          	       0        9        0        0        9
14040 dotnet-targeting-pack-7.0          	       0        9        0        0        9
14041 dotnet-targeting-pack-8.0          	       0       14        0        0       14
14042 dotnet-targeting-pack-9.0          	       0        2        0        0        2
14043 dotter                             	       0        2        0        2        0
14044 doublecmd-help-en                  	       0       15        0        0       15
14045 doublecmd-help-uk                  	       0        2        0        0        2
14046 doublecmd-qt                       	       0       11        1       10        0
14047 dov4l                              	       0        7        1        6        0
14048 dovecot-antispam                   	       0        7        0        7        0
14049 dovecot-common                     	       0        1        0        0        1
14050 dovecot-core                       	       0      120       30       90        0
14051 dovecot-dev                        	       0        4        0        4        0
14052 dovecot-fts-xapian                 	       0        1        0        1        0
14053 dovecot-gssapi                     	       0        6        0        6        0
14054 dovecot-ldap                       	       0       10        0       10        0
14055 dovecot-lucene                     	       0        7        0        7        0
14056 dovecot-managesieved               	       0       27       11       16        0
14057 dovecot-mysql                      	       0       27        7       20        0
14058 dovecot-pgsql                      	       0        9        3        6        0
14059 dovecot-sieve                      	       0       53       10       43        0
14060 dovecot-solr                       	       0        3        1        2        0
14061 dovecot-sqlite                     	       0        6        0        6        0
14062 doxygen-awesome-css                	       0        4        0        0        4
14063 doxygen-doc                        	       0       20        0        0       20
14064 doxygen-latex                      	       0       26        0        0       26
14065 doxygen2man                        	       0        5        0        5        0
14066 doxymacs                           	       0        1        0        1        0
14067 doxyqml                            	       0        7        1        6        0
14068 dpak                               	       0        1        0        1        0
14069 dpaste                             	       0        2        0        2        0
14070 dpatch                             	       0       19        1       18        0
14071 dpdk-doc                           	       0        1        0        1        0
14072 dpf-plugins                        	       0        3        0        2        1
14073 dpf-plugins-common                 	       0        4        0        4        0
14074 dpf-plugins-dssi                   	       0        2        0        1        1
14075 dpf-plugins-ladspa                 	       0        3        0        3        0
14076 dpf-plugins-lv2                    	       0        2        0        2        0
14077 dpf-plugins-vst                    	       0        2        0        1        1
14078 dphys-swapfile                     	       0        1        0        1        0
14079 dpic                               	       0        1        0        1        0
14080 dpitunnelcli                       	       0        1        0        1        0
14081 dpkg-awk                           	       0        9        0        9        0
14082 dpkg-cross                         	       0       27        2       25        0
14083 dpkg-dbgsym                        	       0        1        0        1        0
14084 dpkg-dev-el                        	       0        2        0        0        2
14085 dpkg-doc                           	       0        1        0        0        1
14086 dpkg-sig                           	       0       11        0       11        0
14087 dpkg-source-gitarchive             	       0        1        0        1        0
14088 dpkg-www                           	       0        6        0        6        0
14089 dpt-i2o-raidutils                  	       0        1        0        1        0
14090 dpuser                             	       0        2        0        2        0
14091 dpuser-doc                         	       0        1        0        0        1
14092 dput-ng                            	       0        6        0        6        0
14093 dq                                 	       0        9        0        9        0
14094 dqcache                            	       0        4        0        4        0
14095 dqcache-run                        	       0        2        0        0        2
14096 draai                              	       0        1        0        1        0
14097 draco                              	       0        2        0        2        0
14098 dracula-gtk                        	       0        1        0        0        1
14099 dracut                             	       0        2        0        0        2
14100 dradio                             	       0        1        0        1        0
14101 draftsight                         	       0        1        0        1        0
14102 dragon-drag-and-drop               	       0        2        0        2        0
14103 dragonfly-reverb                   	       0        1        0        0        1
14104 dragonfly-reverb-lv2               	       0        3        1        2        0
14105 dragonfly-reverb-standalone        	       0        1        0        1        0
14106 dragonfly-reverb-vst               	       0        1        0        1        0
14107 drascula                           	       0       18        0       18        0
14108 drascula-french                    	       0        2        0        0        2
14109 drascula-german                    	       0        4        0        0        4
14110 drascula-italian                   	       0        1        0        0        1
14111 drascula-music                     	       0       20        0        0       20
14112 drascula-spanish                   	       0        1        0        0        1
14113 draw.io                            	       0       24        0        0       24
14114 drawing                            	       0       17        0       17        0
14115 drawterm                           	       0        1        0        1        0
14116 drawtiming                         	       0        4        0        4        0
14117 drbd-dkms                          	       0        2        0        2        0
14118 drbd-doc                           	       0        1        0        0        1
14119 drbd-ueficert                      	       0        2        0        0        2
14120 drbd-utils                         	       0       11        2        9        0
14121 drbd0.7-module-source              	       0        1        0        0        1
14122 drbl                               	       0       32        0       32        0
14123 drc                                	       0        2        0        2        0
14124 drcontrol                          	       0        1        0        0        1
14125 drdteam-libfluidsynth3             	       0        2        0        0        2
14126 dreamchess                         	       0       15        0       15        0
14127 dreamchess-data                    	       0       15        0        0       15
14128 drgeo-doc                          	       0        2        0        0        2
14129 driconf                            	       0        2        0        2        0
14130 driftnet                           	       0        4        0        4        0
14131 drill-search-gtk                   	       0        1        0        1        0
14132 driverctl                          	       0        8        1        7        0
14133 drm-info                           	       0       12        0       12        0
14134 drmodelica                         	       0        1        0        0        1
14135 drmr                               	       0        2        0        2        0
14136 droidbattles                       	       0        1        0        1        0
14137 droidcam-client                    	       0        1        0        1        0
14138 drool                              	       0        1        0        1        0
14139 droopy                             	       0        1        0        1        0
14140 dropbear                           	       0        8        0        2        6
14141 dropbear-bin                       	       0       20        0       20        0
14142 dropbear-initramfs                 	       0       13        0        0       13
14143 dropbear-run                       	       0        3        0        0        3
14144 dropbox                            	       0       31        3       28        0
14145 drowaudio-plugins-lv2              	       0        1        0        1        0
14146 drraw                              	       0        1        0        1        0
14147 drsync                             	       0        1        0        1        0
14148 drumgizmo                          	       0        7        0        7        0
14149 drumkv1                            	       0        7        0        7        0
14150 drumkv1-common                     	       0        8        0        1        7
14151 drumkv1-lv2                        	       0        4        0        4        0
14152 drumstick-data                     	       0       24        0        0       24
14153 drupal7                            	       0        1        0        1        0
14154 dsc                                	       0        1        1        0        0
14155 dsda-doom                          	       0       23        1       22        0
14156 dsdcc                              	       0        6        0        6        0
14157 dsdunpack                          	       0        1        0        1        0
14158 dselect-dbgsym                     	       0        1        0        1        0
14159 dsh                                	       0        5        0        5        0
14160 dsniff                             	       0       44        0       44        0
14161 dspam                              	       0        1        0        1        0
14162 dspdfviewer                        	       0        6        0        6        0
14163 dspy-common                        	       0        1        0        0        1
14164 dssi-dev                           	       0        5        0        5        0
14165 dssi-example-plugins               	       0        6        0        6        0
14166 dssi-host-jack                     	       0       13        0       13        0
14167 dssi-utils                         	       0       14        0       14        0
14168 dssim                              	       0        1        0        1        0
14169 dssp                               	       0        2        0        2        0
14170 dstat                              	       0       51        2       49        0
14171 dt-schema                          	       0        1        0        1        0
14172 dtaus                              	       0        1        0        1        0
14173 dtdinst                            	       0        1        0        1        0
14174 dte                                	       0        1        0        1        0
14175 dtmf2num                           	       0        3        0        3        0
14176 dtmfdial                           	       0        1        0        1        0
14177 dtrx                               	       0       11        0       11        0
14178 dtv-scan-tables                    	       0       28        0        0       28
14179 du-dust                            	       0        3        0        3        0
14180 dub                                	       0        4        0        4        0
14181 dublin-traceroute                  	       0        4        0        4        0
14182 duc                                	       0       15        0       15        0
14183 duc-nox                            	       0        1        0        1        0
14184 duck                               	       0        6        0        6        0
14185 duckietv                           	       0        1        0        1        0
14186 ducktype                           	       0        3        0        3        0
14187 duende                             	       0        2        0        2        0
14188 duff                               	       0       18        1       17        0
14189 duke3d-shareware                   	       0        1        0        0        1
14190 duktape                            	       0        2        0        2        0
14191 dummy-logind                       	       0       12        0        0       12
14192 dummy-systemd-dev                  	       0        3        0        0        3
14193 dump1090-mutability                	       0        5        0        5        0
14194 dumpasn1                           	       0        5        0        5        0
14195 dumpet                             	       0        5        0        5        0
14196 dumphd                             	       0        5        0        5        0
14197 dumpvdl2                           	       0        1        0        1        0
14198 dune                               	       0        2        0        0        2
14199 dunelegacy                         	       0        5        0        5        0
14200 dungeondraft                       	       0        1        0        0        1
14201 dupeguru                           	       0       17        2       15        0
14202 duperemove                         	       0       29        1       28        0
14203 dupfiles                           	       0        1        0        1        0
14204 duplicati                          	       0        6        2        4        0
14205 dupload                            	       0        7        1        6        0
14206 duply                              	       0       12        0       12        0
14207 dupot-easy-flatpak                 	       0        4        0        0        4
14208 durep                              	       0        5        0        5        0
14209 dus                                	       0        2        0        2        0
14210 dustforce                          	       0        1        0        0        1
14211 dustracing2d                       	       0        2        0        2        0
14212 dustracing2d-data                  	       0        2        0        0        2
14213 dv2sub                             	       0        1        0        1        0
14214 dvb-apps                           	       0       26        1       25        0
14215 dvb-tools                          	       0       15        0       15        0
14216 dvbackup                           	       0        3        0        3        0
14217 dvbcut                             	       0        6        0        6        0
14218 dvblast                            	       0        5        0        5        0
14219 dvbpsi-utils                       	       0        3        0        3        0
14220 dvbsnoop                           	       0        2        0        2        0
14221 dvbstream                          	       0        4        0        4        0
14222 dvbstreamer                        	       0        3        0        3        0
14223 dvbtune                            	       0        9        0        9        0
14224 dvd-slideshow                      	       0        6        0        6        0
14225 dvda-author                        	       0        1        0        1        0
14226 dvdae                              	       0        2        0        2        0
14227 dvdbackup                          	       0       55        0       55        0
14228 dvdbackup-dbg                      	       0        3        0        3        0
14229 dvdisaster                         	       0       29        0       29        0
14230 dvdisaster-doc                     	       0       27        0        0       27
14231 dvdrip-doc                         	       0        1        0        0        1
14232 dvdrtools                          	       0        3        0        3        0
14233 dvdstyler                          	       0        5        0        5        0
14234 dvdstyler-data                     	       0        6        0        0        6
14235 dvdtape                            	       0        3        0        3        0
14236 dvhtool                            	       0        1        0        1        0
14237 dvi2dvi                            	       0        8        0        8        0
14238 dvi2ps                             	       0        8        0        8        0
14239 dvi2ps-fontdata-a2n                	       0        2        0        0        2
14240 dvi2ps-fontdata-ja                 	       0        7        0        0        7
14241 dvi2ps-fontdata-n2a                	       0        6        0        0        6
14242 dvi2ps-fontdata-ptexfake           	       0        1        0        0        1
14243 dvi2ps-fontdata-rsp                	       0        2        0        0        2
14244 dvi2ps-fontdata-tbank              	       0        2        0        0        2
14245 dvi2ps-fontdata-three              	       0        2        0        0        2
14246 dvilx                              	       0        2        0        2        0
14247 dvipost                            	       0        1        0        1        0
14248 dvips-fontdata-n2bk                	       0        1        0        0        1
14249 dvorak7min                         	       0        5        0        5        0
14250 dwarf-fortress                     	       0       12        0       12        0
14251 dwarf-fortress-data                	       0       13        0        0       13
14252 dwarf2sources                      	       0        2        0        2        0
14253 dwarfdump                          	       0       10        0       10        0
14254 dwarves                            	       0       52        0        7       45
14255 dwdiff                             	       0       14        2       12        0
14256 dweb                               	       0        1        0        1        0
14257 dwg2xxx                            	       0        1        0        0        1
14258 dwgsim                             	       0        1        0        1        0
14259 dwm                                	       0       37        0       37        0
14260 dwww                               	       0       22        5       17        0
14261 dx                                 	       0        8        1        7        0
14262 dx-doc                             	       0        8        0        0        8
14263 dxc                                	       0        1        0        1        0
14264 dxirc-data                         	       0        1        0        0        1
14265 dxirc-fox                          	       0        1        0        1        0
14266 dxpc                               	       0        1        0        1        0
14267 dxsamples                          	       0        8        1        7        0
14268 dxvk                               	       0       10        0       10        0
14269 dxvk-wine32                        	       0        3        0        3        0
14270 dxvk-wine32-development            	       0        3        0        2        1
14271 dxvk-wine64                        	       0        4        0        4        0
14272 dxvk-wine64-development            	       0        8        0        6        2
14273 dynamips                           	       0        6        0        6        0
14274 dynamite                           	       0        5        0        5        0
14275 dynare                             	       0        1        0        1        0
14276 dynare-doc                         	       0        1        0        0        1
14277 dysk                               	       0        2        0        2        0
14278 dzen2                              	       0       25        0       25        0
14279 e-uae                              	       0        4        0        4        0
14280 e-wrapper                          	       0        2        0        2        0
14281 e00compr                           	       0       13        0       13        0
14282 e1000e-dkms                        	       0        1        0        1        0
14283 e16                                	       0        6        1        5        0
14284 e16-data                           	       0        2        1        0        1
14285 e16-epplets                        	       0        1        0        0        1
14286 e16keyedit                         	       0        3        0        3        0
14287 e16menuedit2                       	       0        3        0        3        0
14288 e17                                	       0        6        0        1        5
14289 e17-data                           	       0        2        0        0        2
14290 e2fsck-static                      	       0       30        0       30        0
14291 e2fslibs                           	       0      741        0        0      741
14292 e2fslibs-dev                       	       0        5        0        0        5
14293 e2fsprogs-l10n                     	       0      540        0        0      540
14294 e2guardian                         	       0        1        0        1        0
14295 e2ps                               	       0        5        0        5        0
14296 e2undel                            	       0        3        0        3        0
14297 e2wm                               	       0        2        0        2        0
14298 e3                                 	       0        7        0        7        0
14299 eag-healpix-java                   	       0        1        0        0        1
14300 eagle                              	       0        1        0        1        0
14301 eagle-data                         	       0        1        0        1        0
14302 eancheck                           	       0        4        0        4        0
14303 eapoltest                          	       0        2        0        2        0
14304 earcandy                           	       0        1        0        1        0
14305 easychem                           	       0       13        2       11        0
14306 easyeffects                        	       0       24        1       23        0
14307 easygen                            	       0        2        0        2        0
14308 easygit                            	       0        6        1        5        0
14309 easykontakt                        	       0        1        0        1        0
14310 easymp3gain                        	       0        1        0        1        0
14311 easymp3gain-data                   	       0        1        0        0        1
14312 easyroam-desktop                   	       0        1        0        0        1
14313 easyspice                          	       0        2        0        2        0
14314 easyssh                            	       0        3        0        3        0
14315 easyssp-lv2                        	       0        1        0        1        0
14316 easystroke                         	       0        1        0        1        0
14317 eb-utils                           	       0        2        0        2        0
14318 eblook                             	       0        2        0        2        0
14319 ebnflint                           	       0        2        0        2        0
14320 eboard                             	       0       18        0       18        0
14321 ebook-dev-alp                      	       0        3        0        3        0
14322 ebook-speaker                      	       0       11        0       11        0
14323 ebook-tools-dbg                    	       0        1        0        1        0
14324 ebook2cw                           	       0       10        0       10        0
14325 ebook2cwgui                        	       0        6        0        6        0
14326 ebook2epub                         	       0       11        0       11        0
14327 ebook2odt                          	       0        8        0        8        0
14328 ebumeter                           	       0        3        0        3        0
14329 ecasound                           	       0       17        0       17        0
14330 ecasound-el                        	       0        1        0        1        0
14331 ecatools                           	       0        6        0        6        0
14332 ecb                                	       0        1        0        1        0
14333 ecdsautils                         	       0        2        0        2        0
14334 echolot                            	       0        1        0        1        0
14335 echoping                           	       0       15        2       13        0
14336 ecj                                	       0       17        0       17        0
14337 ecj-gcj                            	       0        5        0        5        0
14338 ecj1                               	       0        2        0        2        0
14339 ecl                                	       0       21        0       21        0
14340 eclib-tools                        	       0       11        0       11        0
14341 eclipse                            	       0        1        0        0        1
14342 eclipse-cdt                        	       0        1        0        0        1
14343 eclipse-cdt-jni                    	       0        1        0        0        1
14344 eclipse-debian-helper              	       0        2        0        2        0
14345 eclipse-emf                        	       0        1        0        0        1
14346 eclipse-jdt                        	       0        1        0        0        1
14347 eclipse-pde                        	       0        1        0        1        0
14348 eclipse-platform                   	       0        1        0        1        0
14349 eclipse-platform-data              	       0        2        0        2        0
14350 eclipse-rcp                        	       0        1        0        1        0
14351 eclipse-rse                        	       0        1        0        0        1
14352 eclipse-titan                      	       0        1        0        1        0
14353 ecm                                	       0        1        0        1        0
14354 ecodmsclient                       	       0        1        0        0        1
14355 ecodmsserver                       	       0        1        0        1        0
14356 ecopcr                             	       0        1        0        1        0
14357 ecrire                             	       0        1        0        1        0
14358 ed2k-hash                          	       0       25        0       25        0
14359 edac-utils                         	       0       30        3       27        0
14360 edb-debugger                       	       0        5        0        5        0
14361 edb-debugger-plugins               	       0        6        0        0        6
14362 eddie-cli                          	       0        2        0        2        0
14363 eddie-ui                           	       0        3        0        3        0
14364 edenmath.app                       	       0        3        0        3        0
14365 edfbrowser                         	       0        2        0        2        0
14366 edge                               	       0        1        0        1        0
14367 edisplay                           	       0        1        0        1        0
14368 editmoin                           	       0        1        0        1        0
14369 editorconfig                       	       0       18        1       17        0
14370 editorconfig-doc                   	       0        1        0        0        1
14371 editorconfig-geany                 	       0        1        0        0        1
14372 edlib-aligner                      	       0        1        0        1        0
14373 edrlab.thoriumreader               	       0        1        0        0        1
14374 edtsurf                            	       0        1        0        1        0
14375 education-astronomy                	       0        7        0        0        7
14376 education-chemistry                	       0        7        0        0        7
14377 education-common                   	       0        7        0        0        7
14378 education-desktop-cinnamon         	       0        3        0        0        3
14379 education-desktop-gnome            	       0        1        0        0        1
14380 education-desktop-kde              	       0        1        0        0        1
14381 education-desktop-lxde             	       0        1        0        0        1
14382 education-desktop-lxqt             	       0        1        0        0        1
14383 education-desktop-mate             	       0        3        0        0        3
14384 education-desktop-other            	       0        1        0        0        1
14385 education-desktop-xfce             	       0        3        0        0        3
14386 education-development              	       0        1        0        0        1
14387 education-electronics              	       0       10        0        0       10
14388 education-geography                	       0        9        0        0        9
14389 education-graphics                 	       0        8        0        0        8
14390 education-highschool               	       0        1        0        0        1
14391 education-language                 	       0        7        0        0        7
14392 education-logic-games              	       0        7        0        0        7
14393 education-mathematics              	       0        7        0        0        7
14394 education-menus                    	       0       13        0        0       13
14395 education-misc                     	       0        7        0        0        7
14396 education-music                    	       0        7        0        0        7
14397 education-physics                  	       0        7        0        0        7
14398 education-preschool                	       0        2        0        0        2
14399 education-tasks                    	       0       13        1       12        0
14400 education-video                    	       0        1        0        0        1
14401 eduvpn-client                      	       0        5        0        5        0
14402 eduvpn-client-data                 	       0        5        0        0        5
14403 eekboek                            	       0        1        0        1        0
14404 eekboek-gui                        	       0        1        0        1        0
14405 eep24c                             	       0        2        0        2        0
14406 efax                               	       0        9        1        8        0
14407 efax-gtk                           	       0        2        0        2        0
14408 effectv                            	       0        1        0        1        0
14409 efingerd                           	       0        3        0        3        0
14410 efivar                             	       0       28        0       28        0
14411 efl-doc                            	       0        1        0        1        0
14412 efp                                	       0        3        0        0        3
14413 efte                               	       0        4        0        4        0
14414 eggs                               	       0        1        0        1        0
14415 eglexternalplatform-dev            	       0        4        0        4        0
14416 egoboo                             	       0        1        0        1        0
14417 egoboo-data                        	       0        1        0        0        1
14418 eiciel                             	       0        5        0        5        0
14419 eid-archive                        	       0        8        0        8        0
14420 eid-mw                             	       0        8        0        0        8
14421 eid-viewer                         	       0        8        0        8        0
14422 eigensoft                          	       0        1        0        1        0
14423 einstein                           	       0       18        2       16        0
14424 eiskaltdcpp                        	       0        4        0        1        3
14425 eiskaltdcpp-common                 	       0        7        0        0        7
14426 eiskaltdcpp-emoticons              	       0        3        0        0        3
14427 eiskaltdcpp-gtk                    	       0        3        0        3        0
14428 eiskaltdcpp-gtk-data               	       0        3        0        0        3
14429 eiskaltdcpp-qt                     	       0        4        1        3        0
14430 eiskaltdcpp-qt-data                	       0        4        1        3        0
14431 eiskaltdcpp-scripts                	       0        3        0        3        0
14432 eiskaltdcpp-sounds                 	       0        3        0        0        3
14433 eja                                	       0        1        0        1        0
14434 ejabberd                           	       0        5        0        5        0
14435 ejabberd-contrib                   	       0        2        0        0        2
14436 eject-dbgsym                       	       0        1        0        1        0
14437 ekeyd                              	       0        2        0        2        0
14438 ekg2                               	       0        2        0        0        2
14439 ekg2-core                          	       0        3        0        3        0
14440 ekg2-gnupg                         	       0        2        0        2        0
14441 ekg2-jabber                        	       0        2        0        2        0
14442 ekg2-ui-gtk                        	       0        1        0        1        0
14443 ekg2-ui-ncurses                    	       0        2        0        2        0
14444 ekiga                              	       0        6        0        6        0
14445 ekiga-plugin-evolution             	       0        1        0        0        1
14446 el-get                             	       0        1        0        1        0
14447 el-ixir                            	       0        2        0        2        0
14448 elasticsearch                      	       0        2        0        2        0
14449 elasticsearch-curator              	       0        1        0        1        0
14450 elastix                            	       0        1        0        1        0
14451 elastix-doc                        	       0        1        0        0        1
14452 elbe-archive-keyring               	       0        4        0        0        4
14453 electric                           	       0       12        0       12        0
14454 electric-fence                     	       0        9        0        9        0
14455 electronics-all                    	       0        3        0        0        3
14456 electronics-analog                 	       0        4        0        0        4
14457 electronics-asic-dev               	       0        4        0        0        4
14458 electronics-cad-gui                	       0        4        0        0        4
14459 electronics-digital                	       0        4        0        0        4
14460 electronics-doc                    	       0        3        0        0        3
14461 electronics-dsp-dev                	       0        4        0        0        4
14462 electronics-fpga-dev               	       0        4        0        0        4
14463 electronics-gadgets                	       0        4        0        0        4
14464 electronics-measurements           	       0        3        0        0        3
14465 electronics-microcontrollers       	       0        4        0        0        4
14466 electronics-pcb                    	       0        8        0        0        8
14467 electronics-radio-dev              	       0        7        0        0        7
14468 electronics-simulation             	       0        6        0        0        6
14469 electronics-tasks                  	       0       15        0        0       15
14470 eleeye                             	       0        3        0        3        0
14471 elektroid                          	       0        1        0        1        0
14472 element-desktop                    	       0       45        1        8       36
14473 element-io-archive-keyring         	       0       41        0        0       41
14474 element-nightly                    	       0        2        0        1        1
14475 elementary-icon-theme              	       0       21        0        0       21
14476 elementary-xfce-icon-theme         	       0       62        0        0       62
14477 elfkickers                         	       0        1        0        1        0
14478 elfrc                              	       0        3        0        3        0
14479 elfsh                              	       0        1        0        1        0
14480 elfsign                            	       0        1        0        1        0
14481 elfx86exts                         	       0        1        0        1        0
14482 elib                               	       0        1        0        1        0
14483 elinks-data                        	       0      156        0        0      156
14484 elinks-doc                         	       0       23        0        0       23
14485 elinks-lite                        	       0        1        0        0        1
14486 elixir                             	       0        5        0        5        0
14487 elixir-earmark-parser              	       0        1        0        1        0
14488 elixir-ex-doc                      	       0        1        0        1        0
14489 elixir-makeup                      	       0        1        0        1        0
14490 elixir-makeup-elixir               	       0        1        0        1        0
14491 elixir-makeup-erlang               	       0        1        0        1        0
14492 elk                                	       0        1        0        1        0
14493 elk-lapw                           	       0        1        0        1        0
14494 elks-libc                          	       0        8        0        8        0
14495 elks-libc-gcc-ia16-elf             	       0        1        0        1        0
14496 elksemu                            	       0        1        0        1        0
14497 elm-compiler                       	       0        3        0        3        0
14498 elog                               	       0        3        1        2        0
14499 elogind-dbgsym                     	       0        1        0        1        0
14500 elpa-ace-window                    	       0        1        0        1        0
14501 elpa-adaptive-wrap                 	       0        2        2        0        0
14502 elpa-ag                            	       0        1        0        1        0
14503 elpa-agda2-mode                    	       0        6        1        5        0
14504 elpa-anzu                          	       0        2        0        2        0
14505 elpa-apiwrap                       	       0        2        0        2        0
14506 elpa-atomic-chrome                 	       0        1        1        0        0
14507 elpa-ats2-mode                     	       0        2        1        1        0
14508 elpa-auto-complete                 	       0        5        1        4        0
14509 elpa-auto-dictionary               	       0        2        0        2        0
14510 elpa-avy                           	       0        5        0        5        0
14511 elpa-bash-completion               	       0        1        0        1        0
14512 elpa-bazel-mode                    	       0        2        1        1        0
14513 elpa-bind-key                      	       0        2        0        2        0
14514 elpa-bison-mode                    	       0        2        1        1        0
14515 elpa-bongo                         	       0        1        0        1        0
14516 elpa-bpftrace-mode                 	       0        2        1        1        0
14517 elpa-caml                          	       0        7        1        6        0
14518 elpa-citar                         	       0        1        0        1        0
14519 elpa-clojure-mode                  	       0        2        1        1        0
14520 elpa-clues-theme                   	       0        1        0        1        0
14521 elpa-cmake-mode                    	       0        4        1        3        0
14522 elpa-compat                        	       0        5        1        4        0
14523 elpa-consult                       	       0        1        0        1        0
14524 elpa-counsel                       	       0        2        0        2        0
14525 elpa-dash                          	       0       42        3       39        0
14526 elpa-dash-functional               	       0        1        0        1        0
14527 elpa-debian-el                     	       0       12        1       11        0
14528 elpa-deferred                      	       0        1        0        1        0
14529 elpa-devscripts                    	       0        1        0        1        0
14530 elpa-dictionary                    	       0        1        0        1        0
14531 elpa-dimmer                        	       0        1        0        1        0
14532 elpa-dired-du                      	       0        4        0        4        0
14533 elpa-dired-quick-sort              	       0        4        0        4        0
14534 elpa-dired-rsync                   	       0        4        0        4        0
14535 elpa-dockerfile-mode               	       0        5        1        4        0
14536 elpa-dpkg-dev-el                   	       0        5        1        4        0
14537 elpa-ediprolog                     	       0        1        0        1        0
14538 elpa-editorconfig                  	       0        4        1        3        0
14539 elpa-elfeed                        	       0        5        0        5        0
14540 elpa-elfeed-web                    	       0        1        0        1        0
14541 elpa-elisp-refs                    	       0        4        0        4        0
14542 elpa-elisp-slime-nav               	       0        1        0        1        0
14543 elpa-elm-mode                      	       0        2        1        1        0
14544 elpa-elpher                        	       0        1        0        1        0
14545 elpa-elscreen                      	       0        1        0        1        0
14546 elpa-emacsql                       	       0        3        0        3        0
14547 elpa-emacsql-psql                  	       0        1        0        1        0
14548 elpa-emacsql-sqlite                	       0        2        0        2        0
14549 elpa-emacsql-sqlite3               	       0        1        0        1        0
14550 elpa-embark                        	       0        1        0        1        0
14551 elpa-ement                         	       0        1        0        1        0
14552 elpa-epl                           	       0        9        1        8        0
14553 elpa-esh-help                      	       0        1        0        1        0
14554 elpa-eshell-git-prompt             	       0        2        0        2        0
14555 elpa-eshell-prompt-extras          	       0        1        0        1        0
14556 elpa-esxml                         	       0        5        0        5        0
14557 elpa-evil                          	       0        3        0        3        0
14558 elpa-evil-paredit                  	       0        2        0        2        0
14559 elpa-expand-region                 	       0        3        0        3        0
14560 elpa-exwm                          	       0        5        0        5        0
14561 elpa-f                             	       0       10        2        8        0
14562 elpa-find-file-in-project          	       0        1        0        1        0
14563 elpa-flx                           	       0        2        0        2        0
14564 elpa-flx-ido                       	       0        2        0        2        0
14565 elpa-flycheck                      	       0        6        0        6        0
14566 elpa-flycheck-package              	       0        1        0        1        0
14567 elpa-fountain-mode                 	       0        2        1        1        0
14568 elpa-fsm                           	       0        4        0        4        0
14569 elpa-geiser                        	       0        2        0        2        0
14570 elpa-ghub                          	       0        7        1        6        0
14571 elpa-ghub+                         	       0        2        0        2        0
14572 elpa-git-annex                     	       0        1        0        1        0
14573 elpa-git-commit                    	       0       19        1       18        0
14574 elpa-git-modes                     	       0        2        1        1        0
14575 elpa-git-timemachine               	       0        1        0        1        0
14576 elpa-gitattributes-mode            	       0        2        1        1        0
14577 elpa-gitconfig-mode                	       0        2        1        1        0
14578 elpa-gitignore-mode                	       0        2        1        1        0
14579 elpa-gitlab-ci-mode                	       0        2        1        1        0
14580 elpa-gnuplot-mode                  	       0        5        1        4        0
14581 elpa-go-mode                       	       0        7        1        6        0
14582 elpa-goto-chg                      	       0        3        0        3        0
14583 elpa-graphql                       	       0        6        1        5        0
14584 elpa-haskell-tab-indent            	       0        1        0        1        0
14585 elpa-helm-org                      	       0        2        0        2        0
14586 elpa-helpful                       	       0        3        0        3        0
14587 elpa-highlight-indentation         	       0        1        0        1        0
14588 elpa-ht                            	       0        4        1        3        0
14589 elpa-hydra                         	       0        5        0        5        0
14590 elpa-imenu-list                    	       0        5        1        4        0
14591 elpa-inform-mode                   	       0        4        1        3        0
14592 elpa-irony                         	       0        1        0        1        0
14593 elpa-ivy                           	       0        5        0        5        0
14594 elpa-jabber                        	       0        4        0        4        0
14595 elpa-jinja2-mode                   	       0        3        2        1        0
14596 elpa-js2-mode                      	       0        5        1        4        0
14597 elpa-kivy-mode                     	       0        2        1        1        0
14598 elpa-kotlin-mode                   	       0        2        1        1        0
14599 elpa-lbdb                          	       0        1        0        1        0
14600 elpa-ledger                        	       0        1        0        1        0
14601 elpa-let-alist                     	       0       18        3       15        0
14602 elpa-lsp-haskell                   	       0        3        1        2        0
14603 elpa-lsp-mode                      	       0        4        1        3        0
14604 elpa-lsp-treemacs                  	       0        1        0        1        0
14605 elpa-lua-mode                      	       0        9        1        8        0
14606 elpa-lv                            	       0        8        1        7        0
14607 elpa-magit                         	       0       19        1       18        0
14608 elpa-magit-annex                   	       0        1        0        1        0
14609 elpa-magit-popup                   	       0        7        1        6        0
14610 elpa-magit-section                 	       0       14        0       14        0
14611 elpa-magithub                      	       0        2        0        2        0
14612 elpa-marginalia                    	       0        1        0        1        0
14613 elpa-markdown-toc                  	       0        2        0        2        0
14614 elpa-mastodon                      	       0        1        0        1        0
14615 elpa-matlab-mode                   	       0        2        1        1        0
14616 elpa-meson-mode                    	       0        5        1        4        0
14617 elpa-modus-themes                  	       0        1        0        1        0
14618 elpa-monokai-theme                 	       0        2        0        2        0
14619 elpa-muse                          	       0        2        0        2        0
14620 elpa-neotree                       	       0        3        0        3        0
14621 elpa-nginx-mode                    	       0        2        1        1        0
14622 elpa-nov                           	       0        4        0        4        0
14623 elpa-olivetti                      	       0        2        1        1        0
14624 elpa-org                           	       0       20        1        5       14
14625 elpa-org-bullets                   	       0        1        1        0        0
14626 elpa-org-contrib                   	       0        1        0        1        0
14627 elpa-org-drill                     	       0        2        1        1        0
14628 elpa-org-roam                      	       0        2        0        2        0
14629 elpa-package-lint                  	       0        1        0        1        0
14630 elpa-package-lint-flymake          	       0        1        0        1        0
14631 elpa-paredit                       	       0        5        1        4        0
14632 elpa-parsebib                      	       0        1        0        1        0
14633 elpa-password-store                	       0        1        0        1        0
14634 elpa-pdf-tools                     	       0        3        1        2        0
14635 elpa-pdf-tools-server              	       0        3        1        2        0
14636 elpa-persist                       	       0        3        1        2        0
14637 elpa-pfuture                       	       0        1        0        1        0
14638 elpa-pg                            	       0        1        0        1        0
14639 elpa-php-mode                      	       0        6        1        5        0
14640 elpa-pip-requirements              	       0        2        1        1        0
14641 elpa-pkg-info                      	       0        9        1        8        0
14642 elpa-plz                           	       0        1        0        1        0
14643 elpa-pos-tip                       	       0        2        1        1        0
14644 elpa-projectile                    	       0        2        0        2        0
14645 elpa-protobuf-mode                 	       0        2        1        1        0
14646 elpa-ps-ccrypt                     	       0        1        0        1        0
14647 elpa-puppet-mode                   	       0        2        1        1        0
14648 elpa-pyvenv                        	       0        1        0        1        0
14649 elpa-qml-mode                      	       0        2        1        1        0
14650 elpa-queue                         	       0        4        0        4        0
14651 elpa-racket-mode                   	       0        2        1        1        0
14652 elpa-rainbow-delimiters            	       0        2        0        2        0
14653 elpa-request                       	       0        1        0        1        0
14654 elpa-rtags                         	       0        2        0        2        0
14655 elpa-rust-mode                     	       0       13        1       12        0
14656 elpa-s                             	       0       19        2       17        0
14657 elpa-scala-mode                    	       0        3        1        2        0
14658 elpa-seq                           	       0       12        1       11        0
14659 elpa-simple-httpd                  	       0        2        0        2        0
14660 elpa-smex                          	       0        4        0        4        0
14661 elpa-sml-mode                      	       0        3        1        2        0
14662 elpa-solarized-theme               	       0        1        0        1        0
14663 elpa-spinner                       	       0        4        1        3        0
14664 elpa-srv                           	       0        4        0        4        0
14665 elpa-subed                         	       0        1        0        1        0
14666 elpa-svg-lib                       	       0        1        0        1        0
14667 elpa-swiper                        	       0        2        0        2        0
14668 elpa-systemd                       	       0        2        1        1        0
14669 elpa-tablist                       	       0        3        1        2        0
14670 elpa-taxy                          	       0        1        0        1        0
14671 elpa-taxy-magit-section            	       0        1        0        1        0
14672 elpa-transient                     	       0       10        1        9        0
14673 elpa-treemacs                      	       0        1        0        1        0
14674 elpa-treemacs-evil                 	       0        1        0        1        0
14675 elpa-treemacs-magit                	       0        1        0        1        0
14676 elpa-treemacs-projectile           	       0        1        0        1        0
14677 elpa-treepy                        	       0        7        1        6        0
14678 elpa-tuareg                        	       0        4        0        4        0
14679 elpa-undo-tree                     	       0        5        0        5        0
14680 elpa-use-package                   	       0        2        0        2        0
14681 elpa-vala-mode                     	       0        2        1        1        0
14682 elpa-vertico                       	       0        1        0        1        0
14683 elpa-visual-fill-column            	       0        1        0        1        0
14684 elpa-visual-regexp                 	       0        2        0        2        0
14685 elpa-volume                        	       0        1        0        1        0
14686 elpa-vterm                         	       0        4        0        4        0
14687 elpa-web-mode                      	       0        3        1        2        0
14688 elpa-websocket                     	       0        3        1        2        0
14689 elpa-which-key                     	       0        1        0        1        0
14690 elpa-with-editor                   	       0       23        1       22        0
14691 elpa-writegood-mode                	       0        2        2        0        0
14692 elpa-writeroom-mode                	       0        1        0        1        0
14693 elpa-ws-butler                     	       0        2        0        2        0
14694 elpa-xcscope                       	       0        6        0        6        0
14695 elpa-xelb                          	       0        5        0        5        0
14696 elpa-yaml-mode                     	       0       12        2       10        0
14697 elpa-yasnippet                     	       0        4        0        4        0
14698 elpa-yasnippet-snippets            	       0        4        0        4        0
14699 elpa-zenburn-theme                 	       0        1        0        1        0
14700 elscreen                           	       0        1        0        0        1
14701 elserv                             	       0        1        0        1        0
14702 elvis                              	       0        1        0        1        0
14703 elvis-common                       	       0        1        0        0        1
14704 elvis-tiny                         	       0        3        0        3        0
14705 elvis-tools                        	       0        1        0        1        0
14706 elyxer                             	       0        1        0        1        0
14707 emacs                              	       0      357        0        0      357
14708 emacs-bin-common-dbgsym            	       0        1        0        1        0
14709 emacs-build-deps                   	       0        1        0        0        1
14710 emacs-calfw                        	       0        6        1        5        0
14711 emacs-calfw-howm                   	       0        1        0        1        0
14712 emacs-common-non-dfsg              	       0       29        0        0       29
14713 emacs-editing-major-modes          	       0        2        0        0        2
14714 emacs-el                           	       0      449        0        0      449
14715 emacs-goodies-el                   	       0       38        0        1       37
14716 emacs-intl-fonts                   	       0       13        0        0       13
14717 emacs-libvterm                     	       0        5        0        2        3
14718 emacs-lucid                        	       0       18        2       16        0
14719 emacs-mozc                         	       0        1        0        1        0
14720 emacs-mozc-bin                     	       0        1        0        1        0
14721 emacs-non-dfsg-build-deps          	       0        1        0        0        1
14722 emacs-nox-dbgsym                   	       0        1        0        1        0
14723 emacs-pgtk                         	       0        2        0        2        0
14724 emacs-window-layout                	       0        2        0        2        0
14725 emacs21                            	       0        1        0        0        1
14726 emacs23                            	       0        2        0        2        0
14727 emacs23-bin-common                 	       0        2        0        2        0
14728 emacs23-common                     	       0        2        0        0        2
14729 emacs23-common-non-dfsg            	       0        1        0        0        1
14730 emacs23-el                         	       0        1        0        0        1
14731 emacs24                            	       0       13        0        9        4
14732 emacs24-bin-common                 	       0       10        0       10        0
14733 emacs24-common                     	       0       10        0        0       10
14734 emacs24-common-non-dfsg            	       0        1        0        0        1
14735 emacs24-el                         	       0        7        0        0        7
14736 emacs24-nox                        	       0        1        0        1        0
14737 emacs25                            	       0        1        0        0        1
14738 emacs25-nox                        	       0        1        0        0        1
14739 emboss                             	       0        2        0        2        0
14740 emboss-data                        	       0        2        0        0        2
14741 emboss-lib                         	       0        2        0        2        0
14742 emby-server                        	       0        1        0        1        0
14743 emd                                	       0        3        0        3        0
14744 emdebian-archive-keyring           	       0       14        0        0       14
14745 emelfm2-svg-icons                  	       0        1        0        0        1
14746 emerald-themes                     	       0       13        0        0       13
14747 emf2svg                            	       0        2        0        2        0
14748 emicp                              	       0        1        0        1        0
14749 emidf                              	       0        1        0        1        0
14750 emidist-upgrade                    	       0        1        0        1        0
14751 emidpkg-backup                     	       0        1        0        1        0
14752 emifloppy                          	       0        1        0        1        0
14753 emiperl-lib                        	       0        1        0        1        0
14754 emirdiff-backup                    	       0        1        0        1        0
14755 emitabulator                       	       0        1        0        1        0
14756 emiutility-dummy                   	       0        1        0        0        1
14757 emma                               	       0        1        0        1        0
14758 emms                               	       0        3        0        3        0
14759 emoji-mart                         	       0        1        0        1        0
14760 empathy                            	       0        5        0        5        0
14761 empathy-common                     	       0       11        0        0       11
14762 empathy-skype                      	       0        1        0        0        1
14763 emptty                             	       0        2        0        2        0
14764 empty-expect                       	       0        2        0        2        0
14765 emscripten                         	       0       10        0       10        0
14766 emscripten-doc                     	       0        5        0        0        5
14767 emu8051                            	       0        6        0        6        0
14768 emugui-testing                     	       0        1        0        1        0
14769 emusic-data                        	       0        1        0        0        1
14770 emusic-docs                        	       0        1        0        0        1
14771 enamdict                           	       0        4        0        0        4
14772 enca                               	       0       25        0       25        0
14773 endeavour                          	       0       10        0       10        0
14774 endeavour-common                   	       0       10        0        0       10
14775 endless-sky                        	       0       18        0       18        0
14776 endless-sky-build                  	       0        1        0        0        1
14777 endless-sky-data                   	       0       20        0        0       20
14778 endless-sky-dbgsym                 	       0        1        0        1        0
14779 endless-sky-high-dpi               	       0        7        0        0        7
14780 endlessh                           	       0        1        1        0        0
14781 enemylines3                        	       0        3        0        3        0
14782 enemylines7                        	       0        3        0        3        0
14783 engauge-digitizer                  	       0        6        0        6        0
14784 engauge-digitizer-doc              	       0        4        0        0        4
14785 enigma                             	       0       30        0       30        0
14786 enigma-data                        	       0       30        0        0       30
14787 enigma-doc                         	       0       28        0        0       28
14788 enigmail                           	       0        6        0        0        6
14789 enlightenment-theme-bluesteel      	       0        2        0        0        2
14790 enlightenment-theme-brushedmetal   	       0        2        0        0        2
14791 enlightenment-theme-ganymede       	       0        2        0        0        2
14792 enlightenment-theme-shinymetal     	       0        2        0        0        2
14793 enmime                             	       0        1        0        1        0
14794 enpass                             	       0        1        0        1        0
14795 enscribe                           	       0        2        0        2        0
14796 ent                                	       0        2        0        2        0
14797 entagged                           	       0        2        0        2        0
14798 entangle                           	       0        9        0        9        0
14799 entropybroker                      	       0        1        0        1        0
14800 env-assert                         	       0        1        0        1        0
14801 env2                               	       0        3        0        3        0
14802 environment-modules                	       0        7        0        7        0
14803 envstore                           	       0        2        1        1        0
14804 eog-plugin-disable-dark-theme      	       0        7        0        0        7
14805 eog-plugin-exif-display            	       0        8        0        0        8
14806 eog-plugin-export-to-folder        	       0        7        0        0        7
14807 eog-plugin-fit-to-width            	       0        8        0        0        8
14808 eog-plugin-fullscreen-background   	       0        8        0        0        8
14809 eog-plugin-hide-titlebar           	       0        2        0        0        2
14810 eog-plugin-map                     	       0        7        0        0        7
14811 eog-plugin-maximize-windows        	       0        7        0        0        7
14812 eog-plugin-picasa                  	       0        4        0        0        4
14813 eog-plugin-python-console          	       0        7        0        0        7
14814 eog-plugin-send-by-mail            	       0        7        0        0        7
14815 eog-plugin-slideshow-shuffle       	       0        7        0        0        7
14816 eog-plugins                        	       0        8        0        1        7
14817 eog-plugins-common                 	       0        8        0        0        8
14818 eom-common                         	       0      532        0        0      532
14819 eot2ttf                            	       0        2        0        2        0
14820 epdfview                           	       0        6        0        6        0
14821 eperl                              	       0        4        0        4        0
14822 ephoto                             	       0        8        0        8        0
14823 epic4                              	       0        2        0        2        0
14824 epic4-help                         	       0        2        0        0        2
14825 epic5                              	       0        2        0        2        0
14826 epiphany                           	       0       21        1       20        0
14827 epiphany-browser-data              	       0       89        1        1       87
14828 epiphany-data                      	       0       23        0        0       23
14829 epiphany-extensions                	       0        2        0        1        1
14830 epiphany-gecko                     	       0        1        0        0        1
14831 epix                               	       0        1        0        1        0
14832 epplets                            	       0        2        1        1        0
14833 epsilon-bin                        	       0        1        0        1        0
14834 epson-alc1100-filter               	       0        1        0        1        0
14835 epson-alc1100-filter-cups          	       0        1        0        0        1
14836 epson-inkjet-printer-201207w       	       0        2        0        2        0
14837 epson-inkjet-printer-201215w       	       0        1        0        1        0
14838 epson-inkjet-printer-202101w       	       0        1        0        1        0
14839 epson-inkjet-printer-escpr         	       0        8        0        8        0
14840 epson-inkjet-printer-escpr2        	       0        8        2        6        0
14841 epson-pc-fax                       	       0        1        0        1        0
14842 epson-port-communication-service   	       0        1        1        0        0
14843 epson-printer-utility              	       0       10        2        8        0
14844 epsonscan2                         	       0       12        0       12        0
14845 epsonscan2-non-free-plugin         	       0       13        0        0       13
14846 epstool                            	       0       75        0       75        0
14847 ept-cache                          	       0        1        0        1        0
14848 epubcheck                          	       0       10        0       10        0
14849 eq10q                              	       0        8        1        7        0
14850 eqonomize                          	       0        2        0        2        0
14851 eqonomize-doc                      	       0        2        0        0        2
14852 equalx                             	       0        9        0        9        0
14853 erc                                	       0        3        0        3        0
14854 erfs                               	       0        1        0        1        0
14855 eric-api-files                     	       0        6        0        0        6
14856 erlang                             	       0       16        0        0       16
14857 erlang-asciideck                   	       0        2        0        2        0
14858 erlang-base-hipe                   	       0        1        0        1        0
14859 erlang-base64url                   	       0        5        0        5        0
14860 erlang-bbmustache                  	       0        1        0        1        0
14861 erlang-bear                        	       0        2        0        2        0
14862 erlang-bitcask                     	       0        1        0        1        0
14863 erlang-bitcask-dev                 	       0        1        0        1        0
14864 erlang-cf                          	       0        2        0        2        0
14865 erlang-corba                       	       0        3        0        3        0
14866 erlang-cowboy                      	       0        1        0        1        0
14867 erlang-cowboy-doc                  	       0        1        0        0        1
14868 erlang-cowboy-examples             	       0        1        0        0        1
14869 erlang-cowlib                      	       0        2        0        2        0
14870 erlang-cuttlefish                  	       0        1        0        1        0
14871 erlang-erl-docgen                  	       0       16        0       16        0
14872 erlang-erlware-commons             	       0        1        0        1        0
14873 erlang-erlware-commons-dev         	       0        1        0        1        0
14874 erlang-esdl-dev                    	       0        2        0        2        0
14875 erlang-esdl-doc                    	       0        2        0        0        2
14876 erlang-folsom                      	       0        1        0        1        0
14877 erlang-folsom-dev                  	       0        1        0        1        0
14878 erlang-getopt                      	       0        2        0        2        0
14879 erlang-goldrush                    	       0        7        0        7        0
14880 erlang-gs                          	       0        2        0        2        0
14881 erlang-hex                         	       0        1        0        1        0
14882 erlang-horse                       	       0        1        0        1        0
14883 erlang-ic                          	       0        3        0        3        0
14884 erlang-ic-java                     	       0        2        0        2        0
14885 erlang-idna                        	       0        5        0        5        0
14886 erlang-jiffy                       	       0        8        0        8        0
14887 erlang-jose                        	       0        6        0        6        0
14888 erlang-lager                       	       0        7        0        7        0
14889 erlang-luerl                       	       0        1        0        1        0
14890 erlang-manpages                    	       0        8        0        0        8
14891 erlang-meck                        	       0        2        0        2        0
14892 erlang-mochiweb                    	       0        1        0        1        0
14893 erlang-neotoma                     	       0        1        0        1        0
14894 erlang-nox                         	       0        5        0        0        5
14895 erlang-percept                     	       0        3        0        3        0
14896 erlang-poolboy                     	       0        1        0        1        0
14897 erlang-proper-doc                  	       0        2        0        0        2
14898 erlang-ranch                       	       0        3        0        3        0
14899 erlang-ranch-doc                   	       0        2        0        0        2
14900 erlang-solutions                   	       0        2        0        0        2
14901 erlang-typer                       	       0        2        0        2        0
14902 erlang-unicode-util-compat         	       0        5        0        5        0
14903 erlang-webtool                     	       0        2        0        2        0
14904 erlang-x11                         	       0        4        0        0        4
14905 erlang-xmlrpc                      	       0        3        0        3        0
14906 erlang-yaws                        	       0        5        2        3        0
14907 erofsfuse                          	       0        1        0        1        0
14908 esbuild                            	       0        3        1        2        0
14909 esci-interpreter-gt-f720           	       0        1        0        1        0
14910 escputil                           	       0       18        1       17        0
14911 esekeyd                            	       0        1        1        0        0
14912 esh                                	       0        1        0        1        0
14913 eskatos-config                     	       0       12        4        8        0
14914 eskatos-docker-config              	       0        4        0        0        4
14915 eskatos-icinga-scripts             	       0        1        0        0        1
14916 eskatos-monitoring-plugins         	       0       15        5       10        0
14917 eskatos-nexus-registry-mirror      	       0        1        0        0        1
14918 eskatos-reboot-notifier            	       0       15        5       10        0
14919 esmtp                              	       0        5        0        5        0
14920 esmtp-run                          	       0        2        0        2        0
14921 esnacc                             	       0        1        0        1        0
14922 esnacc-doc                         	       0        1        0        0        1
14923 eso-midas                          	       0        6        0        6        0
14924 eso-pipelines                      	       0        2        0        0        2
14925 esorex                             	       0        2        0        2        0
14926 esound-common                      	       0       33        0        0       33
14927 espctag                            	       0        1        0        1        0
14928 espeak-data                        	       0     1078        0        0     1078
14929 espeak-ng-data                     	       0     2803        0        0     2803
14930 espeak-ng-espeak                   	       0        7        0        7        0
14931 espeakedit                         	       0        6        0        6        0
14932 esptool                            	       0       10        1        9        0
14933 ess                                	       0        6        0        0        6
14934 estic                              	       0        1        0        1        0
14935 eta                                	       0        1        0        1        0
14936 etc1tool                           	       0       79        0       79        0
14937 etcd-client                        	       0        1        0        1        0
14938 etcd-fs                            	       0        1        0        1        0
14939 etcher-electron                    	       0        1        0        0        1
14940 etckeeper                          	       0      125       28       97        0
14941 etherape                           	       0       50        0       50        0
14942 etherape-data                      	       0       46        0        0       46
14943 etherboot-qemu                     	       0        1        0        1        0
14944 ethereal-chess                     	       0        3        0        3        0
14945 etherwake                          	       0       80        3       77        0
14946 ethstats                           	       0        4        0        4        0
14947 etl-dev                            	       0        2        0        2        0
14948 etoys                              	       0        1        0        1        0
14949 etoys-doc                          	       0        1        0        0        1
14950 etqw                               	       0        2        0        2        0
14951 etree-scripts                      	       0        1        0        1        0
14952 etsf-io                            	       0        1        0        1        0
14953 ettercap-common                    	       0       11        0       11        0
14954 ettercap-graphical                 	       0        8        0        8        0
14955 ettercap-text-only                 	       0        3        0        3        0
14956 etw                                	       0        2        0        2        0
14957 etw-data                           	       0        2        0        0        2
14958 eudev-build-deps                   	       0        1        0        0        1
14959 eudev-dbgsym                       	       0        3        0        3        0
14960 eufloriahd                         	       0        1        0        0        1
14961 eukleides                          	       0        2        1        1        0
14962 euler                              	       0        8        0        8        0
14963 euler-doc                          	       0        5        0        5        0
14964 eureka                             	       0        8        0        8        0
14965 eureka-dbgsym                      	       0        1        0        1        0
14966 eurephia                           	       0        2        1        1        0
14967 euro-support                       	       0        1        0        1        0
14968 euro-support-console               	       0        1        0        0        1
14969 euro-support-x                     	       0        1        0        0        1
14970 evdi                               	       0        1        0        1        0
14971 evdi-dkms                          	       0        6        0        6        0
14972 eventfs                            	       0        1        0        1        0
14973 eventstat                          	       0        1        0        1        0
14974 evilwm                             	       0        7        0        7        0
14975 evince-common                      	       0      984        1        7      976
14976 evince-hwp                         	       0        1        0        1        0
14977 evol-client                        	       0        1        0        0        1
14978 evolution-data-server-dev          	       0        1        0        0        1
14979 evolution-data-server-doc          	       0        2        0        0        2
14980 evolution-plugin-spamassassin      	       0        2        0        2        0
14981 evolution-webcal                   	       0        2        0        2        0
14982 evolvotron                         	       0        5        0        5        0
14983 evracoon                           	       0        1        0        1        0
14984 evrouter                           	       0        1        0        1        0
14985 ewf-tools                          	       0       22        0       22        0
14986 ewipe                              	       0        1        0        1        0
14987 exabgp                             	       0        2        0        2        0
14988 exadrums                           	       0        1        0        1        0
14989 exaile                             	       0        1        0        1        0
14990 excellent-bifurcation              	       0        1        0        1        0
14991 exe-thumbnailer                    	       0       12        0        1       11
14992 exec-tools                         	       0        1        0        1        0
14993 execline                           	       0        9        0        9        0
14994 execline-doc                       	       0        2        0        0        2
14995 execnet-doc                        	       0        1        0        0        1
14996 execstack                          	       0       15        0       15        0
14997 exempi                             	       0        2        0        2        0
14998 exfatprogs-dbgsym                  	       0        1        0        1        0
14999 exiflooter                         	       0        2        0        2        0
15000 exifprobe                          	       0       46        0       46        0
15001 exim4                              	       0      356        0        0      356
15002 exim4-daemon-heavy                 	       0       22       11       11        0
15003 exim4-doc-html                     	       0        8        0        0        8
15004 exim4-doc-info                     	       0        6        0        0        6
15005 eximon4                            	       0       11        1       10        0
15006 exmh                               	       0        4        0        4        0
15007 exo-build-deps                     	       0        1        0        0        1
15008 exo-utils-dbgsym                   	       0        1        0        1        0
15009 exodus                             	       0        8        0        8        0
15010 exonerate                          	       0        4        0        4        0
15011 expandrive                         	       0        1        0        1        0
15012 expat                              	       0       27        0       27        0
15013 expeyes                            	       0        1        0        1        0
15014 expeyes-doc-common                 	       0        1        0        1        0
15015 expeyes-doc-en                     	       0        1        0        0        1
15016 expeyes-web                        	       0        1        0        1        0
15017 explain                            	       0        1        0        1        0
15018 explosive-c4                       	       0        4        0        4        0
15019 expressvpn                         	       0        1        0        1        0
15020 exrtools                           	       0        2        0        2        0
15021 ext2resize                         	       0        1        0        1        0
15022 ext3grep                           	       0       39        1       38        0
15023 ext4magic                          	       0       59        2       57        0
15024 extace                             	       0        1        0        1        0
15025 extra-cmake-modules                	       0      109        0        0      109
15026 extra-cmake-modules-doc            	       0        3        0        0        3
15027 extrace                            	       0        6        0        6        0
15028 extractpdfmark                     	       0        5        0        5        0
15029 extremetuxracer                    	       0       44        0       44        0
15030 extremetuxracer-data               	       0       45        0        1       44
15031 extremetuxracer-extras             	       0        3        0        0        3
15032 extrepo-offline-data               	       0       56        0        0       56
15033 extundelete                        	       0       81        2       79        0
15034 exult                              	       0        2        0        2        0
15035 exult-studio                       	       0        1        0        1        0
15036 eye                                	       0        1        0        1        0
15037 eyed3                              	       0       43        1       42        0
15038 eyes17                             	       0        1        0        1        0
15039 ez-ipupdate                        	       0        1        0        1        0
15040 ezquake                            	       0        5        0        5        0
15041 ezstream                           	       0        3        1        2        0
15042 eztrace                            	       0        1        0        1        0
15043 f-irc                              	       0        4        0        4        0
15044 f-spot                             	       0        1        0        1        0
15045 f2c                                	       0       15        1       14        0
15046 f2j                                	       0        2        0        2        0
15047 f3d                                	       0        5        0        5        0
15048 faac                               	       0       35        0       35        0
15049 faba-icon-theme                    	       0       33        0        0       33
15050 fabla                              	       0        1        0        1        0
15051 fabric                             	       0        2        0        2        0
15052 facedetect                         	       0       10        0       10        0
15053 facetimehd-firmware                	       0        1        1        0        0
15054 fact++                             	       0        1        0        1        0
15055 facter                             	       0       34        2       32        0
15056 facterdb                           	       0        1        0        1        0
15057 fadecut                            	       0        3        0        3        0
15058 faenza-icon-theme                  	       0       36        0        0       36
15059 fahclient                          	       0        3        0        3        0
15060 fahviewer                          	       0        2        0        2        0
15061 fai-client                         	       0        2        0        2        0
15062 fai-server                         	       0        1        0        1        0
15063 fai-setup-storage                  	       0        1        0        1        0
15064 faifa                              	       0        3        0        3        0
15065 fail2ban                           	       0      281       73      208        0
15066 fairy-stockfish                    	       0        3        0        3        0
15067 fairymax                           	       0       57        2       55        0
15068 fake                               	       0        4        0        4        0
15069 fake-hwclock                       	       0       13        1       12        0
15070 fakechroot                         	       0       76        2       74        0
15071 faker                              	       0        1        0        1        0
15072 fakeroot-ng                        	       0        7        0        7        0
15073 faketime                           	       0       32        0       32        0
15074 fakturama                          	       0        1        0        1        0
15075 falabaac                           	       0        1        0        1        0
15076 falcon-sensor                      	       0       10        0       10        0
15077 falconseye                         	       0        1        0        1        0
15078 falconseye-data                    	       0        1        0        1        0
15079 falcosecurity-scap-dkms            	       0        1        1        0        0
15080 falkon-plugin-wallet               	       0        1        0        0        1
15081 falselogin                         	       0        5        0        5        0
15082 fam                                	       0       10        0       10        0
15083 famfamfam-flag-gif                 	       0        1        0        0        1
15084 famfamfam-flag-png                 	       0       27        0        0       27
15085 famfamfam-silk                     	       0        8        0        0        8
15086 fapg                               	       0        3        0        3        0
15087 far2l                              	       0        1        0        1        0
15088 far2l-data                         	       0        1        0        0        1
15089 far2l-wx                           	       0        1        0        1        0
15090 farbfeld                           	       0       10        0       10        0
15091 farmtopia                          	       0        1        0        1        0
15092 farpd                              	       0        2        0        2        0
15093 fasd                               	       0        2        0        2        0
15094 fasm                               	       0       10        0       10        0
15095 fastaq                             	       0        1        0        1        0
15096 fastd                              	       0        2        0        2        0
15097 fastd-doc                          	       0        1        0        0        1
15098 fastdep                            	       0        1        0        1        0
15099 fastdnaml                          	       0        3        0        3        0
15100 fastforward                        	       0        5        0        5        0
15101 fastjar                            	       0      101        4       97        0
15102 fastjet-doc                        	       0        1        0        0        1
15103 fastlink                           	       0        1        0        1        0
15104 fastp                              	       0        1        0        1        0
15105 fastqc                             	       0        3        0        3        0
15106 fastqtl                            	       0        1        0        1        0
15107 fasttext                           	       0        2        0        2        0
15108 fasttrack-archive-keyring          	       0       25        0        0       25
15109 fasttree                           	       0        1        0        1        0
15110 fatsort                            	       0       19        0       19        0
15111 faucc                              	       0        1        0        1        0
15112 fauhdlc                            	       0        3        0        3        0
15113 faultstat                          	       0        1        0        1        0
15114 faumachine                         	       0        1        0        1        0
15115 faumachine-data                    	       0        1        0        0        1
15116 faust                              	       0        4        0        4        0
15117 faust-common                       	       0        4        0        4        0
15118 faustworks                         	       0        2        0        2        0
15119 faxcoder                           	       0        1        0        1        0
15120 fb-music-high                      	       0        8        0        0        8
15121 fb-tools                           	       0        3        0        3        0
15122 fb2edit                            	       0        1        0        1        0
15123 fbautostart                        	       0       11        0       11        0
15124 fbb                                	       0        3        0        3        0
15125 fbbdoc                             	       0        1        0        0        1
15126 fbcat                              	       0       10        0       10        0
15127 fbdesk                             	       0        3        0        3        0
15128 fbiterm                            	       0        1        0        1        0
15129 fbpanel                            	       0       19        3       16        0
15130 fbset                              	       0       45        1       44        0
15131 fbterm-ucimf                       	       0        1        0        1        0
15132 fbtv                               	       0        1        0        1        0
15133 fbxkb                              	       0        5        0        5        0
15134 fbzx                               	       0        6        0        6        0
15135 fcc                                	       0        1        0        1        0
15136 fccexam                            	       0        3        0        3        0
15137 fceu                               	       0        1        0        1        0
15138 fceux                              	       0       20        0       20        0
15139 fcgiwrap                           	       0       17        3       14        0
15140 fcitx                              	       0       20        0        0       20
15141 fcitx-anthy                        	       0        2        0        1        1
15142 fcitx-bin                          	       0       21        1       20        0
15143 fcitx-chewing                      	       0        1        0        0        1
15144 fcitx-config-common                	       0       20        0        0       20
15145 fcitx-config-gtk                   	       0       20        0       20        0
15146 fcitx-data                         	       0       20        0        4       16
15147 fcitx-frontend-all                 	       0       20        0        0       20
15148 fcitx-frontend-fbterm              	       0        2        0        2        0
15149 fcitx-frontend-gtk2                	       0       23        0        1       22
15150 fcitx-frontend-gtk3                	       0       23        0       11       12
15151 fcitx-frontend-qt4                 	       0        3        0        0        3
15152 fcitx-frontend-qt5                 	       0       24        0        5       19
15153 fcitx-frontend-qt6                 	       0       12        0        1       11
15154 fcitx-googlepinyin                 	       0        6        1        0        5
15155 fcitx-hangul                       	       0        1        0        0        1
15156 fcitx-imlist                       	       0        2        0        2        0
15157 fcitx-libpinyin                    	       0        1        0        0        1
15158 fcitx-libs-dev                     	       0        1        0        1        0
15159 fcitx-m17n                         	       0        3        0        2        1
15160 fcitx-module-dbus                  	       0       24        1        9       14
15161 fcitx-module-kimpanel              	       0       23        1        9       13
15162 fcitx-module-lua                   	       0       23        1        9       13
15163 fcitx-module-quickphrase-editor5   	       0       14        0        0       14
15164 fcitx-module-x11                   	       0       24        1        9       14
15165 fcitx-modules                      	       0       24        1        9       14
15166 fcitx-mozc                         	       0       10        0        4        6
15167 fcitx-mozc-data                    	       0       19        0        0       19
15168 fcitx-pinyin                       	       0        5        0        3        2
15169 fcitx-rime                         	       0        2        0        1        1
15170 fcitx-sunpinyin                    	       0        1        0        1        0
15171 fcitx-table                        	       0        5        0        2        3
15172 fcitx-table-all                    	       0        1        0        0        1
15173 fcitx-table-arabic                 	       0        1        0        0        1
15174 fcitx-table-bingchan               	       0        1        0        0        1
15175 fcitx-table-cangjie                	       0        1        0        0        1
15176 fcitx-table-cantonese              	       0        1        0        0        1
15177 fcitx-table-dianbaoma              	       0        1        0        0        1
15178 fcitx-table-emoji                  	       0        2        0        0        2
15179 fcitx-table-erbi                   	       0        1        0        0        1
15180 fcitx-table-ipa-x-sampa            	       0        1        0        0        1
15181 fcitx-table-quick-classic          	       0        1        0        0        1
15182 fcitx-table-thai                   	       0        1        0        0        1
15183 fcitx-table-wanfeng                	       0        1        0        0        1
15184 fcitx-table-wbpy                   	       0        1        0        0        1
15185 fcitx-table-wubi                   	       0        2        0        0        2
15186 fcitx-table-ziranma                	       0        1        0        0        1
15187 fcitx-tools                        	       0        3        0        3        0
15188 fcitx-ui-classic                   	       0       20        1        9       10
15189 fcitx5                             	       0       21        2       19        0
15190 fcitx5-anthy                       	       0        2        0        1        1
15191 fcitx5-chewing                     	       0        1        0        0        1
15192 fcitx5-chinese-addons              	       0        7        0        0        7
15193 fcitx5-chinese-addons-bin          	       0        7        0        7        0
15194 fcitx5-chinese-addons-data         	       0        8        0        5        3
15195 fcitx5-config-qt                   	       0       20        0       20        0
15196 fcitx5-data                        	       0       22        0       10       12
15197 fcitx5-frontend-all                	       0        1        0        0        1
15198 fcitx5-frontend-fbterm             	       0        2        0        2        0
15199 fcitx5-frontend-gtk2               	       0        5        0        1        4
15200 fcitx5-frontend-gtk3               	       0       23        1       12       10
15201 fcitx5-frontend-gtk4               	       0       16        0        2       14
15202 fcitx5-frontend-qt5                	       0       22        1        8       13
15203 fcitx5-frontend-tmux               	       0        2        0        0        2
15204 fcitx5-kkc                         	       0        1        0        1        0
15205 fcitx5-material-color              	       0        1        0        0        1
15206 fcitx5-module-chttrans             	       0        7        0        1        6
15207 fcitx5-module-cloudpinyin          	       0        7        0        4        3
15208 fcitx5-module-emoji                	       0        3        0        0        3
15209 fcitx5-module-fullwidth            	       0        8        0        1        7
15210 fcitx5-module-lua                  	       0        9        0        6        3
15211 fcitx5-module-lua-common           	       0        9        0        0        9
15212 fcitx5-module-pinyinhelper         	       0        7        0        6        1
15213 fcitx5-module-punctuation          	       0        8        0        5        3
15214 fcitx5-module-quickphrase          	       0        3        0        1        2
15215 fcitx5-module-quickphrase-editor   	       0       10        0        0       10
15216 fcitx5-module-wayland              	       0        3        0        1        2
15217 fcitx5-module-xorg                 	       0        3        0        1        2
15218 fcitx5-modules                     	       0       22        1       14        7
15219 fcitx5-modules-dev                 	       0        1        0        1        0
15220 fcitx5-mozc                        	       0       10        1        6        3
15221 fcitx5-pinyin                      	       0        7        0        4        3
15222 fcitx5-rime                        	       0        2        0        1        1
15223 fcitx5-skin-nord                   	       0        1        0        0        1
15224 fcitx5-skk                         	       0        1        0        0        1
15225 fcitx5-table                       	       0        7        0        1        6
15226 fckeditor                          	       0        1        1        0        0
15227 fcmp                               	       0        2        0        0        2
15228 fcode-utils                        	       0        8        0        8        0
15229 fcoretools                         	       0        1        0        1        0
15230 fcrackzip                          	       0       45        0       45        0
15231 fd-musl                            	       0        1        0        1        0
15232 fdclone                            	       0        3        0        3        0
15233 fdflush                            	       0        3        0        3        0
15234 fdisk-dbgsym                       	       0        1        0        1        0
15235 fdkaac                             	       0       11        0       11        0
15236 fdm                                	       0        3        1        2        0
15237 fdm-materials                      	       0       22        0        0       22
15238 fdpowermon                         	       0        9        0        9        0
15239 fdpowermon-icons                   	       0        4        0        0        4
15240 fdutils                            	       0       38        2       36        0
15241 feathernotes-l10n                  	       0      246        0        0      246
15242 featherpad-l10n                    	       0      261        0        3      258
15243 feature-check                      	       0        2        0        2        0
15244 fedistar                           	       0        1        0        1        0
15245 feed2exec                          	       0        1        0        1        0
15246 feed2exec-doc                      	       0        1        0        0        1
15247 feed2toot                          	       0        1        0        1        0
15248 feedbackd-common                   	       0        9        0        0        9
15249 feedgnuplot                        	       0        7        1        6        0
15250 feedreader                         	       0        2        0        2        0
15251 felix-latin-data                   	       0        2        0        0        2
15252 felix-main                         	       0        1        0        1        0
15253 fence-agents                       	       0        5        0        5        0
15254 fence-virt                         	       0        1        0        1        0
15255 fenicsx                            	       0        1        0        0        1
15256 fenicsx-performance-tests-source   	       0        1        0        1        0
15257 fenix                              	       0        1        0        1        0
15258 fenix-plugin-mpeg                  	       0        1        0        1        0
15259 fenix-plugins                      	       0        1        0        1        0
15260 fenix-plugins-system               	       0        1        0        1        0
15261 fenrir                             	       0        1        0        1        0
15262 ferdi                              	       0        1        0        0        1
15263 ferdium                            	       0        6        0        4        2
15264 ferm                               	       0       11        0       11        0
15265 ferret                             	       0        2        0        2        0
15266 ferret-datasets                    	       0        1        0        0        1
15267 ferret-vis                         	       0        1        0        1        0
15268 festival-dev                       	       0        6        0        6        0
15269 festival-doc                       	       0        5        0        0        5
15270 festival-freebsoft-utils           	       0       33        0        0       33
15271 festlex-cmu                        	       0      105        0        0      105
15272 festlex-ifd                        	       0        3        0        0        3
15273 festlex-oald                       	       0        9        0        0        9
15274 festlex-poslex                     	       0      105        0        0      105
15275 festvox-don                        	       0        2        0        0        2
15276 festvox-ellpc11k                   	       0        3        0        0        3
15277 festvox-en1                        	       0        4        0        0        4
15278 festvox-italp16k                   	       0        3        0        0        3
15279 festvox-itapc16k                   	       0        2        0        0        2
15280 festvox-kallpc16k                  	       0      100        0        0      100
15281 festvox-kdlpc16k                   	       0        4        0        0        4
15282 festvox-palpc16k                   	       0        1        0        0        1
15283 festvox-rablpc16k                  	       0        4        0        0        4
15284 festvox-ru                         	       0        3        0        0        3
15285 festvox-sflpc16k                   	       0        1        0        0        1
15286 festvox-suopuhe-common             	       0        3        0        0        3
15287 festvox-suopuhe-lj                 	       0        3        0        0        3
15288 festvox-suopuhe-mv                 	       0        2        0        0        2
15289 festvox-us-slt-hts                 	       0       11        0        0       11
15290 festvox-us1                        	       0        6        0        0        6
15291 festvox-us2                        	       0        3        0        0        3
15292 festvox-us3                        	       0        3        0        0        3
15293 fet                                	       0        4        0        4        0
15294 fet-data                           	       0        4        0        0        4
15295 feta                               	       0        1        0        1        0
15296 fetch-crl                          	       0        1        0        1        0
15297 fetchyahoo                         	       0        1        0        1        0
15298 fever                              	       0        1        0        1        0
15299 fex                                	       0        1        0        1        0
15300 fex-utils                          	       0        1        0        1        0
15301 ffado-dbus-server                  	       0        3        0        3        0
15302 ffado-mixer-qt4                    	       0        3        0        3        0
15303 ffado-tools                        	       0        5        0        5        0
15304 ffdiaporama                        	       0        2        0        2        0
15305 ffdiaporama-data                   	       0        2        0        0        2
15306 ffe                                	       0        1        0        1        0
15307 ffgtk                              	       0        1        0        1        0
15308 ffgtk-plugins-gdata                	       0        1        0        0        1
15309 ffgtk-plugins-gnome-keyring        	       0        1        0        0        1
15310 ffgtk-plugins-kwallet4             	       0        1        0        0        1
15311 ffgtk-plugins-pulseaudio           	       0        1        0        0        1
15312 ffindex                            	       0        2        0        2        0
15313 fflas-ffpack                       	       0       11        0       11        0
15314 fflas-ffpack-common                	       0       11        0       11        0
15315 ffmpeg-dbgsym                      	       0        1        0        1        0
15316 ffmpeg-doc                         	       0       71        0        0       71
15317 ffmpeg2theora                      	       0       19        0       19        0
15318 ffmpegfs                           	       0       10        0       10        0
15319 ffmsindex                          	       0        7        0        7        0
15320 ffmulticonverter                   	       0        2        0        2        0
15321 ffplay                             	       0        1        0        1        0
15322 fftw-dev                           	       0       14        0       14        0
15323 fftw2                              	       0       29        0        0       29
15324 ffuf                               	       0        2        0        2        0
15325 fgallery                           	       0       12        0       12        0
15326 fgetty                             	       0       26        2       24        0
15327 fglrx-modules-dkms                 	       0        1        0        1        0
15328 fh2odg                             	       0        1        0        1        0
15329 fhem                               	       0        1        0        1        0
15330 fhist                              	       0        3        0        3        0
15331 fiche                              	       0        2        0        2        0
15332 fierce                             	       0        3        0        3        0
15333 fifth                              	       0        1        0        1        0
15334 fig2ps                             	       0       14        0       14        0
15335 fig2sxd                            	       0        3        0        3        0
15336 figtoipe                           	       0        2        0        2        0
15337 figtree                            	       0        1        0        1        0
15338 file-build-deps                    	       0        1        0        0        1
15339 file-dbgsym                        	       0        1        0        1        0
15340 filelight-trinity                  	       0        3        0        3        0
15341 filemanager-actions                	       0        1        0        1        0
15342 filemanager-actions-data           	       0        1        0        0        1
15343 filemanager-actions-libs           	       0        1        0        0        1
15344 fileschanged                       	       0        3        0        3        0
15345 filetea                            	       0        2        1        1        0
15346 filetraq                           	       0        2        0        2        0
15347 fileutils                          	       0        2        0        0        2
15348 filezilla-common                   	       0      303        0        0      303
15349 filezilla-server                   	       0        2        0        2        0
15350 filler                             	       0        3        0        3        0
15351 fillets-ng                         	       0       11        0       11        0
15352 fillets-ng-data                    	       0       11        0        0       11
15353 fillets-ng-data-cs                 	       0        1        0        0        1
15354 fillets-ng-data-nl                 	       0        2        0        0        2
15355 filtergen                          	       0        1        0        1        0
15356 filters                            	       0       11        0       11        0
15357 fim                                	       0       18        1       17        0
15358 finch                              	       0       10        1        9        0
15359 findbugs                           	       0        3        0        3        0
15360 findent                            	       0        1        0        1        0
15361 findimagedupes                     	       0       21        2       19        0
15362 finetune                           	       0        1        0        1        0
15363 fingerd                            	       0        9        2        7        0
15364 finit                              	       0        2        0        2        0
15365 finit-plugins                      	       0        2        0        0        2
15366 firebird-emu                       	       0        1        0        1        0
15367 firebird2.1-common-doc             	       0        1        0        0        1
15368 firebird2.5-common-doc             	       0       29        0        0       29
15369 firebird3.0-common                 	       0      760        0        0      760
15370 firebird3.0-common-doc             	       0      762        0        0      762
15371 firebird3.0-doc                    	       0        6        0        0        6
15372 firebird3.0-server-core            	       0      714        1        0      713
15373 firebird4.0-common                 	       0       10        0        0       10
15374 firebird4.0-common-doc             	       0       10        0        0       10
15375 firebird4.0-server-core            	       0       10        0        0       10
15376 firecapture                        	       0        1        0        1        0
15377 fireflies                          	       0        2        0        0        2
15378 firefox-beta                       	       0        2        1        1        0
15379 firefox-devedition                 	       0        1        0        1        0
15380 firefox-devedition-l10n-fr         	       0        1        0        1        0
15381 firefox-esr-l10n-all               	       0        1        0        0        1
15382 firefox-l10n-dsb                   	       0        2        0        2        0
15383 firefox-l10n-es-cl                 	       0        2        0        2        0
15384 firefox-l10n-es-mx                 	       0        1        0        1        0
15385 firefox-l10n-fr                    	       0        6        0        6        0
15386 firefox-l10n-hr                    	       0        1        0        1        0
15387 firefox-l10n-hsb                   	       0        2        0        2        0
15388 firefox-l10n-it                    	       0        2        0        2        0
15389 firefox-l10n-ja                    	       0        1        0        1        0
15390 firefox-l10n-pl                    	       0        1        0        1        0
15391 firefox-l10n-ru                    	       0        2        0        2        0
15392 firefox-mozilla-build              	       0        1        0        1        0
15393 firefox-nightly                    	       0        1        0        1        0
15394 firefox-nightly-l10n-en-gb         	       0        1        0        1        0
15395 firefox-nightly-l10n-hu            	       0        1        0        1        0
15396 firefox-nightly-l10n-ro            	       0        1        0        1        0
15397 firefox-pkcs11-loader              	       0        1        0        1        0
15398 firefoxdev                         	       0        1        0        1        0
15399 firefoxdriver                      	       0        3        0        3        0
15400 firefoxpwa                         	       0        2        0        2        0
15401 firehol                            	       0       21        2       19        0
15402 firehol-common                     	       0       21        2       19        0
15403 firehol-doc                        	       0        2        0        0        2
15404 firejail-build-deps                	       0        1        0        0        1
15405 firejail-dbgsym                    	       0        1        0        1        0
15406 firejail-profiles                  	       0       66        0        0       66
15407 fireqos                            	       0       13        1       12        0
15408 firewalk                           	       0        1        0        1        0
15409 firewall-applet                    	       0        3        0        3        0
15410 firewall-config                    	       0       10        0       10        0
15411 firewalld-tests                    	       0        2        0        0        2
15412 firm-phoenix-ware                  	       0        1        0        0        1
15413 firmware-adi                       	       0        2        0        0        2
15414 firmware-ast                       	       0        3        0        3        0
15415 firmware-ath9k-htc-dbgsym          	       0        1        0        1        0
15416 firmware-b43legacy-installer       	       0       19        0        5       14
15417 firmware-bnx2x                     	       0      164        1      163        0
15418 firmware-cavium                    	       0       13        0       13        0
15419 firmware-crystalhd                 	       0        4        0        4        0
15420 firmware-intelwimax                	       0      117        0      116        1
15421 firmware-ivtv                      	       0       19        0       19        0
15422 firmware-linux                     	       0      383        0        0      383
15423 firmware-linux-nonfree             	       0      690        0        4      686
15424 firmware-microbit-micropython      	       0        3        0        0        3
15425 firmware-microbit-micropython-doc  	       0        2        0        0        2
15426 firmware-myricom                   	       0      148        0      148        0
15427 firmware-qcom-media                	       0        7        0        1        6
15428 firmware-qcom-soc                  	       0        8        0        8        0
15429 firmware-qlogic                    	       0      151        0      151        0
15430 firmware-radeon-ucode              	       0        2        0        2        0
15431 firmware-ralink                    	       0       49        0        0       49
15432 firmware-samsung                   	       0       13        0       13        0
15433 firmware-tomu                      	       0        1        0        1        0
15434 fis-gtm                            	       0        4        0        0        4
15435 fis-gtm-6.3-007                    	       0        1        0        0        1
15436 fis-gtm-6.3-014                    	       0        1        0        0        1
15437 fis-gtm-7.0                        	       0        3        0        0        3
15438 fische                             	       0        5        0        5        0
15439 fish-common                        	       0       95        5       15       75
15440 fitgcp                             	       0        1        0        1        0
15441 fitscut                            	       0        2        0        2        0
15442 fitsh                              	       0        3        0        3        0
15443 fitspng                            	       0        9        0        9        0
15444 fitsverify                         	       0        2        0        2        0
15445 fityk                              	       0        3        0        3        0
15446 fiu-utils                          	       0        1        0        1        0
15447 fix-alttab                         	       0        1        0        1        0
15448 fizmo                              	       0        1        0        0        1
15449 fizmo-common                       	       0        1        0        0        1
15450 fizmo-console                      	       0        2        0        2        0
15451 fizmo-ncursesw                     	       0        3        0        3        0
15452 fizmo-sdl2                         	       0        5        0        5        0
15453 fizsh                              	       0       13        1       12        0
15454 fl-cow                             	       0        3        0        3        0
15455 flacon                             	       0        1        0        1        0
15456 flake                              	       0       18        0       18        0
15457 flake8                             	       0       23        0       23        0
15458 flam3                              	       0        3        0        3        0
15459 flam3-palette                      	       0        1        0        0        1
15460 flam3-utils                        	       0        1        0        1        0
15461 flamerobin                         	       0        4        0        4        0
15462 flamp                              	       0        3        0        3        0
15463 flang-17                           	       0        1        0        1        0
15464 flang-19                           	       0        1        0        1        0
15465 flare                              	       0        4        0        0        4
15466 flare-data                         	       0        4        0        0        4
15467 flare-engine                       	       0       12        0       12        0
15468 flare-engine-build-deps            	       0        1        0        0        1
15469 flare-engine-dbgsym                	       0        1        0        1        0
15470 flare-game                         	       0       12        0        0       12
15471 flare-game-build-deps              	       0        1        0        0        1
15472 flash                              	       0        1        0        1        0
15473 flash-kernel                       	       0        7        0        7        0
15474 flasharch-player                   	       0        1        0        0        1
15475 flashbench                         	       0        8        0        8        0
15476 flashcache-dkms                    	       0        1        0        1        0
15477 flasher-3.5                        	       0        2        0        2        0
15478 flashplayer-chromium               	       0        3        0        2        1
15479 flashplayer-mozilla                	       0        9        0        9        0
15480 flashplugin-nonfree                	       0       32        0       32        0
15481 flashplugin-nonfree-extrasound     	       0        5        0        5        0
15482 flashprint                         	       0        1        0        0        1
15483 flashprint5                        	       0        1        0        0        1
15484 flashrom                           	       0       78        4       74        0
15485 flasm                              	       0        4        0        4        0
15486 flatcam                            	       0        1        0        1        0
15487 flatlatex                          	       0        6        1        5        0
15488 flatpak-builder-tests              	       0        3        0        0        3
15489 flatpak-tests                      	       0        5        0        0        5
15490 flatpak-xdg-utils                  	       0       18        0        0       18
15491 flatpak-xdg-utils-tests            	       0        3        0        0        3
15492 flatzinc                           	       0        2        0        2        0
15493 flawfinder                         	       0        9        0        9        0
15494 fldiff                             	       0       15        2       13        0
15495 fldigi                             	       0       26        0       26        0
15496 flent                              	       0        8        0        8        0
15497 flex-doc                           	       0       21        0        0       21
15498 flexbackup                         	       0        2        0        2        0
15499 flexbar                            	       0        1        0        1        0
15500 flexc++                            	       0        4        0        4        0
15501 flexibee-client                    	       0        1        1        0        0
15502 flexloader                         	       0        3        0        3        0
15503 flexml                             	       0        2        0        2        0
15504 flexpart                           	       0        1        0        1        0
15505 flextra                            	       0        1        0        1        0
15506 flickcurl-utils                    	       0        1        0        1        0
15507 flif-tools                         	       0        1        0        1        0
15508 flight-of-the-amazon-queen         	       0       15        0       15        0
15509 flightcrew                         	       0        4        0        4        0
15510 flightgear                         	       0       21        0       21        0
15511 flightgear-data-ai                 	       0       21        0        0       21
15512 flightgear-data-all                	       0       21        0        0       21
15513 flightgear-data-base               	       0       21        0        0       21
15514 flightgear-data-models             	       0       21        0        0       21
15515 flightgear-phi                     	       0        7        0        0        7
15516 flim                               	       0       12        0       12        0
15517 flin                               	       0        1        0        1        0
15518 fling                              	       0        1        0        1        0
15519 flintqs                            	       0       11        0       11        0
15520 flip                               	       0        9        0        9        0
15521 flit                               	       0        3        0        3        0
15522 flite                              	       0       10        0       10        0
15523 flite1-dev                         	       0       15        0       15        0
15524 flmsg                              	       0        7        0        7        0
15525 floatbg                            	       0        5        0        5        0
15526 flobopuyo                          	       0       18        0       18        0
15527 flood                              	       0        1        0        1        0
15528 floorp                             	       0        3        0        3        0
15529 floppyd                            	       0       10        0       10        0
15530 florence                           	       0        7        0        7        0
15531 flow-tools                         	       0        1        0        1        0
15532 flowblade                          	       0       27        0       27        0
15533 flowgrind                          	       0        2        0        2        0
15534 flpsed                             	       0       12        1       11        0
15535 flpsed-data                        	       0       11        0        0       11
15536 flrig                              	       0       15        0       15        0
15537 fltk1.1-doc                        	       0        1        0        1        0
15538 fltk1.3-doc                        	       0       48        0       47        1
15539 fltk1.3-games                      	       0       11        0       11        0
15540 fluid                              	       0       50        0       50        0
15541 fluid-soundfont-gm                 	       0      309        0        0      309
15542 fluid-soundfont-gs                 	       0      147        0        0      147
15543 fluidr3mono-gm-soundfont           	       0       25        0        0       25
15544 fluidsynth-dssi                    	       0       10        1        9        0
15545 fluxbox-themes-stackrpms           	       0       13        0        0       13
15546 fluxconf                           	       0        2        0        2        0
15547 flvmeta                            	       0        1        0        1        0
15548 flvstreamer                        	       0       29        0       29        0
15549 flvtool2                           	       0        1        0        1        0
15550 flwm                               	       0       12        0       12        0
15551 flwrap                             	       0        7        0        7        0
15552 flycheck-doc                       	       0        3        0        0        3
15553 flydraw                            	       0        3        0        3        0
15554 flying-carpet                      	       0        1        0        1        0
15555 fnlib-data                         	       0        2        0        0        2
15556 fnotifystat                        	       0        1        0        1        0
15557 fnt                                	       0        7        1        6        0
15558 fntsample                          	       0        3        0        3        0
15559 focuswriter                        	       0       11        1       10        0
15560 foiltex                            	       0        2        0        0        2
15561 folks-common                       	       0      139        0        0      139
15562 fomp                               	       0        8        0        8        0
15563 fondu                              	       0        6        0        6        0
15564 font-hosny-amiri                   	       0        1        0        0        1
15565 font-manager-common                	       0       94        3       11       80
15566 font-ttf-ibarra                    	       0        1        0        0        1
15567 font-viewer                        	       0       93        0        0       93
15568 fontconfig-config                  	       0     4032        0        0     4032
15569 fontcustom                         	       0        4        0        4        0
15570 fontforge-build-deps-depends       	       0        1        0        0        1
15571 fontforge-common                   	       0      113        0        0      113
15572 fontforge-dbgsym                   	       0        1        0        1        0
15573 fontforge-doc                      	       0       22        0        0       22
15574 fontforge-nox                      	       0        9        0        9        0
15575 fontmake                           	       0        2        0        2        0
15576 fontmanager.app                    	       0        4        0        4        0
15577 fontmatrix                         	       0       22        0       22        0
15578 fonts-3270                         	       0       62        0        8       54
15579 fonts-adf-accanthis                	       0      183        2       10      171
15580 fonts-adf-baskervald               	       0       30        0        3       27
15581 fonts-adf-berenis                  	       0      180        1       13      166
15582 fonts-adf-gillius                  	       0      186        0        4      182
15583 fonts-adf-ikarius                  	       0       28        0        3       25
15584 fonts-adf-irianis                  	       0       27        0        2       25
15585 fonts-adf-libris                   	       0       28        0        1       27
15586 fonts-adf-mekanus                  	       0       26        0        3       23
15587 fonts-adf-oldania                  	       0       83        0        6       77
15588 fonts-adf-romande                  	       0       27        0        1       26
15589 fonts-adf-solothurn                	       0       17        0        1       16
15590 fonts-adf-switzera                 	       0       27        0        2       25
15591 fonts-adf-tribun                   	       0       27        0        2       25
15592 fonts-adf-universalis              	       0      186        0        4      182
15593 fonts-adf-verana                   	       0       29        0        2       27
15594 fonts-adobe-sourcesans3            	       0        3        0        0        3
15595 fonts-aenigma                      	       0       26        0        3       23
15596 fonts-agave                        	       0       48        0        3       45
15597 fonts-aksharyogini2                	       0       10        0        2        8
15598 fonts-alee                         	       0       22        0        4       18
15599 fonts-alegreya-sans                	       0        7        0        2        5
15600 fonts-alexbrush                    	       0        1        0        0        1
15601 fonts-allerta                      	       0       15        0        1       14
15602 fonts-amiga                        	       0       39        0        1       38
15603 fonts-ancient-scripts              	       0       41        0       10       31
15604 fonts-anonymous-pro                	       0       38        0        2       36
15605 fonts-aoyagi-kouzan-t              	       0       15        0        1       14
15606 fonts-aoyagi-soseki                	       0       15        0        0       15
15607 fonts-apropal                      	       0       13        0        0       13
15608 fonts-arabeyes                     	       0       18        0        2       16
15609 fonts-arapey                       	       0       12        0        1       11
15610 fonts-arkpandora                   	       0       22        1       11       10
15611 fonts-arphic-bkai00mp              	       0      119        3       16      100
15612 fonts-arphic-bsmi00lp              	       0      110        0        6      104
15613 fonts-arphic-gbsn00lp              	       0      112        0        4      108
15614 fonts-arphic-gkai00mp              	       0      111        8       21       82
15615 fonts-arphic-ukai                  	       0       23        1        3       19
15616 fonts-arphic-uming                 	       0      127        5       15      107
15617 fonts-arundina                     	       0       19        0        2       17
15618 fonts-atarismall                   	       0       48        0        2       46
15619 fonts-atarist                      	       0        4        0        1        3
15620 fonts-atkinson-hyperlegible        	       0        5        0        0        5
15621 fonts-atkinson-hyperlegible-ttf    	       0        4        0        0        4
15622 fonts-atkinson-hyperlegible-web    	       0        3        0        0        3
15623 fonts-averia-gwf                   	       0       16        0        2       14
15624 fonts-averia-sans-gwf              	       0       17        0        1       16
15625 fonts-averia-serif-gwf             	       0       17        0        1       16
15626 fonts-b612                         	       0       22        1        2       19
15627 fonts-babelstone-han               	       0       11        0        2        9
15628 fonts-babelstone-modern            	       0       13        0        2       11
15629 fonts-baekmuk                      	       0      118        3        8      107
15630 fonts-bajaderka                    	       0       13        0        1       12
15631 fonts-bebas-neue                   	       0       15        0        0       15
15632 fonts-beng                         	       0       57        0        0       57
15633 fonts-beng-extra                   	       0       58        0        5       53
15634 fonts-beteckna                     	       0       28        0        1       27
15635 fonts-blankenburg                  	       0       17        0        0       17
15636 fonts-bpg-georgian                 	       0       20        0        4       16
15637 fonts-breip                        	       0       22        0        2       20
15638 fonts-bwht                         	       0       13        0        1       12
15639 fonts-cabin                        	       0      192        1       10      181
15640 fonts-cabinsketch                  	       0       21        0        0       21
15641 fonts-campania                     	       0       13        0        2       11
15642 fonts-cardo                        	       0       17        0        2       15
15643 fonts-cascadia-code                	       0       11        0        5        6
15644 fonts-cegui                        	       0       14        0        1       13
15645 fonts-century-catalogue            	       0       27        0        1       26
15646 fonts-cherrybomb                   	       0       14        0        0       14
15647 fonts-chomsky                      	       0        3        0        0        3
15648 fonts-circos-symbols               	       0       16        0        0       16
15649 fonts-clear-sans                   	       0      148        1        7      140
15650 fonts-cmu                          	       0       27        1        3       23
15651 fonts-cns11643-kai                 	       0       10        0        3        7
15652 fonts-cns11643-pixmaps             	       0        9        0        0        9
15653 fonts-cns11643-sung                	       0        9        0        1        8
15654 fonts-comfortaa                    	       0      203        0        3      200
15655 fonts-comic-neue                   	       0      170        4       12      154
15656 fonts-compagnon                    	       0       13        0        0       13
15657 fonts-courier-prime                	       0       47        1        1       45
15658 fonts-creep2                       	       0       10        0        0       10
15659 fonts-crosextra-caladea            	       0      933       18       80      835
15660 fonts-crosextra-carlito            	       0      953       38      141      774
15661 fonts-culmus-fancy                 	       0        6        0        1        5
15662 fonts-cwtex-docs                   	       0        8        0        0        8
15663 fonts-cwtex-fs                     	       0        9        0        1        8
15664 fonts-cwtex-heib                   	       0        9        0        1        8
15665 fonts-cwtex-kai                    	       0        9        0        1        8
15666 fonts-cwtex-ming                   	       0        9        0        1        8
15667 fonts-cwtex-yen                    	       0        9        0        1        8
15668 fonts-dancingscript                	       0       19        0        1       18
15669 fonts-dclfonts                     	       0        8        0        0        8
15670 fonts-ddc-uchen                    	       0       11        0        1       10
15671 fonts-dejavu                       	       0     2104        0        0     2104
15672 fonts-dejavu-web                   	       0       16        0        0       16
15673 fonts-dejima-mincho                	       0       21        1        2       18
15674 fonts-denemo                       	       0       22        0        0       22
15675 fonts-deva                         	       0       59        0        0       59
15676 fonts-deva-extra                   	       0       60        0        5       55
15677 fonts-dkg-handwriting              	       0       20        0        2       18
15678 fonts-dosis                        	       0       29        0        0       29
15679 fonts-dotgothic16                  	       0       10        0        0       10
15680 fonts-droid                        	       0       31        0        2       29
15681 fonts-dseg                         	       0       15        0        1       14
15682 fonts-dustin                       	       0      105        3        3       99
15683 fonts-dzongkha                     	       0       15        0        1       14
15684 fonts-ebgaramond                   	       0       86        0        6       80
15685 fonts-ebgaramond-extra             	       0      200        1        4      195
15686 fonts-ecolier-court                	       0       30        0        2       28
15687 fonts-ecolier-lignes-court         	       0       26        0        1       25
15688 fonts-eeyek                        	       0       10        0        1        9
15689 fonts-elstob                       	       0       14        0        1       13
15690 fonts-elusive-icons                	       0       51        0        0       51
15691 fonts-engadget                     	       0       15        0        2       13
15692 fonts-entypo                       	       0       10        0        0       10
15693 fonts-essays1743                   	       0       19        0        1       18
15694 fonts-eurofurence                  	       0       16        0        0       16
15695 fonts-evertype-conakry             	       0       13        0        1       12
15696 fonts-f500                         	       0       25        0        0       25
15697 fonts-fantasma                     	       0       13        0        1       12
15698 fonts-fanwood                      	       0       21        0        1       20
15699 fonts-farsiweb                     	       0       15        0        2       13
15700 fonts-femkeklaver                  	       0       43        0        1       42
15701 fonts-ferrite-core                 	       0       14        0        1       13
15702 fonts-firacode                     	       0       77        3        7       67
15703 fonts-fork-awesome                 	       0       49        0        3       46
15704 fonts-freefarsi                    	       0       14        0        2       12
15705 fonts-freefont-otf                 	       0      303       26       98      179
15706 fonts-gamaliel                     	       0       15        0        0       15
15707 fonts-gargi                        	       0       69        0        2       67
15708 fonts-gemunu-libre                 	       0       10        0        0       10
15709 fonts-georgewilliams               	       0       29        1        3       25
15710 fonts-gfs-artemisia                	       0      199        1        4      194
15711 fonts-gfs-baskerville              	       0      288        0        4      284
15712 fonts-gfs-bodoni-classic           	       0      108        0        1      107
15713 fonts-gfs-complutum                	       0      191        0        0      191
15714 fonts-gfs-didot                    	       0      199        0        3      196
15715 fonts-gfs-didot-classic            	       0      110        0        0      110
15716 fonts-gfs-gazis                    	       0      108        0        1      107
15717 fonts-gfs-neohellenic              	       0      199        0        3      196
15718 fonts-gfs-olga                     	       0      198        0        1      197
15719 fonts-gfs-porson                   	       0      287        0        3      284
15720 fonts-gfs-solomos                  	       0      199        0        2      197
15721 fonts-gfs-theokritos               	       0      108        0        2      106
15722 fonts-glasstty                     	       0       15        0        1       14
15723 fonts-glewlwyd                     	       0        3        0        0        3
15724 fonts-glyphicons-halflings         	       0      256        0        3      253
15725 fonts-gnutypewriter                	       0       20        0        0       20
15726 fonts-go                           	       0      175        0       10      165
15727 fonts-gotico-antiqua               	       0       12        0        1       11
15728 fonts-goudybookletter              	       0       22        0        1       21
15729 fonts-gr-framework                 	       0        1        0        0        1
15730 fonts-gubbi                        	       0       58        0        1       57
15731 fonts-gujr                         	       0       59        0        0       59
15732 fonts-gujr-extra                   	       0       59        1        7       51
15733 fonts-guru                         	       0       57        0        0       57
15734 fonts-guru-extra                   	       0       57        1        0       56
15735 fonts-hack-otf                     	       0       23        0        0       23
15736 fonts-hack-ttf                     	       0       41        0        0       41
15737 fonts-hack-web                     	       0       21        0        0       21
15738 fonts-hanazono                     	       0       17        0        3       14
15739 fonts-havana                       	       0       15        0        1       14
15740 fonts-hermit                       	       0       16        0        1       15
15741 fonts-horai-umefont                	       0       16        0        1       15
15742 fonts-hosny-amiri                  	       0      120        7       21       92
15743 fonts-hosny-thabit                 	       0       12        0        0       12
15744 fonts-humor-sans                   	       0       27        0        0       27
15745 fonts-ibm-plex                     	       0       25        1        4       20
15746 fonts-inconsolata                  	       0      196        7       21      168
15747 fonts-indic                        	       0       31        0        0       31
15748 fonts-inter                        	       0      142        2       21      119
15749 fonts-inter-variable               	       0       13        0        1       12
15750 fonts-iosevka                      	       0        2        0        2        0
15751 fonts-ipaexfont                    	       0       21        0        0       21
15752 fonts-ipaexfont-gothic             	       0       86        1        4       81
15753 fonts-ipaexfont-mincho             	       0       86        2        2       82
15754 fonts-ipafont                      	       0       63        0        0       63
15755 fonts-ipafont-gothic               	       0      158        7       31      120
15756 fonts-ipafont-mincho               	       0      160        2       18      140
15757 fonts-ipafont-nonfree-jisx0208     	       0       12        0        1       11
15758 fonts-ipafont-nonfree-uigothic     	       0       11        0        0       11
15759 fonts-ipamj-mincho                 	       0       15        1        0       14
15760 fonts-isabella                     	       0       37        0        1       36
15761 fonts-jetbrains-mono-web           	       0        4        0        0        4
15762 fonts-johnsmith-induni             	       0       15        0        1       14
15763 fonts-joscelyn                     	       0       11        0        0       11
15764 fonts-jsmath                       	       0       28        0        2       26
15765 fonts-junction                     	       0       19        0        1       18
15766 fonts-junicode                     	       0      182        1        7      174
15767 fonts-jura                         	       0       26        0        1       25
15768 fonts-kacst                        	       0       24        0        3       21
15769 fonts-kacst-one                    	       0       28        0        2       26
15770 fonts-kalapi                       	       0       60        0        1       59
15771 fonts-kanjistrokeorders            	       0       55        1        3       51
15772 fonts-karla                        	       0       18        0        1       17
15773 fonts-karmilla                     	       0       12        0        1       11
15774 fonts-katex                        	       0       47        1        3       43
15775 fonts-kaushanscript                	       0       15        0        1       14
15776 fonts-khmeros                      	       0       17        1        3       13
15777 fonts-kiloji                       	       0       13        0        1       12
15778 fonts-klaudia-berenika             	       0       18        0        1       17
15779 fonts-klee                         	       0       11        0        0       11
15780 fonts-knda                         	       0       57        0        0       57
15781 fonts-knda-extra                   	       0        6        0        1        5
15782 fonts-kode-mono                    	       0        3        0        1        2
15783 fonts-komatuna                     	       0       12        0        1       11
15784 fonts-konatu                       	       0       14        0        2       12
15785 fonts-kouzan-mouhitsu              	       0       12        0        1       11
15786 fonts-kristi                       	       0       19        0        2       17
15787 fonts-lao                          	       0       13        0        0       13
15788 fonts-larabie-deco                 	       0       21        0        2       19
15789 fonts-larabie-straight             	       0       20        0        3       17
15790 fonts-larabie-uncommon             	       0       19        0        3       16
15791 fonts-ldco                         	       0        8        0        1        7
15792 fonts-le-murmure                   	       0       12        0        0       12
15793 fonts-league-mono                  	       0       17        0        0       17
15794 fonts-league-spartan               	       0       50        0        2       48
15795 fonts-leckerli-one                 	       0       16        0        0       16
15796 fonts-lemonada                     	       0       10        0        0       10
15797 fonts-levien-museum                	       0       18        0        2       16
15798 fonts-levien-typoscript            	       0       14        0        1       13
15799 fonts-lexi-gulim                   	       0       10        0        1        9
15800 fonts-lexi-saebom                  	       0       10        0        0       10
15801 fonts-lg-aboriginal                	       0       14        0        2       12
15802 fonts-liberation-sans-narrow       	       0      205        1        8      196
15803 fonts-libfinal                     	       0        6        0        0        6
15804 fonts-lindenhill                   	       0       17        0        0       17
15805 fonts-linex                        	       0       35        2        5       28
15806 fonts-linuxlibertine               	       0      795       11       56      728
15807 fonts-lklug-sinhala                	       0       14        0        2       12
15808 fonts-lmodern                      	       0      673       21       59      593
15809 fonts-lobster                      	       0      195        0        3      192
15810 fonts-lobstertwo                   	       0      193        0        3      190
15811 fonts-lohit-beng-assamese          	       0       68        0        0       68
15812 fonts-lohit-beng-bengali           	       0       71        2        5       64
15813 fonts-lohit-deva                   	       0       60        1        4       55
15814 fonts-lohit-deva-marathi           	       0        8        0        2        6
15815 fonts-lohit-deva-nepali            	       0        8        0        1        7
15816 fonts-lohit-gujr                   	       0       59        0        4       55
15817 fonts-lohit-guru                   	       0       57        0        0       57
15818 fonts-lohit-knda                   	       0       59        0        5       54
15819 fonts-lohit-mlym                   	       0       34        0        2       32
15820 fonts-lohit-orya                   	       0       56        0        1       55
15821 fonts-lohit-taml                   	       0       61        1        6       54
15822 fonts-lohit-taml-classical         	       0       61        0        1       60
15823 fonts-lohit-telu                   	       0       60        2        4       54
15824 fonts-londrinaoutline              	       0        1        0        0        1
15825 fonts-lxgw-wenkai                  	       0        6        0        1        5
15826 fonts-lxgw-wenkai-doc              	       0        5        0        0        5
15827 fonts-maitreya                     	       0        3        0        1        2
15828 fonts-manchufont                   	       0       12        0        0       12
15829 fonts-manrope                      	       0       12        0        1       11
15830 fonts-material-design-icons-iconfont	       0       20        0        1       19
15831 fonts-materialdesignicons-webfont  	       0       20        0        1       19
15832 fonts-mathematica                  	       0        6        0        0        6
15833 fonts-mathjax-extras               	       0       44        0        0       44
15834 fonts-meera-inimai                 	       0        9        0        0        9
15835 fonts-meera-taml                   	       0        7        0        0        7
15836 fonts-mgopen                       	       0        3        0        2        1
15837 fonts-migmix                       	       0       13        0        1       12
15838 fonts-mikachan                     	       0        8        0        0        8
15839 fonts-millimetre                   	       0       12        0        1       11
15840 fonts-misaki                       	       0       14        0        1       13
15841 fonts-mlym                         	       0       33        0        0       33
15842 fonts-mmcedar                      	       0       13        0        1       12
15843 fonts-moe-standard-kai             	       0        9        0        0        9
15844 fonts-moe-standard-song            	       0        9        0        0        9
15845 fonts-mona                         	       0       16        0        3       13
15846 fonts-monapo                       	       0       14        0        2       12
15847 fonts-monlam                       	       0        8        0        1        7
15848 fonts-monofur                      	       0       26        0        1       25
15849 fonts-monoid                       	       0       23        0        1       22
15850 fonts-monoid-halfloose             	       0       16        0        1       15
15851 fonts-monoid-halftight             	       0       17        0        1       16
15852 fonts-monoid-loose                 	       0       16        0        1       15
15853 fonts-monoid-tight                 	       0       15        0        0       15
15854 fonts-montserrat                   	       0        5        0        1        4
15855 fonts-morisawa-bizud-gothic        	       0        7        0        0        7
15856 fonts-morisawa-bizud-mincho        	       0        7        0        1        6
15857 fonts-motoya-l-cedar               	       0       16        0        1       15
15858 fonts-motoya-l-maruberi            	       0       11        0        0       11
15859 fonts-mph-2b-damase                	       0       15        0        0       15
15860 fonts-mplus                        	       0       29        0        3       26
15861 fonts-myanmar                      	       0        6        0        1        5
15862 fonts-nafees                       	       0       13        0        1       12
15863 fonts-nakula                       	       0       73        0        1       72
15864 fonts-nanum                        	       0       81        4       16       61
15865 fonts-nanum-coding                 	       0       12        0        0       12
15866 fonts-nanum-eco                    	       0       11        0        0       11
15867 fonts-nanum-extra                  	       0       12        0        1       11
15868 fonts-national-park                	       0       10        0        0       10
15869 fonts-naver-d2coding               	       0        9        0        1        8
15870 fonts-navilu                       	       0       58        0        2       56
15871 fonts-nerd-font-bitstreamverasansmono	       0        1        0        0        1
15872 fonts-nerd-font-cousine            	       0        1        0        1        0
15873 fonts-nerd-font-hack               	       0        1        0        0        1
15874 fonts-nerd-font-meslo              	       0        1        0        0        1
15875 fonts-nerd-font-mononoki           	       0        1        0        0        1
15876 fonts-nerd-font-robotomono         	       0        1        0        0        1
15877 fonts-nerd-font-sourcecodepro      	       0        1        0        0        1
15878 fonts-noto                         	       0      875        0        0      875
15879 fonts-noto-cjk-extra               	       0      849        9       58      782
15880 fonts-noto-hinted                  	       0      619        0        0      619
15881 fonts-noto-unhinted                	       0      865        0        0      865
15882 fonts-ocr-a                        	       0       34        0        1       33
15883 fonts-ocr-b                        	       0       29        0        1       28
15884 fonts-octicons                     	       0        5        0        0        5
15885 fonts-oflb-asana-math              	       0      196        2       16      178
15886 fonts-oflb-euterpe                 	       0       21        0        0       21
15887 fonts-okolaks                      	       0       14        0        0       14
15888 fonts-oldstandard                  	       0       27        0        2       25
15889 fonts-open-sans                    	       0      436       46      198      192
15890 fonts-opendin                      	       0       27        0        0       27
15891 fonts-opendyslexic                 	       0       23        0        1       22
15892 fonts-opensymbol                   	       0     2842       25       92     2725
15893 fonts-oradano-mincho-gsrr          	       0       12        0        1       11
15894 fonts-orya                         	       0       56        0        0       56
15895 fonts-orya-extra                   	       0       57        0        3       54
15896 fonts-osifont                      	       0       24        0        0       24
15897 fonts-ottilie                      	       0        3        0        1        2
15898 fonts-oxygen                       	       0       50        2        3       45
15899 fonts-pagul                        	       0       32        0        0       32
15900 fonts-paktype                      	       0       13        0        1       12
15901 fonts-paratype                     	       0      141        0       11      130
15902 fonts-pc                           	       0       46        0        2       44
15903 fonts-pc-extra                     	       0       45        0        3       42
15904 fonts-pecita                       	       0       13        0        1       12
15905 fonts-play                         	       0       19        0        0       19
15906 fonts-povray                       	       0       36        0        3       33
15907 fonts-powerline                    	       0       50        4        9       37
15908 fonts-pretendard                   	       0        3        0        1        2
15909 fonts-prociono                     	       0       22        0        0       22
15910 fonts-proggy                       	       0       14        0        0       14
15911 fonts-quattrocento                 	       0       29        0        0       29
15912 fonts-quicksand                    	       0       31        1        1       29
15913 fonts-radisnoir                    	       0       25        0        0       25
15914 fonts-rampart                      	       0       10        0        0       10
15915 fonts-recommended                  	       0       30        0        0       30
15916 fonts-reggae                       	       0       10        0        0       10
15917 fonts-ricty-diminished             	       0       16        0        1       15
15918 fonts-rit-sundar                   	       0        8        0        1        7
15919 fonts-roadgeek                     	       0       12        0        1       11
15920 fonts-roboto                       	       0      131        0        0      131
15921 fonts-roboto-fontface              	       0       28        0        5       23
15922 fonts-roboto-hinted                	       0      125        0        0      125
15923 fonts-roboto-slab                  	       0      233        0        6      227
15924 fonts-rocknroll                    	       0       10        0        0       10
15925 fonts-routed-gothic                	       0       10        0        1        9
15926 fonts-rufscript                    	       0       21        0        0       21
15927 fonts-sahadeva                     	       0       62        0        1       61
15928 fonts-sahel                        	       0        3        0        0        3
15929 fonts-sahel-variable               	       0        3        0        1        2
15930 fonts-sambhota-tsugring            	       0       11        0        0       11
15931 fonts-sambhota-yigchung            	       0       11        0        0       11
15932 fonts-samyak                       	       0       11        0        0       11
15933 fonts-samyak-deva                  	       0       60        0        3       57
15934 fonts-samyak-gujr                  	       0       60        0        1       59
15935 fonts-samyak-mlym                  	       0       33        0        0       33
15936 fonts-samyak-orya                  	       0       11        0        1       10
15937 fonts-samyak-taml                  	       0       62        0        2       60
15938 fonts-sarai                        	       0       61        0        1       60
15939 fonts-sawarabi-gothic              	       0       14        0        1       13
15940 fonts-sawarabi-mincho              	       0       15        0        1       14
15941 fonts-schraubenkiste               	       0        1        0        0        1
15942 fonts-senamirmir-washra            	       0       12        0        1       11
15943 fonts-seto                         	       0       13        0        4        9
15944 fonts-sil-abyssinica               	       0       14        0        2       12
15945 fonts-sil-akatab                   	       0        7        0        1        6
15946 fonts-sil-alkalami                 	       0       10        0        1        9
15947 fonts-sil-andika                   	       0      199        1       13      185
15948 fonts-sil-andika-compact           	       0       12        0        0       12
15949 fonts-sil-andikanewbasic           	       0       13        0        1       12
15950 fonts-sil-annapurna                	       0       48        0        5       43
15951 fonts-sil-awami-nastaliq           	       0       11        0        0       11
15952 fonts-sil-charis                   	       0      168        0       11      157
15953 fonts-sil-charis-compact           	       0       14        0        1       13
15954 fonts-sil-dai-banna                	       0       12        0        1       11
15955 fonts-sil-doulos                   	       0       49        0        2       47
15956 fonts-sil-doulos-compact           	       0       21        0        0       21
15957 fonts-sil-ezra                     	       0       16        0        0       16
15958 fonts-sil-galatia                  	       0       16        0        0       16
15959 fonts-sil-gentium                  	       0      815        8       23      784
15960 fonts-sil-gentium-basic            	       0      818        7       27      784
15961 fonts-sil-gentiumplus              	       0      189        0        5      184
15962 fonts-sil-gentiumplus-compact      	       0      183        0        3      180
15963 fonts-sil-harmattan                	       0       11        0        1       10
15964 fonts-sil-lateef                   	       0       12        0        1       11
15965 fonts-sil-mingzat                  	       0       10        0        0       10
15966 fonts-sil-mondulkiri               	       0       11        0        1       10
15967 fonts-sil-mondulkiri-extra         	       0       11        0        1       10
15968 fonts-sil-nuosusil                 	       0       13        0        1       12
15969 fonts-sil-padauk                   	       0      113        1        2      110
15970 fonts-sil-scheherazade             	       0       18        0        2       16
15971 fonts-sil-shimenkan                	       0        9        0        1        8
15972 fonts-sil-shimenkan-gsm            	       0        8        0        1        7
15973 fonts-sil-shimenkan-guifan         	       0        8        0        1        7
15974 fonts-sil-shimenkan-mas            	       0        8        0        1        7
15975 fonts-sil-shimenkan-mgs            	       0        8        0        1        7
15976 fonts-sil-shimenkan-salaowu        	       0        8        0        1        7
15977 fonts-sil-shimenkan-sapushan       	       0        8        0        1        7
15978 fonts-sil-shimenkan-taogu          	       0        8        0        1        7
15979 fonts-sil-shimenkan-zonghe         	       0        8        0        1        7
15980 fonts-sil-sophia-nubian            	       0       13        0        0       13
15981 fonts-sil-tagmukay                 	       0       10        0        0       10
15982 fonts-sil-taiheritagepro           	       0       12        0        2       10
15983 fonts-sil-zaghawa-beria            	       0       12        0        0       12
15984 fonts-sipa-arundina                	       0       11        0        0       11
15985 fonts-sixtyfour                    	       0       40        0        1       39
15986 fonts-sjfonts                      	       0       91        2        3       86
15987 fonts-smc                          	       0       33        0        0       33
15988 fonts-smc-anjalioldlipi            	       0       31        0        1       30
15989 fonts-smc-chilanka                 	       0       31        0        2       29
15990 fonts-smc-dyuthi                   	       0       31        0        1       30
15991 fonts-smc-gayathri                 	       0       30        0        0       30
15992 fonts-smc-karumbi                  	       0       31        0        0       31
15993 fonts-smc-keraleeyam               	       0       31        0        2       29
15994 fonts-smc-manjari                  	       0       31        0        0       31
15995 fonts-smc-meera                    	       0       31        2        8       21
15996 fonts-smc-rachana                  	       0       31        0        2       29
15997 fonts-smc-raghumalayalamsans       	       0       31        0        0       31
15998 fonts-smc-suruma                   	       0       31        0        1       30
15999 fonts-smc-uroob                    	       0       31        0        0       31
16000 fonts-smiley-sans                  	       0        6        0        0        6
16001 fonts-sn-pro                       	       0        3        0        0        3
16002 fonts-solide-mirage                	       0       10        0        1        9
16003 fonts-sora                         	       0       10        0        0       10
16004 fonts-spleen                       	       0       24        0        2       22
16005 fonts-staypuft                     	       0       27        0        0       27
16006 fonts-stick                        	       0       12        0        0       12
16007 fonts-stix                         	       0      272        2       19      251
16008 fonts-summersby                    	       0       22        0        0       22
16009 fonts-tagbanwa                     	       0       10        0        1        9
16010 fonts-takao                        	       0       16        0        0       16
16011 fonts-takao-gothic                 	       0       66        1       10       55
16012 fonts-takao-mincho                 	       0       23        0        1       22
16013 fonts-taml                         	       0       61        0        0       61
16014 fonts-taml-tamu                    	       0        9        0        0        9
16015 fonts-taml-tscu                    	       0       11        0        0       11
16016 fonts-telu                         	       0       60        0        0       60
16017 fonts-telu-extra                   	       0       60        0        3       57
16018 fonts-teluguvijayam                	       0       56        0        5       51
16019 fonts-terminus                     	       0       84        2        7       75
16020 fonts-terminus-otb                 	       0      182        8       24      150
16021 fonts-texgyre                      	       0      548       34      110      404
16022 fonts-texgyre-math                 	       0      426        3       17      406
16023 fonts-texmacs                      	       0        1        0        0        1
16024 fonts-thai-tlwg                    	       0       23        0        0       23
16025 fonts-thai-tlwg-otf                	       0       11        0        0       11
16026 fonts-thai-tlwg-ttf                	       0        9        0        0        9
16027 fonts-thai-tlwg-web                	       0       11        0        0       11
16028 fonts-tibetan-machine              	       0       15        0        2       13
16029 fonts-tiresias                     	       0       22        0        1       21
16030 fonts-tlwg-garuda                  	       0      116        0        0      116
16031 fonts-tlwg-garuda-otf              	       0      110        0        3      107
16032 fonts-tlwg-garuda-ttf              	       0       24        0        1       23
16033 fonts-tlwg-kinnari                 	       0      113        0        0      113
16034 fonts-tlwg-kinnari-otf             	       0      108        0        7      101
16035 fonts-tlwg-kinnari-ttf             	       0       22        0        1       21
16036 fonts-tlwg-laksaman                	       0      113        0        0      113
16037 fonts-tlwg-laksaman-otf            	       0      108        1        2      105
16038 fonts-tlwg-laksaman-ttf            	       0       22        0        1       21
16039 fonts-tlwg-loma                    	       0      113        0        0      113
16040 fonts-tlwg-loma-otf                	       0      108        2       10       96
16041 fonts-tlwg-loma-ttf                	       0       22        0        1       21
16042 fonts-tlwg-mono                    	       0      114        0        0      114
16043 fonts-tlwg-mono-otf                	       0      108        0        0      108
16044 fonts-tlwg-mono-ttf                	       0       23        0        0       23
16045 fonts-tlwg-norasi                  	       0      113        0        0      113
16046 fonts-tlwg-norasi-otf              	       0      108        0        2      106
16047 fonts-tlwg-norasi-ttf              	       0       22        0        2       20
16048 fonts-tlwg-purisa                  	       0      114        0        0      114
16049 fonts-tlwg-purisa-otf              	       0      108        0        0      108
16050 fonts-tlwg-purisa-ttf              	       0       26        0        2       24
16051 fonts-tlwg-sawasdee                	       0      112        0        0      112
16052 fonts-tlwg-sawasdee-otf            	       0      107        0        0      107
16053 fonts-tlwg-sawasdee-ttf            	       0       21        0        1       20
16054 fonts-tlwg-typewriter              	       0      112        0        0      112
16055 fonts-tlwg-typewriter-otf          	       0      107        0        1      106
16056 fonts-tlwg-typewriter-ttf          	       0       21        0        1       20
16057 fonts-tlwg-typist                  	       0      112        0        0      112
16058 fonts-tlwg-typist-otf              	       0      107        0        0      107
16059 fonts-tlwg-typist-ttf              	       0       21        0        0       21
16060 fonts-tlwg-typo                    	       0      112        0        0      112
16061 fonts-tlwg-typo-otf                	       0      107        0        3      104
16062 fonts-tlwg-typo-ttf                	       0       21        0        1       20
16063 fonts-tlwg-umpush                  	       0      112        0        0      112
16064 fonts-tlwg-umpush-otf              	       0      107        1        5      101
16065 fonts-tlwg-umpush-ttf              	       0       21        0        0       21
16066 fonts-tlwg-waree                   	       0      121        0        0      121
16067 fonts-tlwg-waree-otf               	       0      107        1        2      104
16068 fonts-tlwg-waree-ttf               	       0       30        1        3       26
16069 fonts-tomsontalks                  	       0       24        0        0       24
16070 fonts-topaz-unicode                	       0        3        0        1        2
16071 fonts-train                        	       0       12        0        0       12
16072 fonts-triod-postnaja               	       0       11        0        1       10
16073 fonts-tt2020                       	       0        3        0        1        2
16074 fonts-ttf-decoratives              	       0        1        0        1        0
16075 fonts-ttf-west-european            	       0        1        0        1        0
16076 fonts-tuffy                        	       0       82        1        3       78
16077 fonts-twemoji-svginot              	       0        1        0        1        0
16078 fonts-type1-cyrillic               	       0        1        0        0        1
16079 fonts-ubuntu-console               	       0       23        0        0       23
16080 fonts-ubuntu-font-family-console   	       0        1        0        0        1
16081 fonts-ubuntu-title                 	       0       22        0        0       22
16082 fonts-ukij-uyghur                  	       0       12        0        1       11
16083 fonts-umeplus                      	       0       14        0        3       11
16084 fonts-umeplus-cl                   	       0       11        0        1       10
16085 fonts-unfonts-core                 	       0      124        6       20       98
16086 fonts-unfonts-extra                	       0      110        0        2      108
16087 fonts-unifont                      	       0      158       10       36      112
16088 fonts-unifrakturcook               	       0        1        0        0        1
16089 fonts-unifrakturmaguntia           	       0        1        0        0        1
16090 fonts-unikurdweb                   	       0       14        0        1       13
16091 fonts-uniol                        	       0        7        0        0        7
16092 fonts-uralic                       	       0       48        0       10       38
16093 fonts-vazirmatn                    	       0        4        0        1        3
16094 fonts-vazirmatn-variable           	       0        3        0        0        3
16095 fonts-vollkorn                     	       0       22        0        2       20
16096 fonts-weather-icons                	       0        2        0        0        2
16097 fonts-wine                         	       0      522        4        5      513
16098 fonts-woowa-bm                     	       0        8        0        0        8
16099 fonts-woowa-hanna                  	       0        3        0        1        2
16100 fonts-wqy-microhei                 	       0       91        2       22       67
16101 fonts-xfree86-nonfree              	       0       30        0        4       26
16102 fonts-xfree86-nonfree-syriac       	       0        9        1        2        6
16103 fonts-yanone-kaffeesatz            	       0       21        0        2       19
16104 fonts-yozvox-yozfont               	       0       13        0        0       13
16105 fonts-yozvox-yozfont-antique       	       0       13        0        1       12
16106 fonts-yozvox-yozfont-cute          	       0       13        0        1       12
16107 fonts-yozvox-yozfont-edu           	       0       13        0        1       12
16108 fonts-yozvox-yozfont-new-kana      	       0       13        0        1       12
16109 fonts-yozvox-yozfont-standard-kana 	       0       13        0        1       12
16110 fonts-yrsa-rasa                    	       0       57        0        3       54
16111 fonts-yusei-magic                  	       0       10        0        0       10
16112 fontsnaps                          	       0      134        0      134        0
16113 fonttools-eexecop                  	       0        1        0        1        0
16114 fonty                              	       0        1        0        0        1
16115 fonty-rg                           	       0       14        0       14        0
16116 fontypython                        	       0        3        0        3        0
16117 foo2zjs                            	       0        3        0        0        3
16118 foobillard                         	       0        1        0        1        0
16119 foobillardplus                     	       0       10        0       10        0
16120 foobillardplus-data                	       0       10        0        0       10
16121 fookb                              	       0        2        0        2        0
16122 fookb-wmaker                       	       0        1        0        0        1
16123 foomatic-db                        	       0      114        0        0      114
16124 foomatic-db-gutenprint             	       0       17        0        0       17
16125 foomatic-filters                   	       0        5        0        5        0
16126 foomatic-filters-ppds              	       0        1        0        0        1
16127 foomatic-gui                       	       0        1        0        1        0
16128 foot-terminfo                      	       0       46        0        0       46
16129 foot-themes                        	       0        6        0        0        6
16130 fop                                	       0       58        2       56        0
16131 fop-doc                            	       0        9        0        0        9
16132 fopnu                              	       0        1        0        1        0
16133 forensic-artifacts                 	       0       23        0        0       23
16134 forensics-all                      	       0       15        0        0       15
16135 forensics-all-gui                  	       0        4        0        0        4
16136 forensics-colorize                 	       0       23        0       23        0
16137 forensics-extra                    	       0       14        0        0       14
16138 forensics-extra-gui                	       0        6        0        0        6
16139 forensics-full                     	       0        4        0        0        4
16140 forensics-samples-all              	       0        5        0        0        5
16141 forensics-samples-btrfs            	       0        5        0        0        5
16142 forensics-samples-exfat            	       0        5        0        0        5
16143 forensics-samples-ext2             	       0        5        0        0        5
16144 forensics-samples-ext4             	       0        5        0        0        5
16145 forensics-samples-files            	       0        6        0        0        6
16146 forensics-samples-multiple         	       0        5        0        0        5
16147 forensics-samples-ntfs             	       0        7        0        0        7
16148 forensics-samples-tools            	       0        8        0        8        0
16149 forensics-samples-vfat             	       0        5        0        0        5
16150 forg                               	       0        2        0        2        0
16151 forge-doc                          	       0        1        0        0        1
16152 forked-daapd                       	       0        2        1        1        0
16153 forkstat                           	       0        4        0        4        0
16154 formiko                            	       0       10        0       10        0
16155 fort77                             	       0        9        1        8        0
16156 forticlient                        	       0        6        1        5        0
16157 forticlient-sslvpn                 	       0        1        0        0        1
16158 fortran-language-server            	       0        2        0        2        0
16159 fortunate.app                      	       0        2        0        2        0
16160 fortune-anarchism                  	       0       17        0        0       17
16161 fortune-zh                         	       0        1        0        1        0
16162 fortunes                           	       0       91        0        0       91
16163 fortunes-americanpie               	       0        1        0        0        1
16164 fortunes-beastwars                 	       0        1        0        0        1
16165 fortunes-bofh-excuses              	       0       54        0        0       54
16166 fortunes-br                        	       0        2        0        0        2
16167 fortunes-calvin                    	       0        1        0        0        1
16168 fortunes-ccs                       	       0        1        0        0        1
16169 fortunes-cs                        	       0        1        0        0        1
16170 fortunes-de                        	       0        7        0        7        0
16171 fortunes-debian-hints              	       0       65        0        0       65
16172 fortunes-devuan-quotes             	       0        1        0        0        1
16173 fortunes-discworld                 	       0        1        0        0        1
16174 fortunes-dragonball                	       0        1        0        0        1
16175 fortunes-ens                       	       0        1        0        0        1
16176 fortunes-eo                        	       0        1        0        0        1
16177 fortunes-eo-ascii                  	       0        1        0        0        1
16178 fortunes-eo-iso3                   	       0        1        0        0        1
16179 fortunes-es                        	       0        5        0        0        5
16180 fortunes-es-off                    	       0        3        0        0        3
16181 fortunes-fgump                     	       0        1        0        0        1
16182 fortunes-fr                        	       0        4        0        0        4
16183 fortunes-futurama                  	       0        1        0        0        1
16184 fortunes-ga                        	       0        1        0        0        1
16185 fortunes-hayward                   	       0        1        0        0        1
16186 fortunes-hitchhiker                	       0        1        0        0        1
16187 fortunes-it                        	       0      120        0        0      120
16188 fortunes-it-brianza                	       0        1        0        0        1
16189 fortunes-it-off                    	       0        4        0        0        4
16190 fortunes-kernelcookies             	       0        1        0        0        1
16191 fortunes-liberty                   	       0        1        0        0        1
16192 fortunes-mario                     	       0        5        0        0        5
16193 fortunes-matrix                    	       0        1        0        0        1
16194 fortunes-min                       	       0     1147        0        0     1147
16195 fortunes-off                       	       0       27        0        0       27
16196 fortunes-opensources               	       0        1        0        0        1
16197 fortunes-outlawstar                	       0        1        0        0        1
16198 fortunes-pl                        	       0        2        0        0        2
16199 fortunes-pooh                      	       0        1        0        0        1
16200 fortunes-programming-style         	       0        1        0        0        1
16201 fortunes-ru                        	       0        7        0        0        7
16202 fortunes-simpsons                  	       0        1        0        0        1
16203 fortunes-simpsons-chalkboard       	       0        1        0        0        1
16204 fortunes-simpsons-comicbookguy     	       0        1        0        0        1
16205 fortunes-simpsons-ralph            	       0        1        0        0        1
16206 fortunes-southpark                 	       0        1        0        0        1
16207 fortunes-starwars                  	       0        1        0        0        1
16208 fortunes-stephenwright             	       0        1        0        0        1
16209 fortunes-tao                       	       0        1        0        0        1
16210 fortunes-tenchi                    	       0        1        0        0        1
16211 fortunes-xfiles                    	       0        1        0        0        1
16212 fortunes-xian-koans                	       0        1        0        0        1
16213 fortunes-zh                        	       0        1        0        1        0
16214 fosfat                             	       0        2        0        2        0
16215 fotoxx                             	       0       22        0       22        0
16216 fotoxx-common                      	       0       24        0        0       24
16217 foxtrotgps                         	       0       14        0       14        0
16218 fp-compiler                        	       0       40        0        0       40
16219 fp-compiler-2.6.4                  	       0        4        0        4        0
16220 fp-compiler-3.0.0                  	       0        6        0        6        0
16221 fp-compiler-3.0.4                  	       0        8        1        7        0
16222 fp-docs                            	       0        9        0        0        9
16223 fp-docs-2.6.0                      	       0        1        0        0        1
16224 fp-docs-3.0.0                      	       0        5        0        0        5
16225 fp-docs-3.0.4                      	       0        4        0        0        4
16226 fp-docs-3.2.0                      	       0       15        0        0       15
16227 fp-docs-3.2.2                      	       0       79        0        0       79
16228 fp-ide                             	       0       30        0        0       30
16229 fp-ide-2.6.4                       	       0        1        0        1        0
16230 fp-ide-3.0.0                       	       0        6        0        6        0
16231 fp-ide-3.0.4                       	       0        4        1        3        0
16232 fp-ide-3.2.0                       	       0       10        0       10        0
16233 fp-units-base                      	       0       11        0        0       11
16234 fp-units-base-2.6.4                	       0        3        0        3        0
16235 fp-units-base-3.0.0                	       0        6        0        6        0
16236 fp-units-base-3.0.4                	       0        3        0        0        3
16237 fp-units-base-3.2.0                	       0       12        0        0       12
16238 fp-units-base-3.2.2                	       0       77        0        0       77
16239 fp-units-db                        	       0        6        0        0        6
16240 fp-units-db-2.6.4                  	       0        1        0        1        0
16241 fp-units-db-3.0.0                  	       0        6        0        6        0
16242 fp-units-db-3.0.4                  	       0        3        0        0        3
16243 fp-units-db-3.2.0                  	       0       10        0        0       10
16244 fp-units-db-3.2.2                  	       0       77        0        0       77
16245 fp-units-fcl                       	       0       12        0        0       12
16246 fp-units-fcl-2.6.4                 	       0        1        0        0        1
16247 fp-units-fcl-3.0.0                 	       0        6        0        6        0
16248 fp-units-fcl-3.0.4                 	       0        3        0        0        3
16249 fp-units-fcl-3.2.0                 	       0       11        0        0       11
16250 fp-units-fcl-3.2.2                 	       0       77        0        0       77
16251 fp-units-fv                        	       0        5        0        0        5
16252 fp-units-fv-2.6.4                  	       0        1        0        1        0
16253 fp-units-fv-3.0.0                  	       0        6        0        6        0
16254 fp-units-fv-3.0.4                  	       0        3        0        0        3
16255 fp-units-fv-3.2.0                  	       0       10        0        0       10
16256 fp-units-fv-3.2.2                  	       0       77        0        0       77
16257 fp-units-gfx                       	       0        6        0        0        6
16258 fp-units-gfx-2.6.4                 	       0        3        0        3        0
16259 fp-units-gfx-3.0.0                 	       0        6        0        6        0
16260 fp-units-gfx-3.0.4                 	       0        3        0        0        3
16261 fp-units-gfx-3.2.0                 	       0       11        0        0       11
16262 fp-units-gfx-3.2.2                 	       0       77        0        0       77
16263 fp-units-gtk2                      	       0        9        0        0        9
16264 fp-units-gtk2-2.6.4                	       0        1        0        1        0
16265 fp-units-gtk2-3.0.0                	       0        5        0        5        0
16266 fp-units-gtk2-3.0.4                	       0        2        0        0        2
16267 fp-units-gtk2-3.2.0                	       0        9        0        0        9
16268 fp-units-gtk2-3.2.2                	       0       74        0        0       74
16269 fp-units-i386                      	       0        3        0        0        3
16270 fp-units-i386-3.2.0                	       0        2        0        0        2
16271 fp-units-i386-3.2.2                	       0        2        0        0        2
16272 fp-units-math                      	       0        5        0        0        5
16273 fp-units-math-2.6.4                	       0        1        0        1        0
16274 fp-units-math-3.0.0                	       0        6        0        6        0
16275 fp-units-math-3.0.4                	       0        3        0        0        3
16276 fp-units-math-3.2.0                	       0       10        0        0       10
16277 fp-units-math-3.2.2                	       0       77        0        0       77
16278 fp-units-misc                      	       0       10        0        0       10
16279 fp-units-misc-2.6.4                	       0        1        0        1        0
16280 fp-units-misc-3.0.0                	       0        6        0        6        0
16281 fp-units-misc-3.0.4                	       0        3        0        0        3
16282 fp-units-misc-3.2.0                	       0       11        0        0       11
16283 fp-units-misc-3.2.2                	       0       77        0        0       77
16284 fp-units-multimedia                	       0        5        0        0        5
16285 fp-units-multimedia-3.0.0          	       0        6        0        6        0
16286 fp-units-multimedia-3.0.4          	       0        3        0        0        3
16287 fp-units-multimedia-3.2.0          	       0       11        0        0       11
16288 fp-units-multimedia-3.2.2          	       0       77        0        0       77
16289 fp-units-net                       	       0        5        0        0        5
16290 fp-units-net-2.6.4                 	       0        1        0        1        0
16291 fp-units-net-3.0.0                 	       0        6        0        6        0
16292 fp-units-net-3.0.4                 	       0        3        0        0        3
16293 fp-units-net-3.2.0                 	       0       10        0        0       10
16294 fp-units-net-3.2.2                 	       0       77        0        0       77
16295 fp-units-rtl                       	       0        7        0        0        7
16296 fp-units-rtl-2.6.4                 	       0        4        0        4        0
16297 fp-units-rtl-3.0.0                 	       0        6        0        6        0
16298 fp-units-rtl-3.0.4                 	       0        8        0        0        8
16299 fp-units-rtl-3.2.0                 	       0       22        0        0       22
16300 fp-units-wasm                      	       0        2        0        0        2
16301 fp-units-wasm-3.2.2                	       0        2        0        0        2
16302 fp-units-win-base                  	       0        1        0        0        1
16303 fp-units-win-base-3.2.2            	       0        1        0        0        1
16304 fp-units-win-db                    	       0        1        0        0        1
16305 fp-units-win-db-3.2.2              	       0        1        0        0        1
16306 fp-units-win-fcl                   	       0        1        0        0        1
16307 fp-units-win-fcl-3.2.2             	       0        1        0        0        1
16308 fp-units-win-fv                    	       0        1        0        0        1
16309 fp-units-win-fv-3.2.2              	       0        1        0        0        1
16310 fp-units-win-gfx                   	       0        1        0        0        1
16311 fp-units-win-gfx-3.2.2             	       0        1        0        0        1
16312 fp-units-win-gtk2                  	       0        1        0        0        1
16313 fp-units-win-gtk2-3.2.2            	       0        1        0        0        1
16314 fp-units-win-math                  	       0        1        0        0        1
16315 fp-units-win-math-3.2.2            	       0        1        0        0        1
16316 fp-units-win-misc                  	       0        1        0        0        1
16317 fp-units-win-misc-3.2.2            	       0        1        0        0        1
16318 fp-units-win-multimedia            	       0        1        0        0        1
16319 fp-units-win-multimedia-3.2.2      	       0        1        0        0        1
16320 fp-units-win-net                   	       0        1        0        0        1
16321 fp-units-win-net-3.2.2             	       0        1        0        0        1
16322 fp-units-win-rtl                   	       0        1        0        0        1
16323 fp-units-win-rtl-3.2.2             	       0        1        0        0        1
16324 fp-units-win-wasm                  	       0        1        0        0        1
16325 fp-units-win-wasm-3.2.2            	       0        1        0        0        1
16326 fp-utils-2.6.4                     	       0        3        0        3        0
16327 fp-utils-3.0.0                     	       0        5        0        5        0
16328 fp-utils-3.0.4                     	       0        8        1        7        0
16329 fpa-admin-utils                    	       0        1        0        1        0
16330 fpa-ansible-essentials             	       0        7        0        0        7
16331 fpa-apt-listchanges                	       0        1        0        0        1
16332 fpa-audio-manipulation             	       0        5        0        5        0
16333 fpa-autostart-x                    	       0        1        0        0        1
16334 fpa-benchmark                      	       0        4        0        4        0
16335 fpa-black-scholes                  	       0        3        0        3        0
16336 fpa-brainworkshop                  	       0        2        0        2        0
16337 fpa-chromedriver                   	       0        1        0        0        1
16338 fpa-chromium-sandboxed             	       0        1        0        0        1
16339 fpa-clipfixfilename                	       0        3        0        3        0
16340 fpa-config-apache-proxy-pass       	       0        2        0        0        2
16341 fpa-config-dotfiles                	       0        9        0        9        0
16342 fpa-config-ethers                  	       0        2        0        0        2
16343 fpa-config-hblock                  	       0        1        0        1        0
16344 fpa-config-lyx                     	       0        2        0        0        2
16345 fpa-config-openvpn                 	       0        5        0        5        0
16346 fpa-config-ssh-server              	       0        3        0        0        3
16347 fpa-config-sudo                    	       0       10        0        0       10
16348 fpa-config-sword                   	       0        4        0        4        0
16349 fpa-config-taskwarrior             	       0        3        0        0        3
16350 fpa-config-vim                     	       0        7        0        7        0
16351 fpa-containers-essentials-lxc      	       0        3        0        0        3
16352 fpa-debian-autoupdates             	       0        8        0        0        8
16353 fpa-debian-updater                 	       0        8        0        8        0
16354 fpa-desktop-common                 	       0        1        0        0        1
16355 fpa-dev-debianbuild                	       0        1        0        1        0
16356 fpa-dev-generic                    	       0        3        0        3        0
16357 fpa-drupal-scripts                 	       0        2        0        2        0
16358 fpa-firefox                        	       0        2        0        2        0
16359 fpa-fixfilenames-perl              	       0        4        0        4        0
16360 fpa-fot                            	       0        2        0        0        2
16361 fpa-fuzzy-tools                    	       0        1        0        1        0
16362 fpa-getlinks-python                	       0        3        0        3        0
16363 fpa-google-chrome-repo             	       0        3        0        0        3
16364 fpa-holyday-calendar               	       0        2        0        2        0
16365 fpa-html-utils                     	       0        2        0        2        0
16366 fpa-investment-calculations        	       0        4        0        4        0
16367 fpa-iptables-config-doc            	       0        1        0        0        1
16368 fpa-ksounds                        	       0        1        0        1        0
16369 fpa-ksounds-files                  	       0        1        0        0        1
16370 fpa-lanemotions                    	       0        2        0        2        0
16371 fpa-latex-scripts                  	       0        2        0        2        0
16372 fpa-lxc-utils                      	       0        2        0        2        0
16373 fpa-meta-admin                     	       0        1        0        0        1
16374 fpa-metal-essentials               	       0        4        0        0        4
16375 fpa-mktree                         	       0        2        0        2        0
16376 fpa-mountiso                       	       0        1        0        1        0
16377 fpa-mp3info                        	       0        4        0        0        4
16378 fpa-mp3info-python                 	       0        1        0        1        0
16379 fpa-mp3tools                       	       0        1        0        0        1
16380 fpa-nextcloud-kdocs-backup         	       0        1        0        1        0
16381 fpa-noip                           	       0        1        0        1        0
16382 fpa-nopulseaudio                   	       0        3        0        3        0
16383 fpa-ookla-speedtest                	       0        2        0        2        0
16384 fpa-pcloud                         	       0        3        1        2        0
16385 fpa-pipewire                       	       0        2        0        2        0
16386 fpa-pkg                            	       0        1        0        1        0
16387 fpa-pyscraper                      	       0        2        0        2        0
16388 fpa-python-modules                 	       0        1        0        1        0
16389 fpa-python-pyvirtualdisplay        	       0        3        0        2        1
16390 fpa-reboot-update                  	       0        5        0        5        0
16391 fpa-repo-brave-browser             	       0        3        0        0        3
16392 fpa-repo-devuan-backports          	       0        1        0        0        1
16393 fpa-repo-devuan-beowulf            	       0        4        0        0        4
16394 fpa-repo-devuan-chimaera           	       0        1        0        0        1
16395 fpa-repo-devuan-daedalus           	       0        2        0        0        2
16396 fpa-repo-elasticsearch             	       0        1        0        0        1
16397 fpa-repo-nextcloud                 	       0        3        0        0        3
16398 fpa-repo-nordvpn                   	       0        2        0        0        2
16399 fpa-repo-owncloud                  	       0        1        0        0        1
16400 fpa-repo-private                   	       0       10        0        0       10
16401 fpa-repo-recoll                    	       0        2        0        0        2
16402 fpa-repo-signal                    	       0        2        0        0        2
16403 fpa-repo-x2go                      	       0        3        0        0        3
16404 fpa-repo-xpra                      	       0        3        0        0        3
16405 fpa-rtunnel                        	       0        2        0        2        0
16406 fpa-scanner-scripts                	       0        1        0        1        0
16407 fpa-scrape-python                  	       0        1        0        1        0
16408 fpa-security-scripts               	       0        3        0        3        0
16409 fpa-services                       	       0        3        0        3        0
16410 fpa-silence-notifications          	       0        2        0        2        0
16411 fpa-sndreset                       	       0        2        0        2        0
16412 fpa-sync-scripts                   	       0        7        0        7        0
16413 fpa-system-monitor-temps           	       0        7        0        7        0
16414 fpa-test                           	       0        1        0        1        0
16415 fpa-thistothat-scripts             	       0        3        0        3        0
16416 fpa-web-scripts                    	       0        6        0        6        0
16417 fpa-webtools-apache                	       0        6        0        6        0
16418 fpa-wgetpaste                      	       0        1        0        1        0
16419 fpa-wine-scripts                   	       0        4        0        4        0
16420 fpa-xclip-scripts                  	       0        2        0        2        0
16421 fpa-xpad                           	       0        3        0        3        0
16422 fpart                              	       0        3        0        3        0
16423 fpc                                	       0       80        0        0       80
16424 fpc-3.0.0                          	       0        5        0        0        5
16425 fpc-3.0.4                          	       0        2        0        0        2
16426 fpc-3.2.0                          	       0        7        0        0        7
16427 fpc-3.2.2                          	       0       73        0        0       73
16428 fpc-laz                            	       0        7        0        7        0
16429 fpc-source                         	       0       16        0        0       16
16430 fpc-source-3.0.0                   	       0        5        0        0        5
16431 fpc-source-3.0.4                   	       0        8        0        0        8
16432 fpc-source-3.2.0                   	       0       22        0        0       22
16433 fpc-source-3.2.2                   	       0       90        0        0       90
16434 fpc-src                            	       0        7        0        7        0
16435 fpdns                              	       0        3        0        3        0
16436 fpga-icestorm                      	       0        6        1        5        0
16437 fpga-icestorm-chipdb               	       0        7        0        0        7
16438 fpga-trellis                       	       0        1        0        1        0
16439 fpga-trellis-database              	       0        1        0        0        1
16440 fpgatools                          	       0        5        0        5        0
16441 fplll-tools                        	       0        1        0        1        0
16442 fpm2                               	       0        2        1        1        0
16443 fprint-demo                        	       0        4        0        4        0
16444 fprintd-doc                        	       0        4        0        0        4
16445 fprobe                             	       0        3        1        2        0
16446 fpzip-utils                        	       0        1        0        1        0
16447 fqterm                             	       0        1        1        0        0
16448 fractalnow                         	       0        4        0        4        0
16449 fractgen                           	       0        4        0        4        0
16450 frameworkintegration6              	       0       23        0        2       21
16451 fraqtive                           	       0       12        0       12        0
16452 fred                               	       0        1        0        1        0
16453 fred-reports                       	       0        1        0        0        1
16454 free42-nologo                      	       0        5        0        5        0
16455 freealchemist                      	       0       15        0       15        0
16456 freebirth                          	       0        3        0        3        0
16457 freebirth-data                     	       0        3        0        0        3
16458 freebsd-buildutils                 	       0        3        1        2        0
16459 freebsd-glue                       	       0        4        2        2        0
16460 freebsd-manpages                   	       0        7        0        0        7
16461 freebsd-mk                         	       0        3        0        0        3
16462 freecad-common                     	       0      118        0        0      118
16463 freecad-python2                    	       0        2        0        2        0
16464 freecad-runtime                    	       0        3        0        0        3
16465 freecdb                            	       0        2        0        2        0
16466 freecell-solver-bin                	       0        2        0        2        0
16467 freeciv                            	       0       48        0       48        0
16468 freeciv-client-extras              	       0       19        0       19        0
16469 freeciv-client-gtk                 	       0       12        0        4        8
16470 freeciv-client-gtk3                	       0       51        2       49        0
16471 freeciv-client-gtk4                	       0        1        0        1        0
16472 freeciv-client-qt                  	       0        5        0        5        0
16473 freeciv-client-sdl                 	       0        5        0        5        0
16474 freeciv-data                       	       0       56        1        0       55
16475 freeciv-ruleset-tools              	       0        4        0        4        0
16476 freeciv-server                     	       0       56        2       54        0
16477 freeciv-sound-standard             	       0        4        0        0        4
16478 freeciv21                          	       0        1        0        1        0
16479 freecol                            	       0       16        1       15        0
16480 freecontact                        	       0        1        0        1        0
16481 freecraft                          	       0        2        0        2        0
16482 freedesktop-sound-theme            	       0        1        0        0        1
16483 freedict-tools                     	       0        1        0        0        1
16484 freedink                           	       0       14        0        0       14
16485 freedink-data                      	       0       14        0        0       14
16486 freedink-dfarc                     	       0       14        0       14        0
16487 freedink-engine                    	       0       14        0       14        0
16488 freedm                             	       0       10        0       10        0
16489 freedom-maker                      	       0        1        0        1        0
16490 freedombox-doc-en                  	       0        1        0        0        1
16491 freedoom                           	       0       42        0       42        0
16492 freedownloadmanager                	       0        1        0        1        0
16493 freedroid                          	       0        6        0        6        0
16494 freedroid-data                     	       0        6        0        0        6
16495 freedroidrpg                       	       0       11        0       11        0
16496 freedroidrpg-data                  	       0       11        0        0       11
16497 freedup                            	       0        1        0        1        0
16498 freedv                             	       0       11        0       11        0
16499 freefem                            	       0        4        0        4        0
16500 freefem++                          	       0        2        0        2        0
16501 freefem++-doc                      	       0        2        0        0        2
16502 freefem-doc                        	       0        2        0        0        2
16503 freefem-examples                   	       0        3        0        0        3
16504 freefem3d                          	       0        1        0        1        0
16505 freefilesync                       	       0        4        0        4        0
16506 freefilesync-build-deps            	       0        1        0        0        1
16507 freefont                           	       0        1        0        1        0
16508 freegish                           	       0        3        0        3        0
16509 freegish-data                      	       0        3        0        0        3
16510 freeglut-build-deps                	       0        1        0        0        1
16511 freeglut3                          	       0      166        0        0      166
16512 freeglut3-dbgsym                   	       0        1        0        1        0
16513 freeglut3-dev                      	       0       95        0       27       68
16514 freeguide                          	       0        1        0        1        0
16515 freehdl                            	       0        3        0        3        0
16516 freeipa-client-epn                 	       0        1        0        1        0
16517 freeipa-client-samba               	       0        1        0        1        0
16518 freeipa-common                     	       0       17        0        0       17
16519 freeipa-healthcheck                	       0        1        0        1        0
16520 freeipmi                           	       0       15        0        0       15
16521 freeipmi-bmc-watchdog              	       0       15        0       15        0
16522 freeipmi-common                    	       0      114        0        0      114
16523 freeipmi-ipmidetect                	       0       18        0       18        0
16524 freeipmi-ipmiseld                  	       0        2        0        2        0
16525 freelan                            	       0        1        0        1        0
16526 freelib                            	       0        1        0        1        0
16527 freemat-help                       	       0        1        0        0        1
16528 freeme2                            	       0        1        0        1        0
16529 freemind                           	       0        5        0        5        0
16530 freemind-doc                       	       0        5        0        0        5
16531 freemind-plugins-svg               	       0        1        0        0        1
16532 freenect                           	       0        1        0        0        1
16533 freenx                             	       0        1        0        0        1
16534 freenx-media                       	       0        1        0        1        0
16535 freenx-rdp                         	       0        1        0        0        1
16536 freenx-server                      	       0        1        0        1        0
16537 freenx-session-launcher            	       0        1        0        1        0
16538 freenx-vnc                         	       0        1        0        0        1
16539 freeorion                          	       0       17        1       16        0
16540 freeorion-build-deps               	       0        1        0        0        1
16541 freeorion-data                     	       0       17        0        0       17
16542 freeorion-dbgsym                   	       0        1        0        1        0
16543 freepats                           	       0      291        0        0      291
16544 freeplane-scripting-api            	       0        3        0        0        3
16545 freeradius                         	       0       30        3       27        0
16546 freeradius-common                  	       0       33        0        0       33
16547 freeradius-config                  	       0       33        0        0       33
16548 freeradius-dhcp                    	       0        1        0        1        0
16549 freeradius-krb5                    	       0        1        0        1        0
16550 freeradius-ldap                    	       0        1        0        1        0
16551 freeradius-mysql                   	       0        1        0        1        0
16552 freeradius-postgresql              	       0        1        0        1        0
16553 freeradius-rest                    	       0        1        0        1        0
16554 freeradius-utils                   	       0       33        0       33        0
16555 freerct                            	       0        1        0        1        0
16556 freerdp-nightly                    	       0        1        0        1        0
16557 freerdp-x11                        	       0       22        1       21        0
16558 freerdp2-dev                       	       0        4        0        4        0
16559 freerdp2-shadow-x11                	       0        8        0        8        0
16560 freerdp2-wayland                   	       0       10        0       10        0
16561 freesci                            	       0        1        0        1        0
16562 freesh-archive-keyring             	       0        7        0        0        7
16563 freesh-keyring                     	       0        1        0        0        1
16564 freeship                           	       0        1        1        0        0
16565 freespacenotifier                  	       0        5        1        4        0
16566 freeswitch                         	       0        1        0        1        0
16567 freeswitch-conf-curl               	       0        1        0        0        1
16568 freeswitch-conf-insideout          	       0        1        0        0        1
16569 freeswitch-conf-sbc                	       0        1        0        0        1
16570 freeswitch-conf-softphone          	       0        1        0        0        1
16571 freeswitch-conf-vanilla            	       0        2        0        0        2
16572 freeswitch-dbg                     	       0        1        0        1        0
16573 freeswitch-doc                     	       0        1        0        0        1
16574 freeswitch-lang                    	       0        2        0        0        2
16575 freeswitch-lang-de                 	       0        1        0        0        1
16576 freeswitch-lang-en                 	       0        2        0        0        2
16577 freeswitch-lang-es                 	       0        1        0        0        1
16578 freeswitch-lang-fr                 	       0        1        0        0        1
16579 freeswitch-lang-he                 	       0        1        0        0        1
16580 freeswitch-lang-pt                 	       0        1        0        0        1
16581 freeswitch-lang-ru                 	       0        1        0        0        1
16582 freeswitch-meta-bare               	       0        1        0        0        1
16583 freeswitch-meta-codecs             	       0        1        0        0        1
16584 freeswitch-meta-conf               	       0        1        0        0        1
16585 freeswitch-meta-lang               	       0        1        0        0        1
16586 freeswitch-meta-mod-say            	       0        1        0        0        1
16587 freeswitch-mod-amr                 	       0        1        0        1        0
16588 freeswitch-mod-amrwb               	       0        1        0        1        0
16589 freeswitch-mod-b64                 	       0        1        0        1        0
16590 freeswitch-mod-bv                  	       0        1        0        1        0
16591 freeswitch-mod-callcenter          	       0        1        0        1        0
16592 freeswitch-mod-cdr-csv             	       0        1        0        1        0
16593 freeswitch-mod-cidlookup           	       0        1        0        1        0
16594 freeswitch-mod-codec2              	       0        1        0        1        0
16595 freeswitch-mod-commands            	       0        1        0        1        0
16596 freeswitch-mod-conference          	       0        1        0        1        0
16597 freeswitch-mod-console             	       0        1        0        1        0
16598 freeswitch-mod-dahdi-codec         	       0        1        0        1        0
16599 freeswitch-mod-db                  	       0        1        0        1        0
16600 freeswitch-mod-dialplan-xml        	       0        1        0        1        0
16601 freeswitch-mod-directory           	       0        1        0        1        0
16602 freeswitch-mod-distributor         	       0        1        0        1        0
16603 freeswitch-mod-dptools             	       0        1        0        1        0
16604 freeswitch-mod-enum                	       0        1        0        1        0
16605 freeswitch-mod-esf                 	       0        1        0        1        0
16606 freeswitch-mod-esl                 	       0        1        0        1        0
16607 freeswitch-mod-event-socket        	       0        1        0        1        0
16608 freeswitch-mod-expr                	       0        1        0        1        0
16609 freeswitch-mod-fifo                	       0        1        0        1        0
16610 freeswitch-mod-flite               	       0        1        0        1        0
16611 freeswitch-mod-fsv                 	       0        1        0        1        0
16612 freeswitch-mod-g723-1              	       0        1        0        1        0
16613 freeswitch-mod-g729                	       0        1        0        1        0
16614 freeswitch-mod-h26x                	       0        1        0        1        0
16615 freeswitch-mod-hash                	       0        1        0        1        0
16616 freeswitch-mod-httapi              	       0        1        0        1        0
16617 freeswitch-mod-isac                	       0        1        0        1        0
16618 freeswitch-mod-json-cdr            	       0        1        0        1        0
16619 freeswitch-mod-local-stream        	       0        1        0        1        0
16620 freeswitch-mod-logfile             	       0        1        0        1        0
16621 freeswitch-mod-loopback            	       0        1        0        1        0
16622 freeswitch-mod-lua                 	       0        1        0        1        0
16623 freeswitch-mod-memcache            	       0        1        0        1        0
16624 freeswitch-mod-mp4v                	       0        1        0        1        0
16625 freeswitch-mod-native-file         	       0        1        0        1        0
16626 freeswitch-mod-opus                	       0        1        0        1        0
16627 freeswitch-mod-png                 	       0        1        0        1        0
16628 freeswitch-mod-rtc                 	       0        1        0        1        0
16629 freeswitch-mod-say-de              	       0        1        0        1        0
16630 freeswitch-mod-say-en              	       0        1        0        1        0
16631 freeswitch-mod-say-es              	       0        1        0        1        0
16632 freeswitch-mod-say-fa              	       0        1        0        1        0
16633 freeswitch-mod-say-fr              	       0        1        0        1        0
16634 freeswitch-mod-say-he              	       0        1        0        1        0
16635 freeswitch-mod-say-hr              	       0        1        0        1        0
16636 freeswitch-mod-say-hu              	       0        1        0        1        0
16637 freeswitch-mod-say-it              	       0        1        0        1        0
16638 freeswitch-mod-say-ja              	       0        1        0        1        0
16639 freeswitch-mod-say-nl              	       0        1        0        1        0
16640 freeswitch-mod-say-pl              	       0        1        0        1        0
16641 freeswitch-mod-say-pt              	       0        1        0        1        0
16642 freeswitch-mod-say-ru              	       0        1        0        1        0
16643 freeswitch-mod-say-th              	       0        1        0        1        0
16644 freeswitch-mod-say-zh              	       0        1        0        1        0
16645 freeswitch-mod-shout               	       0        1        0        1        0
16646 freeswitch-mod-silk                	       0        1        0        1        0
16647 freeswitch-mod-skypopen            	       0        1        0        1        0
16648 freeswitch-mod-skypopen-dbg        	       0        1        0        1        0
16649 freeswitch-mod-sms                 	       0        1        0        1        0
16650 freeswitch-mod-sms-dbg             	       0        1        0        1        0
16651 freeswitch-mod-sndfile             	       0        1        0        1        0
16652 freeswitch-mod-sofia               	       0        1        0        1        0
16653 freeswitch-mod-sofia-dbg           	       0        1        0        1        0
16654 freeswitch-mod-spandsp             	       0        1        0        1        0
16655 freeswitch-mod-theora              	       0        1        0        1        0
16656 freeswitch-mod-tone-stream         	       0        1        0        1        0
16657 freeswitch-mod-tts-commandline     	       0        1        0        1        0
16658 freeswitch-mod-valet-parking       	       0        1        0        1        0
16659 freeswitch-mod-verto               	       0        1        0        1        0
16660 freeswitch-mod-xml-cdr             	       0        1        0        1        0
16661 freeswitch-music-default           	       0        1        0        0        1
16662 freeswitch-sounds-en-us-callie     	       0        2        0        0        2
16663 freeswitch-sysvinit                	       0        2        0        0        2
16664 freeswitch-timezones               	       0        2        0        0        2
16665 freetable                          	       0        2        0        2        0
16666 freetalk                           	       0        1        0        1        0
16667 freetds-bin                        	       0        7        0        7        0
16668 freetds-common                     	       0      102        0        0      102
16669 freetds-dev                        	       0       32        1       31        0
16670 freetds-doc                        	       0       14        0        0       14
16671 freetennis-common                  	       0        2        0        0        2
16672 freetts                            	       0        4        0        0        4
16673 freetuxtv                          	       0       32        0       32        0
16674 freetype-build-deps                	       0        1        0        0        1
16675 freetype1-tools                    	       0        2        0        2        0
16676 freetype2-demos                    	       0       13        0       13        0
16677 freetype2-doc                      	       0       34        0        0       34
16678 freevial                           	       0        2        0        2        0
16679 freewheeling                       	       0        1        0        1        0
16680 freexian-archive-keyring           	       0        1        0        0        1
16681 frei0r-plugins-dev                 	       0       14        0       14        0
16682 frei0r-plugins-doc                 	       0        1        0        0        1
16683 fretsonfire                        	       0        2        0        0        2
16684 fretsonfire-game                   	       0        3        0        3        0
16685 fretsonfire-songs-muldjord         	       0        4        0        0        4
16686 fretsonfire-songs-sectoid          	       0        4        0        0        4
16687 fricas                             	       0        1        0        1        0
16688 fricas-databases                   	       0        1        0        0        1
16689 fricas-doc                         	       0        1        0        0        1
16690 fricas-graphics                    	       0        1        0        0        1
16691 fricas-graphics-data               	       0        1        0        0        1
16692 fricas-hypertex                    	       0        1        0        0        1
16693 fricas-hypertex-data               	       0        1        0        0        1
16694 fricas-source                      	       0        1        0        0        1
16695 fricas-test                        	       0        1        0        1        0
16696 frickelplatz-archive-keyring       	       0        1        0        0        1
16697 frickelplatz-keyring               	       0        1        0        0        1
16698 frickelplatz-keyrings              	       0        1        0        0        1
16699 fritzing-data                      	       0       30        0        0       30
16700 fritzing-parts                     	       0       28        0        0       28
16701 frobby                             	       0        1        0        1        0
16702 frog                               	       0        3        0        3        0
16703 frogatto                           	       0        4        0        4        0
16704 frogatto-build-deps                	       0        1        0        0        1
16705 frogatto-data                      	       0        4        0        0        4
16706 frogatto-dbgsym                    	       0        1        0        1        0
16707 frogdata                           	       0        1        0        1        0
16708 frogr                              	       0        1        0        1        0
16709 frogr-data                         	       0        1        0        0        1
16710 frontdesign                        	       0        1        0        1        0
16711 frotz                              	       0       12        0       12        0
16712 frown                              	       0        1        0        1        0
16713 frown-doc                          	       0        1        0        0        1
16714 froxlor                            	       0        1        0        1        0
16715 frozen-bubble-data                 	       0       52        0        0       52
16716 frr                                	       0        7        2        5        0
16717 frr-doc                            	       0        1        0        0        1
16718 frr-pythontools                    	       0        7        1        6        0
16719 frr-snmp                           	       0        2        1        0        1
16720 fruit                              	       0        7        0        7        0
16721 fs-uae                             	       0       19        0       19        0
16722 fs-uae-arcade                      	       0        6        0        6        0
16723 fs-uae-launcher                    	       0        4        0        4        0
16724 fs-uae-netplay-server              	       0        1        0        1        0
16725 fsa                                	       0        3        1        2        0
16726 fsarchiver                         	       0       29        0       29        0
16727 fsearch                            	       0        5        0        5        0
16728 fsharp                             	       0        7        0        7        0
16729 fslint                             	       0        4        0        4        0
16730 fsmark                             	       0        3        0        3        0
16731 fso-config-general                 	       0        1        0        0        1
16732 fso-datad                          	       0        1        1        0        0
16733 fso-deviced                        	       0        2        1        1        0
16734 fso-deviced-player-gstreamer       	       0        1        0        0        1
16735 fso-frameworkd                     	       0        1        0        1        0
16736 fso-gsm0710muxd                    	       0        1        0        1        0
16737 fso-sounds-yue-base                	       0        1        0        0        1
16738 fsp                                	       0        1        0        1        0
16739 fspanel                            	       0        6        0        6        0
16740 fspy                               	       0        2        0        2        0
16741 fssync                             	       0        1        0        1        0
16742 fst-dev                            	       0        2        0        2        0
16743 fstl                               	       0        9        0        9        0
16744 fstransform                        	       0        7        2        5        0
16745 fstrcmp                            	       0        3        0        3        0
16746 fstrcmp-doc                        	       0        1        0        0        1
16747 fsverity                           	       0        3        0        3        0
16748 fswatch                            	       0       14        2       12        0
16749 fswebcam                           	       0       28        1       27        0
16750 ftdi-eeprom                        	       0        6        0        6        0
16751 fte-docs                           	       0        3        0        0        3
16752 ftgl-dev                           	       0        1        0        0        1
16753 ftnchek                            	       0        3        0        3        0
16754 ftools-fv                          	       0        8        0        8        0
16755 ftools-pow                         	       0       10        0       10        0
16756 ftp-proxy                          	       0        1        0        1        0
16757 ftp-proxy-doc                      	       0        2        0        0        2
16758 ftp-ssl                            	       0       42        2       40        0
16759 ftp-upload                         	       0        4        0        4        0
16760 ftp.app                            	       0        2        0        2        0
16761 ftpcopy                            	       0        4        0        4        0
16762 ftpd                               	       0       10        0        3        7
16763 ftpd-ssl                           	       0        8        0        8        0
16764 ftpgrab                            	       0        2        0        2        0
16765 ftpmirror                          	       0        1        0        1        0
16766 ftpsync                            	       0        1        0        1        0
16767 ftpwatch                           	       0        1        0        1        0
16768 ftxui                              	       0        1        0        1        0
16769 funguloids                         	       0        5        0        5        0
16770 funguloids-data                    	       0        5        0        0        5
16771 funnelweb                          	       0        3        0        3        0
16772 funnelweb-doc                      	       0        2        0        0        2
16773 funny-manpages                     	       0        2        0        0        2
16774 funnyboat                          	       0       10        0       10        0
16775 funtools                           	       0        2        0        2        0
16776 furo                               	       0        7        0        7        0
16777 fuse-convmvfs                      	       0        2        0        2        0
16778 fuse-emulator-common               	       0       14        0        0       14
16779 fuse-emulator-gtk                  	       0       13        0       13        0
16780 fuse-emulator-sdl                  	       0        7        0        7        0
16781 fuse-emulator-utils                	       0       11        0       11        0
16782 fuse-posixovl                      	       0        6        0        6        0
16783 fuse-utils                         	       0        9        0        0        9
16784 fusecompress                       	       0        1        0        1        0
16785 fusedav                            	       0        3        0        3        0
16786 fuseext2                           	       0        9        0        6        3
16787 fusefat                            	       0       16        1       15        0
16788 fuseiso9660                        	       0       15        0       15        0
16789 fusesmb                            	       0       10        0       10        0
16790 fusiondirectory                    	       0        2        0        2        0
16791 fusiondirectory-plugin-mail        	       0        1        0        0        1
16792 fusiondirectory-plugin-mail-schema 	       0        1        0        0        1
16793 fusiondirectory-schema             	       0        2        0        2        0
16794 fusiondirectory-smarty3-acl-render 	       0        2        0        2        0
16795 fusioninventory-agent              	       0        8        2        6        0
16796 fusioninventory-agent-task-deploy  	       0        1        0        1        0
16797 fusioninventory-agent-task-esx     	       0        1        0        1        0
16798 fusioninventory-agent-task-network 	       0        1        0        1        0
16799 futatabi                           	       0        1        0        1        0
16800 fuzz                               	       0        3        0        3        0
16801 fuzzel                             	       0        3        0        3        0
16802 fuzzyocr                           	       0        2        1        1        0
16803 fvwm                               	       0       68        5       63        0
16804 fvwm-crystal                       	       0        9        0        9        0
16805 fvwm-icons                         	       0       21        0        0       21
16806 fvwm1                              	       0        2        0        2        0
16807 fvwm3                              	       0        8        0        8        0
16808 fw4spl                             	       0        1        0        1        0
16809 fwbuilder                          	       0       14        1       13        0
16810 fwbuilder-common                   	       0       14        0        0       14
16811 fwbuilder-doc                      	       0       14        0        0       14
16812 fweb                               	       0        2        0        2        0
16813 fweb-doc                           	       0        1        0        0        1
16814 fwknop-client                      	       0        2        0        2        0
16815 fwlogwatch                         	       0        1        0        1        0
16816 fwsnort                            	       0        2        0        2        0
16817 fwupd-amd64-signed                 	       0      812        0        4      808
16818 fwupd-amd64-signed-template        	       0        4        0        0        4
16819 fwupd-arm64-signed                 	       0        1        0        0        1
16820 fwupd-armhf-signed                 	       0        1        0        0        1
16821 fwupd-doc                          	       0        5        0        0        5
16822 fwupd-i386-signed                  	       0       15        0        0       15
16823 fwupd-tests                        	       0        1        0        0        1
16824 fwupd-unsigned                     	       0        2        0        0        2
16825 fwupdate                           	       0       11        0        2        9
16826 fwupdate-amd64-signed              	       0        1        0        1        0
16827 fx-cast-bridge                     	       0        1        0        1        0
16828 fxcyberjack                        	       0        2        0        2        0
16829 fyi                                	       0        3        0        3        0
16830 fyre                               	       0        3        0        3        0
16831 fyremc-kliens                      	       0        1        0        1        0
16832 fzy                                	       0        8        1        7        0
16833 g++-10-aarch64-linux-gnu           	       0        4        0        4        0
16834 g++-10-arm-linux-gnueabi           	       0        1        0        1        0
16835 g++-10-arm-linux-gnueabihf         	       0        1        0        1        0
16836 g++-10-i686-linux-gnu              	       0        2        0        2        0
16837 g++-10-multilib                    	       0       34        0        0       34
16838 g++-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
16839 g++-10-powerpc64-linux-gnu         	       0        1        0        1        0
16840 g++-10-riscv64-linux-gnu           	       0        1        0        1        0
16841 g++-11                             	       0       39        1       38        0
16842 g++-11-arm-linux-gnueabi           	       0        1        0        1        0
16843 g++-11-arm-linux-gnueabihf         	       0        1        0        1        0
16844 g++-11-multilib                    	       0        5        0        0        5
16845 g++-12-aarch64-linux-gnu           	       0        8        0        8        0
16846 g++-12-arm-linux-gnueabi           	       0        2        0        2        0
16847 g++-12-arm-linux-gnueabihf         	       0        5        0        5        0
16848 g++-12-mips-linux-gnu              	       0        2        0        2        0
16849 g++-12-mipsel-linux-gnu            	       0        2        0        2        0
16850 g++-12-multilib                    	       0       68        0        0       68
16851 g++-12-multilib-mips-linux-gnu     	       0        1        0        0        1
16852 g++-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
16853 g++-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
16854 g++-12-riscv64-linux-gnu           	       0        1        0        1        0
16855 g++-12-x86-64-linux-gnux32         	       0        1        0        1        0
16856 g++-13                             	       0       72        1       71        0
16857 g++-13-aarch64-linux-gnu           	       0        2        0        2        0
16858 g++-13-arm-linux-gnueabihf         	       0        1        0        1        0
16859 g++-13-i686-linux-gnu              	       0        2        0        2        0
16860 g++-13-multilib                    	       0        9        0        0        9
16861 g++-13-x86-64-linux-gnu            	       0       62        1       61        0
16862 g++-14-aarch64-linux-gnu           	       0        3        0        3        0
16863 g++-14-arm-linux-gnueabihf         	       0        3        0        3        0
16864 g++-14-i686-linux-gnu              	       0        4        0        4        0
16865 g++-14-multilib                    	       0       16        0        0       16
16866 g++-14-riscv64-linux-gnu           	       0        1        0        1        0
16867 g++-15                             	       0        1        0        1        0
16868 g++-15-x86-64-linux-gnu            	       0        1        0        1        0
16869 g++-3.3                            	       0        1        0        1        0
16870 g++-4.4                            	       0        6        0        6        0
16871 g++-4.6                            	       0        1        0        1        0
16872 g++-4.7                            	       0        1        0        1        0
16873 g++-4.8                            	       0        3        0        3        0
16874 g++-4.9                            	       0       44        2       41        1
16875 g++-4.9-multilib                   	       0        2        0        0        2
16876 g++-5                              	       0        1        0        1        0
16877 g++-6                              	       0      117        3      114        0
16878 g++-6-multilib                     	       0        2        0        0        2
16879 g++-7                              	       0        3        0        3        0
16880 g++-8                              	       0      122        2      120        0
16881 g++-8-aarch64-linux-gnu            	       0        2        0        2        0
16882 g++-8-arm-linux-gnueabi            	       0        2        0        2        0
16883 g++-8-arm-linux-gnueabihf          	       0        2        0        2        0
16884 g++-8-i686-linux-gnu               	       0        1        0        1        0
16885 g++-8-mips-linux-gnu               	       0        1        0        1        0
16886 g++-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
16887 g++-8-mipsel-linux-gnu             	       0        1        0        1        0
16888 g++-8-multilib                     	       0        5        0        0        5
16889 g++-9                              	       0        9        0        9        0
16890 g++-aarch64-linux-gnu              	       0       16        0       16        0
16891 g++-arm-linux-gnueabi              	       0        4        0        4        0
16892 g++-arm-linux-gnueabihf            	       0       13        0       13        0
16893 g++-i686-linux-gnu                 	       0        8        0        8        0
16894 g++-mingw-w64                      	       0       32        0        0       32
16895 g++-mingw-w64-i686                 	       0       35        0        2       33
16896 g++-mingw-w64-x86-64               	       0       34        0        2       32
16897 g++-mips-linux-gnu                 	       0        3        0        3        0
16898 g++-mips64el-linux-gnuabi64        	       0        1        0        1        0
16899 g++-mipsel-linux-gnu               	       0        3        0        3        0
16900 g++-multilib                       	       0       79        0        0       79
16901 g++-riscv64-linux-gnu              	       0        1        0        1        0
16902 g-wrap                             	       0        1        0        1        0
16903 g10k                               	       0        1        0        1        0
16904 g15daemon                          	       0        5        3        2        0
16905 g3data                             	       0        1        0        1        0
16906 g3dviewer                          	       0        3        0        3        0
16907 g77-2.95-doc                       	       0        1        0        0        1
16908 g810-led                           	       0        5        0        5        0
16909 gabedit                            	       0        1        0        1        0
16910 gadmin-openvpn-client              	       0        3        0        3        0
16911 gadmin-rsync                       	       0        1        0        1        0
16912 gadmin-samba                       	       0        3        0        3        0
16913 gaffitter                          	       0        2        0        2        0
16914 gaiasky                            	       0        1        0        0        1
16915 gaiksaurus                         	       0        2        0        2        0
16916 gajim                              	       0       55        1       54        0
16917 gajim-antispam                     	       0        3        0        0        3
16918 gajim-dev-keyring                  	       0        1        0        0        1
16919 gajim-omemo                        	       0       42        0        0       42
16920 gajim-openpgp                      	       0       40        0        0       40
16921 gajim-pgp                          	       0       14        0        0       14
16922 gajim-triggers                     	       0        3        0        0        3
16923 galeon                             	       0        1        0        1        0
16924 galeon-common                      	       0        1        0        1        0
16925 galera-3                           	       0       74        2       72        0
16926 galileopress-actionscript          	       0        1        0        1        0
16927 galileopress-c                     	       0        1        0        1        0
16928 galileopress-csharp                	       0        1        0        1        0
16929 galileopress-java2                 	       0        1        0        1        0
16930 galileopress-javainsel             	       0        1        0        1        0
16931 galileopress-javascript            	       0        1        0        1        0
16932 galileopress-kit                   	       0        1        0        1        0
16933 galileopress-knoppix               	       0        1        0        1        0
16934 galileopress-pcnetzwerke           	       0        1        0        1        0
16935 galileopress-php4                  	       0        1        0        1        0
16936 galileopress-ubuntu                	       0        1        0        1        0
16937 galileopress-unix                  	       0        1        0        1        0
16938 gallery                            	       0        1        0        1        0
16939 gallery-dl                         	       0       12        0       12        0
16940 gallery3                           	       0        1        0        1        0
16941 galleta                            	       0       23        0       23        0
16942 galois                             	       0        7        0        7        0
16943 galrey                             	       0        1        0        1        0
16944 gama                               	       0        1        0        1        0
16945 gamazons                           	       0        3        0        3        0
16946 gambas2-gb-compress                	       0        1        0        1        0
16947 gambas2-gb-compress-bzlib2         	       0        1        0        1        0
16948 gambas2-gb-compress-zlib           	       0        1        0        1        0
16949 gambas2-gb-db                      	       0        1        0        1        0
16950 gambas2-gb-db-postgresql           	       0        1        0        1        0
16951 gambas2-gb-form                    	       0        1        0        1        0
16952 gambas2-gb-gtk                     	       0        1        0        1        0
16953 gambas2-gb-gui                     	       0        1        0        1        0
16954 gambas2-gb-pdf                     	       0        1        0        1        0
16955 gambas2-gb-qt                      	       0        1        0        1        0
16956 gambas2-gb-qt-ext                  	       0        1        0        1        0
16957 gambas2-gb-qt-kde                  	       0        1        0        1        0
16958 gambas2-gb-qt-kde-html             	       0        1        0        1        0
16959 gambas2-gb-settings                	       0        1        0        1        0
16960 gambas2-gb-xml                     	       0        1        0        1        0
16961 gambas2-runtime                    	       0        1        0        1        0
16962 gambas3                            	       0       14        0        0       14
16963 gambas3-examples                   	       0       16        0        0       16
16964 gambas3-gb-db-sqlite2              	       0        1        0        1        0
16965 gambas3-gb-desktop-gnome-keyring   	       0        1        0        1        0
16966 gambas3-gb-gtk                     	       0        2        0        2        0
16967 gambas3-gb-gtk-opengl              	       0        1        0        1        0
16968 gambas3-gb-gui-opengl              	       0        2        0        0        2
16969 gambas3-gb-gui-qt                  	       0        1        0        0        1
16970 gambas3-gb-gui-qt-webkit           	       0        1        0        0        1
16971 gambas3-gb-gui-trayicon            	       0        1        0        0        1
16972 gambas3-gb-qt4                     	       0        1        0        1        0
16973 gambas3-gb-qt5                     	       0        7        0        7        0
16974 gambas3-gb-qt5-ext                 	       0        5        0        5        0
16975 gambas3-gb-qt5-opengl              	       0        5        0        5        0
16976 gambas3-gb-qt5-wayland             	       0        1        0        1        0
16977 gambas3-gb-qt5-webkit              	       0        4        0        4        0
16978 gambas3-gb-qt5-webview             	       0        1        0        1        0
16979 gambas3-gb-qt5-x11                 	       0        2        0        2        0
16980 gambas3-gb-sdl                     	       0        2        0        2        0
16981 gambas3-gb-sdl-sound               	       0        2        0        2        0
16982 gambas3-templates                  	       0        1        0        0        1
16983 gambc                              	       0        4        0        4        0
16984 gambc-doc                          	       0        4        0        4        0
16985 game-data-packager                 	       0       26        0       26        0
16986 game-data-packager-build-deps      	       0        1        0        0        1
16987 game-data-packager-runtime         	       0       25        0       25        0
16988 gameclock                          	       0        1        0        1        0
16989 gameconqueror                      	       0        7        0        7        0
16990 gamedevtycoon                      	       0        1        0        0        1
16991 gamehub                            	       0        9        0        9        0
16992 gamemaker-beta                     	       0        1        0        1        0
16993 gamemode-dev                       	       0        1        0        1        0
16994 gamepadtool                        	       0        4        0        4        0
16995 games-adventure                    	       0        6        0        0        6
16996 games-all                          	       0        3        0        0        3
16997 games-arcade                       	       0        2        0        0        2
16998 games-board                        	       0        3        0        0        3
16999 games-c++-dev                      	       0        1        0        0        1
17000 games-card                         	       0       11        0        0       11
17001 games-chess                        	       0        4        0        0        4
17002 games-console                      	       0        5        0        0        5
17003 games-content-dev                  	       0        1        0        0        1
17004 games-education                    	       0        2        0        0        2
17005 games-emulator                     	       0        5        0        0        5
17006 games-finest                       	       0        5        0        0        5
17007 games-finest-light                 	       0        1        0        0        1
17008 games-fps                          	       0        3        0        0        3
17009 games-minesweeper                  	       0        9        0        0        9
17010 games-mud                          	       0        4        0        0        4
17011 games-perl-dev                     	       0        2        0        0        2
17012 games-platform                     	       0        1        0        0        1
17013 games-programming                  	       0        4        0        0        4
17014 games-puzzle                       	       0        7        0        0        7
17015 games-python3-dev                  	       0        2        0        0        2
17016 games-rogue                        	       0        3        0        0        3
17017 games-rpg                          	       0        3        0        0        3
17018 games-simulation                   	       0        2        0        0        2
17019 games-strategy                     	       0        4        0        0        4
17020 games-tasks                        	       0       41        0        0       41
17021 games-tetris                       	       0       10        0        0       10
17022 games-thumbnails                   	       0        5        0        0        5
17023 games-toys                         	       0        3        0        0        3
17024 games-typing                       	       0        3        0        0        3
17025 gamin                              	       0       64        7       12       45
17026 gamine                             	       0        9        1        8        0
17027 gamine-data                        	       0        9        0        0        9
17028 gaminggear-utils                   	       0        1        0        1        0
17029 gammaray-plugin-bluetooth          	       0        1        0        0        1
17030 gammaray-plugin-positioning        	       0        1        0        0        1
17031 gammaray-plugin-quickinspector     	       0        1        0        0        1
17032 gammastep                          	       0        6        1        5        0
17033 gammu                              	       0       12        0       12        0
17034 gammu-doc                          	       0        5        0        0        5
17035 gammu-smsd                         	       0        3        0        3        0
17036 gandi-cli                          	       0        1        0        1        0
17037 ganeti                             	       0        1        1        0        0
17038 ganeti-3.0                         	       0        1        1        0        0
17039 ganeti-haskell-3.0                 	       0        1        1        0        0
17040 ganeti-htools-3.0                  	       0        1        0        1        0
17041 ganeti-testsuite                   	       0        1        0        0        1
17042 ganglia-modules-linux              	       0        1        0        1        0
17043 ganglia-monitor                    	       0        2        1        1        0
17044 ganglia-webfrontend                	       0        1        0        1        0
17045 gant                               	       0        1        0        1        0
17046 ganyremote                         	       0        4        0        4        0
17047 gap                                	       0       13        0        0       13
17048 gap-alnuth                         	       0       13        0        0       13
17049 gap-atlasrep                       	       0       13        0        0       13
17050 gap-autpgrp                        	       0       13        0        0       13
17051 gap-character-tables               	       0       13        0        0       13
17052 gap-core                           	       0       13        1       12        0
17053 gap-dev                            	       0       13        1       12        0
17054 gap-doc                            	       0       13        0        0       13
17055 gap-factint                        	       0       12        0        0       12
17056 gap-fga                            	       0       11        0        0       11
17057 gap-float                          	       0        1        0        0        1
17058 gap-gapdoc                         	       0       13        0        0       13
17059 gap-grape                          	       0        1        0        0        1
17060 gap-guava                          	       0        1        0        0        1
17061 gap-guava-bin                      	       0        1        0        1        0
17062 gap-io                             	       0       13        0        0       13
17063 gap-laguna                         	       0       11        0        0       11
17064 gap-libs                           	       0       13        1       12        0
17065 gap-online-help                    	       0       13        0        0       13
17066 gap-openmath                       	       0        1        0        0        1
17067 gap-polycyclic                     	       0       13        0        0       13
17068 gap-primgrp                        	       0       13        0        0       13
17069 gap-smallgrp                       	       0       12        0        0       12
17070 gap-table-of-marks                 	       0       13        0        0       13
17071 gap-transgrp                       	       0       12        0        0       12
17072 gap-utils                          	       0       12        0        0       12
17073 gapcmon                            	       0        1        0        1        0
17074 gappletviewer-4.3                  	       0        1        0        1        0
17075 garcon-build-deps                  	       0        1        0        0        1
17076 garden-of-coloured-lights          	       0        8        0        8        0
17077 garden-of-coloured-lights-data     	       0        8        0        0        8
17078 gargoyle-free                      	       0        9        0        9        0
17079 garli                              	       0        1        0        1        0
17080 garmin-forerunner-tools            	       0        5        0        5        0
17081 gatling                            	       0        1        0        1        0
17082 gato                               	       0        1        0        1        0
17083 gatos                              	       0        1        0        1        0
17084 gatotray                           	       0        1        0        1        0
17085 gauche                             	       0        1        0        1        0
17086 gaupol                             	       0       18        1       17        0
17087 gav                                	       0        4        0        4        0
17088 gav-themes                         	       0        4        0        0        4
17089 gaviotatb                          	       0       13        0        0       13
17090 gawk-doc                           	       0       31        0        0       31
17091 gbatnav                            	       0        3        0        3        0
17092 gbdfed                             	       0        5        0        5        0
17093 gbrainy                            	       0       29        0       29        0
17094 gc                                 	       0        1        0        1        0
17095 gcab                               	       0        6        0        6        0
17096 gcad3d                             	       0        1        0        1        0
17097 gcal                               	       0       21        0       21        0
17098 gcal-common                        	       0       22        0        0       22
17099 gcalcli                            	       0        4        0        4        0
17100 gcalctool                          	       0        1        0        1        0
17101 gcap                               	       0        1        0        1        0
17102 gcc-10-aarch64-linux-gnu           	       0        6        0        6        0
17103 gcc-10-aarch64-linux-gnu-base      	       0        7        0        0        7
17104 gcc-10-alpha-linux-gnu             	       0        1        0        1        0
17105 gcc-10-alpha-linux-gnu-base        	       0        1        0        0        1
17106 gcc-10-arm-linux-gnueabi           	       0        5        0        5        0
17107 gcc-10-arm-linux-gnueabi-base      	       0        6        0        0        6
17108 gcc-10-arm-linux-gnueabihf         	       0        6        0        6        0
17109 gcc-10-arm-linux-gnueabihf-base    	       0        7        0        0        7
17110 gcc-10-base                        	       0     1454        0        0     1454
17111 gcc-10-cross-base                  	       0       18        0        0       18
17112 gcc-10-cross-base-mipsen           	       0        2        0        0        2
17113 gcc-10-cross-base-ports            	       0        4        0        0        4
17114 gcc-10-dbgsym                      	       0        1        0        1        0
17115 gcc-10-doc                         	       0       41        0        0       41
17116 gcc-10-hppa-linux-gnu              	       0        1        0        1        0
17117 gcc-10-hppa-linux-gnu-base         	       0        2        0        0        2
17118 gcc-10-hppa64-linux-gnu            	       0        1        0        1        0
17119 gcc-10-i686-linux-gnu              	       0        3        0        3        0
17120 gcc-10-i686-linux-gnu-base         	       0        3        0        0        3
17121 gcc-10-locales                     	       0        8        0        0        8
17122 gcc-10-mipsel-linux-gnu            	       0        1        0        1        0
17123 gcc-10-mipsel-linux-gnu-base       	       0        1        0        0        1
17124 gcc-10-multilib                    	       0       87        0        0       87
17125 gcc-10-multilib-powerpc64-linux-gnu	       0        1        0        0        1
17126 gcc-10-powerpc-linux-gnu           	       0        1        0        1        0
17127 gcc-10-powerpc-linux-gnu-base      	       0        1        0        0        1
17128 gcc-10-powerpc64-linux-gnu         	       0        2        0        2        0
17129 gcc-10-powerpc64-linux-gnu-base    	       0        2        0        0        2
17130 gcc-10-riscv64-linux-gnu           	       0        3        0        3        0
17131 gcc-10-riscv64-linux-gnu-base      	       0        3        0        0        3
17132 gcc-10-s390x-linux-gnu             	       0        1        0        1        0
17133 gcc-10-s390x-linux-gnu-base        	       0        1        0        0        1
17134 gcc-10-sparc64-linux-gnu           	       0        1        0        1        0
17135 gcc-10-sparc64-linux-gnu-base      	       0        1        0        0        1
17136 gcc-11                             	       0       90        2       88        0
17137 gcc-11-arm-linux-gnueabi           	       0        1        0        1        0
17138 gcc-11-arm-linux-gnueabi-base      	       0        1        0        0        1
17139 gcc-11-arm-linux-gnueabihf         	       0        1        0        1        0
17140 gcc-11-arm-linux-gnueabihf-base    	       0        1        0        0        1
17141 gcc-11-base                        	       0      672        0        0      672
17142 gcc-11-cross-base                  	       0       11        0        0       11
17143 gcc-11-doc                         	       0        3        0        0        3
17144 gcc-11-i686-linux-gnu              	       0        1        0        1        0
17145 gcc-11-i686-linux-gnu-base         	       0        1        0        0        1
17146 gcc-11-locales                     	       0        2        0        0        2
17147 gcc-11-multilib                    	       0        8        0        0        8
17148 gcc-11-multilib-i686-linux-gnu     	       0        1        0        0        1
17149 gcc-11-source                      	       0        1        0        0        1
17150 gcc-12-aarch64-linux-gnu           	       0       19        2       17        0
17151 gcc-12-aarch64-linux-gnu-base      	       0       20        0        0       20
17152 gcc-12-alpha-linux-gnu             	       0        1        0        1        0
17153 gcc-12-alpha-linux-gnu-base        	       0        1        0        0        1
17154 gcc-12-arm-linux-gnueabi-base      	       0       13        0        0       13
17155 gcc-12-arm-linux-gnueabihf         	       0       13        1       12        0
17156 gcc-12-arm-linux-gnueabihf-base    	       0       14        0        0       14
17157 gcc-12-base                        	       0     2973        0        0     2973
17158 gcc-12-cross-base                  	       0       51        0        0       51
17159 gcc-12-cross-base-mipsen           	       0        6        0        0        6
17160 gcc-12-cross-base-ports            	       0       14        0        0       14
17161 gcc-12-doc                         	       0       60        0        0       60
17162 gcc-12-hppa-linux-gnu              	       0        1        0        1        0
17163 gcc-12-hppa-linux-gnu-base         	       0        1        0        0        1
17164 gcc-12-i686-linux-gnu              	       0        4        1        3        0
17165 gcc-12-i686-linux-gnu-base         	       0        4        0        0        4
17166 gcc-12-locales                     	       0        7        0        0        7
17167 gcc-12-m68k-linux-gnu              	       0        1        0        1        0
17168 gcc-12-m68k-linux-gnu-base         	       0        1        0        0        1
17169 gcc-12-mips-linux-gnu              	       0        4        0        4        0
17170 gcc-12-mips-linux-gnu-base         	       0        4        0        0        4
17171 gcc-12-mips64-linux-gnuabi64       	       0        1        0        1        0
17172 gcc-12-mips64-linux-gnuabi64-base  	       0        1        0        0        1
17173 gcc-12-mipsel-linux-gnu            	       0        3        0        3        0
17174 gcc-12-mipsel-linux-gnu-base       	       0        3        0        0        3
17175 gcc-12-multilib                    	       0      128        0        0      128
17176 gcc-12-multilib-i686-linux-gnu     	       0        2        0        0        2
17177 gcc-12-multilib-mips-linux-gnu     	       0        1        0        0        1
17178 gcc-12-multilib-mipsel-linux-gnu   	       0        1        0        0        1
17179 gcc-12-multilib-x86-64-linux-gnux32	       0        4        0        0        4
17180 gcc-12-offload-nvptx               	       0        1        1        0        0
17181 gcc-12-plugin-dev                  	       0        1        0        0        1
17182 gcc-12-plugin-dev-aarch64-linux-gnu	       0        1        0        0        1
17183 gcc-12-powerpc-linux-gnu           	       0        2        0        2        0
17184 gcc-12-powerpc-linux-gnu-base      	       0        2        0        0        2
17185 gcc-12-powerpc64-linux-gnu         	       0        1        0        1        0
17186 gcc-12-powerpc64-linux-gnu-base    	       0        1        0        0        1
17187 gcc-12-riscv64-linux-gnu           	       0        6        1        5        0
17188 gcc-12-riscv64-linux-gnu-base      	       0        6        0        0        6
17189 gcc-12-s390x-linux-gnu             	       0        2        0        2        0
17190 gcc-12-s390x-linux-gnu-base        	       0        2        0        0        2
17191 gcc-12-source                      	       0        2        0        0        2
17192 gcc-12-sparc64-linux-gnu           	       0        1        0        1        0
17193 gcc-12-sparc64-linux-gnu-base      	       0        1        0        0        1
17194 gcc-12-x86-64-linux-gnux32         	       0        4        0        4        0
17195 gcc-12-x86-64-linux-gnux32-base    	       0        4        0        0        4
17196 gcc-13                             	       0      143        3      140        0
17197 gcc-13-aarch64-linux-gnu           	       0        2        0        2        0
17198 gcc-13-aarch64-linux-gnu-base      	       0        2        0        0        2
17199 gcc-13-arm-linux-gnueabihf         	       0        1        0        1        0
17200 gcc-13-arm-linux-gnueabihf-base    	       0        1        0        0        1
17201 gcc-13-base                        	       0      169        0        0      169
17202 gcc-13-cross-base                  	       0        3        0        0        3
17203 gcc-13-doc                         	       0       10        0        0       10
17204 gcc-13-i686-linux-gnu              	       0        4        0        4        0
17205 gcc-13-locales                     	       0        4        0        0        4
17206 gcc-13-multilib                    	       0       18        0        0       18
17207 gcc-13-powerpc64le-linux-gnu       	       0        1        0        1        0
17208 gcc-13-powerpc64le-linux-gnu-base  	       0        1        0        0        1
17209 gcc-13-riscv64-linux-gnu           	       0        1        0        1        0
17210 gcc-13-source                      	       0        1        0        0        1
17211 gcc-13-test-results                	       0        1        0        0        1
17212 gcc-13-x86-64-linux-gnu            	       0      127        3      124        0
17213 gcc-14-aarch64-linux-gnu           	       0        5        0        5        0
17214 gcc-14-aarch64-linux-gnu-base      	       0        5        0        0        5
17215 gcc-14-alpha-linux-gnu             	       0        1        0        1        0
17216 gcc-14-alpha-linux-gnu-base        	       0        1        0        0        1
17217 gcc-14-arm-linux-gnueabi           	       0        1        0        1        0
17218 gcc-14-arm-linux-gnueabi-base      	       0        1        0        0        1
17219 gcc-14-arm-linux-gnueabihf         	       0        4        0        4        0
17220 gcc-14-arm-linux-gnueabihf-base    	       0        4        0        0        4
17221 gcc-14-base                        	       0      305        0        0      305
17222 gcc-14-cross-base                  	       0       11        0        0       11
17223 gcc-14-cross-base-ports            	       0        3        0        0        3
17224 gcc-14-doc                         	       0        9        0        0        9
17225 gcc-14-for-build                   	       0        1        0        0        1
17226 gcc-14-hppa-linux-gnu              	       0        1        0        1        0
17227 gcc-14-hppa-linux-gnu-base         	       0        1        0        0        1
17228 gcc-14-i686-linux-gnu              	       0        6        0        6        0
17229 gcc-14-i686-linux-gnu-base         	       0        2        0        0        2
17230 gcc-14-locales                     	       0        3        0        0        3
17231 gcc-14-multilib                    	       0       24        0        0       24
17232 gcc-14-multilib-i686-linux-gnu     	       0        1        0        0        1
17233 gcc-14-plugin-dev                  	       0        1        0        0        1
17234 gcc-14-powerpc-linux-gnu           	       0        2        0        2        0
17235 gcc-14-powerpc-linux-gnu-base      	       0        2        0        0        2
17236 gcc-14-powerpc64-linux-gnu         	       0        3        0        3        0
17237 gcc-14-powerpc64-linux-gnu-base    	       0        3        0        0        3
17238 gcc-14-powerpc64le-linux-gnu       	       0        1        0        1        0
17239 gcc-14-powerpc64le-linux-gnu-base  	       0        1        0        0        1
17240 gcc-14-riscv64-linux-gnu           	       0        3        0        3        0
17241 gcc-14-riscv64-linux-gnu-base      	       0        2        0        0        2
17242 gcc-14-s390x-linux-gnu             	       0        1        0        1        0
17243 gcc-14-s390x-linux-gnu-base        	       0        1        0        0        1
17244 gcc-14-source                      	       0        1        0        0        1
17245 gcc-14-sparc64-linux-gnu           	       0        1        0        1        0
17246 gcc-14-sparc64-linux-gnu-base      	       0        1        0        0        1
17247 gcc-14-test-results                	       0        1        0        0        1
17248 gcc-14-x86-64-linux-gnu-base       	       0        1        0        0        1
17249 gcc-15                             	       0        2        1        1        0
17250 gcc-15-base                        	       0        2        0        0        2
17251 gcc-15-x86-64-linux-gnu            	       0        2        1        1        0
17252 gcc-3.3                            	       0        3        0        3        0
17253 gcc-3.3-base                       	       0        3        0        0        3
17254 gcc-3.4-base                       	       0        1        0        0        1
17255 gcc-4.0-base                       	       0        1        0        0        1
17256 gcc-4.0-doc                        	       0        1        0        0        1
17257 gcc-4.1-base                       	       0        4        0        0        4
17258 gcc-4.1-locales                    	       0        1        0        0        1
17259 gcc-4.2                            	       0        2        0        2        0
17260 gcc-4.2-base                       	       0        8        0        0        8
17261 gcc-4.3                            	       0        1        0        1        0
17262 gcc-4.3-arm-linux-gnueabi          	       0        1        0        1        0
17263 gcc-4.3-arm-linux-gnueabi-base     	       0        1        0        0        1
17264 gcc-4.3-base                       	       0       12        0        0       12
17265 gcc-4.3-doc                        	       0        2        0        0        2
17266 gcc-4.3-locales                    	       0        1        0        0        1
17267 gcc-4.4                            	       0       16        1       15        0
17268 gcc-4.4-base                       	       0       28        0        0       28
17269 gcc-4.4-doc                        	       0        3        0        0        3
17270 gcc-4.4-locales                    	       0        1        0        0        1
17271 gcc-4.5                            	       0        1        0        1        0
17272 gcc-4.5-base                       	       0        4        0        0        4
17273 gcc-4.6                            	       0       28        0       28        0
17274 gcc-4.6-base                       	       0       34        0        0       34
17275 gcc-4.6-locales                    	       0        1        0        0        1
17276 gcc-4.6-multilib                   	       0        1        0        0        1
17277 gcc-4.7                            	       0        7        0        7        0
17278 gcc-4.7-base                       	       0       13        0        0       13
17279 gcc-4.7-doc                        	       0        5        0        0        5
17280 gcc-4.7-locales                    	       0        1        0        0        1
17281 gcc-4.7-multilib                   	       0        1        0        0        1
17282 gcc-4.8                            	       0       62        0       62        0
17283 gcc-4.8-base                       	       0      234        0        0      234
17284 gcc-4.8-doc                        	       0        1        0        0        1
17285 gcc-4.8-locales                    	       0        1        0        0        1
17286 gcc-4.8-plugin-dev                 	       0        1        0        0        1
17287 gcc-4.9                            	       0      141        3      137        1
17288 gcc-4.9-base                       	       0      295        0        0      295
17289 gcc-4.9-doc                        	       0        9        0        0        9
17290 gcc-4.9-locales                    	       0        2        0        0        2
17291 gcc-4.9-multilib                   	       0        5        0        0        5
17292 gcc-4.9-plugin-dev                 	       0        1        0        0        1
17293 gcc-5                              	       0        5        0        5        0
17294 gcc-5-base                         	       0       12        0        0       12
17295 gcc-6                              	       0      377        2      375        0
17296 gcc-6-aarch64-linux-gnu            	       0        2        0        2        0
17297 gcc-6-aarch64-linux-gnu-base       	       0        2        0        0        2
17298 gcc-6-arm-linux-gnueabihf          	       0        1        0        1        0
17299 gcc-6-arm-linux-gnueabihf-base     	       0        1        0        0        1
17300 gcc-6-base                         	       0      664        0        0      664
17301 gcc-6-cross-base                   	       0        2        0        0        2
17302 gcc-6-doc                          	       0       21        0        0       21
17303 gcc-6-locales                      	       0        3        0        0        3
17304 gcc-6-multilib                     	       0        7        0        0        7
17305 gcc-7                              	       0        5        0        5        0
17306 gcc-7-base                         	       0      314        0        0      314
17307 gcc-7-doc                          	       0        1        0        0        1
17308 gcc-7-locales                      	       0        1        0        0        1
17309 gcc-7-source                       	       0        1        0        0        1
17310 gcc-8                              	       0      169        3      166        0
17311 gcc-8-aarch64-linux-gnu            	       0        7        0        7        0
17312 gcc-8-aarch64-linux-gnu-base       	       0        7        0        0        7
17313 gcc-8-arm-linux-gnueabi            	       0        2        0        2        0
17314 gcc-8-arm-linux-gnueabi-base       	       0        2        0        0        2
17315 gcc-8-arm-linux-gnueabihf          	       0        4        0        4        0
17316 gcc-8-arm-linux-gnueabihf-base     	       0        4        0        0        4
17317 gcc-8-base                         	       0      924        0        0      924
17318 gcc-8-cross-base                   	       0       13        0        0       13
17319 gcc-8-cross-base-ports             	       0        2        0        0        2
17320 gcc-8-doc                          	       0        4        0        0        4
17321 gcc-8-i686-linux-gnu               	       0        2        0        2        0
17322 gcc-8-i686-linux-gnu-base          	       0        2        0        0        2
17323 gcc-8-locales                      	       0        1        0        0        1
17324 gcc-8-mips-linux-gnu               	       0        1        0        1        0
17325 gcc-8-mips-linux-gnu-base          	       0        1        0        0        1
17326 gcc-8-mips64el-linux-gnuabi64      	       0        1        0        1        0
17327 gcc-8-mips64el-linux-gnuabi64-base 	       0        1        0        0        1
17328 gcc-8-mipsel-linux-gnu             	       0        1        0        1        0
17329 gcc-8-mipsel-linux-gnu-base        	       0        1        0        0        1
17330 gcc-8-multilib                     	       0       10        0        0       10
17331 gcc-8-multilib-i686-linux-gnu      	       0        1        0        0        1
17332 gcc-8-multilib-x86-64-linux-gnux32 	       0        1        0        0        1
17333 gcc-8-source                       	       0        1        0        0        1
17334 gcc-8-x86-64-linux-gnux32          	       0        2        0        2        0
17335 gcc-8-x86-64-linux-gnux32-base     	       0        2        0        0        2
17336 gcc-9                              	       0       33        0       33        0
17337 gcc-9-aarch64-linux-gnu            	       0        1        0        1        0
17338 gcc-9-aarch64-linux-gnu-base       	       0        1        0        0        1
17339 gcc-9-arm-linux-gnueabi            	       0        1        0        1        0
17340 gcc-9-arm-linux-gnueabi-base       	       0        1        0        0        1
17341 gcc-9-arm-linux-gnueabihf          	       0        2        0        2        0
17342 gcc-9-arm-linux-gnueabihf-base     	       0        2        0        0        2
17343 gcc-9-base                         	       0     1181        0        0     1181
17344 gcc-9-build-deps                   	       0        1        0        0        1
17345 gcc-9-cross-base                   	       0        9        0        0        9
17346 gcc-9-cross-base-ports             	       0        1        0        0        1
17347 gcc-9-doc                          	       0        6        0        0        6
17348 gcc-9-locales                      	       0        2        0        0        2
17349 gcc-9-multilib                     	       0        3        0        0        3
17350 gcc-aarch64-linux-gnu              	       0       33        2       31        0
17351 gcc-alpha-linux-gnu                	       0        3        0        3        0
17352 gcc-arm-linux-gnueabihf            	       0       27        1       26        0
17353 gcc-arm-none-eabi-dbgsym           	       0        1        0        1        0
17354 gcc-arm-none-eabi-source           	       0        1        0        0        1
17355 gcc-doc                            	       0       66        0        0       66
17356 gcc-doc-base                       	       0       94        0        0       94
17357 gcc-for-build                      	       0        1        0        0        1
17358 gcc-hppa-linux-gnu                 	       0        3        0        3        0
17359 gcc-i686-linux-gnu                 	       0       15        1       14        0
17360 gcc-ia16-elf                       	       0        1        0        1        0
17361 gcc-m68k-linux-gnu                 	       0        1        0        1        0
17362 gcc-mingw-w64                      	       0       36        0        0       36
17363 gcc-mingw-w64-base                 	       0       45        0        0       45
17364 gcc-mingw-w64-i686                 	       0       40        0        3       37
17365 gcc-mingw-w64-i686-posix-runtime   	       0       39        0        0       39
17366 gcc-mingw-w64-i686-win32-runtime   	       0       39        0        0       39
17367 gcc-mingw-w64-x86-64               	       0       41        0        3       38
17368 gcc-mingw-w64-x86-64-posix-runtime 	       0       40        0        0       40
17369 gcc-mingw-w64-x86-64-win32-runtime 	       0       41        0        0       41
17370 gcc-mips-linux-gnu                 	       0        5        0        5        0
17371 gcc-mips64-linux-gnuabi64          	       0        1        0        1        0
17372 gcc-mips64el-linux-gnuabi64        	       0        1        0        1        0
17373 gcc-mipsel-linux-gnu               	       0        5        0        5        0
17374 gcc-msp430                         	       0        2        0        2        0
17375 gcc-multilib                       	       0      161        0        0      161
17376 gcc-multilib-i686-linux-gnu        	       0        3        0        0        3
17377 gcc-multilib-x86-64-linux-gnux32   	       0        1        0        0        1
17378 gcc-offload-nvptx                  	       0        1        1        0        0
17379 gcc-or1k-elf                       	       0        1        0        1        0
17380 gcc-powerpc-linux-gnu              	       0        5        0        5        0
17381 gcc-powerpc64-linux-gnu            	       0        5        0        5        0
17382 gcc-powerpc64le-linux-gnu          	       0        1        0        1        0
17383 gcc-riscv64-linux-gnu              	       0        8        1        7        0
17384 gcc-riscv64-unknown-elf            	       0        3        0        3        0
17385 gcc-s390x-linux-gnu                	       0        4        0        4        0
17386 gcc-sparc64-linux-gnu              	       0        3        0        3        0
17387 gcc-x86-64-linux-gnux32            	       0        1        0        1        0
17388 gcc-xtensa-lx106                   	       0        1        0        1        0
17389 gccgo                              	       0        9        0        9        0
17390 gccgo-10                           	       0        2        0        2        0
17391 gccgo-10-doc                       	       0        1        0        0        1
17392 gccgo-11                           	       0        1        0        1        0
17393 gccgo-11-i686-linux-gnu            	       0        1        0        1        0
17394 gccgo-11-multilib-i686-linux-gnu   	       0        1        0        0        1
17395 gccgo-12                           	       0        6        1        5        0
17396 gccgo-13                           	       0        1        0        1        0
17397 gccgo-14                           	       0        2        0        2        0
17398 gccgo-14-doc                       	       0        1        0        0        1
17399 gccgo-14-x86-64-linux-gnu          	       0        2        0        2        0
17400 gccgo-6                            	       0        1        0        1        0
17401 gccgo-6-doc                        	       0        1        0        0        1
17402 gccgo-8                            	       0        1        0        1        0
17403 gccgo-8-doc                        	       0        1        0        0        1
17404 gccgo-doc                          	       0        1        0        0        1
17405 gccgo-go                           	       0        1        0        1        0
17406 gccgo-x86-64-linux-gnu             	       0        2        0        2        0
17407 gccintro                           	       0        7        0        0        7
17408 gccrs-14-for-build                 	       0        1        0        0        1
17409 gccxml                             	       0        5        0        5        0
17410 gcdmaster                          	       0        2        0        2        0
17411 gchempaint                         	       0        7        1        6        0
17412 gcin                               	       0        4        1        3        0
17413 gcin-data                          	       0        4        0        0        4
17414 gcin-gtk2-immodule                 	       0        4        0        0        4
17415 gcin-gtk3-immodule                 	       0        4        1        0        3
17416 gcin-tables                        	       0        4        0        0        4
17417 gcipher                            	       0        1        0        1        0
17418 gcj-4.0-base                       	       0        1        0        0        1
17419 gcj-4.1-base                       	       0        3        0        0        3
17420 gcj-4.2-base                       	       0        2        0        0        2
17421 gcj-4.3-base                       	       0        1        0        0        1
17422 gcj-4.4-base                       	       0        1        0        0        1
17423 gcj-4.4-jre                        	       0        1        0        0        1
17424 gcj-4.4-jre-headless               	       0        1        0        1        0
17425 gcj-4.4-jre-lib                    	       0        1        0        0        1
17426 gcj-4.6-base                       	       0        5        0        0        5
17427 gcj-4.6-jre-headless               	       0        2        0        2        0
17428 gcj-4.6-jre-lib                    	       0        3        0        0        3
17429 gcj-4.7-base                       	       0       10        0        0       10
17430 gcj-4.7-jre                        	       0        1        0        0        1
17431 gcj-4.7-jre-headless               	       0        3        0        3        0
17432 gcj-4.7-jre-lib                    	       0        3        0        0        3
17433 gcj-4.8-jre-headless               	       0        1        0        1        0
17434 gcj-4.8-jre-lib                    	       0        1        0        0        1
17435 gcj-4.9                            	       0        1        0        1        0
17436 gcj-4.9-jdk                        	       0        1        0        1        0
17437 gcj-4.9-jre                        	       0        1        0        0        1
17438 gcj-4.9-jre-headless               	       0        5        0        5        0
17439 gcj-4.9-jre-lib                    	       0        8        0        0        8
17440 gcj-4.9-source                     	       0        1        0        0        1
17441 gcj-6                              	       0        2        0        2        0
17442 gcj-6-doc                          	       0        1        0        0        1
17443 gcj-6-jdk                          	       0        2        0        2        0
17444 gcj-6-jre                          	       0        6        0        0        6
17445 gcj-6-jre-headless                 	       0        7        0        7        0
17446 gcj-6-jre-lib                      	       0       55        0        0       55
17447 gcj-doc                            	       0        1        0        0        1
17448 gcj-jdk                            	       0        2        0        2        0
17449 gcj-jre                            	       0        5        0        0        5
17450 gcj-jre-headless                   	       0        6        0        6        0
17451 gcl-doc                            	       0        6        0        0        6
17452 gcli                               	       0        3        0        3        0
17453 gcm                                	       0        4        0        4        0
17454 gcobol-15                          	       0        1        0        1        0
17455 gcobol-15-x86-64-linux-gnu         	       0        1        0        1        0
17456 gcodeworkshop                      	       0        1        0        1        0
17457 gcolor2                            	       0        8        0        8        0
17458 gcolor3                            	       0       15        0       15        0
17459 gcompris                           	       0       15        0        3       12
17460 gcompris-data                      	       0        4        0        0        4
17461 gcompris-qt-data                   	       0       28        0        0       28
17462 gcompris-sound-en                  	       0        3        0        0        3
17463 gcompris-sound-ru                  	       0        1        0        0        1
17464 gconf-cleaner                      	       0        1        0        1        0
17465 gconf-defaults-service             	       0       26        0        0       26
17466 gconf-editor                       	       0        9        0        9        0
17467 gconf-gsettings-backend            	       0       21        0        0       21
17468 gconf-service                      	       0      476        4       17      455
17469 gconf2-common                      	       0      482        4        9      469
17470 gcovr                              	       0        3        0        3        0
17471 gcp                                	       0        9        0        9        0
17472 gcpegg                             	       0        1        0        1        0
17473 gcrontab                           	       0        1        0        1        0
17474 gcrystal                           	       0        1        0        1        0
17475 gcstar                             	       0        4        1        3        0
17476 gcu-bin                            	       0        1        0        1        0
17477 gcx                                	       0        1        0        1        0
17478 gda2-postgres                      	       0        1        0        1        0
17479 gdal-data                          	       0      652        0        0      652
17480 gdal-plugins                       	       0      522        0        0      522
17481 gdb-arm-none-eabi                  	       0        2        0        2        0
17482 gdb-avr                            	       0       31        0       31        0
17483 gdb-bpf                            	       0        1        0        1        0
17484 gdb-doc                            	       0       56        0        0       56
17485 gdb-mingw-w64                      	       0        4        0        4        0
17486 gdb-mingw-w64-target               	       0        5        0        0        5
17487 gdb-msp430                         	       0        2        0        2        0
17488 gdb-multiarch                      	       0       21        0       21        0
17489 gdb-source                         	       0        3        0        0        3
17490 gdbm-l10n                          	       0      459        0        0      459
17491 gdbmtool                           	       0        3        0        3        0
17492 gdc                                	       0       14        0       14        0
17493 gdc-10                             	       0        3        0        3        0
17494 gdc-10-aarch64-linux-gnu           	       0        1        0        1        0
17495 gdc-12                             	       0       11        0       11        0
17496 gdc-13                             	       0        1        0        1        0
17497 gdc-14                             	       0        1        0        1        0
17498 gdc-14-x86-64-linux-gnu            	       0        1        0        1        0
17499 gdc-4.9                            	       0        2        0        2        0
17500 gdc-aarch64-linux-gnu              	       0        1        0        1        0
17501 gdc-x86-64-linux-gnu               	       0        1        0        1        0
17502 gdcm-doc                           	       0        1        0        0        1
17503 gddccontrol                        	       0       13        1       12        0
17504 gdevilspie                         	       0        1        0        1        0
17505 gdf-tools                          	       0        2        0        2        0
17506 gdis                               	       0        5        0        5        0
17507 gdis-data                          	       0        5        0        0        5
17508 gdiskdump                          	       0        1        0        1        0
17509 gdk-imlib11                        	       0        1        0        1        0
17510 gdk-pixbuf-tests                   	       0        3        0        0        3
17511 gdl-astrolib                       	       0        1        0        0        1
17512 gdl-coyote                         	       0        2        0        0        2
17513 gdl-mpfit                          	       0        1        0        0        1
17514 gdm                                	       0        1        0        1        0
17515 gdmap                              	       0       28        0       28        0
17516 gdmd                               	       0        1        0        1        0
17517 gdnsd                              	       0        3        1        2        0
17518 gdpc                               	       0        1        0        1        0
17519 gds-tools-11-7                     	       0        1        0        1        0
17520 gds-tools-12-4                     	       0        2        0        0        2
17521 gds-tools-12-6                     	       0        3        0        0        3
17522 gds-tools-12-8                     	       0        1        0        0        1
17523 gdu                                	       0        9        0        9        0
17524 geany-kvirc                        	       0        1        0        1        0
17525 geany-lsp                          	       0        1        0        1        0
17526 geany-plugin-addons                	       0       86        0        0       86
17527 geany-plugin-autoclose             	       0       71        0        0       71
17528 geany-plugin-automark              	       0       77        0        0       77
17529 geany-plugin-codenav               	       0       73        0        0       73
17530 geany-plugin-commander             	       0       71        0        0       71
17531 geany-plugin-ctags                 	       0       68        0        0       68
17532 geany-plugin-debugger              	       0       67        0        0       67
17533 geany-plugin-defineformat          	       0       67        0        0       67
17534 geany-plugin-devhelp               	       0        2        0        0        2
17535 geany-plugin-doc                   	       0       70        0        0       70
17536 geany-plugin-extrasel              	       0       69        0        0       69
17537 geany-plugin-gendoc                	       0       68        0        0       68
17538 geany-plugin-geniuspaste           	       0       68        0        0       68
17539 geany-plugin-git-changebar         	       0       72        0        0       72
17540 geany-plugin-gproject              	       0       12        0        0       12
17541 geany-plugin-insertnum             	       0       73        0        0       73
17542 geany-plugin-keyrecord             	       0       66        0        0       66
17543 geany-plugin-latex                 	       0       73        0        0       73
17544 geany-plugin-lineoperations        	       0       76        0        0       76
17545 geany-plugin-lipsum                	       0       70        0        0       70
17546 geany-plugin-lua                   	       0       68        0        0       68
17547 geany-plugin-macro                 	       0       71        0        0       71
17548 geany-plugin-markdown              	       0       76        0        0       76
17549 geany-plugin-miniscript            	       0       70        0        0       70
17550 geany-plugin-multiterm             	       0        2        0        0        2
17551 geany-plugin-numberedbookmarks     	       0       68        0        0       68
17552 geany-plugin-overview              	       0       68        0        0       68
17553 geany-plugin-pairtaghighlighter    	       0       69        0        0       69
17554 geany-plugin-pg                    	       0       68        0        0       68
17555 geany-plugin-pohelper              	       0       68        0        0       68
17556 geany-plugin-prettyprinter         	       0       69        0        0       69
17557 geany-plugin-prj                   	       0       69        0        0       69
17558 geany-plugin-projectorganizer      	       0       69        0        0       69
17559 geany-plugin-py                    	       0        2        0        0        2
17560 geany-plugin-scope                 	       0       66        0        0       66
17561 geany-plugin-sendmail              	       0       67        0        0       67
17562 geany-plugin-shiftcolumn           	       0       69        0        0       69
17563 geany-plugin-spellcheck            	       0       85        0        0       85
17564 geany-plugin-tableconvert          	       0       70        0        0       70
17565 geany-plugin-treebrowser           	       0       77        0        0       77
17566 geany-plugin-updatechecker         	       0       68        0        0       68
17567 geany-plugin-vc                    	       0       68        0        0       68
17568 geany-plugin-vimode                	       0       70        0        0       70
17569 geany-plugin-webhelper             	       0        3        0        0        3
17570 geany-plugin-workbench             	       0       67        0        0       67
17571 geany-plugin-xmlsnippets           	       0       68        0        0       68
17572 geany-plugins                      	       0       63        0        1       62
17573 geany-plugins-common               	       0      109        0        0      109
17574 gearhead                           	       0        7        0        7        0
17575 gearhead-data                      	       0       10        0        0       10
17576 gearhead-sdl                       	       0        3        0        3        0
17577 gearhead2                          	       0        4        0        4        0
17578 gearhead2-data                     	       0        5        0        0        5
17579 gearhead2-sdl                      	       0        3        0        3        0
17580 geary                              	       0        6        0        6        0
17581 gecko-mediaplayer                  	       0        1        0        1        0
17582 geda                               	       0       12        0        0       12
17583 geda-doc                           	       0       16        0        0       16
17584 geda-examples                      	       0       12        0        0       12
17585 geda-gattrib                       	       0       11        0       11        0
17586 geda-gnetlist                      	       0       12        0       12        0
17587 geda-gschem                        	       0       12        0       12        0
17588 geda-gsymcheck                     	       0       12        0       12        0
17589 geda-symbols                       	       0       15        0        0       15
17590 geda-utils                         	       0        6        0        6        0
17591 geda-xgsch2pcb                     	       0        4        0        4        0
17592 gedit-common                       	       0      397        0        2      395
17593 gedit-latex-plugin                 	       0        2        0        2        0
17594 gedit-plugin-bookmarks             	       0      120        0        0      120
17595 gedit-plugin-bracket-completion    	       0      120        0        0      120
17596 gedit-plugin-character-map         	       0      122        0        0      122
17597 gedit-plugin-code-comment          	       0      120        0        0      120
17598 gedit-plugin-color-picker          	       0      125        0        0      125
17599 gedit-plugin-color-schemer         	       0      116        0        0      116
17600 gedit-plugin-commander             	       0       59        0        0       59
17601 gedit-plugin-draw-spaces           	       0      120        0        1      119
17602 gedit-plugin-find-in-files         	       0       59        0        0       59
17603 gedit-plugin-git                   	       0      120        0        0      120
17604 gedit-plugin-join-lines            	       0      122        0        0      122
17605 gedit-plugin-multi-edit            	       0      122        0        0      122
17606 gedit-plugin-session-saver         	       0      111        0        0      111
17607 gedit-plugin-smart-spaces          	       0      120        0        0      120
17608 gedit-plugin-synctex               	       0      116        0        0      116
17609 gedit-plugin-terminal              	       0      120        0        0      120
17610 gedit-plugin-text-size             	       0      110        0        0      110
17611 gedit-plugin-translate             	       0       59        0        0       59
17612 gedit-plugin-word-completion       	       0      120        0        0      120
17613 gedit-plugin-zeitgeist             	       0       11        0        0       11
17614 gedit-plugins                      	       0      127        0        0      127
17615 gedit-plugins-common               	       0      127        0        0      127
17616 gedit-source-code-browser-plugin   	       0        4        0        4        0
17617 geekcode                           	       0        6        0        6        0
17618 geg                                	       0        4        0        4        0
17619 gegl                               	       0        7        0        7        0
17620 geiser                             	       0        1        0        0        1
17621 geki2                              	       0        3        0        3        0
17622 geki3                              	       0        3        0        3        0
17623 gelemental                         	       0        7        0        7        0
17624 gem                                	       0       16        0       16        0
17625 gem-doc                            	       0       15        0        0       15
17626 gem-extra                          	       0       16        0       16        0
17627 gem-plugin-assimp                  	       0       15        0       15        0
17628 gem-plugin-dv4l                    	       0        2        0        2        0
17629 gem-plugin-glfw3                   	       0        1        0        1        0
17630 gem-plugin-gmerlin                 	       0       15        0       15        0
17631 gem-plugin-jpeg                    	       0        2        0        2        0
17632 gem-plugin-lqt                     	       0       13        0       13        0
17633 gem-plugin-magick                  	       0       15        0       15        0
17634 gem-plugin-mpeg3                   	       0        1        0        1        0
17635 gem-plugin-sdl                     	       0        1        0        1        0
17636 gem-plugin-tiff                    	       0        1        0        1        0
17637 gem-plugin-v4l2                    	       0       14        0       14        0
17638 gem-plugin-vlc                     	       0        2        0        2        0
17639 gem2deb                            	       0        9        0        9        0
17640 gem2deb-test-runner                	       0       10        0       10        0
17641 gemdropx                           	       0       11        0       11        0
17642 gemrb                              	       0        1        0        1        0
17643 gemrb-data                         	       0        1        0        0        1
17644 gems                               	       0        1        0        1        0
17645 gendarme                           	       0        4        0        4        0
17646 genders                            	       0        4        0        4        0
17647 geneagrapher                       	       0        4        1        3        0
17648 generate-ninja                     	       0        9        0        9        0
17649 generator-scripting-language       	       0        2        0        2        0
17650 generator-scripting-language-examples	       0        2        0        2        0
17651 geneweb                            	       0        5        0        5        0
17652 geneweb-gui                        	       0        2        0        2        0
17653 genext2fs                          	       0       16        0       16        0
17654 gengetopt                          	       0       11        0       11        0
17655 genimage                           	       0        3        0        3        0
17656 genisovh                           	       0        1        0        1        0
17657 genius                             	       0       16        1       15        0
17658 genius-common                      	       0       27        0        0       27
17659 genometools-common                 	       0        1        0        0        1
17660 genparse                           	       0        1        0        1        0
17661 genromfs                           	       0        3        0        3        0
17662 gentle                             	       0        2        0        2        0
17663 gentoo                             	       0        7        0        7        0
17664 geoclue                            	       0        4        0        4        0
17665 geoclue-2-demo                     	       0        4        0        0        4
17666 geoclue-doc                        	       0        2        0        0        2
17667 geoclue-examples                   	       0        1        0        1        0
17668 geoclue-hostip                     	       0        4        0        4        0
17669 geoclue-localnet                   	       0        4        0        4        0
17670 geoclue-manual                     	       0        4        0        4        0
17671 geoclue-nominatim                  	       0        2        0        2        0
17672 geoclue-yahoo                      	       0        3        0        3        0
17673 geocode-glib-common                	       0      424        0        0      424
17674 geoeasy                            	       0        1        0        1        0
17675 geoeasy-doc                        	       0        1        0        0        1
17676 geogebra                           	       0       33        2       31        0
17677 geogebra-classic                   	       0        2        0        2        0
17678 geogebra-gnome                     	       0        4        2        2        0
17679 geogebra-kde                       	       0        1        0        1        0
17680 geogebra5                          	       0        2        0        2        0
17681 geographiclib-tools                	       0        4        0        4        0
17682 geoip-database                     	       0     1829        0        0     1829
17683 geoip-database-contrib             	       0        3        1        2        0
17684 geoip-database-extra               	       0       22        0        0       22
17685 geoipupdate                        	       0       18        0       18        0
17686 geole-keyring                      	       0        1        0        0        1
17687 geomview                           	       0       20        2       18        0
17688 geonkick                           	       0        1        0        1        0
17689 geophar                            	       0        1        0        1        0
17690 geos-bin                           	       0        1        0        1        0
17691 geotranz                           	       0        5        0        5        0
17692 geotranz-doc                       	       0        2        0        0        2
17693 geotranz-help                      	       0        5        0        0        5
17694 gerbera                            	       0        8        2        6        0
17695 gerbv                              	       0       35        0       35        0
17696 germinate                          	       0        1        0        1        0
17697 gerris                             	       0        2        0        2        0
17698 gertty                             	       0        1        0        1        0
17699 ges1.0-tools                       	       0        2        0        2        0
17700 gespeaker                          	       0        3        0        3        0
17701 get-flash-videos                   	       0        5        0        5        0
17702 get-iplayer                        	       0        9        0        9        0
17703 getdns-utils                       	       0        2        0        2        0
17704 getdp                              	       0        1        0        1        0
17705 getenvoy-envoy                     	       0        1        0        1        0
17706 gethwake                           	       0        1        0        1        0
17707 getlibs                            	       0        1        0        1        0
17708 getmail                            	       0       16        0        9        7
17709 getmail4                           	       0       19        1        6       12
17710 gettext-base-dbgsym                	       0        1        0        1        0
17711 gettext-build-deps                 	       0        1        0        0        1
17712 gettext-dbgsym                     	       0        1        0        1        0
17713 gettext-el                         	       0       10        2        8        0
17714 gettext-kde                        	       0        1        0        1        0
17715 getty-run                          	       0      276        0        0      276
17716 geximon                            	       0        2        0        2        0
17717 gextractwinicons                   	       0        2        0        2        0
17718 gfan                               	       0       11        0       11        0
17719 gfax                               	       0        1        0        1        0
17720 gff2aplot                          	       0        2        0        2        0
17721 gff2ps                             	       0        2        0        2        0
17722 gfio                               	       0        5        0        5        0
17723 gfm                                	       0        5        0        5        0
17724 gfontview                          	       0        1        0        1        0
17725 gforth                             	       0       22        0       22        0
17726 gforth-common                      	       0       22        0        0       22
17727 gforth-lib                         	       0       22        0        0       22
17728 gfortran-10-doc                    	       0       10        0        0       10
17729 gfortran-10-multilib               	       0       13        0        0       13
17730 gfortran-11                        	       0       13        0       13        0
17731 gfortran-11-doc                    	       0        2        0        0        2
17732 gfortran-11-multilib               	       0        2        0        0        2
17733 gfortran-12-doc                    	       0       14        0        0       14
17734 gfortran-12-multilib               	       0       15        0        0       15
17735 gfortran-12-multilib-x86-64-linux-gnux32	       0        1        0        0        1
17736 gfortran-12-x86-64-linux-gnux32    	       0        1        0        1        0
17737 gfortran-13                        	       0       25        1       24        0
17738 gfortran-13-doc                    	       0        4        0        0        4
17739 gfortran-13-i686-linux-gnu         	       0        1        0        1        0
17740 gfortran-13-multilib               	       0        2        0        0        2
17741 gfortran-13-x86-64-linux-gnu       	       0       22        1       21        0
17742 gfortran-14-doc                    	       0        2        0        0        2
17743 gfortran-14-i686-linux-gnu         	       0        1        0        1        0
17744 gfortran-14-multilib               	       0        5        0        0        5
17745 gfortran-15                        	       0        1        0        1        0
17746 gfortran-15-x86-64-linux-gnu       	       0        1        0        1        0
17747 gfortran-4.3-doc                   	       0        1        0        0        1
17748 gfortran-4.4                       	       0        1        0        1        0
17749 gfortran-4.9                       	       0        9        0        9        0
17750 gfortran-4.9-doc                   	       0        1        0        0        1
17751 gfortran-4.9-multilib              	       0        1        0        0        1
17752 gfortran-5                         	       0        1        0        1        0
17753 gfortran-6                         	       0       31        0       31        0
17754 gfortran-6-doc                     	       0        4        0        0        4
17755 gfortran-6-multilib                	       0        1        0        0        1
17756 gfortran-8                         	       0       31        0       31        0
17757 gfortran-8-multilib                	       0        1        0        0        1
17758 gfortran-9                         	       0        5        0        5        0
17759 gfortran-9-doc                     	       0        1        0        0        1
17760 gfortran-doc                       	       0       20        0        0       20
17761 gfortran-i686-linux-gnu            	       0        1        0        1        0
17762 gfortran-mingw-w64                 	       0        3        0        0        3
17763 gfortran-mingw-w64-i686            	       0        3        0        0        3
17764 gfortran-mingw-w64-i686-posix      	       0        3        0        3        0
17765 gfortran-mingw-w64-i686-win32      	       0        3        0        3        0
17766 gfortran-mingw-w64-x86-64          	       0        3        0        0        3
17767 gfortran-mingw-w64-x86-64-posix    	       0        3        0        3        0
17768 gfortran-mingw-w64-x86-64-win32    	       0        4        0        4        0
17769 gfortran-multilib                  	       0       17        0        0       17
17770 gfpoken                            	       0        7        0        7        0
17771 gfs2-utils                         	       0        1        0        1        0
17772 gfsecret                           	       0        2        0        2        0
17773 gftp                               	       0       41        0        0       41
17774 gftp-text                          	       0       46        0       46        0
17775 gfxboot                            	       0        5        0        5        0
17776 gfxboot-dev                        	       0        3        0        3        0
17777 gfxboot-themes                     	       0        4        0        4        0
17778 ggcov                              	       0        1        0        1        0
17779 ggobi                              	       0        3        0        3        0
17780 ggz                                	       0        1        0        0        1
17781 ggz-game-servers                   	       0        1        0        1        0
17782 ggz-gnome-client                   	       0        1        0        1        0
17783 ggz-gtk-client                     	       0        1        0        1        0
17784 ggz-gtk-games                      	       0        1        0        1        0
17785 ggz-gtk-games-data                 	       0        1        0        0        1
17786 ggz-kde-games                      	       0        1        0        1        0
17787 ggz-kde-games-data                 	       0        1        0        0        1
17788 ggz-sdl-games                      	       0        1        0        1        0
17789 ggz-sdl-games-data                 	       0        1        0        0        1
17790 ggz-txt-client                     	       0        1        0        1        0
17791 ggzcore-bin                        	       0        2        0        2        0
17792 ggzd                               	       0        1        0        1        0
17793 ghc-8.4.4                          	       0        1        0        1        0
17794 ghc-prof                           	       0       25        0       22        3
17795 ghdl                               	       0       12        0        1       11
17796 ghdl-gcc                           	       0        3        0        3        0
17797 ghdl-llvm                          	       0        2        0        2        0
17798 ghemical                           	       0        3        0        3        0
17799 ghex                               	       0       50        0       50        0
17800 ghextris                           	       0       11        0       11        0
17801 ghfaxviewer                        	       0        1        0        1        0
17802 ghi                                	       0        1        0        1        0
17803 ghidra                             	       0        1        0        1        0
17804 ghmm                               	       0        1        0        1        0
17805 ghostess                           	       0        7        0        7        0
17806 ghostscript-build-deps             	       0        1        0        0        1
17807 ghostscript-cups                   	       0        2        0        2        0
17808 ghostscript-dbgsym                 	       0        1        0        1        0
17809 ghostscript-doc                    	       0       19        0        0       19
17810 ghostscript-x                      	       0      248        2       30      216
17811 ghostscript-x-dbgsym               	       0        1        0        1        0
17812 ghostty                            	       0        3        1        2        0
17813 giac-doc                           	       0        1        0        0        1
17814 giada                              	       0        5        0        5        0
17815 giara                              	       0        2        0        2        0
17816 giblib1                            	       0      111        0        0      111
17817 gif2apng                           	       0        2        0        2        0
17818 gif2png                            	       0        3        0        3        0
17819 gifsicle                           	       0       23        2       21        0
17820 gifski                             	       0        2        0        2        0
17821 giftrans                           	       0       10        0       10        0
17822 gig-uiems                          	       0        1        0        0        1
17823 gigalomania                        	       0        3        0        3        0
17824 gigalomania-data                   	       0        3        0        0        3
17825 gigatribe                          	       0        1        0        1        0
17826 gigedit                            	       0        3        0        3        0
17827 giggle                             	       0       17        0       17        0
17828 giggle-personal-details-plugin     	       0        2        0        2        0
17829 giggle-terminal-view-plugin        	       0        2        0        2        0
17830 gigtools                           	       0        5        0        5        0
17831 gij-4.0                            	       0        1        0        1        0
17832 gij-4.1                            	       0        1        0        1        0
17833 gij-4.2                            	       0        1        0        1        0
17834 gij-4.3                            	       0        1        0        1        0
17835 gimagereader                       	       0       40        3       37        0
17836 gimagereader-common                	       0       35        0        0       35
17837 gimagereader-qt                    	       0        1        1        0        0
17838 gimagereader-qt5                   	       0        7        0        7        0
17839 gimmix                             	       0        1        0        1        0
17840 gimp-data                          	       0     2699        0        7     2692
17841 gimp-data-extras                   	       0      141        0        0      141
17842 gimp-dcraw                         	       0       12        0       12        0
17843 gimp-dds                           	       0        5        0        5        0
17844 gimp-dimage-color                  	       0        2        0        2        0
17845 gimp-flegita                       	       0        1        0        1        0
17846 gimp-gap                           	       0       32        0       32        0
17847 gimp-help-common                   	       0      181        0        0      181
17848 gimp-help-de                       	       0       38        0        0       38
17849 gimp-help-el                       	       0        3        0        0        3
17850 gimp-help-en                       	       0       79        0        0       79
17851 gimp-help-en-gb                    	       0       12        0        0       12
17852 gimp-help-es                       	       0       15        0        0       15
17853 gimp-help-fr                       	       0       10        0        0       10
17854 gimp-help-hu                       	       0        2        0        0        2
17855 gimp-help-it                       	       0        3        0        0        3
17856 gimp-help-ko                       	       0        1        0        0        1
17857 gimp-help-lt                       	       0        1        0        0        1
17858 gimp-help-nl                       	       0        3        0        0        3
17859 gimp-help-ru                       	       0       27        0        0       27
17860 gimp-help-sl                       	       0        1        0        0        1
17861 gimp-help-sv                       	       0       11        0        0       11
17862 gimp-help-uk                       	       0        2        0        0        2
17863 gimp-lensfun                       	       0       34        0       34        0
17864 gimp-manual                        	       0        1        0        0        1
17865 gimp-plugin-file-jxl               	       0        1        0        1        0
17866 gimp-plugin-ris                    	       0        1        0        1        0
17867 gimp-plugin-scaler-biakima         	       0        1        0        1        0
17868 gimp-python                        	       0        4        0        4        0
17869 gimp-resynthesizer                 	       0        2        0        0        2
17870 gimp-ufraw                         	       0       15        0       15        0
17871 gimp2.0-quiteinsane                	       0        1        0        1        0
17872 gimpprint-doc                      	       0        1        0        0        1
17873 ginac-tools                        	       0        3        2        1        0
17874 ginkgocadx                         	       0        5        0        5        0
17875 gip                                	       0        4        0        4        0
17876 gir-to-d                           	       0        1        0        1        0
17877 gir1.2-abi-3.0                     	       0        1        0        0        1
17878 gir1.2-ags-6.0                     	       0        1        0        0        1
17879 gir1.2-agsaudio-6.0                	       0        1        0        0        1
17880 gir1.2-amtk-5                      	       0       85        0        1       84
17881 gir1.2-appindicator-0.1            	       0        2        0        0        2
17882 gir1.2-appindicator3-0.1           	       0       68        0        4       64
17883 gir1.2-appstream-1.0               	       0       32        1        2       29
17884 gir1.2-appstreamcompose-1.0        	       0        1        0        0        1
17885 gir1.2-appstreamglib-1.0           	       0        2        0        0        2
17886 gir1.2-avahi-0.6                   	       0        1        0        0        1
17887 gir1.2-ayatanaappindicator-0.1     	       0        2        0        0        2
17888 gir1.2-ayatanaido3-0.4             	       0        9        0        0        9
17889 gir1.2-babl-0.1                    	       0       63        0        1       62
17890 gir1.2-bamf-3                      	       0       58        0        0       58
17891 gir1.2-blockdev-2.0                	       0        3        0        0        3
17892 gir1.2-brasero-3.1                 	       0        1        0        0        1
17893 gir1.2-budgie-1.0                  	       0        9        1        0        8
17894 gir1.2-budgieraven-1.0             	       0        4        1        0        3
17895 gir1.2-caja                        	       0        5        0        0        5
17896 gir1.2-camel-1.2                   	       0      234        0        1      233
17897 gir1.2-champlain-0.12              	       0       21        0        0       21
17898 gir1.2-cheese-3.0                  	       0       25        0        0       25
17899 gir1.2-cloudproviders-0.3.0        	       0       31        0        0       31
17900 gir1.2-clutter-1.0                 	       0      242        0        0      242
17901 gir1.2-clutter-gst-1.0             	       0        2        0        2        0
17902 gir1.2-clutter-gst-2.0             	       0        7        0        7        0
17903 gir1.2-clutter-gst-3.0             	       0       26        0        0       26
17904 gir1.2-cogl-1.0                    	       0      245        0        0      245
17905 gir1.2-coglpango-1.0               	       0      245        0        0      245
17906 gir1.2-colord-1.0                  	       0       19        0        0       19
17907 gir1.2-colordgtk-1.0               	       0       14        0        0       14
17908 gir1.2-cryptui-0.0                 	       0        1        0        0        1
17909 gir1.2-cscreensaver-1.0            	       0      204       10       23      171
17910 gir1.2-dazzle-1.0                  	       0       14        0        0       14
17911 gir1.2-dbusmenu-glib-0.4           	       0       25        0        0       25
17912 gir1.2-dbusmenu-gtk-0.4            	       0        5        0        0        5
17913 gir1.2-dbusmenu-gtk3-0.4           	       0        8        0        0        8
17914 gir1.2-dee-1.0                     	       0        1        0        1        0
17915 gir1.2-dex-1                       	       0        1        0        0        1
17916 gir1.2-ebackend-1.2                	       0        4        0        0        4
17917 gir1.2-ebook-1.2                   	       0        6        0        0        6
17918 gir1.2-ebookcontacts-1.2           	       0       17        0        2       15
17919 gir1.2-ecal-2.0                    	       0      217        0        0      217
17920 gir1.2-edatabook-1.2               	       0        4        0        0        4
17921 gir1.2-edataserver-1.2             	       0      235        0        2      233
17922 gir1.2-edataserverui-1.2           	       0        1        0        0        1
17923 gir1.2-entangle-0.1                	       0        7        0        7        0
17924 gir1.2-eom-1.0                     	       0      461        2        4      455
17925 gir1.2-evince-3.0                  	       0      492        0        0      492
17926 gir1.2-farstream-0.2               	       0       57        1        8       48
17927 gir1.2-fcitx-1.0                   	       0        1        0        0        1
17928 gir1.2-flatpak-1.0                 	       0       16        0        0       16
17929 gir1.2-folks-0.6                   	       0        5        0        3        2
17930 gir1.2-folks-0.7                   	       0        4        0        0        4
17931 gir1.2-freedesktop-dev             	       0       55        0        0       55
17932 gir1.2-fwupd-2.0                   	       0        5        0        0        5
17933 gir1.2-ganv-1.0                    	       0        1        0        0        1
17934 gir1.2-garcon-1.0                  	       0        8        0        0        8
17935 gir1.2-garcongtk-1.0               	       0        5        0        0        5
17936 gir1.2-gcab-1.0                    	       0        2        0        0        2
17937 gir1.2-gck-2                       	       0       11        0        5        6
17938 gir1.2-gcr-4                       	       0       10        0        5        5
17939 gir1.2-gda-5.0                     	       0        4        1        0        3
17940 gir1.2-gdata-0.0                   	       0       36        0        0       36
17941 gir1.2-gdl-3                       	       0        1        0        0        1
17942 gir1.2-gdm3                        	       0        1        0        1        0
17943 gir1.2-gee-0.8                     	       0       23        0        0       23
17944 gir1.2-gee-1.0                     	       0        4        0        1        3
17945 gir1.2-gegl-0.4                    	       0       62        0        1       61
17946 gir1.2-geocodeglib-1.0             	       0       36        0        1       35
17947 gir1.2-geocodeglib-2.0             	       0      112        0        0      112
17948 gir1.2-gepub-0.4                   	       0        4        0        0        4
17949 gir1.2-gepub-0.6                   	       0        5        0        0        5
17950 gir1.2-ges-1.0                     	       0       36        0        1       35
17951 gir1.2-gexiv2-0.10                 	       0      124        2      122        0
17952 gir1.2-gfbgraph-0.2                	       0       14        0        0       14
17953 gir1.2-gimp-3.0                    	       0       39        0        1       38
17954 gir1.2-girepository-2.0-dev        	       0       10        0        0       10
17955 gir1.2-girepository-3.0            	       0        1        0        0        1
17956 gir1.2-girepository-3.0-dev        	       0        1        0        0        1
17957 gir1.2-git2-glib-1.0               	       0        9        0        9        0
17958 gir1.2-gkbd-3.0                    	       0      299        0        0      299
17959 gir1.2-gladeui-2.0                 	       0        3        0        0        3
17960 gir1.2-glib-2.0-dev                	       0       67        0        0       67
17961 gir1.2-gmenu-3.0                   	       0      244        1        9      234
17962 gir1.2-gmime-2.6                   	       0       11        0        0       11
17963 gir1.2-gmime-3.0                   	       0        6        0        0        6
17964 gir1.2-gnomeautoar-0.1             	       0        2        0        2        0
17965 gir1.2-gnomebg-4.0                 	       0       11        0        5        6
17966 gir1.2-gnomebluetooth-1.0          	       0       57        0        5       52
17967 gir1.2-gnomedesktop-4.0            	       0      299        1        5      293
17968 gir1.2-goa-1.0                     	       0      414        0        0      414
17969 gir1.2-goffice-0.10                	       0        2        0        0        2
17970 gir1.2-goocanvas-2.0               	       0       85        0        1       84
17971 gir1.2-gpaste-1.0                  	       0        1        0        0        1
17972 gir1.2-gpaste-2                    	       0        2        0        2        0
17973 gir1.2-granite-1.0                 	       0        4        0        0        4
17974 gir1.2-grilo-0.3                   	       0      119        0        0      119
17975 gir1.2-gsf-1                       	       0       17        0        0       17
17976 gir1.2-gspell-1                    	       0       92        1        8       83
17977 gir1.2-gssdp-1.0                   	       0        1        0        0        1
17978 gir1.2-gssdp-1.6                   	       0        5        0        0        5
17979 gir1.2-gst-plugins-bad-1.0         	       0      412        0        1      411
17980 gir1.2-gst-plugins-base-0.10       	       0        7        0        7        0
17981 gir1.2-gst-rtsp-server-1.0         	       0        3        0        0        3
17982 gir1.2-gstreamer-0.10              	       0       11        0       11        0
17983 gir1.2-gtk-2.0                     	       0      381        1       14      366
17984 gir1.2-gtk-vnc-2.0                 	       0      335        7       16      312
17985 gir1.2-gtkchamplain-0.12           	       0       21        0        0       21
17986 gir1.2-gtkclutter-1.0              	       0      226        0        0      226
17987 gir1.2-gtklayershell-0.1           	       0        4        0        0        4
17988 gir1.2-gtksource-3.0               	       0     1735        6       15     1714
17989 gir1.2-gtksource-300               	       0       31        0        0       31
17990 gir1.2-gtksource-5                 	       0        5        0        0        5
17991 gir1.2-gtkspell3-3.0               	       0       87        1        2       84
17992 gir1.2-gtop-2.0                    	       0       38        2       11       25
17993 gir1.2-gucharmap-2.90              	       0      133        0        0      133
17994 gir1.2-gudev-1.0                   	       0      127        1        4      122
17995 gir1.2-gupnp-1.0                   	       0        1        0        0        1
17996 gir1.2-gupnp-1.6                   	       0        5        0        0        5
17997 gir1.2-gupnp-av-1.0                	       0        1        0        0        1
17998 gir1.2-gupnpdlna-2.0               	       0        1        0        0        1
17999 gir1.2-gupnpigd-1.0                	       0       45        0        3       42
18000 gir1.2-gupnpigd-1.6                	       0        3        0        0        3
18001 gir1.2-gusb-1.0                    	       0        3        0        2        1
18002 gir1.2-gweather-3.0                	       0       48        0        5       43
18003 gir1.2-gxps-0.1                    	       0        1        0        0        1
18004 gir1.2-handy-0.0                   	       0       30        0        0       30
18005 gir1.2-ical-3.0                    	       0      233        0        0      233
18006 gir1.2-inputpad-1.1                	       0        1        0        0        1
18007 gir1.2-javascriptcoregtk-3.0       	       0       19        0        0       19
18008 gir1.2-javascriptcoregtk-4.1       	       0      251        1        1      249
18009 gir1.2-javascriptcoregtk-6.0       	       0       13        0        0       13
18010 gir1.2-jcat-1.0                    	       0        2        0        0        2
18011 gir1.2-jsonrpc-1.0                 	       0        5        0        0        5
18012 gir1.2-keybinder-0.0               	       0        1        0        0        1
18013 gir1.2-langtag-0.6                 	       0        2        0        0        2
18014 gir1.2-libinsane-1.0               	       0       15        0        0       15
18015 gir1.2-libosinfo-1.0               	       0      373        7       16      350
18016 gir1.2-libvirt-glib-1.0            	       0      333        7       20      306
18017 gir1.2-libvirt-sandbox-1.0         	       0        1        0        0        1
18018 gir1.2-libxfce4panel-2.0           	       0       18        0        0       18
18019 gir1.2-libxfce4ui-2.0              	       0       49        0        0       49
18020 gir1.2-libxfce4util-1.0            	       0       66        0        0       66
18021 gir1.2-lightdm-1                   	       0       10        0        9        1
18022 gir1.2-lokdocview-0.1              	       0       64        0        0       64
18023 gir1.2-malcontentui-1              	       0        1        0        0        1
18024 gir1.2-manette-0.2                 	       0        1        0        0        1
18025 gir1.2-mate-desktop                	       0        1        0        0        1
18026 gir1.2-mate-menu                   	       0        1        0        0        1
18027 gir1.2-mate-panel                  	       0       27        0        0       27
18028 gir1.2-matekbd-1.0                 	       0        2        0        0        2
18029 gir1.2-mediaart-1.0                	       0        1        0        0        1
18030 gir1.2-mediaart-2.0                	       0      118        0        0      118
18031 gir1.2-messagingmenu-1.0           	       0        2        0        0        2
18032 gir1.2-modemmanager-1.0            	       0        2        0        0        2
18033 gir1.2-msg-1                       	       0        1        0        0        1
18034 gir1.2-mutter-12                   	       0        1        0        0        1
18035 gir1.2-mutter-14                   	       0        3        0        3        0
18036 gir1.2-mutter-15                   	       0        5        0        1        4
18037 gir1.2-mutter-16                   	       0        1        0        0        1
18038 gir1.2-mutter-3                    	       0        4        0        1        3
18039 gir1.2-mutter-3.0                  	       0        3        0        0        3
18040 gir1.2-mutter-7                    	       0       30        0        4       26
18041 gir1.2-mutter-9                    	       0        1        0        0        1
18042 gir1.2-mypaint-1.5                 	       0        1        0        0        1
18043 gir1.2-nautilus-3.0                	       0       19        0        0       19
18044 gir1.2-nautilus-4.0                	       0       36        0        0       36
18045 gir1.2-networkmanager-1.0          	       0       12        0        0       12
18046 gir1.2-nice-0.1                    	       0        4        0        0        4
18047 gir1.2-nma4-1.0                    	       0       13        0        5        8
18048 gir1.2-nmgtk-1.0                   	       0        9        0        0        9
18049 gir1.2-osmgpsmap-1.0               	       0       46        0        0       46
18050 gir1.2-ostree-1.0                  	       0        5        0        0        5
18051 gir1.2-panel-1                     	       0        2        0        0        2
18052 gir1.2-panelapplet-4.0             	       0        4        0        1        3
18053 gir1.2-panelapplet-5.0             	       0        3        0        0        3
18054 gir1.2-peas-2                      	       0        1        0        0        1
18055 gir1.2-playerctl-2.0               	       0        2        0        0        2
18056 gir1.2-poppler-0.18                	       0      175        0        0      175
18057 gir1.2-rb-3.0                      	       0      398        0        4      394
18058 gir1.2-rda-1.0                     	       0        1        0        0        1
18059 gir1.2-rest-0.7                    	       0       30        0       30        0
18060 gir1.2-rest-1.0                    	       0      109        0        1      108
18061 gir1.2-restextras-1.0              	       0        1        0        0        1
18062 gir1.2-retro-1                     	       0        3        0        0        3
18063 gir1.2-secret-1                    	       0     2589       23       84     2482
18064 gir1.2-shumate-1.0                 	       0      108        0        0      108
18065 gir1.2-signon-2.0                  	       0        2        0        2        0
18066 gir1.2-snapd-1                     	       0        2        0        0        2
18067 gir1.2-snapd-2                     	       0        1        0        0        1
18068 gir1.2-spelling-1                  	       0        2        0        0        2
18069 gir1.2-spice-client-glib-2.0       	       0       20        0       20        0
18070 gir1.2-spice-client-gtk-3.0        	       0       19        0       19        0
18071 gir1.2-spiceclientglib-2.0         	       0      308        7       12      289
18072 gir1.2-spiceclientgtk-3.0          	       0      305        7       12      286
18073 gir1.2-sugarext-1.0                	       0      299        0        0      299
18074 gir1.2-telepathyglib-0.12          	       0      283        2        7      274
18075 gir1.2-telepathylogger-0.2         	       0       22        2        5       15
18076 gir1.2-template-1.0                	       0        4        0        0        4
18077 gir1.2-tepl-6                      	       0       85        0        1       84
18078 gir1.2-thunarx-3.0                 	       0        5        0        0        5
18079 gir1.2-totem-1.0                   	       0      393        0        5      388
18080 gir1.2-totem-plparser-1.0          	       0       13        0        1       12
18081 gir1.2-totemplparser-1.0           	       0      388        0        4      384
18082 gir1.2-tracker-0.14                	       0        2        0        2        0
18083 gir1.2-tracker-1.0                 	       0        8        0        0        8
18084 gir1.2-tracker-2.0                 	       0       29        0        0       29
18085 gir1.2-tracker-3.0                 	       0      109        0        0      109
18086 gir1.2-udisks-2.0                  	       0       44        0        0       44
18087 gir1.2-umockdev-1.0                	       0        1        0        0        1
18088 gir1.2-urfkill-0.5                 	       0        2        0        0        2
18089 gir1.2-urfkill-glib0               	       0        1        0        0        1
18090 gir1.2-vips-8.0                    	       0        5        0        4        1
18091 gir1.2-vte-2.90                    	       0       17        0       17        0
18092 gir1.2-vte-3.91                    	       0        2        0        0        2
18093 gir1.2-webkit-3.0                  	       0       10        0        0       10
18094 gir1.2-webkit-6.0                  	       0       13        0        0       13
18095 gir1.2-webkit2-4.1                 	       0      251        1        1      249
18096 gir1.2-wnck-1.0                    	       0        8        0        0        8
18097 gir1.2-wnck-3.0                    	       0     2521        6       18     2497
18098 gir1.2-wp-0.4                      	       0        2        0        0        2
18099 gir1.2-wp-0.5                      	       0        2        0        0        2
18100 gir1.2-xdp-1.0                     	       0       11        0        0       11
18101 gir1.2-xdpgtk3-1.0                 	       0        3        0        0        3
18102 gir1.2-xdpgtk4-1.0                 	       0        4        0        0        4
18103 gir1.2-xfconf-0                    	       0       96        0        0       96
18104 gir1.2-xkl-1.0                     	       0      312        0        0      312
18105 gir1.2-xmlb-2.0                    	       0       21        0        0       21
18106 gir1.2-zbar-1.0                    	       0        8        0        0        8
18107 gir1.2-zeitgeist-2.0               	       0       14        0        0       14
18108 gir1.2-zpj-0.0                     	       0       28        0        0       28
18109 gis-data                           	       0        1        0        0        1
18110 gis-devel                          	       0        1        0        0        1
18111 gis-gps                            	       0        4        0        0        4
18112 gis-osm                            	       0        2        0        0        2
18113 gis-remotesensing                  	       0        3        0        0        3
18114 gis-statistics                     	       0        3        0        0        3
18115 gis-tasks                          	       0        9        0        0        9
18116 gis-web                            	       0        2        0        0        2
18117 gis-workstation                    	       0        4        0        0        4
18118 git-absorb                         	       0        2        0        2        0
18119 git-all                            	       0       29        0        0       29
18120 git-annex                          	       0       12        0       12        0
18121 git-annex-remote-rclone            	       0        5        0        5        0
18122 git-annex-standalone               	       0        1        0        1        0
18123 git-arch                           	       0        1        0        1        0
18124 git-autofixup                      	       0        2        0        2        0
18125 git-big-picture                    	       0        9        0        9        0
18126 git-big-picture-build-deps         	       0        1        0        0        1
18127 git-buildpackage                   	       0       69        1       68        0
18128 git-buildpackage-rpm               	       0        2        0        2        0
18129 git-bump                           	       0        1        0        1        0
18130 git-cinnabar                       	       0        1        0        1        0
18131 git-core                           	       0       40        0        0       40
18132 git-crecord                        	       0        3        0        3        0
18133 git-credential-oauth               	       0        2        0        2        0
18134 git-crypt                          	       0        6        0        6        0
18135 git-daemon-run                     	       0        7        0        0        7
18136 git-daemon-sysvinit                	       0        6        0        0        6
18137 git-doc                            	       0      121        0        0      121
18138 git-dpm                            	       0        3        0        3        0
18139 git-el                             	       0        7        1        6        0
18140 git-extras                         	       0       15        0       15        0
18141 git-filter-repo                    	       0       17        0       17        0
18142 git-flow                           	       0       20        0       20        0
18143 git-ftp                            	       0        4        0        4        0
18144 git-hub                            	       0        8        0        8        0
18145 git-imerge                         	       0        4        0        4        0
18146 git-load-dirs                      	       0        1        0        1        0
18147 git-man                            	       0     2179        0        0     2179
18148 git-mediate                        	       0        2        0        2        0
18149 git-merge-changelog-dbgsym         	       0        1        0        1        0
18150 git-notifier                       	       0        1        0        1        0
18151 git-publish                        	       0        5        1        4        0
18152 git-quick-stats                    	       0        3        0        3        0
18153 git-reintegrate                    	       0        2        0        2        0
18154 git-remote-gcrypt                  	       0       13        0       13        0
18155 git-remote-hg                      	       0        2        0        2        0
18156 git-repair                         	       0        6        0        6        0
18157 git-restore-mtime                  	       0        2        0        2        0
18158 git-revise                         	       0        1        0        1        0
18159 git-secret                         	       0        2        0        2        0
18160 git-secrets                        	       0        3        0        3        0
18161 git-sh                             	       0        1        0        1        0
18162 git-sizer                          	       0        2        0        2        0
18163 git-stuff                          	       0        1        0        1        0
18164 git-subrepo                        	       0        2        0        2        0
18165 git-tui                            	       0        1        0        1        0
18166 git2cl                             	       0        2        0        2        0
18167 gita                               	       0        4        0        4        0
18168 gitbrute                           	       0        2        0        2        0
18169 gitea                              	       0        1        0        1        0
18170 github-desktop                     	       0        2        0        2        0
18171 gitinspector                       	       0        7        0        7        0
18172 gitlab-ce                          	       0        3        0        3        0
18173 gitlab-ci-local                    	       0        1        0        1        0
18174 gitlab-cli                         	       0        5        0        5        0
18175 gitlab-ee                          	       0        3        0        3        0
18176 gitlab-runner                      	       0        3        1        2        0
18177 gitlab-runner-helper-images        	       0        1        0        0        1
18178 gitlab-shell                       	       0        1        0        1        0
18179 gitless                            	       0        5        0        5        0
18180 gitlint                            	       0        4        0        4        0
18181 gitmagic                           	       0       23        0        0       23
18182 gitolite                           	       0        1        0        1        0
18183 gitolite3                          	       0       11        0       11        0
18184 gitpkg                             	       0        5        1        4        0
18185 gitso                              	       0        1        0        1        0
18186 gitsome                            	       0        2        0        2        0
18187 gitstats                           	       0        1        0        1        0
18188 gittown                            	       0        1        0        1        0
18189 giza-dev                           	       0        6        0        6        0
18190 gjacktransport                     	       0       10        0       10        0
18191 gjdoc                              	       0        1        0        1        0
18192 gjiten                             	       0        7        0        7        0
18193 gjs-tests                          	       0        1        0        0        1
18194 gkdebconf                          	       0        9        0        9        0
18195 gkermit                            	       0       10        0       10        0
18196 gkrellkam                          	       0        9        1        8        0
18197 gkrellm                            	       0      128       14      114        0
18198 gkrellm-bfm                        	       0       21        0       21        0
18199 gkrellm-cpufreq                    	       0       29        4       25        0
18200 gkrellm-gkrellmpc                  	       0        5        0        5        0
18201 gkrellm-hdplop                     	       0       20        0       20        0
18202 gkrellm-ibam                       	       0        8        0        8        0
18203 gkrellm-leds                       	       0       17        2       15        0
18204 gkrellm-mailwatch                  	       0        8        0        8        0
18205 gkrellm-mldonkey                   	       0        3        0        3        0
18206 gkrellm-radio                      	       0        7        0        7        0
18207 gkrellm-reminder                   	       0       11        1       10        0
18208 gkrellm-thinkbat                   	       0       12        1       11        0
18209 gkrellm-tz                         	       0       10        0       10        0
18210 gkrellm-volume                     	       0       15        1       14        0
18211 gkrellm-x86info                    	       0       12        0       12        0
18212 gkrellm-xkb                        	       0       13        0       13        0
18213 gkrellmd                           	       0       13        2       11        0
18214 gkrellmitime                       	       0        6        0        6        0
18215 gkrellmoon                         	       0       25        2       23        0
18216 gkrellmwireless                    	       0       16        0       16        0
18217 gkrellshoot                        	       0       11        0       11        0
18218 gkrelltop                          	       0       24        5       19        0
18219 gkrelltopd                         	       0        9        0        9        0
18220 gkrelluim                          	       0        6        0        6        0
18221 gkrellweather                      	       0       14        1       13        0
18222 gkrellxmms2                        	       0        6        0        6        0
18223 gksu                               	       0      190        4      186        0
18224 gl-117                             	       0        6        0        6        0
18225 gl-117-data                        	       0        6        0        0        6
18226 glabels                            	       0       30        0       30        0
18227 glabels-data                       	       0       31        0        0       31
18228 glade-common                       	       0        1        0        1        0
18229 glade-gtk2                         	       0        1        0        1        0
18230 glade2script                       	       0        3        0        0        3
18231 glade2script-python3               	       0        3        0        3        0
18232 gladish                            	       0        1        0        1        0
18233 gladtex                            	       0        1        0        1        0
18234 glam2                              	       0        1        0        1        0
18235 glance                             	       0        1        0        0        1
18236 glance-api                         	       0        1        0        1        0
18237 glance-common                      	       0        1        0        1        0
18238 glance-registry                    	       0        1        0        1        0
18239 glance-store-common                	       0        1        0        0        1
18240 glances                            	       0       41        3       38        0
18241 glances-doc                        	       0        6        0        0        6
18242 glasscoder                         	       0        1        0        1        0
18243 glassfish-javaee                   	       0        3        0        0        3
18244 glassfish-jmac-api                 	       0        1        0        0        1
18245 glassgui                           	       0        1        0        1        0
18246 glaurung                           	       0        7        0        7        0
18247 glava                              	       0        1        0        1        0
18248 glbsp                              	       0        3        0        3        0
18249 glchess                            	       0        2        0        0        2
18250 gle-graphics                       	       0        3        0        3        0
18251 gle-graphics-manual                	       0        3        0        0        3
18252 glee-dev                           	       0        1        0        1        0
18253 glewlwyd                           	       0        1        0        1        0
18254 glewlwyd-common                    	       0        1        0        0        1
18255 glfer                              	       0        3        0        3        0
18256 glgrib-data                        	       0        1        0        0        1
18257 glgrib-egl                         	       0        1        0        1        0
18258 glgrib-shaders                     	       0        1        0        0        1
18259 glhack                             	       0        8        0        8        0
18260 glibc-doc                          	       0       57        0        0       57
18261 glibc-doc-reference                	       0       26        0        0       26
18262 glibc-source                       	       0       20        0        0       20
18263 gliese                             	       0        4        0        0        4
18264 glimpse                            	       0       15        2       13        0
18265 glines                             	       0        2        0        0        2
18266 gliv                               	       0        3        0        3        0
18267 glmark2-data                       	       0       21        0        0       21
18268 glmark2-drm                        	       0        1        0        1        0
18269 glmark2-es2-drm                    	       0        1        0        1        0
18270 glmark2-es2-wayland                	       0        3        0        3        0
18271 glmark2-es2-x11                    	       0        4        0        4        0
18272 glmark2-wayland                    	       0        3        0        3        0
18273 glmark2-x11                        	       0       20        1       19        0
18274 glob2                              	       0        8        0        8        0
18275 glob2-data                         	       0        8        0        0        8
18276 global                             	       0       15        0       15        0
18277 globalprotect                      	       0        1        0        1        0
18278 globalprotect-openconnect          	       0        1        0        1        0
18279 globs                              	       0        2        0        2        0
18280 globus-gass-copy-progs             	       0        2        0        2        0
18281 globus-gridftp-server-progs        	       0        1        0        1        0
18282 glogg                              	       0        8        0        8        0
18283 glogic                             	       0       12        0       12        0
18284 glom-doc                           	       0        2        0        0        2
18285 glosstex                           	       0        3        0        3        0
18286 glosung                            	       0        1        0        1        0
18287 glotski                            	       0        1        0        1        0
18288 glow                               	       0        2        0        2        0
18289 glpeces                            	       0       14        2       12        0
18290 glpeces-data                       	       0       15        0        0       15
18291 glpi                               	       0        1        0        1        0
18292 glpi-agent                         	       0        2        0        2        0
18293 glpk-doc                           	       0        1        0        0        1
18294 glpk-utils                         	       0       13        0       13        0
18295 glslc                              	       0        3        0        3        0
18296 gltfpack                           	       0        3        0        3        0
18297 gltron                             	       0        8        0        8        0
18298 glulxe                             	       0        4        0        4        0
18299 glunarclock                        	       0        1        0        1        0
18300 gluqlo                             	       0        2        0        2        0
18301 glusterfs-cli                      	       0        1        0        0        1
18302 glusterfs-client                   	       0        2        0        2        0
18303 glusterfs-common                   	       0        9        0        9        0
18304 glusterfs-server                   	       0        1        0        1        0
18305 glutg3                             	       0        1        0        0        1
18306 glx-alternative-mesa               	       0      263        0        0      263
18307 glx-alternative-nvidia             	       0      225        0        0      225
18308 glx-diversions                     	       0      244        0        0      244
18309 glycin-loaders                     	       0        1        0        0        1
18310 glymur-bin                         	       0        1        0        1        0
18311 glyphsinfo                         	       0        3        0        0        3
18312 gm-assistant                       	       0        2        0        2        0
18313 gm2-12                             	       0        1        0        1        0
18314 gm2-13                             	       0        1        0        1        0
18315 gm2-13-doc                         	       0        1        0        0        1
18316 gm2-13-x86-64-linux-gnu            	       0        1        0        1        0
18317 gm2-14-doc                         	       0        1        0        0        1
18318 gm2-14-for-build                   	       0        1        0        0        1
18319 gm2-doc                            	       0        1        0        0        1
18320 gm2-for-build                      	       0        1        0        0        1
18321 gmameui                            	       0        1        0        1        0
18322 gmanedit                           	       0        3        0        3        0
18323 gmap                               	       0        1        0        1        0
18324 gmchess                            	       0        1        0        1        0
18325 gmediarender                       	       0        1        0        1        0
18326 gmemusage                          	       0        5        0        5        0
18327 gmerlin                            	       0        9        0        9        0
18328 gmerlin-data                       	       0        9        0        0        9
18329 gmerlin-doc                        	       0        1        0        0        1
18330 gmerlin-encoders                   	       0        1        0        1        0
18331 gmerlin-encoders-extra             	       0        3        0        0        3
18332 gmerlin-encoders-ffmpeg            	       0        8        0        0        8
18333 gmerlin-encoders-good              	       0        7        0        0        7
18334 gmerlin-plugins-avdecoder          	       0        7        0        0        7
18335 gmerlin-plugins-base               	       0        9        0        0        9
18336 gmetad                             	       0        1        1        0        0
18337 gmidimonitor                       	       0       10        0       10        0
18338 gmime-bin                          	       0        1        0        1        0
18339 gmlive                             	       0        2        0        2        0
18340 gmm                                	       0        1        0        1        0
18341 gmobilemedia                       	       0        1        0        1        0
18342 gmp-doc                            	       0       14        0        0       14
18343 gmp-ecm                            	       0       14        0       14        0
18344 gmpc-data                          	       0       15        0        0       15
18345 gmpc-plugins                       	       0        3        0        0        3
18346 gmrun                              	       0       31        0       31        0
18347 gmsl                               	       0        3        0        0        3
18348 gmt                                	       0        9        1        8        0
18349 gmt-common                         	       0       10        0        0       10
18350 gmt-dcw                            	       0       10        0        0       10
18351 gmt-examples                       	       0        1        0        0        1
18352 gmt-gshhg                          	       0        1        0        0        1
18353 gmt-gshhg-full                     	       0        2        0        0        2
18354 gmt-gshhg-high                     	       0        9        0        0        9
18355 gmt-gshhg-low                      	       0        2        0        0        2
18356 gmtkbabel                          	       0        1        0        1        0
18357 gmult                              	       0        8        0        8        0
18358 gmusicbrowser                      	       0        2        0        2        0
18359 gnash                              	       0       21        0       21        0
18360 gnash-common                       	       0       21        0       21        0
18361 gnash-cygnal                       	       0        3        0        3        0
18362 gnash-doc                          	       0        1        0        0        1
18363 gnash-tools                        	       0        3        0        3        0
18364 gnat                               	       0       37        0        0       37
18365 gnat-10                            	       0        8        0        8        0
18366 gnat-10-doc                        	       0        1        0        0        1
18367 gnat-12                            	       0       32        0       32        0
18368 gnat-12-doc                        	       0        4        0        0        4
18369 gnat-13                            	       0        3        0        3        0
18370 gnat-13-doc                        	       0        2        0        0        2
18371 gnat-13-i686-linux-gnu             	       0        1        0        1        0
18372 gnat-13-x86-64-linux-gnu           	       0        2        0        2        0
18373 gnat-15                            	       0        1        0        1        0
18374 gnat-15-x86-64-linux-gnu           	       0        1        0        1        0
18375 gnat-4.3-base                      	       0        1        0        0        1
18376 gnat-4.6-base                      	       0        1        0        0        1
18377 gnat-6                             	       0        1        0        1        0
18378 gnat-6-doc                         	       0        1        0        0        1
18379 gnat-8                             	       0        1        0        1        0
18380 gnat-9-doc                         	       0        1        0        0        1
18381 gnat-doc                           	       0        4        0        0        4
18382 gnat-gps                           	       0        2        0        2        0
18383 gnat-gps-common                    	       0        3        0        0        3
18384 gnat-gps-doc                       	       0        3        0        3        0
18385 gnats                              	       0        1        0        1        0
18386 gnats-user                         	       0        1        0        1        0
18387 gnaural                            	       0        1        0        1        0
18388 gnect                              	       0        2        0        0        2
18389 gnee                               	       0        1        0        1        0
18390 gngb                               	       0        5        0        5        0
18391 gniall                             	       0        2        0        2        0
18392 gnibbles                           	       0        1        0        0        1
18393 gnobots2                           	       0        1        0        0        1
18394 gnokii                             	       0        5        0        0        5
18395 gnokii-cli                         	       0        6        0        6        0
18396 gnokii-common                      	       0       12        0        0       12
18397 gnokii-smsd                        	       0        1        0        1        0
18398 gnome                              	       0       79        0        0       79
18399 gnome-accessibility-themes         	       0     1400        0        0     1400
18400 gnome-activity-journal             	       0        3        0        3        0
18401 gnome-alsamixer                    	       0       11        0       11        0
18402 gnome-api-docs                     	       0        1        0        0        1
18403 gnome-applets-data                 	       0       68        0        0       68
18404 gnome-audio                        	       0       12        0        0       12
18405 gnome-authenticator                	       0        4        0        4        0
18406 gnome-backgrounds                  	       0      429        0        0      429
18407 gnome-bin                          	       0        1        0        1        0
18408 gnome-bluetooth                    	       0       51        0       26       25
18409 gnome-bluetooth-3-common           	       0      328        0        0      328
18410 gnome-bluetooth-common             	       0       19        0        0       19
18411 gnome-books                        	       0        3        0        3        0
18412 gnome-boxes                        	       0       22        0       22        0
18413 gnome-brave-icon-theme             	       0      165        0        0      165
18414 gnome-breakout                     	       0        7        0        7        0
18415 gnome-btdownload                   	       0        1        0        1        0
18416 gnome-builder                      	       0        3        0        3        0
18417 gnome-calls                        	       0        3        1        2        0
18418 gnome-calls-doc                    	       0        1        0        0        1
18419 gnome-cards-data                   	       0       41        0        0       41
18420 gnome-classic                      	       0        1        0        1        0
18421 gnome-classic-xsession             	       0        1        0        0        1
18422 gnome-codec-install                	       0        1        0        1        0
18423 gnome-color-chooser                	       0        3        0        3        0
18424 gnome-colors                       	       0      157        0        0      157
18425 gnome-colors-common                	       0      193        0        0      193
18426 gnome-commander                    	       0       16        0       16        0
18427 gnome-commander-data               	       0       17        0        1       16
18428 gnome-common                       	       0       18        0       18        0
18429 gnome-connection-manager           	       0        1        0        0        1
18430 gnome-connections                  	       0        4        0        4        0
18431 gnome-console                      	       0        3        0        3        0
18432 gnome-core                         	       0       89        0        0       89
18433 gnome-desktop-data                 	       0        4        0        0        4
18434 gnome-desktop-testing              	       0        7        0        7        0
18435 gnome-devel                        	       0        1        0        0        1
18436 gnome-devel-docs                   	       0        1        0        0        1
18437 gnome-disk-utility-build-deps      	       0        1        0        0        1
18438 gnome-disk-utility-dbgsym          	       0        1        0        1        0
18439 gnome-do                           	       0        1        0        1        0
18440 gnome-do-plugins                   	       0        1        0        1        0
18441 gnome-doc-tools                    	       0        1        0        1        0
18442 gnome-doc-utils                    	       0        2        0        2        0
18443 gnome-documents                    	       0       24        0       24        0
18444 gnome-dust-icon-theme              	       0      165        0        0      165
18445 gnome-exe-thumbnailer              	       0        3        0        1        2
18446 gnome-extra-icons                  	       0     2945        0        0     2945
18447 gnome-feeds                        	       0        3        0        3        0
18448 gnome-firmware                     	       0       16        1       15        0
18449 gnome-games                        	       0      346        0        0      346
18450 gnome-games-app                    	       0        3        0        3        0
18451 gnome-games-data                   	       0        3        0        0        3
18452 gnome-games-extra-data             	       0        5        0        0        5
18453 gnome-genius                       	       0       15        1       14        0
18454 gnome-getting-started-docs         	       0       26        0        0       26
18455 gnome-gmail                        	       0        2        0        0        2
18456 gnome-gpg                          	       0        1        0        1        0
18457 gnome-hearts                       	       0        1        0        1        0
18458 gnome-human-icon-theme             	       0      179        0        0      179
18459 gnome-icon-theme                   	       0     3133        0        0     3133
18460 gnome-icon-theme-blankon           	       0        1        0        0        1
18461 gnome-icon-theme-dlg-neu           	       0        1        0        0        1
18462 gnome-icon-theme-extras            	       0       99        0        0       99
18463 gnome-icon-theme-gartoon           	       0       20        0        0       20
18464 gnome-icon-theme-nuovo             	       0       29        0        0       29
18465 gnome-icon-theme-suede             	       0       23        0        0       23
18466 gnome-icon-theme-symbolic          	       0       44        0        0       44
18467 gnome-icon-theme-yasis             	       0       16        0        0       16
18468 gnome-illustrious-icon-theme       	       0      164        0        0      164
18469 gnome-js-common                    	       0       10        0        2        8
18470 gnome-keyring-manager              	       0        1        0        1        0
18471 gnome-keyring-pkcs11               	       0     2614        0        0     2614
18472 gnome-keysign                      	       0        1        0        1        0
18473 gnome-libs-data                    	       0        1        0        0        1
18474 gnome-mag                          	       0        1        0        1        0
18475 gnome-mastermind                   	       0        2        0        2        0
18476 gnome-media                        	       0        2        0        2        0
18477 gnome-media-common                 	       0        1        0        0        1
18478 gnome-metronome                    	       0        5        0        5        0
18479 gnome-mime-data                    	       0      187        0        0      187
18480 gnome-mplayer                      	       0       19        0       19        0
18481 gnome-mpv                          	       0        6        0        0        6
18482 gnome-mud                          	       0        1        0        1        0
18483 gnome-multi-writer                 	       0       18        0       18        0
18484 gnome-nettool                      	       0       16        1       15        0
18485 gnome-network-displays             	       0        2        2        0        0
18486 gnome-noble-icon-theme             	       0      166        0        0      166
18487 gnome-office                       	       0        1        0        0        1
18488 gnome-online-miners                	       0       44        0        0       44
18489 gnome-packagekit                   	       0       18        0       18        0
18490 gnome-packagekit-common            	       0       29        0        0       29
18491 gnome-packagekit-data              	       0       17        0        1       16
18492 gnome-panel-control                	       0        1        0        1        0
18493 gnome-panel-data                   	       0       69        0        4       65
18494 gnome-peercast                     	       0        1        0        1        0
18495 gnome-phone-manager                	       0        1        0        1        0
18496 gnome-photo-printer                	       0        1        0        1        0
18497 gnome-photos                       	       0       14        1       13        0
18498 gnome-pie                          	       0        2        0        2        0
18499 gnome-pkg-tools                    	       0       29        0       29        0
18500 gnome-pkgview                      	       0        1        0        1        0
18501 gnome-platform-devel               	       0        1        0        0        1
18502 gnome-rdp                          	       0        1        0        1        0
18503 gnome-recipes                      	       0        2        0        2        0
18504 gnome-recipes-data                 	       0        2        0        0        2
18505 gnome-scan-common                  	       0        1        0        0        1
18506 gnome-screensaver                  	       0       31        1       30        0
18507 gnome-screensaver-flags            	       0        3        0        0        3
18508 gnome-search-tool                  	       0        7        0        7        0
18509 gnome-session-canberra             	       0       72        1       70        1
18510 gnome-session-flashback            	       0       52        0        2       50
18511 gnome-session-xsession             	       0        6        0        0        6
18512 gnome-settings-daemon-dev          	       0        2        0        2        0
18513 gnome-shell-extension-appindicator 	       0        7        0        0        7
18514 gnome-shell-extension-apps-menu    	       0        1        0        0        1
18515 gnome-shell-extension-arc-menu     	       0        1        0        0        1
18516 gnome-shell-extension-auto-move-windows	       0        1        0        0        1
18517 gnome-shell-extension-bluetooth-quick-connect	       0        1        0        0        1
18518 gnome-shell-extension-caffeine     	       0        3        0        0        3
18519 gnome-shell-extension-dash-to-panel	       0        6        0        2        4
18520 gnome-shell-extension-dashtodock   	       0        4        0        0        4
18521 gnome-shell-extension-desktop-icons	       0        3        0        0        3
18522 gnome-shell-extension-desktop-icons-ng	       0        2        0        2        0
18523 gnome-shell-extension-disconnect-wifi	       0        1        0        0        1
18524 gnome-shell-extension-draw-on-your-screen	       0        1        0        0        1
18525 gnome-shell-extension-drive-menu   	       0        1        0        0        1
18526 gnome-shell-extension-easyscreencast	       0        2        0        0        2
18527 gnome-shell-extension-freon        	       0        3        0        1        2
18528 gnome-shell-extension-gpaste       	       0        2        0        0        2
18529 gnome-shell-extension-gsconnect    	       0        4        0        4        0
18530 gnome-shell-extension-gsconnect-browsers	       0        3        0        0        3
18531 gnome-shell-extension-hamster      	       0        3        0        0        3
18532 gnome-shell-extension-hide-activities	       0        7        0        0        7
18533 gnome-shell-extension-impatience   	       0        3        0        2        1
18534 gnome-shell-extension-launch-new-instance	       0        1        0        0        1
18535 gnome-shell-extension-light-style  	       0        1        0        0        1
18536 gnome-shell-extension-move-clock   	       0        1        0        0        1
18537 gnome-shell-extension-multi-monitors	       0        1        0        0        1
18538 gnome-shell-extension-native-window-placement	       0        1        0        0        1
18539 gnome-shell-extension-panel-osd    	       0        3        0        0        3
18540 gnome-shell-extension-places-menu  	       0        1        0        0        1
18541 gnome-shell-extension-screenshot-window-sizer	       0        1        0        0        1
18542 gnome-shell-extension-shortcuts    	       0        1        0        0        1
18543 gnome-shell-extension-sound-device-chooser	       0        1        0        0        1
18544 gnome-shell-extension-system-monitor	       0       11        1        3        7
18545 gnome-shell-extension-top-icons-plus	       0        5        0        0        5
18546 gnome-shell-extension-trash        	       0        1        0        0        1
18547 gnome-shell-extension-user-theme   	       0        1        0        0        1
18548 gnome-shell-extension-vertical-overview	       0        1        0        0        1
18549 gnome-shell-extension-volume-mixer 	       0        1        0        1        0
18550 gnome-shell-extension-weather      	       0        5        0        2        3
18551 gnome-shell-extension-window-list  	       0        1        0        0        1
18552 gnome-shell-extension-windows-navigator	       0        1        0        0        1
18553 gnome-shell-extension-workspace-indicator	       0        1        0        0        1
18554 gnome-shell-extension-workspaces-to-dock	       0        1        0        0        1
18555 gnome-shell-extensions-common      	       0        1        0        0        1
18556 gnome-shell-extensions-extra       	       0        5        0        0        5
18557 gnome-shell-pomodoro               	       0        1        0        1        0
18558 gnome-shell-pomodoro-data          	       0        1        0        0        1
18559 gnome-snapshot                     	       0        3        0        3        0
18560 gnome-software-doc                 	       0        1        0        0        1
18561 gnome-spell                        	       0        1        0        1        0
18562 gnome-split                        	       0        2        0        2        0
18563 gnome-subtitles                    	       0        8        1        7        0
18564 gnome-system-log                   	       0       11        0       11        0
18565 gnome-theme-gilouche               	       0       13        0        0       13
18566 gnome-themes                       	       0       10        0        0       10
18567 gnome-themes-extra-data            	       0     1404        0        0     1404
18568 gnome-themes-extras                	       0        9        0        0        9
18569 gnome-themes-more                  	       0        2        0        0        2
18570 gnome-themes-standard              	       0       75        0        0       75
18571 gnome-themes-standard-data         	       0       27        0        0       27
18572 gnome-todo                         	       0       20        0       10       10
18573 gnome-todo-common                  	       0       11        0        0       11
18574 gnome-tour                         	       0        2        0        2        0
18575 gnome-tweak-tool                   	       0       10        0        3        7
18576 gnome-u2ps                         	       0        1        0        1        0
18577 gnome-user-docs                    	       0      420        0        0      420
18578 gnome-user-guide                   	       0       59        0        0       59
18579 gnome-vfs-extfs                    	       0        1        0        1        0
18580 gnome-vfs-obexftp                  	       0        1        0        0        1
18581 gnome-video-arcade                 	       0       10        0       10        0
18582 gnome-video-effects                	       0      496        0        0      496
18583 gnome-video-effects-dev            	       0        1        0        0        1
18584 gnome-video-effects-frei0r         	       0        4        0        0        4
18585 gnome-video-trimmer                	       0        1        0        1        0
18586 gnome-web-photo                    	       0        1        0        1        0
18587 gnome-wine-icon-theme              	       0      167        0        0      167
18588 gnome-wise-icon-theme              	       0      166        0        0      166
18589 gnome-xcf-thumbnailer              	       0        2        0        2        0
18590 gnomecatalog                       	       0        1        0        1        0
18591 gnomekiss                          	       0        3        0        3        0
18592 gnomermind                         	       0        1        0        1        0
18593 gnomine                            	       0        3        0        0        3
18594 gnomp3                             	       0        1        0        1        0
18595 gnotime                            	       0        1        0        1        0
18596 gnotravex                          	       0        2        0        0        2
18597 gnotski                            	       0        3        0        0        3
18598 gnss-sdr                           	       0        6        0        6        0
18599 gnu-efi                            	       0       15        0       15        0
18600 gnu-fdisk                          	       0        1        0        1        0
18601 gnu-smalltalk                      	       0        5        0        5        0
18602 gnu-smalltalk-browser              	       0        1        0        1        0
18603 gnu-smalltalk-common               	       0        5        0        0        5
18604 gnu-smalltalk-doc                  	       0        2        0        0        2
18605 gnu-standards                      	       0       57        0        0       57
18606 gnu-which                          	       0        5        1        4        0
18607 gnuais                             	       0        5        0        5        0
18608 gnuaisgui                          	       0        4        0        4        0
18609 gnuastro                           	       0        4        0        4        0
18610 gnubg-data                         	       0       19        0        0       19
18611 gnubiff                            	       0        1        0        1        0
18612 gnubik                             	       0        6        0        6        0
18613 gnuboy-sdl                         	       0        1        0        1        0
18614 gnuboy-x                           	       0        1        0        1        0
18615 gnucap                             	       0       27        1       26        0
18616 gnucap-common                      	       0       20        1       19        0
18617 gnucap-default-plugins0            	       0       22        0        0       22
18618 gnucap-python                      	       0        1        0        0        1
18619 gnucash-build-deps                 	       0        1        0        0        1
18620 gnucash-dbgsym                     	       0        1        0        1        0
18621 gnucash-docs                       	       0       89        0        0       89
18622 gnuchess-book                      	       0     1037        0        0     1037
18623 gnucobol                           	       0       11        0        0       11
18624 gnucobol3                          	       0       11        0       11        0
18625 gnucobol4                          	       0        4        0        4        0
18626 gnudatalanguage                    	       0        2        0        2        0
18627 gnudoku                            	       0        1        0        1        0
18628 gnudoq                             	       0        2        0        2        0
18629 gnuhtml2latex                      	       0       12        0       12        0
18630 gnuift                             	       0        5        0        5        0
18631 gnuift-perl                        	       0        5        0        5        0
18632 gnuinos-keyring                    	       0        1        0        0        1
18633 gnuit                              	       0        4        0        4        0
18634 gnujump                            	       0        4        0        4        0
18635 gnujump-data                       	       0        4        0        0        4
18636 gnulib                             	       0       15        0       15        0
18637 gnulib-build-deps                  	       0        1        0        0        1
18638 gnumach-common                     	       0        1        0        0        1
18639 gnumach-dev                        	       0        2        0        2        0
18640 gnumail.app                        	       0        3        0        3        0
18641 gnumail.app-common                 	       0        3        0        0        3
18642 gnumed-client                      	       0        1        0        1        0
18643 gnumed-common                      	       0        1        0        0        1
18644 gnumeric-common                    	       0      137        0        1      136
18645 gnumeric-doc                       	       0      113        0        0      113
18646 gnuminishogi                       	       0        7        0        7        0
18647 gnunet                             	       0       15        1       14        0
18648 gnunet-dev                         	       0        2        0        2        0
18649 gnunet-gtk                         	       0        8        0        8        0
18650 gnupg-curl                         	       0       17        0       17        0
18651 gnupg-doc                          	       0        7        0        0        7
18652 gnupg-idea                         	       0        1        0        1        0
18653 gnupg-pkcs11-scd                   	       0        7        0        7        0
18654 gnupg-pkcs11-scd-proxy             	       0        1        0        1        0
18655 gnupg-utils-dbgsym                 	       0        1        0        1        0
18656 gnupg1                             	       0       49        1       48        0
18657 gnupg1-curl                        	       0        7        1        6        0
18658 gnupg1-l10n                        	       0       43        0        0       43
18659 gnuplot                            	       0      211        0        0      211
18660 gnuplot-data                       	       0      370        0        0      370
18661 gnuplot-mode                       	       0        2        0        0        2
18662 gnuplot-tex                        	       0        4        0        0        4
18663 gnuplot-x11                        	       0      140        3      137        0
18664 gnuplot5                           	       0        1        0        0        1
18665 gnuplot5-doc                       	       0        1        0        1        0
18666 gnuplot5-x11                       	       0        2        0        0        2
18667 gnupod-tools                       	       0        5        0        5        0
18668 gnuradio                           	       0       42        0       42        0
18669 gnuradio-dev                       	       0       40        0       40        0
18670 gnuradio-doc                       	       0        6        0        0        6
18671 gnurobbo                           	       0        8        0        8        0
18672 gnurobbo-data                      	       0        8        0        0        8
18673 gnuserv                            	       0        6        2        4        0
18674 gnushogi                           	       0        8        0        8        0
18675 gnusim8085                         	       0        8        0        8        0
18676 gnustep                            	       0        5        0        0        5
18677 gnustep-back-common                	       0       29        0       29        0
18678 gnustep-back0.12                   	       0        1        0        0        1
18679 gnustep-back0.12-art               	       0        1        0        0        1
18680 gnustep-back0.20                   	       0        1        0        0        1
18681 gnustep-back0.20-art               	       0        1        0        0        1
18682 gnustep-back0.24                   	       0        1        0        0        1
18683 gnustep-back0.24-cairo             	       0        1        0        0        1
18684 gnustep-back0.25                   	       0        3        0        0        3
18685 gnustep-back0.25-cairo             	       0        3        0        0        3
18686 gnustep-back0.27                   	       0        1        0        0        1
18687 gnustep-back0.27-cairo             	       0        1        0        0        1
18688 gnustep-back0.28                   	       0        3        0        0        3
18689 gnustep-back0.28-cairo             	       0        3        0        0        3
18690 gnustep-back0.29                   	       0       20        0        0       20
18691 gnustep-back0.29-cairo             	       0       20        0        1       19
18692 gnustep-back0.30                   	       0        1        0        0        1
18693 gnustep-back0.30-cairo             	       0        1        0        0        1
18694 gnustep-base-common                	       0      798        0        0      798
18695 gnustep-base-doc                   	       0        3        0        2        1
18696 gnustep-core-doc                   	       0        1        0        0        1
18697 gnustep-examples                   	       0        1        0        1        0
18698 gnustep-games                      	       0        2        0        0        2
18699 gnustep-gui-common                 	       0       31        0        0       31
18700 gnustep-gui-doc                    	       0        2        0        0        2
18701 gnustep-gui-runtime                	       0       30        0       30        0
18702 gnustep-icons                      	       0        7        0        0        7
18703 gnustep-make                       	       0        9        0        9        0
18704 gnustep-make-doc                   	       0        3        0        0        3
18705 gnustep-multiarch                  	       0        9        0        0        9
18706 gnutls26-doc                       	       0        1        0        0        1
18707 go-bindata                         	       0        1        0        1        0
18708 go-bluetooth                       	       0        1        0        1        0
18709 go-l2tp                            	       0        1        1        0        0
18710 go-md2man                          	       0        4        0        4        0
18711 go-qrcode                          	       0        3        1        2        0
18712 go2                                	       0        1        0        1        0
18713 goaccess                           	       0       16        2       14        0
18714 goattracker                        	       0        9        0        9        0
18715 goban-original-games               	       0        4        0        0        4
18716 goban-ss                           	       0        4        0        4        0
18717 gobby                              	       0        4        0        4        0
18718 gobby-infinote                     	       0        1        0        0        1
18719 gobjc                              	       0       26        0        0       26
18720 gobjc++                            	       0        9        0        0        9
18721 gobjc++-10                         	       0        1        0        0        1
18722 gobjc++-12                         	       0        3        0        0        3
18723 gobjc++-12-arm-linux-gnueabi       	       0        1        0        0        1
18724 gobjc++-12-arm-linux-gnueabihf     	       0        1        0        0        1
18725 gobjc++-12-multilib                	       0        1        0        0        1
18726 gobjc++-13                         	       0        1        0        0        1
18727 gobjc++-14                         	       0        3        0        0        3
18728 gobjc++-14-multilib                	       0        1        0        0        1
18729 gobjc++-14-x86-64-linux-gnu        	       0        3        0        0        3
18730 gobjc++-6                          	       0        1        0        0        1
18731 gobjc++-8                          	       0        1        0        0        1
18732 gobjc++-arm-linux-gnueabi          	       0        1        0        0        1
18733 gobjc++-arm-linux-gnueabihf        	       0        1        0        0        1
18734 gobjc++-multilib                   	       0        1        0        0        1
18735 gobjc++-x86-64-linux-gnu           	       0        2        0        0        2
18736 gobjc-10                           	       0        1        0        0        1
18737 gobjc-12                           	       0       12        0        0       12
18738 gobjc-12-arm-linux-gnueabi         	       0        1        0        0        1
18739 gobjc-12-arm-linux-gnueabihf       	       0        1        0        0        1
18740 gobjc-12-multilib                  	       0        1        0        0        1
18741 gobjc-13                           	       0        1        0        0        1
18742 gobjc-14                           	       0        3        0        0        3
18743 gobjc-14-multilib                  	       0        1        0        0        1
18744 gobjc-14-x86-64-linux-gnu          	       0        3        0        0        3
18745 gobjc-4.9                          	       0        1        0        0        1
18746 gobjc-4.9-multilib                 	       0        1        0        0        1
18747 gobjc-6                            	       0        4        0        0        4
18748 gobjc-6-multilib                   	       0        1        0        0        1
18749 gobjc-8                            	       0        5        0        0        5
18750 gobjc-arm-linux-gnueabi            	       0        1        0        0        1
18751 gobjc-arm-linux-gnueabihf          	       0        1        0        0        1
18752 gobjc-multilib                     	       0        3        0        0        3
18753 gobjc-x86-64-linux-gnu             	       0        3        0        0        3
18754 gobliiins-demo-en-data             	       0        1        0        0        1
18755 gobuster                           	       0        6        0        6        0
18756 goby                               	       0        1        0        1        0
18757 gocode                             	       0        1        0        1        0
18758 gocr                               	       0       52        1       51        0
18759 gocr-doc                           	       0        2        0        0        2
18760 gocr-tk                            	       0       17        1       16        0
18761 godot3                             	       0       13        0       13        0
18762 godot3-dbgsym                      	       0        1        0        1        0
18763 godot3-runner                      	       0        3        0        3        0
18764 godot3-server                      	       0        1        0        1        0
18765 gogglesmm                          	       0        1        0        1        0
18766 golang                             	       0      122        0        0      122
18767 golang-1.10                        	       0        1        0        0        1
18768 golang-1.10-doc                    	       0        1        0        1        0
18769 golang-1.10-go                     	       0        2        0        2        0
18770 golang-1.10-src                    	       0        2        0        2        0
18771 golang-1.11                        	       0        9        0        0        9
18772 golang-1.11-doc                    	       0       10        0       10        0
18773 golang-1.11-go                     	       0       10        0       10        0
18774 golang-1.11-src                    	       0       10        0       10        0
18775 golang-1.14                        	       0        1        0        0        1
18776 golang-1.14-doc                    	       0        1        0        1        0
18777 golang-1.14-go                     	       0        1        0        1        0
18778 golang-1.14-src                    	       0        1        0        1        0
18779 golang-1.15                        	       0       13        0        0       13
18780 golang-1.15-doc                    	       0       16        0       16        0
18781 golang-1.15-go                     	       0       20        0       20        0
18782 golang-1.15-src                    	       0       20        0       20        0
18783 golang-1.16                        	       0        1        0        0        1
18784 golang-1.16-doc                    	       0        1        0        1        0
18785 golang-1.16-go                     	       0        1        0        1        0
18786 golang-1.16-src                    	       0        1        0        1        0
18787 golang-1.17                        	       0        1        0        0        1
18788 golang-1.17-doc                    	       0        1        0        0        1
18789 golang-1.17-go                     	       0        1        0        1        0
18790 golang-1.17-src                    	       0        1        0        1        0
18791 golang-1.18                        	       0        3        0        0        3
18792 golang-1.18-doc                    	       0        4        0        0        4
18793 golang-1.18-go                     	       0        3        0        3        0
18794 golang-1.18-src                    	       0        3        0        3        0
18795 golang-1.19                        	       0       88        0        0       88
18796 golang-1.19-doc                    	       0       92        0        0       92
18797 golang-1.20                        	       0        2        0        0        2
18798 golang-1.20-doc                    	       0        2        0        0        2
18799 golang-1.20-go                     	       0        2        0        2        0
18800 golang-1.20-src                    	       0        2        0        2        0
18801 golang-1.21                        	       0        4        0        0        4
18802 golang-1.21-doc                    	       0        5        0        0        5
18803 golang-1.21-go                     	       0        6        0        6        0
18804 golang-1.21-src                    	       0        6        0        6        0
18805 golang-1.22                        	       0       16        0        0       16
18806 golang-1.22-doc                    	       0       17        0        0       17
18807 golang-1.23                        	       0       14        0        0       14
18808 golang-1.23-doc                    	       0       15        0        0       15
18809 golang-1.24                        	       0        3        0        0        3
18810 golang-1.24-doc                    	       0        4        0        0        4
18811 golang-1.6                         	       0        1        0        0        1
18812 golang-1.6-doc                     	       0        1        0        1        0
18813 golang-1.6-go                      	       0        2        0        2        0
18814 golang-1.6-src                     	       0        2        0        2        0
18815 golang-1.7                         	       0        4        0        0        4
18816 golang-1.7-doc                     	       0        5        0        5        0
18817 golang-1.7-go                      	       0        8        0        8        0
18818 golang-1.7-src                     	       0        8        0        8        0
18819 golang-1.8                         	       0        4        0        0        4
18820 golang-1.8-doc                     	       0        4        0        4        0
18821 golang-1.8-go                      	       0        4        0        4        0
18822 golang-1.8-src                     	       0        4        0        4        0
18823 golang-any                         	       0       25        0        0       25
18824 golang-barcode-dev                 	       0        1        0        0        1
18825 golang-chroma                      	       0        2        0        2        0
18826 golang-doc                         	       0      135        0        0      135
18827 golang-docker-credential-helpers   	       0        4        0        4        0
18828 golang-ed25519-dev                 	       0        1        0        0        1
18829 golang-filippo-edwards25519-dev    	       0        1        0        0        1
18830 golang-gir-gio-2.0-dev             	       0        1        0        1        0
18831 golang-gir-glib-2.0-dev            	       0        2        0        2        0
18832 golang-github-0xax-notificator-dev 	       0        1        0        0        1
18833 golang-github-14rcole-gopopulate-dev	       0        2        0        0        2
18834 golang-github-aead-poly1305-dev    	       0        1        0        0        1
18835 golang-github-alecthomas-assert-dev	       0        1        0        0        1
18836 golang-github-alecthomas-chroma-dev	       0        1        0        0        1
18837 golang-github-alecthomas-kong-dev  	       0        1        0        0        1
18838 golang-github-alecthomas-kong-hcl-dev	       0        1        0        0        1
18839 golang-github-alecthomas-repr-dev  	       0        1        0        0        1
18840 golang-github-alecthomas-units-dev 	       0        1        0        0        1
18841 golang-github-allegro-bigcache-dev 	       0        1        0        0        1
18842 golang-github-anacrolix-envpprof-dev	       0        1        0        0        1
18843 golang-github-anacrolix-ffprobe-dev	       0        1        0        0        1
18844 golang-github-anacrolix-log-dev    	       0        1        0        0        1
18845 golang-github-anacrolix-missinggo-dev	       0        1        0        0        1
18846 golang-github-anacrolix-tagflag-dev	       0        1        0        0        1
18847 golang-github-andybalholm-cascadia-dev	       0        1        0        0        1
18848 golang-github-apptainer-container-key-client-dev	       0        1        0        0        1
18849 golang-github-asaskevich-govalidator-dev	       0        2        0        0        2
18850 golang-github-aws-aws-sdk-go-dev   	       0        2        0        0        2
18851 golang-github-aymanbagabas-go-osc52-dev	       0        1        0        0        1
18852 golang-github-aymerick-douceur-dev 	       0        1        0        0        1
18853 golang-github-benbjohnson-clock-dev	       0        1        0        0        1
18854 golang-github-beorn7-perks-dev     	       0        1        0        0        1
18855 golang-github-bits-and-blooms-bitset-dev	       0        1        0        0        1
18856 golang-github-bradfitz-iter-dev    	       0        1        0        0        1
18857 golang-github-btcsuite-fastsha256-dev	       0        1        0        0        1
18858 golang-github-cespare-xxhash-dev   	       0        4        0        0        4
18859 golang-github-charmbracelet-glamour-dev	       0        1        0        0        1
18860 golang-github-cilium-ebpf-dev      	       0        1        0        1        0
18861 golang-github-cli-browser-dev      	       0        1        0        0        1
18862 golang-github-cli-go-gh-dev        	       0        1        0        0        1
18863 golang-github-cli-safeexec-dev     	       0        1        0        0        1
18864 golang-github-cli-shurcool-graphql-dev	       0        1        0        0        1
18865 golang-github-containernetworking-plugin-dnsname	       0        4        0        2        2
18866 golang-github-containers-common    	       0       65        0        0       65
18867 golang-github-containers-image     	       0       65        0        0       65
18868 golang-github-creack-pty-dev       	       0        3        0        0        3
18869 golang-github-d2r2-go-i2c-dev      	       0        1        0        0        1
18870 golang-github-danwakefield-fnmatch-dev	       0        1        0        0        1
18871 golang-github-davecgh-go-spew-dev  	       0        6        0        0        6
18872 golang-github-dcso-bloom-cli       	       0        1        0        1        0
18873 golang-github-dgrijalva-jwt-go-dev 	       0        1        0        0        1
18874 golang-github-dlclark-regexp2-dev  	       0        1        0        0        1
18875 golang-github-docopt-docopt-go-dev 	       0        1        0        0        1
18876 golang-github-dustin-go-humanize-dev	       0        1        0        0        1
18877 golang-github-form3tech-oss-jwt-go-dev	       0        1        0        0        1
18878 golang-github-getlantern-hex-dev   	       0        1        0        0        1
18879 golang-github-glycerine-go-unsnap-stream-dev	       0        1        0        0        1
18880 golang-github-go-kit-log-dev       	       0        1        0        0        1
18881 golang-github-go-logfmt-logfmt-dev 	       0        2        0        0        2
18882 golang-github-go-macaron-inject-dev	       0        1        0        0        1
18883 golang-github-go-macaron-toolbox-dev	       0        1        0        0        1
18884 golang-github-go-openapi-analysis-dev	       0        1        0        0        1
18885 golang-github-go-openapi-errors-dev	       0        2        0        0        2
18886 golang-github-go-openapi-jsonpointer-dev	       0        1        0        0        1
18887 golang-github-go-openapi-jsonreference-dev	       0        1        0        0        1
18888 golang-github-go-openapi-loads-dev 	       0        1        0        0        1
18889 golang-github-go-openapi-spec-dev  	       0        1        0        0        1
18890 golang-github-go-openapi-strfmt-dev	       0        2        0        0        2
18891 golang-github-go-openapi-swag-dev  	       0        1        0        0        1
18892 golang-github-go-openapi-validate-dev	       0        1        0        0        1
18893 golang-github-go-sql-driver-mysql-dev	       0        1        0        0        1
18894 golang-github-go-stack-stack-dev   	       0        3        0        0        3
18895 golang-github-gofrs-flock-dev      	       0        1        0        0        1
18896 golang-github-golang-groupcache-dev	       0        1        0        0        1
18897 golang-github-golang-protobuf-1-3-dev	       0        2        0        0        2
18898 golang-github-golang-snappy-dev    	       0        4        0        0        4
18899 golang-github-google-btree-dev     	       0        1        0        0        1
18900 golang-github-google-go-cmp-dev    	       0        7        0        0        7
18901 golang-github-google-shlex-dev     	       0        1        0        0        1
18902 golang-github-google-uuid-dev      	       0        2        0        0        2
18903 golang-github-gorilla-csrf-dev     	       0        1        0        0        1
18904 golang-github-gorilla-css-dev      	       0        1        0        0        1
18905 golang-github-gorilla-handlers-dev 	       0        1        0        0        1
18906 golang-github-gorilla-mux-dev      	       0        2        0        0        2
18907 golang-github-gorilla-securecookie-dev	       0        1        0        0        1
18908 golang-github-h2non-parth-dev      	       0        1        0        0        1
18909 golang-github-hashicorp-hcl-dev    	       0        1        0        0        1
18910 golang-github-henvic-httpretty-dev 	       0        1        0        0        1
18911 golang-github-hexops-gotextdiff-dev	       0        1        0        0        1
18912 golang-github-huandu-xstrings-dev  	       0        1        0        0        1
18913 golang-github-insomniacslk-dhcp-dev	       0        1        0        0        1
18914 golang-github-itchyny-gojq-dev     	       0        1        0        0        1
18915 golang-github-itchyny-timefmt-go-dev	       0        1        0        0        1
18916 golang-github-jacobsa-oglematchers-dev	       0        1        0        0        1
18917 golang-github-jessevdk-go-flags-dev	       0        1        0        0        1
18918 golang-github-jkeiser-iter-dev     	       0        1        0        0        1
18919 golang-github-jmespath-go-jmespath-dev	       0        2        0        0        2
18920 golang-github-jochenvg-go-udev-dev 	       0        1        0        0        1
18921 golang-github-josharian-intern-dev 	       0        1        0        0        1
18922 golang-github-josharian-native-dev 	       0        1        0        0        1
18923 golang-github-jpillora-backoff-dev 	       0        1        0        0        1
18924 golang-github-jsimonetti-rtnetlink-dev	       0        1        0        0        1
18925 golang-github-json-iterator-go-dev 	       0        1        0        0        1
18926 golang-github-jsternberg-zap-logfmt-dev	       0        1        0        0        1
18927 golang-github-jtolds-gls-dev       	       0        1        0        0        1
18928 golang-github-julienschmidt-httprouter-dev	       0        1        0        0        1
18929 golang-github-klauspost-compress-dev	       0        3        0        0        3
18930 golang-github-kr-fs-dev            	       0        1        0        0        1
18931 golang-github-kr-pretty-dev        	       0        3        0        0        3
18932 golang-github-kr-text-dev          	       0        3        0        0        3
18933 golang-github-labstack-echo-dev    	       0        1        0        0        1
18934 golang-github-labstack-gommon-dev  	       0        1        0        0        1
18935 golang-github-lucasb-eyer-go-colorful-dev	       0        1        0        0        1
18936 golang-github-mailru-easyjson-dev  	       0        1        0        0        1
18937 golang-github-makenowjust-heredoc-dev	       0        1        0        0        1
18938 golang-github-mattn-go-colorable-dev	       0        2        0        0        2
18939 golang-github-mattn-go-isatty-dev  	       0        2        0        0        2
18940 golang-github-mattn-go-runewidth-dev	       0        1        0        0        1
18941 golang-github-mdlayher-ethernet-dev	       0        1        0        0        1
18942 golang-github-mdlayher-netlink-dev 	       0        1        0        0        1
18943 golang-github-mdlayher-packet-dev  	       0        1        0        0        1
18944 golang-github-mdlayher-raw-dev     	       0        1        0        0        1
18945 golang-github-mdlayher-socket-dev  	       0        1        0        0        1
18946 golang-github-mgutz-ansi-dev       	       0        1        0        0        1
18947 golang-github-microcosm-cc-bluemonday-dev	       0        1        0        0        1
18948 golang-github-minio-sha256-simd-dev	       0        1        0        0        1
18949 golang-github-mitchellh-go-homedir-dev	       0        1        0        0        1
18950 golang-github-mitchellh-mapstructure-dev	       0        2        0        0        2
18951 golang-github-moby-sys-dev         	       0        1        0        0        1
18952 golang-github-modern-go-concurrent-dev	       0        1        0        0        1
18953 golang-github-modern-go-reflect2-dev	       0        1        0        0        1
18954 golang-github-montanaflynn-stats-dev	       0        3        0        0        3
18955 golang-github-muesli-reflow-dev    	       0        1        0        0        1
18956 golang-github-muesli-sasquatch-dev 	       0        1        0        0        1
18957 golang-github-muesli-termenv-dev   	       0        1        0        0        1
18958 golang-github-mwitkow-go-conntrack-dev	       0        1        0        0        1
18959 golang-github-nfnt-resize-dev      	       0        1        0        0        1
18960 golang-github-oklog-ulid-dev       	       0        2        0        0        2
18961 golang-github-olekukonko-tablewriter-dev	       0        1        0        0        1
18962 golang-github-opennota-urlesc-dev  	       0        1        0        0        1
18963 golang-github-paulrosania-go-charset-dev	       0        1        0        0        1
18964 golang-github-philhofer-fwd-dev    	       0        1        0        0        1
18965 golang-github-pkg-diff-dev         	       0        2        0        2        0
18966 golang-github-pkg-errors-dev       	       0        6        0        0        6
18967 golang-github-pmezard-go-difflib-dev	       0        6        0        0        6
18968 golang-github-proglottis-gpgme-dev 	       0        1        0        1        0
18969 golang-github-prometheus-client-golang-dev	       0        1        0        0        1
18970 golang-github-prometheus-client-model-dev	       0        1        0        0        1
18971 golang-github-prometheus-common-dev	       0        1        0        0        1
18972 golang-github-prometheus-procfs-dev	       0        1        0        0        1
18973 golang-github-puerkitobio-goquery-dev	       0        1        0        0        1
18974 golang-github-puerkitobio-purell-dev	       0        1        0        0        1
18975 golang-github-rivo-uniseg-dev      	       0        1        0        0        1
18976 golang-github-roaringbitmap-roaring-dev	       0        1        0        0        1
18977 golang-github-rogpeppe-go-internal-dev	       0        3        0        0        3
18978 golang-github-rootless-containers-rootlesskit-dev	       0        1        0        0        1
18979 golang-github-ryszard-goskiplist-dev	       0        1        0        0        1
18980 golang-github-sirupsen-logrus-dev  	       0        1        0        0        1
18981 golang-github-sjoerdsimons-ostree-go-dev	       0        2        0        2        0
18982 golang-github-smartystreets-assertions-dev	       0        1        0        0        1
18983 golang-github-smartystreets-goconvey-dev	       0        1        0        0        1
18984 golang-github-snapcore-snapd-dev   	       0        1        0        1        0
18985 golang-github-stretchr-objx-dev    	       0        6        0        0        6
18986 golang-github-stretchr-testify-dev 	       0        5        0        0        5
18987 golang-github-sylabs-json-resp-dev 	       0        1        0        0        1
18988 golang-github-thlib-go-timezone-local-dev	       0        1        0        0        1
18989 golang-github-tidwall-pretty-dev   	       0        3        0        0        3
18990 golang-github-tinylib-msgp-dev     	       0        1        0        0        1
18991 golang-github-u-root-uio-dev       	       0        1        0        0        1
18992 golang-github-unknwon-com-dev      	       0        1        0        0        1
18993 golang-github-valyala-bytebufferpool-dev	       0        1        0        0        1
18994 golang-github-valyala-fasttemplate-dev	       0        1        0        0        1
18995 golang-github-voxelbrain-goptions-dev	       0        1        0        0        1
18996 golang-github-xdg-go-pbkdf2-dev    	       0        3        0        0        3
18997 golang-github-xdg-go-scram-dev     	       0        3        0        0        3
18998 golang-github-xdg-go-stringprep-dev	       0        3        0        0        3
18999 golang-github-youmark-pkcs8-dev    	       0        3        0        0        3
19000 golang-github-yuin-goldmark-dev    	       0        7        0        0        7
19001 golang-github-yuin-goldmark-emoji-dev	       0        1        0        0        1
19002 golang-glog-dev                    	       0        2        0        0        2
19003 golang-go-flags-dev                	       0        1        0        0        1
19004 golang-go.opencensus-dev           	       0        1        0        0        1
19005 golang-go.tools                    	       0        1        0        0        1
19006 golang-go.uber-atomic-dev          	       0        1        0        0        1
19007 golang-go.uber-multierr-dev        	       0        1        0        0        1
19008 golang-go.uber-zap-dev             	       0        1        0        0        1
19009 golang-gocapability-dev            	       0        1        0        0        1
19010 golang-golang-x-crypto-dev         	       0        8        0        0        8
19011 golang-golang-x-mod-dev            	       0        5        0        0        5
19012 golang-golang-x-net-dev            	       0       11        0        0       11
19013 golang-golang-x-oauth2-dev         	       0        2        0        0        2
19014 golang-golang-x-oauth2-google-dev  	       0        2        0        0        2
19015 golang-golang-x-sync-dev           	       0        6        0        0        6
19016 golang-golang-x-sys-dev            	       0       14        0        0       14
19017 golang-golang-x-term-dev           	       0        9        0        0        9
19018 golang-golang-x-text-dev           	       0       13        0        0       13
19019 golang-golang-x-time-dev           	       0        1        0        0        1
19020 golang-golang-x-tools-dev          	       0        5        0        5        0
19021 golang-google-cloud-compute-metadata-dev	       0        2        0        0        2
19022 golang-google-genproto-dev         	       0        2        0        0        2
19023 golang-google-grpc-dev             	       0        2        0        0        2
19024 golang-google-protobuf-dev         	       0        2        0        0        2
19025 golang-gopkg-alecthomas-kingpin.v2-dev	       0        1        0        0        1
19026 golang-gopkg-bufio.v1-dev          	       0        1        0        0        1
19027 golang-gopkg-h2non-gock.v1-dev     	       0        1        0        0        1
19028 golang-gopkg-ini.v1-dev            	       0        1        0        0        1
19029 golang-gopkg-macaron.v1-dev        	       0        1        0        0        1
19030 golang-gopkg-yaml.v2-dev           	       0        3        0        0        3
19031 golang-gopkg-yaml.v3-dev           	       0        5        0        0        5
19032 golang-goptlib-dev                 	       0        1        0        0        1
19033 golang-grpc-gateway                	       0        1        0        1        0
19034 golang-mode                        	       0        4        0        0        4
19035 golang-mongodb-mongo-driver-dev    	       0        3        0        3        0
19036 golang-notabug-themusicgod1-cp-dev 	       0        1        0        0        1
19037 golang-pault-go-macchanger-dev     	       0        2        0        0        2
19038 golang-protobuf-extensions-dev     	       0        1        0        0        1
19039 golang-snappy-go-dev               	       0        1        0        0        1
19040 golang-src                         	       0      171        0        0      171
19041 golang-uber-goleak-dev             	       0        1        0        0        1
19042 goldencheetah                      	       0        2        0        2        0
19043 goldendict-ng                      	       0        2        0        2        0
19044 goldendict-wordnet                 	       0        9        0        0        9
19045 goldeneye                          	       0        3        0        3        0
19046 golint                             	       0        2        0        2        0
19047 golly                              	       0       10        0       10        0
19048 gom                                	       0        1        0        1        0
19049 gomoku.app                         	       0        3        0        3        0
19050 goobook                            	       0        3        0        3        0
19051 goobox                             	       0        5        0        5        0
19052 goodvibes                          	       0       19        1       18        0
19053 google-android-build-tools-17-installer	       0        1        0        0        1
19054 google-android-build-tools-23-installer	       0        1        0        0        1
19055 google-android-build-tools-35.0.0-installer	       0        1        0        0        1
19056 google-android-emulator-installer  	       0        3        0        3        0
19057 google-android-ndk-installer       	       0        2        0        0        2
19058 google-android-ndk-r25c-installer  	       0        1        0        1        0
19059 google-android-ndk-r27-installer   	       0        1        0        1        0
19060 google-android-platform-23-installer	       0        2        0        0        2
19061 google-android-platform-33-installer	       0        1        0        0        1
19062 google-android-platform-35-installer	       0        1        0        0        1
19063 google-android-platform-tools-installer	       0        7        1        6        0
19064 google-android-sdk-docs-installer  	       0        1        0        0        1
19065 google-android-sources-35-installer	       0        1        0        0        1
19066 google-android-tools-installer     	       0        1        0        1        0
19067 google-chrome-beta                 	       0        7        0        7        0
19068 google-chrome-unstable             	       0        3        0        3        0
19069 google-cloud-cli                   	       0        4        0        4        0
19070 google-cloud-cli-anthoscli         	       0        3        0        3        0
19071 google-cloud-cli-config-connector  	       0        1        0        1        0
19072 google-cloud-print-connector       	       0        1        0        1        0
19073 google-cloud-sdk                   	       0        1        0        0        1
19074 google-cloud-sdk-config-connector  	       0        1        0        0        1
19075 google-drive-ocamlfuse             	       0        1        1        0        0
19076 google-earth-ec-stable             	       0        1        0        1        0
19077 google-earth-stable                	       0        1        0        1        0
19078 google-gadgets-common              	       0        1        0        0        1
19079 google-gadgets-gst                 	       0        1        0        0        1
19080 google-gadgets-qt                  	       0        1        0        1        0
19081 google-mock                        	       0        4        0        0        4
19082 google-perftools                   	       0        7        0        7        0
19083 google-talkplugin                  	       0        5        0        4        1
19084 google-wire                        	       0        1        0        1        0
19085 googleearth-package                	       0        5        0        5        0
19086 googler                            	       0        5        0        5        0
19087 googletest-tools                   	       0        3        0        3        0
19088 gopass                             	       0        1        0        1        0
19089 gopass-archive-keyring             	       0        1        0        0        1
19090 gopass-jsonapi                     	       0        1        0        1        0
19091 gopchop                            	       0        8        0        8        0
19092 gopher                             	       0       24        0       24        0
19093 gophernicus                        	       0        1        0        1        0
19094 goplay                             	       0        2        0        2        0
19095 gopls                              	       0        3        0        3        0
19096 goreleaser                         	       0        1        0        1        0
19097 gorm.app                           	       0        1        0        1        0
19098 gosh                               	       0        1        1        0        0
19099 gosigndesktop                      	       0        2        0        2        0
19100 gosmore                            	       0        2        0        2        0
19101 gossip                             	       0        2        0        2        0
19102 gostsum                            	       0        1        0        1        0
19103 gosu                               	       0        3        0        3        0
19104 got                                	       0        2        0        2        0
19105 gource                             	       0       13        0       13        0
19106 gourmand                           	       0        2        0        2        0
19107 gourmet                            	       0        3        0        2        1
19108 goverlay                           	       0       11        0       11        0
19109 goxel                              	       0        4        0        4        0
19110 goxkcdpwgen                        	       0        2        0        2        0
19111 gozer                              	       0        3        0        3        0
19112 gp-saml-gui                        	       0        3        0        3        0
19113 gpac                               	       0       30        1       29        0
19114 gpac-modules-base                  	       0       31        0        0       31
19115 gpaint                             	       0        6        0        6        0
19116 gpart                              	       0      125        1      124        0
19117 gpass                              	       0        1        0        1        0
19118 gpaste                             	       0        1        0        1        0
19119 gpaste-2                           	       0        4        1        3        0
19120 gpaw-data                          	       0        1        0        0        1
19121 gpdf                               	       0        1        0        1        0
19122 gpdftext                           	       0        3        0        3        0
19123 gpe-icons                          	       0        1        0        0        1
19124 gperf                              	       0      114        0      114        0
19125 gperf-ace                          	       0        1        0        0        1
19126 gperiodic                          	       0       14        1       13        0
19127 gpg-agent-dbgsym                   	       0        1        0        1        0
19128 gpg-dbgsym                         	       0        1        0        1        0
19129 gpg-remailer                       	       0        1        0        1        0
19130 gpg-wks-client-dbgsym              	       0        1        0        1        0
19131 gpg-wks-server-dbgsym              	       0        1        0        1        0
19132 gpgconf-dbgsym                     	       0        1        0        1        0
19133 gpgkeys                            	       0        1        0        1        0
19134 gpgmngr                            	       0        1        0        1        0
19135 gpgp                               	       0        1        0        1        0
19136 gpgrt-tools                        	       0        4        0        4        0
19137 gpgrt-tools-dbgsym                 	       0        1        0        1        0
19138 gpgsm-dbgsym                       	       0        1        0        1        0
19139 gpgv-dbgsym                        	       0        1        0        1        0
19140 gpgv-static                        	       0        3        0        3        0
19141 gpgv-win32                         	       0        3        0        0        3
19142 gpgv1                              	       0       22        0       22        0
19143 gpgv2                              	       0       14        2       12        0
19144 gphoto2                            	       0       79        0       79        0
19145 gphotofs                           	       0       37        1       36        0
19146 gpib-modules-source                	       0        1        0        0        1
19147 gpick                              	       0       39        0       39        0
19148 gping                              	       0        4        0        4        0
19149 gpiod                              	       0        4        0        4        0
19150 gplanarity                         	       0       12        0       12        0
19151 gplcver                            	       0        4        0        4        0
19152 gplhost-archive-keyring            	       0        1        0        0        1
19153 gpodder                            	       0       18        1       17        0
19154 gpointing-device-settings          	       0        1        0        1        0
19155 gpomme                             	       0        2        0        2        0
19156 gpp                                	       0        5        0        5        0
19157 gpr                                	       0        6        0        6        0
19158 gpr-build-deps                     	       0        1        0        0        1
19159 gprbuild                           	       0        8        0        8        0
19160 gprbuild-doc                       	       0        1        0        1        0
19161 gprconfig-kb                       	       0        6        0        0        6
19162 gpredict                           	       0       30        1       29        0
19163 gpredict-doc                       	       0        5        0        0        5
19164 gprename                           	       0       17        1       16        0
19165 gpro                               	       0        1        0        0        1
19166 gprolog                            	       0        9        0        9        0
19167 gprolog-doc                        	       0       10        0        0       10
19168 gpsbabel-doc                       	       0       64        0        0       64
19169 gpsbabel-gui                       	       0       11        0       11        0
19170 gpscorrelate                       	       0        6        0        6        0
19171 gpscorrelate-gui                   	       0        5        0        5        0
19172 gpsd                               	       0       83       17       66        0
19173 gpsd-clients                       	       0       25        2       23        0
19174 gpsd-dbg                           	       0        1        0        1        0
19175 gpsd-tools                         	       0       75        3       72        0
19176 gpsdrive                           	       0        1        0        1        0
19177 gpsdrive-data                      	       0        1        0        0        1
19178 gpsdrive-scripts                   	       0        1        0        1        0
19179 gpsim                              	       0       31        2       29        0
19180 gpsim-doc                          	       0        3        0        0        3
19181 gpsk31                             	       0        1        0        1        0
19182 gpsmanshp                          	       0        6        0        6        0
19183 gpsshogi                           	       0        2        0        2        0
19184 gpsshogi-data                      	       0        2        0        0        2
19185 gpsshogi-viewer                    	       0        1        0        1        0
19186 gpstrans                           	       0       14        0       14        0
19187 gptsync                            	       0        1        0        1        0
19188 gpu-burn                           	       0        1        0        1        0
19189 gpustat                            	       0        5        0        5        0
19190 gputils                            	       0       56        2       54        0
19191 gputils-common                     	       0       56        0        0       56
19192 gputils-doc                        	       0       50        0        0       50
19193 gpw                                	       0        9        0        9        0
19194 gpx                                	       0        4        0        4        0
19195 gpx2shp                            	       0        3        0        3        0
19196 gpxinfo                            	       0        6        0        6        0
19197 gpxsee                             	       0        3        0        3        0
19198 gpxviewer                          	       0       15        0       15        0
19199 gqcam                              	       0        1        0        1        0
19200 gqrx-sdr                           	       0       29        0       29        0
19201 gqview                             	       0        4        0        4        0
19202 gr-air-modes                       	       0        5        0        5        0
19203 gr-dab                             	       0        1        0        1        0
19204 gr-fcdproplus                      	       0        4        0        4        0
19205 gr-fosphor                         	       0       26        0       26        0
19206 gr-framework-plugin-qt6            	       0        1        0        0        1
19207 gr-funcube                         	       0       30        1       29        0
19208 gr-gsm                             	       0        3        0        3        0
19209 gr-hpsdr                           	       0        5        0        5        0
19210 gr-iqbal                           	       0       35        0       35        0
19211 gr-limesdr                         	       0        3        0        3        0
19212 gr-osmosdr                         	       0       37        0       37        0
19213 gr-rds                             	       0        2        0        2        0
19214 gr-satellites                      	       0        4        0        4        0
19215 grabc                              	       0        5        0        5        0
19216 grabserial                         	       0        2        0        2        0
19217 gradio                             	       0        1        0        1        0
19218 gradle                             	       0       49        2       47        0
19219 gradle-7.4.2                       	       0        1        0        1        0
19220 gradle-apt-plugin                  	       0        1        0        0        1
19221 gradle-completion                  	       0        2        0        0        2
19222 gradle-debian-helper               	       0        3        0        3        0
19223 gradle-doc                         	       0        4        0        0        4
19224 gradle-ice-builder-plugin          	       0        1        0        0        1
19225 gradle-plugin-protobuf             	       0        1        0        0        1
19226 gradle-propdeps-plugin             	       0        1        0        0        1
19227 gradm2                             	       0        1        0        1        0
19228 grads                              	       0        5        0        5        0
19229 grafana                            	       0        8        1        7        0
19230 grafana-enterprise                 	       0        2        1        1        0
19231 grafx2                             	       0        7        0        7        0
19232 gramadoir                          	       0        1        0        1        0
19233 gramofile                          	       0        4        0        4        0
19234 gramps                             	       0       38        3       35        0
19235 granule                            	       0        2        0        2        0
19236 grapejuice                         	       0        2        0        2        0
19237 graphdb-desktop                    	       0        1        0        1        0
19238 graphicsmagick                     	       0      118        2      116        0
19239 graphicsmagick-dbg                 	       0        3        0        3        0
19240 graphicsmagick-imagemagick-compat  	       0       40        0       40        0
19241 graphicsmagick-libmagick-dev-compat	       0        4        0        4        0
19242 graphite-carbon                    	       0        2        0        2        0
19243 graphite-web                       	       0        2        1        1        0
19244 graphlan                           	       0        1        0        1        0
19245 graphmonkey                        	       0        7        0        7        0
19246 graphql-playground-electron        	       0        1        0        0        1
19247 graphthing                         	       0        1        0        1        0
19248 graphviz-dev                       	       0        5        0        0        5
19249 graphviz-doc                       	       0       37        0       11       26
19250 grass                              	       0       22        0        2       20
19251 grass-dev-doc                      	       0        6        0        0        6
19252 grass-doc                          	       0       55        0        0       55
19253 gravit                             	       0        4        0        4        0
19254 gravit-data                        	       0        4        0        0        4
19255 gravitation                        	       0        2        0        2        0
19256 gravitywars                        	       0        4        0        4        0
19257 graywolf                           	       0        6        0        6        0
19258 grcompiler                         	       0        4        0        4        0
19259 grdesktop                          	       0       10        0       10        0
19260 greaseweazle-firmware-build-deps   	       0        1        0        0        1
19261 greenbone-security-assistant       	       0        2        0        1        1
19262 greenbone-security-assistant-common	       0        1        0        0        1
19263 greenfoot                          	       0        1        0        1        0
19264 greenwich                          	       0        1        0        1        0
19265 grepcidr                           	       0        5        0        5        0
19266 grepmail                           	       0        7        0        7        0
19267 gretl-common                       	       0        6        0        0        6
19268 gretl-data                         	       0        4        0        0        4
19269 gretl-doc                          	       0        5        0        0        5
19270 greylistd                          	       0        4        2        2        0
19271 grfcodec                           	       0        3        0        3        0
19272 grhino                             	       0       10        0       10        0
19273 grhino-data                        	       0       10        0        0       10
19274 gridengine-client                  	       0        1        0        1        0
19275 gridengine-common                  	       0        2        0        2        0
19276 gridengine-drmaa1.0                	       0        1        0        1        0
19277 gridengine-master                  	       0        1        0        1        0
19278 gridengine-qmon                    	       0        1        0        1        0
19279 gridlock.app                       	       0        2        0        2        0
19280 gridsite-clients                   	       0        2        1        1        0
19281 gridtracker                        	       0        1        0        1        0
19282 grig                               	       0        5        0        5        0
19283 grilo-plugins-0.2                  	       0        1        0        0        1
19284 grilo-plugins-0.3                  	       0      414        0        5      409
19285 grimrock                           	       0        1        0        1        0
19286 grimshot                           	       0        9        0        9        0
19287 gringo                             	       0        8        0        8        0
19288 gringotts                          	       0        4        0        4        0
19289 grip                               	       0        6        0        6        0
19290 grisbi                             	       0        9        0        9        0
19291 grisbi-common                      	       0        9        0        0        9
19292 grml-debian-keyring                	       0        1        0        0        1
19293 grml-debootstrap                   	       0        5        0        5        0
19294 grml-etc-core                      	       0        2        0        0        2
19295 grml-keyring                       	       0        2        0        0        2
19296 grml-live                          	       0        1        0        1        0
19297 grml-live-addons                   	       0        1        0        0        1
19298 grml-network                       	       0        1        0        1        0
19299 grml-scripts                       	       0        2        0        2        0
19300 grml-scripts-core                  	       0        2        0        2        0
19301 grml2usb                           	       0        5        0        5        0
19302 groff-base-dbgsym                  	       0        1        0        1        0
19303 groff-dbgsym                       	       0        1        0        1        0
19304 grok                               	       0        2        0        2        0
19305 grokevt                            	       0       26        0       26        0
19306 grokj2k-tools                      	       0        2        0        2        0
19307 grokking-the-gimp                  	       0        1        0        0        1
19308 gromacs                            	       0        1        0        1        0
19309 gromacs-data                       	       0        1        0        0        1
19310 gromacs-openmpi                    	       0        1        0        1        0
19311 gromit                             	       0        1        0        1        0
19312 gromit-mpx                         	       0       17        0       17        0
19313 gron                               	       0        8        0        8        0
19314 groovy-doc                         	       0        7        0        0        7
19315 grop                               	       0        2        0        2        0
19316 groundhog                          	       0        8        0        8        0
19317 growl-for-linux                    	       0        1        0        1        0
19318 grpc-proto                         	       0        1        0        0        1
19319 grpn                               	       0       18        0       18        0
19320 grr.app                            	       0        3        0        3        0
19321 grub                               	       0        8        0        0        8
19322 grub-cloud-amd64                   	       0        1        0        0        1
19323 grub-coreboot                      	       0        1        0        0        1
19324 grub-coreboot-bin                  	       0        4        0        4        0
19325 grub-disk                          	       0        1        0        0        1
19326 grub-doc                           	       0        5        0        0        5
19327 grub-efi                           	       0       79        0        0       79
19328 grub-efi-amd64                     	       0     1485        0        0     1485
19329 grub-efi-amd64-bin-dummy           	       0        1        0        0        1
19330 grub-efi-amd64-dbg                 	       0        1        0        1        0
19331 grub-efi-amd64-signed              	       0     1496        0        0     1496
19332 grub-efi-amd64-signed-template     	       0        4        0        0        4
19333 grub-efi-arm64                     	       0        4        0        0        4
19334 grub-efi-arm64-signed              	       0        3        0        0        3
19335 grub-efi-ia32                      	       0        3        0        0        3
19336 grub-efi-ia32-signed               	       0        2        0        0        2
19337 grub-efi-ia32-unsigned             	       0       22        0       22        0
19338 grub-emu                           	       0        8        0        8        0
19339 grub-firmware-qemu                 	       0       10        0        0       10
19340 grub-ieee1275                      	       0        4        0        0        4
19341 grub-ieee1275-bin                  	       0        5        0        5        0
19342 grub-imageboot                     	       0       13        0        0       13
19343 grub-invaders                      	       0        4        0        0        4
19344 grub-legacy                        	       0        6        1        5        0
19345 grub-legacy-doc                    	       0        8        0        0        8
19346 grub-reboot-poweroff               	       0        1        0        0        1
19347 grub-rescue-pc                     	       0       14        0       14        0
19348 grub-splashimages                  	       0        9        0        0        9
19349 grub-theme-breeze                  	       0       13        0        0       13
19350 grub-theme-starfield               	       0       12        0        0       12
19351 grub-themes-vimix                  	       0        1        0        0        1
19352 grub-xen                           	       0        1        0        0        1
19353 grub-xen-bin                       	       0       16        0       16        0
19354 grub-xen-host                      	       0       14        0       14        0
19355 grub2                              	       0       38        0        0       38
19356 grub2-splashimages                 	       0       14        0        0       14
19357 grun                               	       0       15        0       15        0
19358 grunt                              	       0        3        0        3        0
19359 gruvbox-gtk                        	       0        1        0        1        0
19360 gs                                 	       0        2        0        0        2
19361 gs-common                          	       0        6        0        0        6
19362 gs-esp                             	       0        3        0        0        3
19363 gs-gpl                             	       0        1        0        0        1
19364 gsad                               	       0        1        0        1        0
19365 gsalliere                          	       0        9        0        9        0
19366 gsasl                              	       0        4        0        4        0
19367 gsasl-common                       	       0     1723        0        0     1723
19368 gsasl-dbgsym                       	       0        1        0        1        0
19369 gsasl-doc                          	       0        3        0        0        3
19370 gscan2pdf                          	       0       53        1       52        0
19371 gscanbus                           	       0        3        0        3        0
19372 gsender                            	       0        1        0        1        0
19373 gsequencer                         	       0        2        0        2        0
19374 gsequencer-data                    	       0        3        0        0        3
19375 gsetroot                           	       0        3        0        3        0
19376 gsettings-desktop-schemas-dev      	       0        9        0        9        0
19377 gsfonts-other                      	       0       17        0        0       17
19378 gsfonts-wadalab-common             	       0        1        0        1        0
19379 gsfonts-wadalab-gothic             	       0        1        0        0        1
19380 gsfonts-wadalab-mincho             	       0        1        0        1        0
19381 gsfonts-x11                        	       0      396        0        0      396
19382 gskcrypt64                         	       0        1        0        0        1
19383 gskssl64                           	       0        1        0        1        0
19384 gsl-bin                            	       0       14        0       14        0
19385 gsl-doc-info                       	       0        4        0        0        4
19386 gsl-doc-pdf                        	       0        5        0        0        5
19387 gsl-ref-html                       	       0        5        0        0        5
19388 gsl-ref-psdoc                      	       0        7        0        0        7
19389 gsm-utils                          	       0        3        0        3        0
19390 gsmc                               	       0        4        0        4        0
19391 gsoap                              	       0        9        0        9        0
19392 gsound-tools                       	       0        5        0        5        0
19393 gspca-source                       	       0        2        0        0        2
19394 gspiceui                           	       0        3        0        3        0
19395 gsplus                             	       0        1        0        1        0
19396 gssdp-tools                        	       0        1        0        1        0
19397 gst-omx-amdgpu                     	       0        9        0        0        9
19398 gst123                             	       0       18        0       18        0
19399 gstreamer-qapt                     	       0       11        0        0       11
19400 gstreamer-tools                    	       0        4        1        3        0
19401 gstreamer0.10-alsa                 	       0       30        0        3       27
19402 gstreamer0.10-chromaprint          	       0        1        0        1        0
19403 gstreamer0.10-doc                  	       0        1        0        0        1
19404 gstreamer0.10-esd                  	       0        2        0        2        0
19405 gstreamer0.10-ffmpeg               	       0       12        0        1       11
19406 gstreamer0.10-fluendo-mp3          	       0        2        0        1        1
19407 gstreamer0.10-gconf                	       0       20        0        1       19
19408 gstreamer0.10-gnomevfs             	       0        2        0        0        2
19409 gstreamer0.10-gnonlin              	       0        4        0        4        0
19410 gstreamer0.10-gnonlin-doc          	       0        1        0        0        1
19411 gstreamer0.10-nice                 	       0       10        0        0       10
19412 gstreamer0.10-plugins-bad          	       0       19        0        3       16
19413 gstreamer0.10-plugins-bad-doc      	       0        1        0        0        1
19414 gstreamer0.10-plugins-base         	       0       55        0        4       51
19415 gstreamer0.10-plugins-base-apps    	       0        1        0        1        0
19416 gstreamer0.10-plugins-base-doc     	       0        1        0        0        1
19417 gstreamer0.10-plugins-gl-doc       	       0        1        0        0        1
19418 gstreamer0.10-plugins-good         	       0        8        0        2        6
19419 gstreamer0.10-plugins-good-doc     	       0        1        0        0        1
19420 gstreamer0.10-plugins-really-bad   	       0        1        0        0        1
19421 gstreamer0.10-plugins-ugly         	       0        3        0        1        2
19422 gstreamer0.10-plugins-ugly-doc     	       0        1        0        0        1
19423 gstreamer0.10-pulseaudio           	       0       10        0        2        8
19424 gstreamer0.10-qapt                 	       0        1        0        0        1
19425 gstreamer0.10-sdl                  	       0        1        0        1        0
19426 gstreamer0.10-tools                	       0        9        1        8        0
19427 gstreamer0.10-x                    	       0       23        0        1       22
19428 gstreamer0.8-swfdec                	       0        1        0        1        0
19429 gstreamer1.0-adapter-pulseeffects  	       0       37        1        4       32
19430 gstreamer1.0-alsa                  	       0     1976       10       26     1940
19431 gstreamer1.0-autogain-pulseeffects 	       0       34        1        4       29
19432 gstreamer1.0-clutter               	       0        8        0        0        8
19433 gstreamer1.0-clutter-3.0           	       0      549        0        0      549
19434 gstreamer1.0-convolver-pulseeffects	       0       34        1        4       29
19435 gstreamer1.0-crystalhd             	       0        4        0        0        4
19436 gstreamer1.0-crystalizer-pulseeffects	       0       34        1        4       29
19437 gstreamer1.0-doc                   	       0        4        0        0        4
19438 gstreamer1.0-espeak                	       0      282        0        0      282
19439 gstreamer1.0-fdkaac                	       0        8        0        0        8
19440 gstreamer1.0-fluendo-mp3           	       0        4        0        0        4
19441 gstreamer1.0-gl                    	       0     2940        2        7     2931
19442 gstreamer1.0-gnonlin               	       0        3        0        0        3
19443 gstreamer1.0-gtk3                  	       0     2139        1        7     2131
19444 gstreamer1.0-gtk4                  	       0        4        0        0        4
19445 gstreamer1.0-libav                 	       0     3020        6       41     2973
19446 gstreamer1.0-libcamera             	       0       10        0        0       10
19447 gstreamer1.0-nice                  	       0      470        0        0      470
19448 gstreamer1.0-omx-bellagio-config   	       0        1        0        0        1
19449 gstreamer1.0-omx-generic           	       0        2        0        0        2
19450 gstreamer1.0-omx-generic-config    	       0        1        0        0        1
19451 gstreamer1.0-opencv                	       0        5        0        0        5
19452 gstreamer1.0-packagekit            	       0      123        0        2      121
19453 gstreamer1.0-packagekit-dbgsym     	       0        3        0        3        0
19454 gstreamer1.0-pipewire              	       0      210        0        3      207
19455 gstreamer1.0-plugins-bad           	       0     2965       20       69     2876
19456 gstreamer1.0-plugins-bad-apps      	       0        7        0        7        0
19457 gstreamer1.0-plugins-bad-apps-dbgsym	       0        1        0        1        0
19458 gstreamer1.0-plugins-bad-dbgsym    	       0        1        0        1        0
19459 gstreamer1.0-plugins-bad-doc       	       0        3        0        0        3
19460 gstreamer1.0-plugins-base-apps     	       0       15        0       15        0
19461 gstreamer1.0-plugins-base-doc      	       0        3        0        0        3
19462 gstreamer1.0-plugins-good-dbgsym   	       0        1        0        1        0
19463 gstreamer1.0-plugins-good-doc      	       0        2        0        0        2
19464 gstreamer1.0-plugins-rtp           	       0       10        0        0       10
19465 gstreamer1.0-plugins-rtp-dbgsym    	       0        1        0        1        0
19466 gstreamer1.0-plugins-ugly          	       0     2883        2       11     2870
19467 gstreamer1.0-plugins-ugly-dbgsym   	       0        1        0        1        0
19468 gstreamer1.0-plugins-ugly-doc      	       0        3        0        0        3
19469 gstreamer1.0-pocketsphinx          	       0        5        0        0        5
19470 gstreamer1.0-pulseaudio            	       0      813        0        1      812
19471 gstreamer1.0-python3-plugin-loader 	       0        1        0        0        1
19472 gstreamer1.0-qt5                   	       0       27        0        0       27
19473 gstreamer1.0-qt6                   	       0        5        0        0        5
19474 gstreamer1.0-rtsp                  	       0       12        0        0       12
19475 gstreamer1.0-vaapi                 	       0       36        0        1       35
19476 gstreamer1.0-vaapi-doc             	       0        1        0        0        1
19477 gstreamer1.0-wpe                   	       0        2        0        0        2
19478 gstreamer1.0-x                     	       0     3112        3        9     3100
19479 gt5                                	       0        5        0        5        0
19480 gtali                              	       0        3        0        0        3
19481 gtans                              	       0       14        1       13        0
19482 gtetrinet                          	       0       13        0       13        0
19483 gthumb-data                        	       0      140        0        1      139
19484 gtimelog                           	       0        1        0        1        0
19485 gtimer                             	       0        6        0        6        0
19486 gtk-3-examples                     	       0       11        0       11        0
19487 gtk-4-examples                     	       0        1        0        1        0
19488 gtk-4-tests                        	       0        1        0        0        1
19489 gtk-chtheme                        	       0       28        0       28        0
19490 gtk-doc-tools                      	       0       55        1       54        0
19491 gtk-engines-begtk                  	       0        1        0        1        0
19492 gtk-engines-eazel                  	       0        1        0        1        0
19493 gtk-engines-geramik                	       0        1        0        0        1
19494 gtk-engines-geramik-data           	       0        1        0        0        1
19495 gtk-engines-lighthouseblue         	       0        1        0        1        0
19496 gtk-engines-metal                  	       0        1        0        1        0
19497 gtk-engines-notif                  	       0        1        0        1        0
19498 gtk-engines-pixmap                 	       0        1        0        1        0
19499 gtk-engines-plastig                	       0        1        0        0        1
19500 gtk-engines-qtpixmap               	       0        1        0        1        0
19501 gtk-engines-raleigh                	       0        1        0        1        0
19502 gtk-engines-redmond95              	       0        1        0        1        0
19503 gtk-engines-thingeramik            	       0        1        0        0        1
19504 gtk-engines-thingeramik-data       	       0        1        0        0        1
19505 gtk-engines-thinice                	       0        1        0        1        0
19506 gtk-engines-xenophilia             	       0        1        0        1        0
19507 gtk-gnutella                       	       0        3        0        3        0
19508 gtk-gnutella-utils                 	       0        1        0        1        0
19509 gtk-imonc                          	       0        1        0        1        0
19510 gtk-qt-engine                      	       0        1        0        1        0
19511 gtk-qt-engine-trinity              	       0        5        1        4        0
19512 gtk-recordmydesktop                	       0        6        0        6        0
19513 gtk-redshift                       	       0        2        0        0        2
19514 gtk-sharp2                         	       0        9        0        0        9
19515 gtk-sharp2-examples                	       0        9        0        9        0
19516 gtk-sharp2-gapi                    	       0        9        0        9        0
19517 gtk-sharp3                         	       0        9        0        0        9
19518 gtk-sharp3-examples                	       0        9        0        0        9
19519 gtk-sharp3-gapi                    	       0        9        0        9        0
19520 gtk-smooth-themes                  	       0        3        0        0        3
19521 gtk-theme-config                   	       0        3        0        3        0
19522 gtk-vector-screenshot              	       0        5        1        4        0
19523 gtk2-engines-aurora                	       0       20        0        0       20
19524 gtk2-engines-geramik               	       0        1        0        0        1
19525 gtk2-engines-industrial            	       0        1        0        0        1
19526 gtk2-engines-magicchicken          	       0        5        0        5        0
19527 gtk2-engines-moblin                	       0        8        0        8        0
19528 gtk2-engines-nodoka                	       0       17        0       17        0
19529 gtk2-engines-oxygen                	       0       32        0        0       32
19530 gtk2-engines-plastig               	       0        1        0        0        1
19531 gtk2-engines-qtcurve               	       0       47        0        0       47
19532 gtk2-engines-qtpixmap              	       0        1        0        1        0
19533 gtk2-engines-sapwood               	       0        1        0        1        0
19534 gtk2-engines-smooth                	       0        4        0        4        0
19535 gtk2-engines-sugar                 	       0       11        0        0       11
19536 gtk2-engines-thingeramik           	       0        1        0        0        1
19537 gtk2-engines-ubuntulooks           	       0        2        0        2        0
19538 gtk2-engines-wonderland            	       0        5        0        5        0
19539 gtk2-engines-xfce                  	       0      144        0        0      144
19540 gtk2.0-examples                    	       0        9        0        9        0
19541 gtk2hs-buildtools                  	       0        1        0        1        0
19542 gtk3-automnemonics                 	       0       21        3       11        7
19543 gtk3-automnemonics-dbgsym          	       0        2        0        2        0
19544 gtk3-engines-breeze                	       0       34        0        0       34
19545 gtk3-engines-oxygen                	       0        1        0        0        1
19546 gtk3-engines-unico                 	       0        3        0        0        3
19547 gtk3-engines-xfce                  	       0       27        0        0       27
19548 gtk3-im-libthai                    	       0        2        0        0        2
19549 gtk3-nooverlayscrollbar            	       0     2824        0        0     2824
19550 gtk3-tqt-engine-trinity            	       0        5        0        0        5
19551 gtkam                              	       0       34        0       34        0
19552 gtkam-gimp                         	       0       19        0       19        0
19553 gtkatlantic                        	       0        7        0        7        0
19554 gtkballs                           	       0       10        0       10        0
19555 gtkboard                           	       0        9        0        9        0
19556 gtkcookie                          	       0        2        0        2        0
19557 gtkcrypto                          	       0        1        0        1        0
19558 gtkdbfeditor                       	       0        2        0        2        0
19559 gtkdialog                          	       0        3        0        3        0
19560 gtkdiskfree                        	       0        1        0        1        0
19561 gtkfontsel                         	       0        1        0        1        0
19562 gtkhash-common                     	       0        2        0        0        2
19563 gtkmm-documentation                	       0        8        0        0        8
19564 gtkmorph                           	       0        5        0        5        0
19565 gtkmorph-example                   	       0        2        0        0        2
19566 gtkorphan                          	       0        1        0        1        0
19567 gtkparasite                        	       0        1        0        1        0
19568 gtkperf                            	       0        2        0        2        0
19569 gtkpod                             	       0       10        0       10        0
19570 gtkpod-data                        	       0       10        0        0       10
19571 gtkpool                            	       0        4        0        4        0
19572 gtkrsync                           	       0        1        0        1        0
19573 gtkterm                            	       0       22        0       22        0
19574 gtkwave                            	       0       26        0       26        0
19575 gtm                                	       0        1        0        1        0
19576 gtml                               	       0        1        0        1        0
19577 gtranscribe                        	       0        4        0        4        0
19578 gtranslator                        	       0        1        0        1        0
19579 gtweakui                           	       0        1        0        1        0
19580 gtypist                            	       0       23        0       23        0
19581 guake                              	       0       29        3       26        0
19582 guake-indicator                    	       0        6        0        6        0
19583 guam                               	       0        1        0        1        0
19584 guarda                             	       0        1        0        0        1
19585 gubbins                            	       0        1        0        1        0
19586 guessnet                           	       0        2        0        2        0
19587 guestfsd                           	       0        2        0        2        0
19588 gui-apt-key                        	       0        1        0        1        0
19589 guidance-backends-trinity          	       0        2        0        0        2
19590 guidus                             	       0        2        0        2        0
19591 guile-1.6                          	       0        3        0        3        0
19592 guile-1.6-libs                     	       0        5        0        0        5
19593 guile-1.6-slib                     	       0        1        0        0        1
19594 guile-1.8                          	       0        7        0        7        0
19595 guile-1.8-dev                      	       0        1        0        1        0
19596 guile-1.8-doc                      	       0        1        0        0        1
19597 guile-1.8-doc-non-dfsg             	       0        1        0        0        1
19598 guile-1.8-libs                     	       0       13        0       13        0
19599 guile-2.0                          	       0       11        0       11        0
19600 guile-2.0-dev                      	       0        2        0        2        0
19601 guile-2.0-doc                      	       0        4        0        0        4
19602 guile-2.0-libs                     	       0       89        0        0       89
19603 guile-2.2-doc                      	       0        4        0        0        4
19604 guile-2.2-libs                     	       0      597        1        1      595
19605 guile-3.0-doc                      	       0       11        0        0       11
19606 guile-3.0-libs                     	       0     1075       18       15     1042
19607 guile-avahi                        	       0        1        0        0        1
19608 guile-bytestructures               	       0       13        0        0       13
19609 guile-cairo                        	       0        7        0        0        7
19610 guile-g-wrap                       	       0        6        0        1        5
19611 guile-gcrypt                       	       0       12        0        0       12
19612 guile-git                          	       0       12        0        0       12
19613 guile-gnome2-glib                  	       0        6        0        6        0
19614 guile-gnome2-gtk                   	       0        6        0        0        6
19615 guile-gnutls                       	       0       14        0        1       13
19616 guile-json                         	       0       14        0        0       14
19617 guile-library                      	       0        9        0        0        9
19618 guile-lzlib                        	       0       11        0        0       11
19619 guile-sqlite3                      	       0       13        0        0       13
19620 guile-zlib                         	       0       12        0        0       12
19621 guile-zstd                         	       0        1        0        0        1
19622 guilt                              	       0        3        0        3        0
19623 guitarix                           	       0       23        0       23        0
19624 guitarix-common                    	       0       23        0        0       23
19625 guitarix-doc                       	       0        2        0        0        2
19626 guitarix-lv2                       	       0       26        1       25        0
19627 guix                               	       0       11        1       10        0
19628 gummi                              	       0       17        0       17        0
19629 guncat                             	       0        3        0        3        0
19630 gunicorn                           	       0        3        0        3        0
19631 gunicorn-examples                  	       0        1        0        0        1
19632 gunroar                            	       0        3        0        3        0
19633 gunroar-data                       	       0        5        0        0        5
19634 gupnp-dlna-tools                   	       0        1        0        1        0
19635 gupnp-tools                        	       0        4        0        4        0
19636 gutenbrowser                       	       0        1        0        1        0
19637 gutenprint-doc                     	       0       40        0        0       40
19638 gutenprint-locales                 	       0      115        0        0      115
19639 guvcview                           	       0       90        0       90        0
19640 guymager                           	       0        9        0        9        0
19641 gvfs-backends-dbgsym               	       0        1        0        1        0
19642 gvfs-bin                           	       0      107        1      106        0
19643 gvfs-build-deps                    	       0        1        0        0        1
19644 gvfs-daemons-dbgsym                	       0        1        0        1        0
19645 gvfs-dbgsym                        	       0        2        0        2        0
19646 gvfs-libs-dbgsym                   	       0        2        0        2        0
19647 gvidm                              	       0        2        0        2        0
19648 gvm                                	       0        1        0        1        0
19649 gvm-tools                          	       0        1        0        1        0
19650 gvmd                               	       0        1        0        1        0
19651 gvmd-common                        	       0        1        0        1        0
19652 gvpe                               	       0        1        0        1        0
19653 gwaei                              	       0        2        0        2        0
19654 gwakeonlan                         	       0        5        0        5        0
19655 gwaterfall                         	       0       14        0       14        0
19656 gwave                              	       0        6        0        6        0
19657 gwc                                	       0        8        0        8        0
19658 gweled                             	       0       16        0       16        0
19659 gwenhywfar-tools                   	       0        2        0        2        0
19660 gwenview-doc                       	       0       16        0        0       16
19661 gwenview-i18n-trinity              	       0        2        0        0        2
19662 gwenview-trinity                   	       0        7        1        6        0
19663 gwget                              	       0        1        0        1        0
19664 gwhere                             	       0        1        0        1        0
19665 gwhois                             	       0        8        0        8        0
19666 gworkspace-apps-wrappers           	       0        3        0        0        3
19667 gworkspace-common                  	       0        7        0        0        7
19668 gworkspace.app                     	       0        8        0        8        0
19669 gworldclock                        	       0       11        0       11        0
19670 gwsetup                            	       0        1        0        1        0
19671 gwyddion                           	       0        6        0        6        0
19672 gwyddion-common                    	       0        6        0        0        6
19673 gxemul                             	       0        3        0        3        0
19674 gxemul-doc                         	       0        3        0        0        3
19675 gxine                              	       0        2        0        2        0
19676 gxineplugin                        	       0        1        0        1        0
19677 gxkb                               	       0        5        0        5        0
19678 gxmessage                          	       0       12        1       11        0
19679 gxmms2                             	       0        8        0        8        0
19680 gxneur                             	       0        1        0        1        0
19681 gxplugins                          	       0        1        0        1        0
19682 gxset                              	       0        1        0        1        0
19683 gxtuner                            	       0        5        0        5        0
19684 gxvoxtonebender                    	       0        1        0        1        0
19685 gxw-glade                          	       0        1        0        0        1
19686 gyoto-bin                          	       0        2        0        2        0
19687 gzdoom                             	       0       19        0       19        0
19688 gzdoom-build-deps                  	       0        1        0        0        1
19689 gzip-win32                         	       0        1        0        0        1
19690 gzrt                               	       0       10        1        9        0
19691 gztool                             	       0        3        0        3        0
19692 h264enc                            	       0        3        0        3        0
19693 h5utils                            	       0       14        0       14        0
19694 ha                                 	       0        3        0        3        0
19695 hachoir                            	       0        3        0        3        0
19696 hachu                              	       0        4        0        4        0
19697 hackrf                             	       0        9        0        9        0
19698 hackrf-doc                         	       0        1        0        0        1
19699 hackrf-firmware                    	       0        2        0        0        2
19700 hacktv                             	       0        2        0        2        0
19701 hadori                             	       0        4        0        4        0
19702 hakuneko-desktop                   	       0        7        0        7        0
19703 hal-doc                            	       0        1        0        0        1
19704 hal-info                           	       0        8        0        0        8
19705 half                               	       0        2        0        0        2
19706 halibut                            	       0        2        0        2        0
19707 hamexam                            	       0        4        0        4        0
19708 haml-elisp                         	       0        1        0        1        0
19709 hamradio-all                       	       0        2        0        0        2
19710 hamradio-antenna                   	       0        3        0        0        3
19711 hamradio-datamodes                 	       0        2        0        0        2
19712 hamradio-digitalvoice              	       0        2        0        0        2
19713 hamradio-files                     	       0       18        0        0       18
19714 hamradio-logging                   	       0        3        0        0        3
19715 hamradio-maintguide                	       0        2        0        0        2
19716 hamradio-morse                     	       0        4        0        0        4
19717 hamradio-nonamateur                	       0        2        0        0        2
19718 hamradio-packetmodes               	       0        2        0        0        2
19719 hamradio-rigcontrol                	       0        2        0        0        2
19720 hamradio-satellite                 	       0        2        0        0        2
19721 hamradio-sdr                       	       0        5        0        0        5
19722 hamradio-tasks                     	       0        8        0        0        8
19723 hamradio-tools                     	       0        3        0        0        3
19724 hamradio-training                  	       0        3        0        0        3
19725 hamradiomenus                      	       0        1        0        0        1
19726 hamster-applet                     	       0        9        0        8        1
19727 hamster-time-tracker               	       0       10        0       10        0
19728 handbrake-cli                      	       0       74        0       74        0
19729 handbrake-gtk                      	       0       17        0       17        0
19730 hannah                             	       0       10        0       10        0
19731 hannah-data                        	       0       10        0        0       10
19732 hannah-foo2zjs                     	       0        3        0        3        0
19733 happy                              	       0        4        1        3        0
19734 haproxy                            	       0       15        6        9        0
19735 haproxy-doc                        	       0        1        0        0        1
19736 haproxyctl                         	       0        1        0        1        0
19737 harden-doc                         	       0        9        0        0        9
19738 harden-environment                 	       0        1        0        0        1
19739 harden-servers                     	       0        1        0        0        1
19740 harden-tools                       	       0        1        0        0        1
19741 hardening-includes                 	       0       10        1        9        0
19742 hardening-runtime                  	       0        7        0        6        1
19743 hardlink                           	       0        7        0        7        0
19744 haroopad                           	       0        1        0        1        0
19745 hash-slinger                       	       0        3        1        2        0
19746 hashalot                           	       0        2        0        2        0
19747 hashcash                           	       0        3        1        2        0
19748 hashcat                            	       0       51        0       51        0
19749 hashcat-data                       	       0       52        0       52        0
19750 hashcat-nvidia                     	       0        5        0        0        5
19751 hashcheck                          	       0        2        0        2        0
19752 hashdeep                           	       0       31        0       31        0
19753 hashid                             	       0       29        0       29        0
19754 hashrat                            	       0       23        0       23        0
19755 haskell-debian-utils               	       0        1        0        1        0
19756 haskell-devscripts                 	       0        1        0        0        1
19757 haskell-devscripts-minimal         	       0        3        0        3        0
19758 haskell-doc                        	       0        6        0        0        6
19759 haskell-mode                       	       0        5        0        0        5
19760 haskell-platform                   	       0        1        0        0        1
19761 haskell-platform-doc               	       0        1        0        0        1
19762 haskell-stack                      	       0       11        0       11        0
19763 haskell-status-notifier-item-utils 	       0        1        0        1        0
19764 haskell-utils                      	       0        1        0        1        0
19765 haskell98-report                   	       0        9        0        0        9
19766 haskell98-tutorial                 	       0        8        0        0        8
19767 hatari                             	       0       10        1        9        0
19768 hatop                              	       0        1        0        1        0
19769 haveno                             	       0        1        0        1        0
19770 havp                               	       0        5        3        2        0
19771 haxe                               	       0        3        0        3        0
19772 haxml                              	       0        1        0        1        0
19773 hcloud-cli                         	       0        1        0        1        0
19774 hcxkeys                            	       0       17        0       17        0
19775 hcxtools                           	       0       21        0       21        0
19776 hd-idle                            	       0       11        2        9        0
19777 hdapsd                             	       0       10        1        9        0
19778 hdate                              	       0        1        0        1        0
19779 hdate-applet                       	       0        2        0        2        0
19780 hdd-cleaner                        	       0        1        0        1        0
19781 hdf-compass                        	       0        1        0        1        0
19782 hdf-compass-doc                    	       0        1        0        0        1
19783 hdf5-filter-plugin                 	       0        1        0        0        1
19784 hdf5-filter-plugin-blosc-serial    	       0        1        0        1        0
19785 hdf5-filter-plugin-zfp-serial      	       0        1        0        0        1
19786 hdf5-plugin-lzf                    	       0        1        0        0        1
19787 hdhomerun-config                   	       0        1        0        1        0
19788 hdmi2usb-fx2-firmware              	       0        9        0        8        1
19789 hdmi2usb-mode-switch               	       0        5        0        5        0
19790 hdmi2usb-udev                      	       0        5        0        5        0
19791 hdrecover                          	       0        1        0        1        0
19792 hdrmerge                           	       0        3        0        3        0
19793 hdup                               	       0        3        0        3        0
19794 headache                           	       0        1        0        1        0
19795 headsetcontrol                     	       0        1        0        1        0
19796 healpy-data                        	       0        4        0        0        4
19797 health-check                       	       0        1        0        1        0
19798 heaptrack                          	       0        9        0        9        0
19799 heaptrack-gui                      	       0        5        0        5        0
19800 hearse                             	       0        2        0        2        0
19801 hebcal                             	       0        4        0        4        0
19802 hedgewars-data                     	       0       25        0        0       25
19803 heif-gdk-pixbuf                    	       0       55        0        0       55
19804 heimdal-dev                        	       0        1        0        1        0
19805 heimdal-docs                       	       0        5        0        0        5
19806 heimdal-kcm                        	       0        1        1        0        0
19807 heimdal-kdc                        	       0        1        0        1        0
19808 heimdal-multidev                   	       0        7        0        7        0
19809 heimdall                           	       0        7        0        7        0
19810 heimdall-flash                     	       0       59        3       56        0
19811 heimdall-flash-frontend            	       0       32        0       32        0
19812 heimdall-frontend                  	       0        1        0        1        0
19813 heimer                             	       0        1        0        1        0
19814 heirloom-mailx                     	       0       20        1       18        1
19815 helio-workstation                  	       0        1        0        1        0
19816 helix-cli                          	       0        1        0        0        1
19817 helix-cli-base                     	       0        1        0        1        0
19818 helix-git-connector                	       0        1        0        1        0
19819 hello                              	       0       12        0       12        0
19820 hello-debhelper                    	       0        1        0        0        1
19821 hellodemo                          	       0        1        0        0        1
19822 help2man                           	       0       81        0       81        0
19823 helpdeco                           	       0        1        0        1        0
19824 helpdelete                         	       0        1        0        1        0
19825 helpviewer.app                     	       0        3        0        3        0
19826 helvum                             	       0        3        0        3        0
19827 herbstluftwm                       	       0        9        0        9        0
19828 hercules                           	       0        8        0        8        0
19829 herculesstudio                     	       0        5        0        5        0
19830 heretic-shareware-wad              	       0        1        0        0        1
19831 heretic-wad                        	       0        1        0        0        1
19832 hermes1                            	       0        1        0        0        1
19833 hermes1-dev                        	       0        1        0        1        0
19834 heroes                             	       0        3        0        3        0
19835 heroes-common                      	       0        1        0        0        1
19836 heroes-data                        	       0        4        0        0        4
19837 heroes-ggi                         	       0        1        0        1        0
19838 heroes-sdl                         	       0        1        0        1        0
19839 heroes-sound-effects               	       0        4        0        0        4
19840 heroes-sound-tracks                	       0        4        0        0        4
19841 heroic                             	       0       16        0       16        0
19842 heroku                             	       0        1        0        1        0
19843 hershey-font-gnuplot               	       0        3        0        3        0
19844 hershey-fonts-data                 	       0        7        0        0        7
19845 hesiod                             	       0        1        0        1        0
19846 heudiconv                          	       0        1        0        1        0
19847 hevea                              	       0       11        2        9        0
19848 hevea-doc                          	       0        5        0        0        5
19849 hex-a-hop                          	       0       11        0       11        0
19850 hex-a-hop-data                     	       0       10        0        0       10
19851 hexalate                           	       0        9        0        9        0
19852 hexcat                             	       0        1        0        1        0
19853 hexchat-common                     	       0      374        2        2      370
19854 hexchat-lua                        	       0      292        5       11      276
19855 hexchat-otr                        	       0       13        0        2       11
19856 hexchat-perl                       	       0      367        5       12      350
19857 hexchat-plugins                    	       0      367        5       12      350
19858 hexchat-python3                    	       0      363        5       10      348
19859 hexcurse                           	       0       14        0       14        0
19860 hexec                              	       0        2        0        2        0
19861 hexen-deathkings-wad               	       0        1        0        0        1
19862 hexen-demo-wad                     	       0        1        0        0        1
19863 hexen-wad                          	       0        1        0        0        1
19864 hexen2-data                        	       0        1        0        0        1
19865 hexen2-hexenworld-data             	       0        1        0        0        1
19866 hexen2-portals-data                	       0        1        0        0        1
19867 hexen2-reg-data                    	       0        1        0        0        1
19868 hexer                              	       0       19        0       19        0
19869 hexter                             	       0        7        0        7        0
19870 hexter-syx-dx7-banks               	       0        1        0        0        1
19871 hextype                            	       0        1        0        1        0
19872 hexwalk                            	       0        4        0        4        0
19873 hexxagon                           	       0        4        0        4        0
19874 hexxagon-text                      	       0        1        0        1        0
19875 hexyl                              	       0        6        0        6        0
19876 hfst                               	       0        3        0        3        0
19877 hfst-ospell                        	       0        1        0        1        0
19878 hfsutils-tcltk                     	       0       15        0       15        0
19879 hhsuite                            	       0        2        0        2        0
19880 hhsuite-data                       	       0        2        0        2        0
19881 hiawatha                           	       0        1        0        1        0
19882 hibernate                          	       0       31        0       31        0
19883 hibiscus-doc                       	       0        2        0        0        2
19884 hicolor-icon-theme                 	       0     3833        0        0     3833
19885 hidrd                              	       0        1        0        1        0
19886 hiera                              	       0       29        1       28        0
19887 higan                              	       0        8        0        8        0
19888 highlight                          	       0       22        0       22        0
19889 highlight-common                   	       0       23        0        0       23
19890 highlight-pointer                  	       0        1        0        1        0
19891 highlight.js-doc                   	       0        1        0        0        1
19892 hiki                               	       0        1        0        1        0
19893 hilive                             	       0        1        0        1        0
19894 hime                               	       0        2        0        2        0
19895 hime-data                          	       0        2        0        0        2
19896 hime-gtk2-immodule                 	       0        2        0        0        2
19897 hime-gtk3-immodule                 	       0        2        0        0        2
19898 hime-tables                        	       0        2        0        0        2
19899 hindent                            	       0        2        0        2        0
19900 hintview                           	       0        1        0        1        0
19901 hip-base                           	       0        1        0        0        1
19902 hip-dev                            	       0        4        0        4        0
19903 hip-doc                            	       0        4        0        0        4
19904 hip-runtime-amd                    	       0        8        0        3        5
19905 hip-samples                        	       0        4        0        3        1
19906 hipblas                            	       0        4        0        0        4
19907 hipblas-asan                       	       0        1        0        1        0
19908 hipblas-common-dev                 	       0        1        0        1        0
19909 hipblas-dev                        	       0        4        0        4        0
19910 hipblaslt                          	       0        3        0        1        2
19911 hipblaslt-dev                      	       0        3        0        3        0
19912 hipcc                              	       0        7        0        7        0
19913 hipcub-dev                         	       0        3        0        3        0
19914 hipfft                             	       0        3        0        0        3
19915 hipfft-dev                         	       0        3        0        3        0
19916 hipfort-dev                        	       0        3        0        3        0
19917 hipify-clang                       	       0        3        0        3        0
19918 hipify-perl                        	       0        1        0        1        0
19919 hiprand                            	       0        2        0        0        2
19920 hiprand-dev                        	       0        2        0        2        0
19921 hipsolver                          	       0        3        0        0        3
19922 hipsolver-dev                      	       0        3        0        3        0
19923 hipsparse                          	       0        3        0        0        3
19924 hipsparse-dev                      	       0        3        0        3        0
19925 hipsparselt                        	       0        1        0        1        0
19926 hipsparselt-dev                    	       0        1        0        1        0
19927 hiptensor                          	       0        2        0        0        2
19928 hiptensor-dev                      	       0        2        0        2        0
19929 hisat2                             	       0        1        0        1        0
19930 hitch                              	       0        1        1        0        0
19931 hivelytracker                      	       0        2        0        2        0
19932 hjson-go                           	       0        1        0        1        0
19933 hl1110cupswrapper                  	       0        1        0        0        1
19934 hl1110lpr                          	       0        1        0        0        1
19935 hl1200cupswrapper                  	       0        1        0        0        1
19936 hl1200lpr                          	       0        1        0        0        1
19937 hl1210wcupswrapper                 	       0        2        0        0        2
19938 hl1210wlpr                         	       0        2        0        0        2
19939 hl2240lpr                          	       0        1        0        0        1
19940 hl2270dwlpr                        	       0        2        0        0        2
19941 hl3040cncupswrapper                	       0        2        0        0        2
19942 hl3040cnlpr                        	       0        3        0        3        0
19943 hl3170cdwcupswrapper               	       0        2        0        0        2
19944 hl3170cdwlpr                       	       0        3        0        3        0
19945 hl4040cncupswrapper                	       0        1        0        0        1
19946 hl4040cnlpr                        	       0        1        0        1        0
19947 hl4140cncupswrapper                	       0        2        0        0        2
19948 hl4140cnlpr                        	       0        2        0        2        0
19949 hl6050dlpr                         	       0        1        0        1        0
19950 hledger                            	       0        7        0        7        0
19951 hledger-interest                   	       0        1        0        1        0
19952 hledger-ui                         	       0        4        0        4        0
19953 hledger-web                        	       0        2        0        2        0
19954 hlins                              	       0        2        0        2        0
19955 hll2310dpdrv                       	       0        1        0        0        1
19956 hll2320dcupswrapper                	       0        1        0        0        1
19957 hll2320dlpr                        	       0        1        0        0        1
19958 hll2340dcupswrapper                	       0        1        0        0        1
19959 hll2340dlpr                        	       0        1        0        0        1
19960 hll2350dwpdrv                      	       0        1        0        0        1
19961 hll2360dcupswrapper                	       0        1        0        0        1
19962 hll2360dlpr                        	       0        1        0        0        1
19963 hll2375dwpdrv                      	       0        3        0        0        3
19964 hll2380dwcupswrapper               	       0        3        0        0        3
19965 hll2380dwlpr                       	       0        3        0        0        3
19966 hll2390dwpdrv                      	       0        2        0        0        2
19967 hll2395dwpdrv                      	       0        2        0        0        2
19968 hll2460dwpdrv                      	       0        1        0        0        1
19969 hll3230cdwpdrv                     	       0        1        0        1        0
19970 hll3270cdwpdrv                     	       0        1        0        1        0
19971 hll3280cdwpdrv                     	       0        1        0        0        1
19972 hll5000dcupswrapper                	       0        1        0        0        1
19973 hll5000dlpr                        	       0        1        0        0        1
19974 hll5100dncupswrapper               	       0        1        0        0        1
19975 hll5100dnlpr                       	       0        1        0        0        1
19976 hll5200dwcupswrapper               	       0        1        0        0        1
19977 hll5200dwlpr                       	       0        1        0        0        1
19978 hll6200dwcupswrapper               	       0        1        0        0        1
19979 hll6200dwlpr                       	       0        1        0        0        1
19980 hll8250cdncupswrapper              	       0        4        0        0        4
19981 hll8250cdnlpr                      	       0        4        0        4        0
19982 hll8360cdwcupswrapper              	       0        2        0        0        2
19983 hll8360cdwlpr                      	       0        2        0        0        2
19984 hm                                 	       0        2        0        2        0
19985 hm-config                          	       0        2        0        0        2
19986 hm-doc                             	       0        2        0        0        2
19987 hm-highbitdepth                    	       0        2        0        2        0
19988 hmmer                              	       0        3        0        3        0
19989 hnb                                	       0        5        0        5        0
19990 hobbit-plugins                     	       0        5        4        1        0
19991 hodie                              	       0        2        0        2        0
19992 hol88                              	       0        2        0        2        0
19993 hol88-help                         	       0        1        0        0        1
19994 holap                              	       0        1        0        1        0
19995 holdingnuts                        	       0        1        0        1        0
19996 holdingnuts-server                 	       0        1        0        1        0
19997 holes                              	       0        2        0        2        0
19998 hollywood                          	       0       17        0       17        0
19999 holotz-castle                      	       0        7        0        7        0
20000 holotz-castle-data                 	       0        7        0        0        7
20001 holotz-castle-editor               	       0        1        0        1        0
20002 homebank-data                      	       0       15        0        0       15
20003 homesick                           	       0        2        0        2        0
20004 homm3-demo-data                    	       0        1        0        0        1
20005 hopenpgp-tools                     	       0        5        0        5        0
20006 horgand                            	       0        3        0        3        0
20007 horgand-data                       	       0        3        0        0        3
20008 horizon-eda                        	       0       12        0       12        0
20009 host                               	       0      302        0        0      302
20010 host-api                           	       0        1        0        1        0
20011 hostap-utils                       	       0        1        0        1        0
20012 hostfiles                          	       0        1        0        1        0
20013 hostminder                         	       0        1        0        1        0
20014 hostmot2-firmware-3x20-1           	       0        1        0        1        0
20015 hostmot2-firmware-4i65             	       0        1        0        1        0
20016 hostmot2-firmware-4i68             	       0        1        0        1        0
20017 hostmot2-firmware-5i20             	       0        1        0        1        0
20018 hostmot2-firmware-5i22-1           	       0        1        0        1        0
20019 hostmot2-firmware-5i22-1.5         	       0        1        0        1        0
20020 hostmot2-firmware-5i23             	       0        1        0        1        0
20021 hostmot2-firmware-7i43-2           	       0        1        0        1        0
20022 hostmot2-firmware-7i43-4           	       0        1        0        1        0
20023 hostmot2-firmware-all              	       0        1        0        0        1
20024 hoteldruid                         	       0        1        0        1        0
20025 hotkey-setup                       	       0        1        0        1        0
20026 hotkeys                            	       0        1        0        1        0
20027 hotspot                            	       0        5        0        5        0
20028 hotswap                            	       0        2        0        0        2
20029 hotswap-gui                        	       0        2        0        2        0
20030 hotswap-text                       	       0        2        0        2        0
20031 how-can-i-help                     	       0        5        1        4        0
20032 howdoi                             	       0        3        0        3        0
20033 howdy                              	       0        1        0        1        0
20034 howm                               	       0        3        0        3        0
20035 hoz                                	       0        1        0        1        0
20036 hoz-gui                            	       0        1        0        1        0
20037 hp-ams                             	       0        2        0        2        0
20038 hp-health                          	       0        4        2        2        0
20039 hp-ppd                             	       0      203        0        0      203
20040 hp-scripting-tools                 	       0        1        0        1        0
20041 hp-smh-templates                   	       0        2        0        2        0
20042 hp-snmp-agents                     	       0        2        2        0        0
20043 hp2xx                              	       0       12        0       12        0
20044 hp48cc                             	       0        1        0        1        0
20045 hpack                              	       0        1        0        1        0
20046 hpacucli                           	       0        1        0        1        0
20047 hpanel                             	       0        2        0        2        0
20048 hpijs                              	       0       11        0        0       11
20049 hping2                             	       0        2        0        2        0
20050 hplip-cups                         	       0        2        0        0        2
20051 hplip-doc                          	       0       36        0        0       36
20052 hponcfg                            	       0        3        0        3        0
20053 hprof-conv                         	       0       84        0       19       65
20054 hpsa-dkms                          	       0        1        0        1        0
20055 hpsdrconnector                     	       0        1        0        1        0
20056 hpsmh                              	       0        3        0        3        0
20057 hpsockd                            	       0        1        1        0        0
20058 hpssacli                           	       0        1        0        1        0
20059 hqx                                	       0        1        0        1        0
20060 hrd                                	       0        1        0        1        0
20061 hsa-amd-aqlprofile                 	       0        4        0        0        4
20062 hsa-ext-rocr-dev                   	       0        1        0        0        1
20063 hsa-rocr                           	       0       16        1        4       11
20064 hsa-rocr-dev                       	       0        9        0        8        1
20065 hsakmt-roct                        	       0        1        0        0        1
20066 hsakmt-roct-dev                    	       0        8        0        7        1
20067 hscolour                           	       0        5        0        5        0
20068 hsftp                              	       0        1        0        1        0
20069 hsmwiz                             	       0        1        0        1        0
20070 hspell                             	       0       16        0       16        0
20071 hspell-gui                         	       0        2        0        2        0
20072 hstr                               	       0        2        0        2        0
20073 hsx2hs                             	       0        2        0        2        0
20074 ht                                 	       0       14        1       13        0
20075 htcheck                            	       0        1        0        1        0
20076 htcondor                           	       0        1        0        0        1
20077 htdig-doc                          	       0        6        0        2        4
20078 html-helper-mode                   	       0        1        0        1        0
20079 html-xml-utils                     	       0       13        2       11        0
20080 html2ps                            	       0       44        1       43        0
20081 htmldoc-common                     	       0       24        0        0       24
20082 htmlmin                            	       0        1        0        1        0
20083 htop-build-deps                    	       0        1        0        0        1
20084 htop-dbgsym                        	       0        1        0        1        0
20085 htp                                	       0        1        0        1        0
20086 htpdate                            	       0       13        1       12        0
20087 hts-tvheadend                      	       0        1        0        0        1
20088 hts-voice-nitech-jp-atr503-m001    	       0        3        0        0        3
20089 htsengine                          	       0        1        0        1        0
20090 httest                             	       0        1        0        1        0
20091 http-icons                         	       0        5        0        0        5
20092 httpcode                           	       0        2        0        2        0
20093 httpdirfs                          	       0        2        0        2        0
20094 httperf                            	       0        3        0        3        0
20095 httpfs2                            	       0        3        0        3        0
20096 httpie                             	       0       19        1       18        0
20097 httping                            	       0       13        0       13        0
20098 httpry                             	       0        3        0        3        0
20099 https-keyscript                    	       0        2        0        2        0
20100 httptoolkit                        	       0        2        0        2        0
20101 httptunnel                         	       0        4        1        3        0
20102 httrack                            	       0       51        1       50        0
20103 httrack-doc                        	       0       15        0        0       15
20104 httraqt                            	       0       11        1       10        0
20105 hugin-data                         	       0       52        0        0       52
20106 hugo                               	       0       26        0       26        0
20107 huiontablet                        	       0        2        0        2        0
20108 huludesktop                        	       0        1        0        1        0
20109 human-icon-theme                   	       0        7        0        0        7
20110 human-theme                        	       0        1        0        0        1
20111 humanfriendly                      	       0        1        0        1        0
20112 humanity-colors                    	       0        2        0        0        2
20113 humanity-icon-theme                	       0        3        0        0        3
20114 hunspell-af                        	       0        6        0        0        6
20115 hunspell-an                        	       0        5        0        2        3
20116 hunspell-ar                        	       0        7        0        0        7
20117 hunspell-be                        	       0        9        0        9        0
20118 hunspell-bg                        	       0       10        0        0       10
20119 hunspell-bn                        	       0        3        0        0        3
20120 hunspell-bo                        	       0        3        0        3        0
20121 hunspell-br                        	       0        6        0        6        0
20122 hunspell-bs                        	       0        6        0        0        6
20123 hunspell-ca                        	       0       15        0       15        0
20124 hunspell-cs                        	       0       35        0        0       35
20125 hunspell-da                        	       0       14        0        0       14
20126 hunspell-de-at-frami               	       0        8        0        0        8
20127 hunspell-de-ch-frami               	       0        5        0        0        5
20128 hunspell-de-de-frami               	       0       13        0        0       13
20129 hunspell-dz                        	       0        2        0        2        0
20130 hunspell-el                        	       0       25        0        0       25
20131 hunspell-en-au                     	       0       11        0       11        0
20132 hunspell-en-ca                     	       0       14        0       13        1
20133 hunspell-en-gb                     	       0      266        0        0      266
20134 hunspell-en-za                     	       0        6        0        0        6
20135 hunspell-eo                        	       0        1        0        0        1
20136 hunspell-es                        	       0       57        0        0       57
20137 hunspell-eu                        	       0        8        0        8        0
20138 hunspell-fr                        	       0      114        0        0      114
20139 hunspell-fr-classical              	       0      114        0        0      114
20140 hunspell-fr-comprehensive          	       0        6        0        0        6
20141 hunspell-fr-modern                 	       0        1        0        0        1
20142 hunspell-fr-revised                	       0        6        0        0        6
20143 hunspell-gd                        	       0        8        0        0        8
20144 hunspell-gl                        	       0        7        0        0        7
20145 hunspell-gl-es                     	       0        3        0        0        3
20146 hunspell-gu                        	       0        6        0        0        6
20147 hunspell-gug                       	       0        2        0        0        2
20148 hunspell-he                        	       0        7        0        0        7
20149 hunspell-hi                        	       0        6        0        0        6
20150 hunspell-hr                        	       0        9        0        0        9
20151 hunspell-hu                        	       0       33        0        0       33
20152 hunspell-id                        	       0        5        0        0        5
20153 hunspell-is                        	       0        8        0        0        8
20154 hunspell-it                        	       0      118        0        0      118
20155 hunspell-kk                        	       0        7        0        0        7
20156 hunspell-kmr                       	       0        4        0        0        4
20157 hunspell-ko                        	       0       14        0       14        0
20158 hunspell-lo                        	       0        3        0        0        3
20159 hunspell-lt                        	       0        7        0        0        7
20160 hunspell-lv                        	       0        8        0        8        0
20161 hunspell-ml                        	       0        2        0        0        2
20162 hunspell-mn                        	       0        2        0        0        2
20163 hunspell-ne                        	       0        6        0        0        6
20164 hunspell-nl                        	       0       30        0        0       30
20165 hunspell-no                        	       0       12        0        0       12
20166 hunspell-oc                        	       0        5        0        0        5
20167 hunspell-pl                        	       0       65        0        0       65
20168 hunspell-pt-br                     	       0       47        0        0       47
20169 hunspell-pt-pt                     	       0       11        0        0       11
20170 hunspell-ro                        	       0       24        0        0       24
20171 hunspell-ru                        	       0      169        0        0      169
20172 hunspell-se                        	       0        1        0        0        1
20173 hunspell-si                        	       0        6        0        0        6
20174 hunspell-sk                        	       0        9        0        0        9
20175 hunspell-sl                        	       0        7        0        0        7
20176 hunspell-sr                        	       0        7        0        0        7
20177 hunspell-sv                        	       0       29        0        0       29
20178 hunspell-sv-se                     	       0       13        0        0       13
20179 hunspell-sw                        	       0        3        0        0        3
20180 hunspell-te                        	       0        6        0        0        6
20181 hunspell-th                        	       0        7        0        0        7
20182 hunspell-tools                     	       0        5        0        5        0
20183 hunspell-tr                        	       0        9        0        0        9
20184 hunspell-uk                        	       0       16        0        0       16
20185 hunspell-uz                        	       0        7        0        0        7
20186 hunspell-vi                        	       0        7        0        0        7
20187 hunt                               	       0        4        0        4        0
20188 hurd-doc                           	       0        5        0        0        5
20189 hv3                                	       0       16        0       16        0
20190 hw-probe                           	       0       34        0       34        0
20191 hwb                                	       0        7        0        0        7
20192 hwdata                             	       0     1019        0        0     1019
20193 hwloc-nox                          	       0       12        0       12        0
20194 hwtools                            	       0        2        0        2        0
20195 hy                                 	       0        2        0        0        2
20196 hydra                              	       0       32        0       32        0
20197 hydra-gtk                          	       0       14        0       14        0
20198 hydrapaper                         	       0        2        0        2        0
20199 hydrogen-data                      	       0       40        0        0       40
20200 hydrogen-doc                       	       0       39        0        0       39
20201 hydrogen-drumkits                  	       0       42        0        0       42
20202 hydrogen-drumkits-effects          	       0       15        0        0       15
20203 hydrogen-patterns                  	       0        1        0        0        1
20204 hyfetch                            	       0        2        0        2        0
20205 hyfetch-git-dummy-builddeps        	       0        1        0        0        1
20206 hylafax-client                     	       0        6        1        5        0
20207 hylafax-server                     	       0        5        1        4        0
20208 hyperestraier                      	       0        2        0        2        0
20209 hyperfine-musl                     	       0        1        0        1        0
20210 hyperion                           	       0        1        0        1        0
20211 hyperrogue                         	       0        8        0        8        0
20212 hyperrogue-music                   	       0        8        0        0        8
20213 hyperspec                          	       0       11        0        0       11
20214 hyperv-daemons                     	       0        8        1        7        0
20215 hyphen-af                          	       0        1        0        0        1
20216 hyphen-as                          	       0        1        0        0        1
20217 hyphen-bg                          	       0        4        0        0        4
20218 hyphen-bn                          	       0        1        0        0        1
20219 hyphen-cs                          	       0        5        0        0        5
20220 hyphen-da                          	       0        1        0        0        1
20221 hyphen-de                          	       0      280        0        0      280
20222 hyphen-el                          	       0        1        0        0        1
20223 hyphen-en-gb                       	       0       34        0        0       34
20224 hyphen-en-us                       	       0     2459        0        0     2459
20225 hyphen-es                          	       0       31        0        0       31
20226 hyphen-fr                          	       0       29        0        0       29
20227 hyphen-hr                          	       0        3        0        0        3
20228 hyphen-hu                          	       0       15        0        0       15
20229 hyphen-is                          	       0        1        0        0        1
20230 hyphen-it                          	       0       25        0        0       25
20231 hyphen-kn                          	       0        1        0        0        1
20232 hyphen-lt                          	       0        3        0        0        3
20233 hyphen-lv                          	       0        3        0        0        3
20234 hyphen-mr                          	       0        1        0        0        1
20235 hyphen-nl                          	       0        7        0        0        7
20236 hyphen-pl                          	       0        6        0        0        6
20237 hyphen-pt-br                       	       0        1        0        0        1
20238 hyphen-pt-pt                       	       0        4        0        0        4
20239 hyphen-ro                          	       0        7        0        0        7
20240 hyphen-ru                          	       0       45        0        0       45
20241 hyphen-sh                          	       0        4        0        0        4
20242 hyphen-show                        	       0        3        0        3        0
20243 hyphen-sv                          	       0        2        0        0        2
20244 hyphen-ta                          	       0        1        0        0        1
20245 hyphen-uk                          	       0       15        0        0       15
20246 hyphen-zu                          	       0       15        0        0       15
20247 hyphy-common                       	       0        4        0        4        0
20248 hyphy-mpi                          	       0        4        0        4        0
20249 hypnotix                           	       0        7        0        7        0
20250 hyprcursor-util                    	       0        1        0        1        0
20251 hyprland                           	       0        2        0        2        0
20252 hyprland-protocols                 	       0        1        0        0        1
20253 hyprpaper                          	       0        1        0        1        0
20254 hyprwayland-scanner                	       0        1        0        1        0
20255 hyx                                	       0        2        0        2        0
20256 i18nspector                        	       0        3        0        3        0
20257 i2p-keyring                        	       0        6        0        0        6
20258 i2util-tools                       	       0        2        0        2        0
20259 i3                                 	       0      129        0        0      129
20260 i3-next-workspace                  	       0        1        0        1        0
20261 i3-swap-focus                      	       0        1        0        1        0
20262 i3blocks                           	       0       32        1       31        0
20263 i3ipc-glib                         	       0        1        0        1        0
20264 i3lock-fancy                       	       0        6        0        6        0
20265 i3xrocks                           	       0        1        0        1        0
20266 i3xrocks-cpu-usage                 	       0        1        0        0        1
20267 i3xrocks-net-traffic               	       0        1        0        0        1
20268 i3xrocks-time                      	       0        1        0        0        1
20269 i7z-gui                            	       0        3        0        3        0
20270 i8kutils                           	       0        6        1        5        0
20271 i965-va-driver-shaders             	       0       29        1        2       26
20272 iaito                              	       0        1        0        1        0
20273 iamerican-huge                     	       0        8        0        8        0
20274 iamerican-insane                   	       0        7        0        7        0
20275 iamerican-large                    	       0        7        0        7        0
20276 iamerican-small                    	       0        5        0        5        0
20277 iasl                               	       0        2        0        0        2
20278 iat                                	       0       17        0       17        0
20279 iaxmodem                           	       0        2        1        1        0
20280 ibackup                            	       0        1        0        1        0
20281 ibam                               	       0       17        0       17        0
20282 ibm-iaccess                        	       0        3        1        2        0
20283 ibod                               	       0        1        0        1        0
20284 ibritish-huge                      	       0        8        0        8        0
20285 ibritish-insane                    	       0       17        0       17        0
20286 ibritish-large                     	       0        8        0        8        0
20287 ibritish-small                     	       0        6        0        6        0
20288 ibulgarian                         	       0        7        0        7        0
20289 ibus-anthy                         	       0       15        0       15        0
20290 ibus-array                         	       0        1        0        0        1
20291 ibus-clutter                       	       0       62        0        0       62
20292 ibus-data                          	       0      783       12       81      690
20293 ibus-doc                           	       0        6        0        0        6
20294 ibus-gtk                           	       0      369        9       31      329
20295 ibus-hangul                        	       0        8        1        7        0
20296 ibus-input-pad                     	       0        1        0        0        1
20297 ibus-keyman                        	       0        1        0        0        1
20298 ibus-kkc                           	       0        4        0        1        3
20299 ibus-kmfl                          	       0        1        0        0        1
20300 ibus-libpinyin                     	       0        5        0        2        3
20301 ibus-m17n                          	       0       12        0        3        9
20302 ibus-mozc                          	       0       14        2       12        0
20303 ibus-pinyin                        	       0        1        0        1        0
20304 ibus-qt4                           	       0        3        0        0        3
20305 ibus-rime                          	       0        3        0        3        0
20306 ibus-sunpinyin                     	       0        4        0        1        3
20307 ibus-table                         	       0        9        0        9        0
20308 ibus-table-array30                 	       0        2        0        0        2
20309 ibus-table-cangjie                 	       0        2        0        0        2
20310 ibus-table-cangjie-big             	       0        2        0        0        2
20311 ibus-table-cangjie3                	       0        2        0        0        2
20312 ibus-table-cangjie5                	       0        2        0        0        2
20313 ibus-table-cantonese               	       0        2        0        0        2
20314 ibus-table-cantonhk                	       0        2        0        0        2
20315 ibus-table-cns11643                	       0        2        0        0        2
20316 ibus-table-compose                 	       0        3        0        0        3
20317 ibus-table-easy                    	       0        2        0        0        2
20318 ibus-table-easy-big                	       0        2        0        0        2
20319 ibus-table-emoji                   	       0        6        0        0        6
20320 ibus-table-erbi                    	       0        2        0        0        2
20321 ibus-table-erbi-qs                 	       0        2        0        0        2
20322 ibus-table-extraphrase             	       0        2        0        0        2
20323 ibus-table-ipa-x-sampa             	       0        2        0        0        2
20324 ibus-table-jyutping                	       0        2        0        0        2
20325 ibus-table-latex                   	       0        3        0        0        3
20326 ibus-table-old-hungarian-rovas     	       0        2        0        0        2
20327 ibus-table-quick                   	       0        3        0        0        3
20328 ibus-table-quick-classic           	       0        3        0        0        3
20329 ibus-table-quick3                  	       0        3        0        0        3
20330 ibus-table-quick5                  	       0        3        0        0        3
20331 ibus-table-rustrad                 	       0        2        0        0        2
20332 ibus-table-scj6                    	       0        2        0        0        2
20333 ibus-table-stroke5                 	       0        2        0        0        2
20334 ibus-table-telex                   	       0        1        0        0        1
20335 ibus-table-thai                    	       0        2        0        0        2
20336 ibus-table-translit                	       0        2        0        0        2
20337 ibus-table-translit-ua             	       0        2        0        0        2
20338 ibus-table-viqr                    	       0        2        0        0        2
20339 ibus-table-vni                     	       0        1        0        0        1
20340 ibus-table-wu                      	       0        2        0        0        2
20341 ibus-table-wubi                    	       0        2        0        0        2
20342 ibus-table-yawerty                 	       0        2        0        0        2
20343 ibus-table-yong                    	       0        2        0        0        2
20344 ibus-tegaki                        	       0        1        0        1        0
20345 ibus-typing-booster                	       0        3        0        3        0
20346 ibus-unikey                        	       0        1        0        1        0
20347 ibus-wayland                       	       0        5        0        0        5
20348 ibutils                            	       0        3        0        3        0
20349 ibverbs-providers                  	       0      977        0        0      977
20350 ibverbs-utils                      	       0        1        0        1        0
20351 icaclient                          	       0       15        2       11        2
20352 ical2html                          	       0        1        0        1        0
20353 icatalan                           	       0        7        0        7        0
20354 icc-profiles                       	       0       38        0        0       38
20355 icc-profiles-free                  	       0      307        0        0      307
20356 icc-utils                          	       0        1        0        0        1
20357 icdiff                             	       0        4        0        4        0
20358 ice                                	       0        1        0        1        0
20359 iceape                             	       0        3        0        3        0
20360 iceape-browser                     	       0        3        0        0        3
20361 iceape-chatzilla                   	       0        2        0        2        0
20362 iceape-dom-inspector               	       0        1        0        0        1
20363 icebreaker                         	       0        5        0        5        0
20364 icecast2                           	       0       13        2       11        0
20365 icecat                             	       0        7        1        6        0
20366 icecat-l10n-de                     	       0        1        0        1        0
20367 icecat-l10n-es-es                  	       0        1        0        1        0
20368 icecc                              	       0       10        3        7        0
20369 icecc-monitor                      	       0        3        0        3        0
20370 icecream                           	       0        1        0        1        0
20371 icecream-sundae                    	       0        1        0        1        0
20372 icedax                             	       0       42        1       41        0
20373 icedove-l10n-de                    	       0        4        0        0        4
20374 icedove-l10n-en-gb                 	       0        1        0        0        1
20375 icedtea-7-plugin                   	       0        1        0        0        1
20376 icedtea-8-plugin                   	       0       16        0        0       16
20377 icedtea-netx                       	       0      118        1       93       24
20378 icedtea-netx-common                	       0       35        0        0       35
20379 iceowl-extension                   	       0        8        1        0        7
20380 iceowl-l10n-de                     	       0        1        0        0        1
20381 ices2                              	       0        6        0        6        0
20382 iceweasel-l10n-cs                  	       0        1        0        0        1
20383 iceweasel-l10n-de                  	       0        5        0        0        5
20384 iceweasel-l10n-en-gb               	       0        3        0        0        3
20385 iceweasel-l10n-es-ar               	       0        1        0        0        1
20386 iceweasel-l10n-es-cl               	       0        1        0        0        1
20387 iceweasel-l10n-es-es               	       0        2        0        0        2
20388 iceweasel-l10n-es-mx               	       0        1        0        0        1
20389 iceweasel-l10n-fr                  	       0        3        0        0        3
20390 iceweasel-l10n-it                  	       0        1        0        0        1
20391 iceweasel-l10n-ja                  	       0        1        0        0        1
20392 iceweasel-l10n-pl                  	       0        1        0        0        1
20393 iceweasel-l10n-ru                  	       0        2        0        0        2
20394 iceweasel-l10n-sk                  	       0        1        0        0        1
20395 iceweasel-l10n-tr                  	       0        1        0        0        1
20396 iceweasel-uxp                      	       0        2        0        2        0
20397 icewm-themes                       	       0        3        0        0        3
20398 icheck                             	       0        2        0        2        0
20399 icinga                             	       0        4        0        0        4
20400 icinga-archive-keyring             	       0       15        0        0       15
20401 icinga-cgi                         	       0        5        0        0        5
20402 icinga-cgi-bin                     	       0        5        2        3        0
20403 icinga-common                      	       0        5        0        0        5
20404 icinga-core                        	       0        4        2        2        0
20405 icinga-cube                        	       0        1        0        0        1
20406 icinga-cube-web                    	       0        1        0        1        0
20407 icinga-doc                         	       0        5        0        0        5
20408 icinga-graphite                    	       0        1        0        0        1
20409 icinga-graphite-web                	       0        1        0        1        0
20410 icinga-idoutils                    	       0        3        2        1        0
20411 icinga-l10n                        	       0        1        0        0        1
20412 icinga-php-library                 	       0        5        0        5        0
20413 icinga-php-thirdparty              	       0        5        1        4        0
20414 icinga-web                         	       0        1        1        0        0
20415 icinga-web-pnp                     	       0        1        0        0        1
20416 icinga-x509                        	       0        1        0        0        1
20417 icinga-x509-daemon                 	       0        1        0        1        0
20418 icinga-x509-php                    	       0        1        0        1        0
20419 icinga-x509-web                    	       0        1        0        0        1
20420 icinga2                            	       0       48        0        0       48
20421 icinga2-bin                        	       0       49       16       33        0
20422 icinga2-common                     	       0       49        3       46        0
20423 icinga2-doc                        	       0       28        0        0       28
20424 icinga2-ido-pgsql                  	       0        4        2        1        1
20425 icinga2-studio                     	       0        1        0        1        0
20426 icingacli                          	       0        6        0        6        0
20427 icingadb                           	       0        1        0        1        0
20428 icingadb-redis                     	       0        1        0        1        0
20429 icingadb-web                       	       0        1        0        1        0
20430 icingaweb2                         	       0        6        1        5        0
20431 icingaweb2-common                  	       0        6        0        5        1
20432 icingaweb2-module-boxydash         	       0        2        0        2        0
20433 icingaweb2-module-cube             	       0        2        0        2        0
20434 icingaweb2-module-director         	       0        1        0        1        0
20435 icingaweb2-module-doc              	       0        5        0        5        0
20436 icingaweb2-module-idoreports       	       0        2        0        2        0
20437 icingaweb2-module-incubator        	       0        1        0        1        0
20438 icingaweb2-module-map              	       0        2        0        2        0
20439 icingaweb2-module-monitoring       	       0        5        0        5        0
20440 icingaweb2-module-pdfexport        	       0        2        0        2        0
20441 icingaweb2-module-pnp              	       0        1        0        1        0
20442 icingaweb2-module-reactbundle      	       0        1        0        1        0
20443 icingaweb2-module-recommended      	       0        2        0        0        2
20444 icingaweb2-module-reporting        	       0        2        0        2        0
20445 icingaweb2-module-statusmap        	       0        2        0        2        0
20446 icli                               	       0        2        0        2        0
20447 icmake                             	       0        1        0        1        0
20448 icmpinfo                           	       0        9        0        9        0
20449 icmptx                             	       0        1        0        1        0
20450 icmpush                            	       0        2        0        2        0
20451 icnsutils                          	       0        4        1        3        0
20452 icom                               	       0        6        0        6        0
20453 icon-ipl                           	       0        1        0        1        0
20454 icon-naming-utils                  	       0        4        0        0        4
20455 icon-slicer                        	       0        1        0        1        0
20456 icont                              	       0        1        0        1        0
20457 iconx                              	       0       16        2       14        0
20458 icu-doc                            	       0       12        0        0       12
20459 iczech                             	       0        6        0        6        0
20460 id-utils                           	       0        5        0        5        0
20461 id3ed                              	       0        1        0        1        0
20462 id3ren                             	       0        8        0        8        0
20463 id3tool                            	       0       28        1       27        0
20464 id3v2                              	       0       71        0       71        0
20465 idanish                            	       0        7        0        7        0
20466 idecrypt                           	       0        1        1        0        0
20467 ident2                             	       0        5        0        5        0
20468 ideviceactivation                  	       0        1        0        1        0
20469 ideviceinstaller                   	       0       15        0       15        0
20470 idevicerestore                     	       0        7        0        7        0
20471 idjc                               	       0        1        1        0        0
20472 idle-python2.7                     	       0        5        0        5        0
20473 idle-python3.10                    	       0        1        0        1        0
20474 idle-python3.12                    	       0        6        0        6        0
20475 idle-python3.13                    	       0        1        0        1        0
20476 idle-python3.5                     	       0        2        0        2        0
20477 idle-python3.9                     	       0        8        0        8        0
20478 idle3                              	       0       13        0        1       12
20479 idle3-tools                        	       0       12        0       12        0
20480 idlestat                           	       0        3        0        3        0
20481 idn                                	       0        6        0        6        0
20482 idn2                               	       0       11        0       11        0
20483 idutch                             	       0       28        0       28        0
20484 idzebra-2.0                        	       0        2        0        0        2
20485 idzebra-2.0-common                 	       0        2        0        0        2
20486 idzebra-2.0-doc                    	       0        2        0        0        2
20487 idzebra-2.0-utils                  	       0        2        1        1        0
20488 iec16022                           	       0        3        0        3        0
20489 iem-plugin-suite-standalone        	       0        2        0        2        0
20490 iem-plugin-suite-vst               	       0        2        0        0        2
20491 iesperanto                         	       0        3        0        3        0
20492 iestonian                          	       0        2        0        2        0
20493 ietf2bibtex                        	       0        4        0        4        0
20494 ifcico                             	       0        2        0        2        0
20495 ifcopenshell                       	       0        1        0        1        0
20496 ifcplugin                          	       0        2        0        2        0
20497 ifcplusplus                        	       0        2        0        2        0
20498 ifenslave                          	       0       58        1       56        1
20499 ifenslave-2.6                      	       0        3        0        0        3
20500 ifgate                             	       0        1        0        1        0
20501 ifhp                               	       0        3        0        3        0
20502 ifmail                             	       0        2        0        2        0
20503 ifmetric                           	       0        5        1        4        0
20504 ifp-line-libifp                    	       0        2        0        2        0
20505 ifrename                           	       0       29        2       27        0
20506 ifrench                            	       0        3        0        3        0
20507 ifscheme                           	       0        1        0        1        0
20508 ifstat                             	       0       27        0       27        0
20509 ifupdown-extra                     	       0       14        2       12        0
20510 ifupdown-multi                     	       0        2        0        0        2
20511 ifupdown-ng                        	       0        7        1        6        0
20512 ifupdown2                          	       0       15        1       14        0
20513 ifuse                              	       0       55        0       55        0
20514 igaelic                            	       0        2        0        2        0
20515 igal2                              	       0        2        0        2        0
20516 igalician-minimos                  	       0        1        0        1        0
20517 igf-vracip                         	       0        1        0        1        0
20518 ignore-me                          	       0        1        0        1        0
20519 ihungarian                         	       0       19        0       19        0
20520 ii                                 	       0        3        0        3        0
20521 ii-esu                             	       0        1        0        1        0
20522 iii                                	       0        1        0        1        0
20523 iirish                             	       0        6        0        6        0
20524 ijsgutenprint                      	       0       19        0       19        0
20525 ike-scan                           	       0       22        1       21        0
20526 ikiwiki                            	       0        5        0        5        0
20527 ilia                               	       0        1        0        1        0
20528 ilisp                              	       0        3        0        3        0
20529 ilisp-doc                          	       0        3        0        0        3
20530 ilithuanian                        	       0        5        0        5        0
20531 ilorest                            	       0        1        0        1        0
20532 im                                 	       0        1        0        1        0
20533 im-switch                          	       0        1        0        1        0
20534 ima-adpcm                          	       0        1        0        1        0
20535 image-factory                      	       0        1        0        1        0
20536 image-transport-tools              	       0        1        0        1        0
20537 imagej                             	       0        8        0        8        0
20538 imagemagick                        	       0     2245        1       12     2232
20539 imagemagick-6-common               	       0     3152        0        0     3152
20540 imagemagick-6-doc                  	       0       60        0        0       60
20541 imagemagick-6.q16hdri              	       0        6        0        6        0
20542 imagemagick-7-common               	       0      148        0        0      148
20543 imagemagick-7-doc                  	       0        3        0        0        3
20544 imagemagick-7.q16hdri              	       0        1        0        1        0
20545 imagemagick-common                 	       0       66        0        0       66
20546 imagemagick-doc                    	       0       41        0        0       41
20547 imagescan                          	       0        3        0        3        0
20548 imagescan-plugin-gt-s650           	       0        3        0        0        3
20549 imagescan-plugin-networkscan       	       0        3        0        3        0
20550 imagescan-plugin-ocr-engine        	       0        3        0        0        3
20551 imagevis3d                         	       0        1        0        1        0
20552 imageworsener                      	       0        1        0        1        0
20553 imagewriter                        	       0        1        0        1        0
20554 imagination-common                 	       0       11        0        0       11
20555 imanx                              	       0        1        0        1        0
20556 imapcopy                           	       0        4        0        4        0
20557 imapfilter                         	       0        5        0        5        0
20558 imapproxy                          	       0        1        1        0        0
20559 imapsync                           	       0        2        0        2        0
20560 imediff                            	       0        7        0        7        0
20561 imediff2                           	       0        1        0        1        0
20562 img-pvr-rogue                      	       0        1        0        1        0
20563 imgp                               	       0        2        0        2        0
20564 imgsizer                           	       0        1        0        1        0
20565 imgtxtenh                          	       0        1        0        1        0
20566 imgui-build-deps                   	       0        1        0        0        1
20567 imhangul-common                    	       0        1        0        0        1
20568 imhangul-gtk3                      	       0        1        0        0        1
20569 imhex                              	       0        1        0        1        0
20570 imlib11                            	       0       27        0        0       27
20571 imlib11-dev                        	       0        1        0        1        0
20572 imlib2                             	       0        1        0        0        1
20573 immagini                           	       0        1        0        0        1
20574 impass                             	       0        2        0        2        0
20575 impose+                            	       0        7        0        7        0
20576 impressive                         	       0        9        0        9        0
20577 impressive-display                 	       0        2        0        2        0
20578 imthreshold                        	       0        1        0        1        0
20579 imv                                	       0       19        0       19        0
20580 imview                             	       0       17        0       17        0
20581 imview-doc                         	       0        1        0        0        1
20582 imvirt                             	       0       34        3       31        0
20583 imx-usb-loader                     	       0        2        0        2        0
20584 in-toto                            	       0        1        0        1        0
20585 inadyn                             	       0        6        0        6        0
20586 inav-configurator                  	       0        1        0        0        1
20587 include-gardener-build-deps        	       0        1        0        0        1
20588 incron                             	       0       20        6       14        0
20589 incus-agent                        	       0        6        0        6        0
20590 incus-base                         	       0        1        0        1        0
20591 incus-extra                        	       0        1        0        1        0
20592 incus-migrate                      	       0        1        0        1        0
20593 incus-tools                        	       0        2        0        2        0
20594 incus-ui-canonical                 	       0        1        0        1        0
20595 indent                             	       0       31        0       31        0
20596 indent-doc                         	       0        7        0        0        7
20597 indi-bin                           	       0       28        1       27        0
20598 indi-webcam                        	       0        1        0        1        0
20599 indi-weewx-json                    	       0        1        0        1        0
20600 indicator-application              	       0        2        0        2        0
20601 indicator-cpufreq                  	       0        1        0        1        0
20602 indicator-keylock                  	       0        1        0        1        0
20603 indicator-sensors-build-deps       	       0        1        0        0        1
20604 indicator-sensors-dbgsym           	       0        1        0        1        0
20605 indicator-updatemanager            	       0        1        0        1        0
20606 indigo                             	       0        1        0        1        0
20607 indigo-control-panel               	       0        1        0        1        0
20608 industrial-cursor-theme            	       0        2        0        0        2
20609 industrial-icon-theme              	       0        1        0        0        1
20610 inetsim                            	       0        1        0        1        0
20611 inetutils-ftp                      	       0        5        0        5        0
20612 inetutils-ftpd                     	       0        4        0        4        0
20613 inetutils-inetd                    	       0       31        1       30        0
20614 inetutils-ping                     	       0        7        0        7        0
20615 inetutils-syslogd                  	       0       12        3        9        0
20616 inetutils-talkd                    	       0        2        0        2        0
20617 inetutils-telnetd                  	       0       32        2       30        0
20618 inetutils-traceroute               	       0       18        0       18        0
20619 infamous-plugins                   	       0        1        0        1        0
20620 infernal                           	       0        4        0        4        0
20621 infiniband-diags                   	       0        4        0        4        0
20622 infinit                            	       0        1        0        1        0
20623 influxdb                           	       0        7        1        6        0
20624 influxdb-client                    	       0        6        0        6        0
20625 influxdb2                          	       0        1        0        1        0
20626 influxdb2-cli                      	       0        1        0        1        0
20627 info2man                           	       0        6        0        6        0
20628 infonotary-client-software         	       0        1        0        1        0
20629 inform                             	       0        2        0        2        0
20630 inform-docs                        	       0        1        0        0        1
20631 inform-mode                        	       0        3        0        1        2
20632 inform6-compiler                   	       0        3        0        3        0
20633 inform6-library                    	       0        2        0        2        0
20634 inform7-ide                        	       0        2        0        2        0
20635 init                               	       0     4145        0        0     4145
20636 init-compat                        	       0        1        0        0        1
20637 initng                             	       0        1        0        1        0
20638 ink                                	       0       21        0       21        0
20639 ink-generator                      	       0        8        0        0        8
20640 inkscape-build-deps                	       0        1        0        0        1
20641 inkscape-open-symbols              	       0       48        0        0       48
20642 inkscape-speleo                    	       0        9        0        0        9
20643 inkscape-survex-export             	       0        5        0        0        5
20644 inkscape-textext                   	       0       19        0        0       19
20645 inkscape-textext-doc               	       0        5        0        0        5
20646 inkscape-tutorials                 	       0       59        0        0       59
20647 inkstitch                          	       0        2        0        2        0
20648 inn                                	       0        2        0        2        0
20649 inn2                               	       0        6        1        5        0
20650 inn2-dev                           	       0        3        0        3        0
20651 inn2-inews                         	       0       13        1       12        0
20652 innoextract                        	       0       46        0       46        0
20653 ino-headers                        	       0        2        0        2        0
20654 ino-headers-doc                    	       0        1        0        0        1
20655 inotail                            	       0        2        0        2        0
20656 inoticoming                        	       0        5        0        5        0
20657 inotify-hookable                   	       0        3        0        3        0
20658 input-remapper                     	       0        3        0        1        2
20659 input-remapper-daemon              	       0        3        0        3        0
20660 input-remapper-gtk                 	       0        3        0        3        0
20661 input-utils                        	       0       13        0       13        0
20662 inputlirc                          	       0        4        1        3        0
20663 inputplug                          	       0        3        0        3        0
20664 insomnia                           	       0        5        0        5        0
20665 insomnium                          	       0        1        0        1        0
20666 inspectrum                         	       0        5        0        5        0
20667 install-doc                        	       0        1        0        0        1
20668 install-mimic                      	       0        1        0        1        0
20669 installation-birthday              	       0        2        0        2        0
20670 installation-guide-amd64           	       0       10        0        0       10
20671 installation-guide-arm64           	       0        1        0        0        1
20672 installation-guide-armel           	       0        1        0        0        1
20673 installation-guide-armhf           	       0        1        0        0        1
20674 installation-guide-i386            	       0        3        0        0        3
20675 installation-guide-kfreebsd-amd64  	       0        1        0        0        1
20676 installation-guide-mips            	       0        1        0        0        1
20677 installation-guide-mips64el        	       0        1        0        0        1
20678 installation-guide-mipsel          	       0        2        0        0        2
20679 installation-guide-ppc64el         	       0        1        0        0        1
20680 installation-guide-s390x           	       0        1        0        0        1
20681 installwatch                       	       0        1        0        1        0
20682 instead                            	       0        7        0        7        0
20683 instead-data                       	       0        7        0        0        7
20684 insync                             	       0        2        0        2        0
20685 insync-dolphin                     	       0        1        0        0        1
20686 insync-nemo                        	       0        1        0        0        1
20687 int-fiction                        	       0        1        0        1        0
20688 int-fiction-installer              	       0        1        0        1        0
20689 integrit                           	       0        4        2        2        0
20690 intel-acm                          	       0        2        0        0        2
20691 intel-basekit                      	       0        2        0        0        2
20692 intel-basekit-env-2024.0           	       0        1        0        0        1
20693 intel-basekit-env-2024.1           	       0        1        0        0        1
20694 intel-basekit-getting-started-2024.0	       0        1        0        0        1
20695 intel-basekit-getting-started-2024.1	       0        1        0        0        1
20696 intel-cmt-cat                      	       0       12        0       12        0
20697 intel-comp-l-all-vars-19.1.0-166   	       0        1        0        1        0
20698 intel-comp-nomcu-vars-19.1.0-166   	       0        1        0        1        0
20699 intel-conda-index-tool-19.1.0-166  	       0        1        0        1        0
20700 intel-conda-intel-openmp-linux-64-shadow-package-19.1.0-166	       0        1        0        0        1
20701 intel-conda-mkl-devel-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20702 intel-conda-mkl-include-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20703 intel-conda-mkl-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20704 intel-conda-mkl-static-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20705 intel-conda-tbb-linux-64-shadow-package-2020.0-166	       0        1        0        0        1
20706 intel-gmmlib                       	       0        1        0        0        1
20707 intel-hdcp                         	       0        4        0        4        0
20708 intel-hpckit                       	       0        1        0        0        1
20709 intel-hpckit-env-2024.0            	       0        1        0        0        1
20710 intel-hpckit-env-2024.1            	       0        1        0        0        1
20711 intel-hpckit-getting-started-2024.0	       0        1        0        0        1
20712 intel-hpckit-getting-started-2024.1	       0        1        0        0        1
20713 intel-igc-core                     	       0        1        0        1        0
20714 intel-igc-core-2                   	       0        1        0        1        0
20715 intel-igc-opencl                   	       0        1        0        0        1
20716 intel-igc-opencl-2                 	       0        1        0        0        1
20717 intel-level-zero-gpu               	       0        2        0        0        2
20718 intel-media-va-driver-non-free     	       0       32        4        6       22
20719 intel-mkl                          	       0        3        0        0        3
20720 intel-mkl-64bit-2020.0-088         	       0        1        0        0        1
20721 intel-mkl-cluster-2020.0-166       	       0        1        0        1        0
20722 intel-mkl-cluster-c-2020.0-166     	       0        1        0        0        1
20723 intel-mkl-cluster-f-2020.0-166     	       0        1        0        0        1
20724 intel-mkl-cluster-rt-2020.0-166    	       0        1        0        1        0
20725 intel-mkl-common-2020.0-166        	       0        1        0        1        0
20726 intel-mkl-common-c-2020.0-166      	       0        1        0        1        0
20727 intel-mkl-common-c-ps-2020.0-166   	       0        1        0        1        0
20728 intel-mkl-common-f-2020.0-166      	       0        1        0        0        1
20729 intel-mkl-common-ps-2020.0-166     	       0        1        0        1        0
20730 intel-mkl-core-2020.0-166          	       0        1        0        1        0
20731 intel-mkl-core-c-2020.0-166        	       0        1        0        0        1
20732 intel-mkl-core-f-2020.0-166        	       0        1        0        0        1
20733 intel-mkl-core-ps-2020.0-166       	       0        1        0        1        0
20734 intel-mkl-core-rt-2020.0-166       	       0        1        0        1        0
20735 intel-mkl-doc-2020                 	       0        1        0        0        1
20736 intel-mkl-doc-ps-2020              	       0        1        0        0        1
20737 intel-mkl-f95-2020.0-166           	       0        1        0        1        0
20738 intel-mkl-f95-common-2020.0-166    	       0        1        0        0        1
20739 intel-mkl-gnu-2020.0-166           	       0        1        0        1        0
20740 intel-mkl-gnu-c-2020.0-166         	       0        1        0        0        1
20741 intel-mkl-gnu-f-2020.0-166         	       0        1        0        1        0
20742 intel-mkl-gnu-f-rt-2020.0-166      	       0        1        0        1        0
20743 intel-mkl-gnu-rt-2020.0-166        	       0        1        0        1        0
20744 intel-mkl-pgi-2020.0-166           	       0        1        0        1        0
20745 intel-mkl-pgi-c-2020.0-166         	       0        1        0        0        1
20746 intel-mkl-pgi-rt-2020.0-166        	       0        1        0        1        0
20747 intel-mkl-psxe-2020.0-088          	       0        1        0        0        1
20748 intel-mkl-tbb-2020.0-166           	       0        1        0        1        0
20749 intel-mkl-tbb-rt-2020.0-166        	       0        1        0        1        0
20750 intel-ocloc                        	       0        1        0        1        0
20751 intel-oneapi-advisor               	       0        3        0        3        0
20752 intel-oneapi-base-toolkit          	       0        1        0        0        1
20753 intel-oneapi-base-toolkit-env-2025.0	       0        1        0        0        1
20754 intel-oneapi-base-toolkit-getting-started-2025.0	       0        1        0        0        1
20755 intel-oneapi-ccl-2021.11           	       0        1        0        1        0
20756 intel-oneapi-ccl-2021.12           	       0        1        0        1        0
20757 intel-oneapi-ccl-2021.14           	       0        1        0        1        0
20758 intel-oneapi-ccl-devel             	       0        2        0        0        2
20759 intel-oneapi-ccl-devel-2021.11     	       0        1        0        1        0
20760 intel-oneapi-ccl-devel-2021.12     	       0        1        0        1        0
20761 intel-oneapi-ccl-devel-2021.14     	       0        1        0        1        0
20762 intel-oneapi-common-licensing      	       0        2        0        0        2
20763 intel-oneapi-common-licensing-2023.2.0	       0        1        0        0        1
20764 intel-oneapi-common-licensing-2024.0	       0        1        0        0        1
20765 intel-oneapi-common-licensing-2024.1	       0        1        0        0        1
20766 intel-oneapi-common-licensing-2024.2	       0        3        0        0        3
20767 intel-oneapi-common-licensing-2025.0	       0        2        0        0        2
20768 intel-oneapi-common-oneapi-vars    	       0        2        0        0        2
20769 intel-oneapi-common-oneapi-vars-2024.0	       0        1        0        0        1
20770 intel-oneapi-common-oneapi-vars-2024.1	       0        1        0        0        1
20771 intel-oneapi-common-oneapi-vars-2024.2	       0        3        0        0        3
20772 intel-oneapi-common-oneapi-vars-2025.0	       0        2        0        0        2
20773 intel-oneapi-common-vars           	       0        5        0        0        5
20774 intel-oneapi-compiler-cpp-eclipse-cfg-2024.0	       0        1        0        0        1
20775 intel-oneapi-compiler-cpp-eclipse-cfg-2024.1	       0        1        0        0        1
20776 intel-oneapi-compiler-cpp-eclipse-cfg-2025.0	       0        1        0        0        1
20777 intel-oneapi-compiler-dpcpp-cpp    	       0        2        0        0        2
20778 intel-oneapi-compiler-dpcpp-cpp-2024.0	       0        1        0        0        1
20779 intel-oneapi-compiler-dpcpp-cpp-2024.1	       0        1        0        0        1
20780 intel-oneapi-compiler-dpcpp-cpp-2025.0	       0        1        0        0        1
20781 intel-oneapi-compiler-dpcpp-cpp-common-2024.0	       0        1        0        1        0
20782 intel-oneapi-compiler-dpcpp-cpp-common-2024.1	       0        1        0        1        0
20783 intel-oneapi-compiler-dpcpp-cpp-common-2025.0	       0        1        0        1        0
20784 intel-oneapi-compiler-dpcpp-cpp-runtime-2023.2.0	       0        1        0        1        0
20785 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.0	       0        1        0        0        1
20786 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.1	       0        1        0        0        1
20787 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.2	       0        2        0        0        2
20788 intel-oneapi-compiler-dpcpp-cpp-runtime-2025.0	       0        2        0        0        2
20789 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.0	       0        1        0        0        1
20790 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.1	       0        1        0        0        1
20791 intel-oneapi-compiler-dpcpp-eclipse-cfg-2025.0	       0        1        0        0        1
20792 intel-oneapi-compiler-fortran-2024.0	       0        1        0        1        0
20793 intel-oneapi-compiler-fortran-2024.1	       0        1        0        1        0
20794 intel-oneapi-compiler-fortran-common-2024.0	       0        1        0        1        0
20795 intel-oneapi-compiler-fortran-common-2024.1	       0        1        0        1        0
20796 intel-oneapi-compiler-fortran-runtime-2024.0	       0        1        0        0        1
20797 intel-oneapi-compiler-fortran-runtime-2024.1	       0        1        0        0        1
20798 intel-oneapi-compiler-shared-2024.0	       0        1        0        1        0
20799 intel-oneapi-compiler-shared-2024.1	       0        1        0        1        0
20800 intel-oneapi-compiler-shared-2025.0	       0        1        0        1        0
20801 intel-oneapi-compiler-shared-common-2024.0	       0        1        0        1        0
20802 intel-oneapi-compiler-shared-common-2024.1	       0        1        0        1        0
20803 intel-oneapi-compiler-shared-common-2025.0	       0        1        0        1        0
20804 intel-oneapi-compiler-shared-runtime-2023.2.0	       0        1        0        1        0
20805 intel-oneapi-compiler-shared-runtime-2024.0	       0        1        0        1        0
20806 intel-oneapi-compiler-shared-runtime-2024.1	       0        1        0        1        0
20807 intel-oneapi-compiler-shared-runtime-2024.2	       0        2        0        0        2
20808 intel-oneapi-compiler-shared-runtime-2025.0	       0        2        0        0        2
20809 intel-oneapi-condaindex            	       0        1        0        1        0
20810 intel-oneapi-dal-2024.0            	       0        1        0        0        1
20811 intel-oneapi-dal-2024.2            	       0        1        0        0        1
20812 intel-oneapi-dal-2024.6            	       0        1        0        0        1
20813 intel-oneapi-dal-2025.0            	       0        1        0        0        1
20814 intel-oneapi-dal-common-2024.0     	       0        1        0        0        1
20815 intel-oneapi-dal-common-2024.2     	       0        1        0        0        1
20816 intel-oneapi-dal-common-2024.6     	       0        1        0        0        1
20817 intel-oneapi-dal-common-2025.0     	       0        1        0        0        1
20818 intel-oneapi-dal-common-devel-2024.0	       0        1        0        1        0
20819 intel-oneapi-dal-common-devel-2024.2	       0        1        0        1        0
20820 intel-oneapi-dal-common-devel-2024.6	       0        1        0        1        0
20821 intel-oneapi-dal-common-devel-2025.0	       0        1        0        1        0
20822 intel-oneapi-dal-devel             	       0        2        0        0        2
20823 intel-oneapi-dal-devel-2024.0      	       0        1        0        1        0
20824 intel-oneapi-dal-devel-2024.2      	       0        1        0        1        0
20825 intel-oneapi-dal-devel-2024.6      	       0        1        0        1        0
20826 intel-oneapi-dal-devel-2025.0      	       0        1        0        1        0
20827 intel-oneapi-dev-utilities         	       0        2        0        0        2
20828 intel-oneapi-dev-utilities-2024.0  	       0        1        0        1        0
20829 intel-oneapi-dev-utilities-2024.1  	       0        1        0        1        0
20830 intel-oneapi-dev-utilities-2025.0  	       0        1        0        1        0
20831 intel-oneapi-dev-utilities-eclipse-cfg-2024.0	       0        1        0        0        1
20832 intel-oneapi-dev-utilities-eclipse-cfg-2024.1	       0        1        0        0        1
20833 intel-oneapi-dev-utilities-eclipse-cfg-2025.0	       0        1        0        0        1
20834 intel-oneapi-diagnostics-utility   	       0        1        0        0        1
20835 intel-oneapi-diagnostics-utility-2024.0	       0        1        0        1        0
20836 intel-oneapi-diagnostics-utility-2024.1	       0        1        0        1        0
20837 intel-oneapi-dnnl                  	       0        2        0        0        2
20838 intel-oneapi-dnnl-2024.0           	       0        1        0        0        1
20839 intel-oneapi-dnnl-2024.1           	       0        1        0        0        1
20840 intel-oneapi-dnnl-2025.0           	       0        1        0        0        1
20841 intel-oneapi-dnnl-devel            	       0        2        0        0        2
20842 intel-oneapi-dnnl-devel-2024.0     	       0        1        0        1        0
20843 intel-oneapi-dnnl-devel-2024.1     	       0        1        0        1        0
20844 intel-oneapi-dnnl-devel-2025.0     	       0        1        0        1        0
20845 intel-oneapi-dpcpp-cpp-2024.0      	       0        1        0        1        0
20846 intel-oneapi-dpcpp-cpp-2024.1      	       0        1        0        1        0
20847 intel-oneapi-dpcpp-cpp-2025.0      	       0        1        0        1        0
20848 intel-oneapi-dpcpp-ct              	       0        2        0        0        2
20849 intel-oneapi-dpcpp-ct-2024.0       	       0        1        0        1        0
20850 intel-oneapi-dpcpp-ct-2024.1       	       0        1        0        1        0
20851 intel-oneapi-dpcpp-ct-2025.0       	       0        1        0        1        0
20852 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.0	       0        1        0        0        1
20853 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.1	       0        1        0        0        1
20854 intel-oneapi-dpcpp-ct-eclipse-cfg-2025.0	       0        1        0        0        1
20855 intel-oneapi-dpcpp-debugger-2024.0 	       0        1        0        1        0
20856 intel-oneapi-dpcpp-debugger-2024.1 	       0        1        0        1        0
20857 intel-oneapi-dpcpp-debugger-2025.0 	       0        1        0        1        0
20858 intel-oneapi-icc-eclipse-plugin-cpp-2024.0	       0        1        0        0        1
20859 intel-oneapi-icc-eclipse-plugin-cpp-2024.1	       0        1        0        0        1
20860 intel-oneapi-icc-eclipse-plugin-cpp-2025.0	       0        1        0        0        1
20861 intel-oneapi-inspector             	       0        1        0        1        0
20862 intel-oneapi-ipp-2021.10           	       0        1        0        0        1
20863 intel-oneapi-ipp-2021.11           	       0        1        0        0        1
20864 intel-oneapi-ipp-2022.0            	       0        1        0        0        1
20865 intel-oneapi-ipp-common-2021.10    	       0        1        0        0        1
20866 intel-oneapi-ipp-common-2021.11    	       0        1        0        0        1
20867 intel-oneapi-ipp-common-devel-2021.10	       0        1        0        1        0
20868 intel-oneapi-ipp-common-devel-2021.11	       0        1        0        1        0
20869 intel-oneapi-ipp-devel             	       0        2        0        0        2
20870 intel-oneapi-ipp-devel-2021.10     	       0        1        0        1        0
20871 intel-oneapi-ipp-devel-2021.11     	       0        1        0        1        0
20872 intel-oneapi-ipp-devel-2022.0      	       0        1        0        1        0
20873 intel-oneapi-ippcp-2021.11         	       0        1        0        0        1
20874 intel-oneapi-ippcp-2021.9          	       0        1        0        0        1
20875 intel-oneapi-ippcp-2025.0          	       0        1        0        0        1
20876 intel-oneapi-ippcp-common-2021.11  	       0        1        0        0        1
20877 intel-oneapi-ippcp-common-2021.9   	       0        1        0        0        1
20878 intel-oneapi-ippcp-common-devel-2021.11	       0        1        0        1        0
20879 intel-oneapi-ippcp-common-devel-2021.9	       0        1        0        1        0
20880 intel-oneapi-ippcp-devel           	       0        2        0        0        2
20881 intel-oneapi-ippcp-devel-2021.11   	       0        1        0        1        0
20882 intel-oneapi-ippcp-devel-2021.9    	       0        1        0        1        0
20883 intel-oneapi-ippcp-devel-2025.0    	       0        1        0        1        0
20884 intel-oneapi-itac                  	       0        1        0        0        1
20885 intel-oneapi-itac-2022.0           	       0        1        0        1        0
20886 intel-oneapi-itac-2022.1           	       0        1        0        1        0
20887 intel-oneapi-libdpstd-devel-2022.3 	       0        1        0        1        0
20888 intel-oneapi-libdpstd-devel-2022.5 	       0        1        0        1        0
20889 intel-oneapi-libdpstd-devel-2022.7 	       0        1        0        1        0
20890 intel-oneapi-mkl                   	       0        2        0        0        2
20891 intel-oneapi-mkl-2023.2.0          	       0        1        0        1        0
20892 intel-oneapi-mkl-2024.0            	       0        1        0        0        1
20893 intel-oneapi-mkl-2024.2            	       0        1        0        0        1
20894 intel-oneapi-mkl-2025.0            	       0        1        0        0        1
20895 intel-oneapi-mkl-classic-2024.2    	       0        1        0        0        1
20896 intel-oneapi-mkl-classic-2025.0    	       0        1        0        0        1
20897 intel-oneapi-mkl-classic-devel-2024.1	       0        1        0        0        1
20898 intel-oneapi-mkl-classic-devel-2024.2	       0        1        0        0        1
20899 intel-oneapi-mkl-classic-devel-2025.0	       0        2        0        0        2
20900 intel-oneapi-mkl-classic-include-2024.1	       0        1        0        0        1
20901 intel-oneapi-mkl-classic-include-2024.2	       0        1        0        0        1
20902 intel-oneapi-mkl-classic-include-2025.0	       0        2        0        2        0
20903 intel-oneapi-mkl-classic-include-common-2024.1	       0        1        0        1        0
20904 intel-oneapi-mkl-classic-include-common-2024.2	       0        1        0        1        0
20905 intel-oneapi-mkl-cluster-2024.1    	       0        1        0        0        1
20906 intel-oneapi-mkl-cluster-2024.2    	       0        1        0        0        1
20907 intel-oneapi-mkl-cluster-2025.0    	       0        2        0        0        2
20908 intel-oneapi-mkl-cluster-devel-2024.1	       0        1        0        1        0
20909 intel-oneapi-mkl-cluster-devel-2024.2	       0        1        0        1        0
20910 intel-oneapi-mkl-cluster-devel-2025.0	       0        2        0        2        0
20911 intel-oneapi-mkl-cluster-devel-common-2024.1	       0        1        0        0        1
20912 intel-oneapi-mkl-cluster-devel-common-2024.2	       0        1        0        0        1
20913 intel-oneapi-mkl-common-2023.2.0   	       0        1        0        0        1
20914 intel-oneapi-mkl-common-2024.0     	       0        1        0        0        1
20915 intel-oneapi-mkl-common-devel-2023.2.0	       0        1        0        1        0
20916 intel-oneapi-mkl-common-devel-2024.0	       0        1        0        1        0
20917 intel-oneapi-mkl-core-2024.1       	       0        1        0        0        1
20918 intel-oneapi-mkl-core-2024.2       	       0        1        0        0        1
20919 intel-oneapi-mkl-core-2025.0       	       0        2        0        0        2
20920 intel-oneapi-mkl-core-common-2024.1	       0        1        0        0        1
20921 intel-oneapi-mkl-core-common-2024.2	       0        1        0        0        1
20922 intel-oneapi-mkl-core-devel-2024.1 	       0        1        0        1        0
20923 intel-oneapi-mkl-core-devel-2024.2 	       0        1        0        1        0
20924 intel-oneapi-mkl-core-devel-2025.0 	       0        2        0        2        0
20925 intel-oneapi-mkl-core-devel-common-2024.1	       0        1        0        1        0
20926 intel-oneapi-mkl-core-devel-common-2024.2	       0        1        0        1        0
20927 intel-oneapi-mkl-devel             	       0        4        0        0        4
20928 intel-oneapi-mkl-devel-2023.2.0    	       0        1        0        1        0
20929 intel-oneapi-mkl-devel-2024.0      	       0        1        0        1        0
20930 intel-oneapi-mkl-devel-2024.1      	       0        1        0        0        1
20931 intel-oneapi-mkl-devel-2024.2      	       0        1        0        0        1
20932 intel-oneapi-mkl-devel-2025.0      	       0        2        0        0        2
20933 intel-oneapi-mkl-sycl-2024.1       	       0        1        0        0        1
20934 intel-oneapi-mkl-sycl-2024.2       	       0        1        0        0        1
20935 intel-oneapi-mkl-sycl-2025.0       	       0        2        0        0        2
20936 intel-oneapi-mkl-sycl-blas-2024.1  	       0        1        0        0        1
20937 intel-oneapi-mkl-sycl-blas-2024.2  	       0        1        0        0        1
20938 intel-oneapi-mkl-sycl-blas-2025.0  	       0        2        0        0        2
20939 intel-oneapi-mkl-sycl-data-fitting-2024.1	       0        1        0        0        1
20940 intel-oneapi-mkl-sycl-data-fitting-2024.2	       0        1        0        0        1
20941 intel-oneapi-mkl-sycl-data-fitting-2025.0	       0        2        0        0        2
20942 intel-oneapi-mkl-sycl-devel-2024.1 	       0        1        0        1        0
20943 intel-oneapi-mkl-sycl-devel-2024.2 	       0        1        0        1        0
20944 intel-oneapi-mkl-sycl-devel-2025.0 	       0        2        0        2        0
20945 intel-oneapi-mkl-sycl-devel-common-2024.1	       0        1        0        0        1
20946 intel-oneapi-mkl-sycl-devel-common-2024.2	       0        1        0        0        1
20947 intel-oneapi-mkl-sycl-dft-2024.1   	       0        1        0        0        1
20948 intel-oneapi-mkl-sycl-dft-2024.2   	       0        1        0        0        1
20949 intel-oneapi-mkl-sycl-dft-2025.0   	       0        2        0        0        2
20950 intel-oneapi-mkl-sycl-include-2024.1	       0        1        0        0        1
20951 intel-oneapi-mkl-sycl-include-2024.2	       0        1        0        0        1
20952 intel-oneapi-mkl-sycl-include-2025.0	       0        2        0        0        2
20953 intel-oneapi-mkl-sycl-lapack-2024.1	       0        1        0        0        1
20954 intel-oneapi-mkl-sycl-lapack-2024.2	       0        1        0        0        1
20955 intel-oneapi-mkl-sycl-lapack-2025.0	       0        2        0        0        2
20956 intel-oneapi-mkl-sycl-rng-2024.1   	       0        1        0        0        1
20957 intel-oneapi-mkl-sycl-rng-2024.2   	       0        1        0        0        1
20958 intel-oneapi-mkl-sycl-rng-2025.0   	       0        2        0        0        2
20959 intel-oneapi-mkl-sycl-sparse-2024.1	       0        1        0        0        1
20960 intel-oneapi-mkl-sycl-sparse-2024.2	       0        1        0        0        1
20961 intel-oneapi-mkl-sycl-sparse-2025.0	       0        2        0        0        2
20962 intel-oneapi-mkl-sycl-stats-2024.1 	       0        1        0        0        1
20963 intel-oneapi-mkl-sycl-stats-2024.2 	       0        1        0        0        1
20964 intel-oneapi-mkl-sycl-stats-2025.0 	       0        2        0        0        2
20965 intel-oneapi-mkl-sycl-vm-2024.1    	       0        1        0        0        1
20966 intel-oneapi-mkl-sycl-vm-2024.2    	       0        1        0        0        1
20967 intel-oneapi-mkl-sycl-vm-2025.0    	       0        2        0        0        2
20968 intel-oneapi-mpi-2021.11           	       0        1        0        1        0
20969 intel-oneapi-mpi-2021.12           	       0        1        0        1        0
20970 intel-oneapi-mpi-2021.14           	       0        1        0        1        0
20971 intel-oneapi-mpi-devel             	       0        1        0        0        1
20972 intel-oneapi-mpi-devel-2021.11     	       0        1        0        1        0
20973 intel-oneapi-mpi-devel-2021.12     	       0        1        0        1        0
20974 intel-oneapi-mpi-devel-2021.14     	       0        1        0        1        0
20975 intel-oneapi-openmp-2023.2.0       	       0        1        0        1        0
20976 intel-oneapi-openmp-2024.0         	       0        1        0        1        0
20977 intel-oneapi-openmp-2024.1         	       0        1        0        1        0
20978 intel-oneapi-openmp-2024.2         	       0        2        0        2        0
20979 intel-oneapi-openmp-2025.0         	       0        2        0        2        0
20980 intel-oneapi-openmp-common-2023.2.0	       0        1        0        0        1
20981 intel-oneapi-openmp-common-2024.0  	       0        1        0        0        1
20982 intel-oneapi-openmp-common-2024.1  	       0        1        0        0        1
20983 intel-oneapi-openmp-common-2024.2  	       0        2        0        0        2
20984 intel-oneapi-openmp-common-2025.0  	       0        2        0        0        2
20985 intel-oneapi-runtime-compilers     	       0        1        0        1        0
20986 intel-oneapi-runtime-compilers-2024	       0        1        0        1        0
20987 intel-oneapi-runtime-compilers-common	       0        2        0        2        0
20988 intel-oneapi-runtime-compilers-common-2024	       0        1        0        0        1
20989 intel-oneapi-runtime-dpcpp-cpp     	       0        1        0        1        0
20990 intel-oneapi-runtime-dpcpp-cpp-2024	       0        1        0        0        1
20991 intel-oneapi-runtime-dpcpp-cpp-common	       0        1        0        1        0
20992 intel-oneapi-runtime-dpcpp-cpp-common-2024	       0        1        0        0        1
20993 intel-oneapi-runtime-dpcpp-sycl-core	       0        1        0        0        1
20994 intel-oneapi-runtime-dpcpp-sycl-core-2024	       0        1        0        0        1
20995 intel-oneapi-runtime-dpcpp-sycl-cpu-rt	       0        1        0        0        1
20996 intel-oneapi-runtime-dpcpp-sycl-fpga-emul	       0        1        0        1        0
20997 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu	       0        1        0        1        0
20998 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu-2024	       0        1        0        0        1
20999 intel-oneapi-runtime-dpcpp-sycl-rt 	       0        1        0        0        1
21000 intel-oneapi-runtime-mkl           	       0        2        0        1        1
21001 intel-oneapi-runtime-mkl-2024      	       0        1        0        0        1
21002 intel-oneapi-runtime-mkl-common    	       0        1        0        1        0
21003 intel-oneapi-runtime-mkl-common-2024	       0        1        0        0        1
21004 intel-oneapi-runtime-opencl        	       0        1        0        1        0
21005 intel-oneapi-runtime-opencl-2024   	       0        1        0        1        0
21006 intel-oneapi-runtime-openmp        	       0        1        0        1        0
21007 intel-oneapi-runtime-openmp-2024   	       0        1        0        0        1
21008 intel-oneapi-runtime-openmp-opencl-shared-2024	       0        1        0        0        1
21009 intel-oneapi-runtime-tbb           	       0        1        0        1        0
21010 intel-oneapi-runtime-tbb-2021      	       0        1        0        0        1
21011 intel-oneapi-runtime-tbb-common    	       0        1        0        1        0
21012 intel-oneapi-runtime-tbb-common-2021	       0        1        0        0        1
21013 intel-oneapi-runtime-tcm-1         	       0        1        0        0        1
21014 intel-oneapi-tbb-2021.10.0         	       0        1        0        1        0
21015 intel-oneapi-tbb-2021.11           	       0        1        0        0        1
21016 intel-oneapi-tbb-2021.12           	       0        1        0        0        1
21017 intel-oneapi-tbb-2021.13           	       0        2        0        0        2
21018 intel-oneapi-tbb-2022.0            	       0        2        0        0        2
21019 intel-oneapi-tbb-common-2021.10.0  	       0        1        0        0        1
21020 intel-oneapi-tbb-common-2021.11    	       0        1        0        0        1
21021 intel-oneapi-tbb-common-2021.12    	       0        1        0        0        1
21022 intel-oneapi-tbb-common-2021.13    	       0        2        0        0        2
21023 intel-oneapi-tbb-common-devel-2021.11	       0        1        0        1        0
21024 intel-oneapi-tbb-common-devel-2021.12	       0        1        0        1        0
21025 intel-oneapi-tbb-common-devel-2021.13	       0        1        0        1        0
21026 intel-oneapi-tbb-devel             	       0        2        0        0        2
21027 intel-oneapi-tbb-devel-2021.11     	       0        1        0        1        0
21028 intel-oneapi-tbb-devel-2021.12     	       0        1        0        1        0
21029 intel-oneapi-tbb-devel-2021.13     	       0        1        0        1        0
21030 intel-oneapi-tbb-devel-2022.0      	       0        1        0        1        0
21031 intel-oneapi-tcm-1.0               	       0        1        0        0        1
21032 intel-oneapi-tcm-1.1               	       0        2        0        0        2
21033 intel-oneapi-tcm-1.2               	       0        2        0        0        2
21034 intel-oneapi-tlt                   	       0        2        0        0        2
21035 intel-oneapi-tlt-2024.0            	       0        1        0        0        1
21036 intel-oneapi-tlt-2024.1            	       0        1        0        0        1
21037 intel-oneapi-tlt-2025.0            	       0        1        0        0        1
21038 intel-oneapi-umf-0.9               	       0        2        0        2        0
21039 intel-oneapi-vtune                 	       0        3        0        3        0
21040 intel-opencl                       	       0        1        0        1        0
21041 intel-opencl-icd                   	       0       13        0        9        4
21042 intel-openmp-19.1.0-166            	       0        1        0        1        0
21043 intel-psxe-common-2020.0-088       	       0        1        0        1        0
21044 intel-psxe-common-doc-2020         	       0        1        0        0        1
21045 intel-pti-0.10                     	       0        1        0        0        1
21046 intel-pti-dev                      	       0        1        0        0        1
21047 intel-pti-dev-0.10                 	       0        1        0        1        0
21048 intel-tbb-libs-2020.0-166          	       0        1        0        1        0
21049 intel2gas                          	       0        3        0        3        0
21050 inteltool                          	       0        7        0        7        0
21051 interimap                          	       0        1        0        1        0
21052 internet-watchdog                  	       0        1        0        1        0
21053 intltool-debian                    	       0     1287        0        0     1287
21054 intranet-utils-2pir                	       0        1        0        1        0
21055 invada-studio-plugins-lv2          	       0        8        0        8        0
21056 inventor-clients                   	       0        2        0        2        0
21057 inventor-data                      	       0        1        0        0        1
21058 inventor-demo                      	       0        1        0        1        0
21059 inventor-dev                       	       0        2        0        2        0
21060 inventor-doc                       	       0        1        0        0        1
21061 invesalius                         	       0        3        0        3        0
21062 invesalius-bin                     	       0        5        0        5        0
21063 invesalius-bin-dbgsym              	       0        1        0        1        0
21064 invesalius-build-deps              	       0        1        0        0        1
21065 invesalius-examples                	       0        1        0        0        1
21066 io.solarwallet.app                 	       0        1        0        1        0
21067 iodbc                              	       0        3        0        3        0
21068 iog                                	       0        1        0        1        0
21069 iogerman                           	       0       16        0       16        0
21070 ion2                               	       0        1        0        1        0
21071 ionit                              	       0        2        0        2        0
21072 ioping                             	       0       13        0       13        0
21073 ioport                             	       0        1        0        1        0
21074 iotop-c                            	       0       49        1       48        0
21075 iozone3                            	       0       15        1       14        0
21076 ipcalc-ng                          	       0       17        0       17        0
21077 ipchains                           	       0        1        0        1        0
21078 ipe                                	       0       18        0       18        0
21079 ipe5toxml                          	       0        4        0        4        0
21080 ipfs-cid                           	       0        3        0        3        0
21081 ipfs-desktop                       	       0        3        0        0        3
21082 ipheth-utils                       	       0       11        1       10        0
21083 ipig                               	       0        1        0        1        0
21084 ipip                               	       0        1        0        1        0
21085 ipmiutil                           	       0        9        0        9        0
21086 ipol-tools                         	       0        1        0        1        0
21087 iportuguese                        	       0        6        0        6        0
21088 ipp-linux                          	       0        2        0        0        2
21089 ippl                               	       0        1        0        1        0
21090 ipppd                              	       0        3        0        3        0
21091 ippsample                          	       0        2        0        2        0
21092 ippsample-data                     	       0        2        0        0        2
21093 ippusbxd                           	       0        1        0        1        0
21094 ipqalc                             	       0        3        0        3        0
21095 iprange                            	       0       28        3       25        0
21096 iproute                            	       0      129        0        0      129
21097 iproute-dev                        	       0        1        0        1        0
21098 iproute-doc                        	       0        4        0        0        4
21099 iproute2-doc                       	       0       22        0        0       22
21100 ips                                	       0        5        0        5        0
21101 ipsec-tools                        	       0        7        1        6        0
21102 ipset-persistent                   	       0        4        0        3        1
21103 ipsvd                              	       0       10        0       10        0
21104 iptables-converter                 	       0        5        0        5        0
21105 iptables-converter-doc             	       0        1        0        0        1
21106 iptables-dev                       	       0        3        0        0        3
21107 iptables-netflow-dkms              	       0        2        1        1        0
21108 iptotal                            	       0        3        0        3        0
21109 iptraf                             	       0       61        0        4       57
21110 iptraf-ng                          	       0      151        3      148        0
21111 iptstate                           	       0       16        1       15        0
21112 iptux                              	       0        4        0        4        0
21113 iptvnator                          	       0        4        0        0        4
21114 iputils-arping                     	       0       81        3       78        0
21115 iputils-clockdiff                  	       0        8        0        8        0
21116 iputils-tracepath                  	       0       91        2       89        0
21117 ipv6calc                           	       0       30        0       30        0
21118 ipv6pref                           	       0        2        0        2        0
21119 ipvsadm                            	       0        4        0        4        0
21120 ipwatchd                           	       0        1        0        1        0
21121 ipx                                	       0        1        0        1        0
21122 ipxe                               	       0       13        0       13        0
21123 ipython                            	       0        4        0        4        0
21124 ipython-doc                        	       0        1        0        0        1
21125 ipython3-qtconsole                 	       0        1        0        0        1
21126 iqtree                             	       0        1        0        1        0
21127 ir-keytable                        	       0       12        0       12        0
21128 ir.lv2                             	       0        7        0        7        0
21129 iraf                               	       0       10        0       10        0
21130 iraf-dev                           	       0        5        0        5        0
21131 iraf-fitsutil                      	       0        2        0        2        0
21132 iraf-noao                          	       0       10        0       10        0
21133 iraf-noao-dev                      	       0        5        0        5        0
21134 ircd-hybrid                        	       0        3        1        2        0
21135 ircd-irc2                          	       0        1        0        1        0
21136 irclog2html                        	       0        1        0        1        0
21137 ircp-tray                          	       0        1        0        1        0
21138 irda-utils                         	       0        4        0        4        0
21139 ire                                	       0        1        0        1        0
21140 ire-rotj                           	       0        1        0        1        0
21141 ire-the-flat                       	       0        1        0        1        0
21142 irecovery                          	       0        2        0        2        0
21143 irfanview-bin64                    	       0        3        0        3        0
21144 irfanview-common                   	       0        3        0        3        0
21145 iridium-browser                    	       0        1        0        1        0
21146 iron64                             	       0        2        0        0        2
21147 ironseed                           	       0        3        0        3        0
21148 ironseed-data                      	       0        3        0        0        3
21149 irony                              	       0        1        0        1        0
21150 irony-mode                         	       0        1        0        0        1
21151 irony-server                       	       0        2        0        2        0
21152 irpas                              	       0        1        0        1        0
21153 irsim                              	       0        5        0        5        0
21154 irssi-plugin-otr                   	       0        8        0        0        8
21155 irssi-plugin-robustirc             	       0        1        0        0        1
21156 irssi-plugin-xmpp                  	       0        9        0        0        9
21157 irssi-text                         	       0        1        0        0        1
21158 irstlm                             	       0        2        0        2        0
21159 irtt                               	       0        7        0        7        0
21160 isa-support                        	       0      287        0        0      287
21161 isag                               	       0        8        0        8        0
21162 isapnptools                        	       0        1        0        1        0
21163 isc-dhcp-client-ddns               	       0        6        0        6        0
21164 isc-dhcp-relay                     	       0        2        0        2        0
21165 isc-dhcp-server                    	       0      100       22       78        0
21166 isc-dhcp-server-ldap               	       0        2        0        2        0
21167 isc-kea-common                     	       0        1        0        1        0
21168 isc-kea-dhcp4                      	       0        1        0        1        0
21169 isc-kea-dhcp6                      	       0        1        0        1        0
21170 isc-stork-agent                    	       0        1        0        1        0
21171 isc-stork-server                   	       0        1        0        1        0
21172 iscan                              	       0       15        1       14        0
21173 iscan-data                         	       0       15        0       15        0
21174 iscan-network-nt                   	       0        8        0        8        0
21175 iscan-plugin-gt-f670               	       0        1        0        1        0
21176 iscan-plugin-gt-s600               	       0        1        0        1        0
21177 iscan-plugin-gt-x770               	       0        1        0        1        0
21178 iscan-plugin-perfection-v370       	       0        1        0        1        0
21179 iscan-plugin-perfection-v550       	       0        1        0        1        0
21180 iscsi-scst                         	       0        2        0        2        0
21181 isdnactivecards                    	       0        1        0        1        0
21182 isdnbutton                         	       0        1        0        1        0
21183 isdnlog                            	       0        2        0        2        0
21184 isdnlog-data                       	       0        4        0        0        4
21185 isdnutils-base                     	       0        5        0        5        0
21186 isdnutils-doc                      	       0        1        0        0        1
21187 isdnutils-xtools                   	       0        1        0        1        0
21188 isdnvboxclient                     	       0        4        1        3        0
21189 isdnvboxserver                     	       0        1        0        1        0
21190 iselect                            	       0        8        0        8        0
21191 isenkram                           	       0        7        1        6        0
21192 isight-firmware-tools              	       0        1        0        1        0
21193 islamic-menus                      	       0        2        0        0        2
21194 ismrmrd-schema                     	       0        2        0        0        2
21195 ismrmrd-tools                      	       0        2        0        2        0
21196 iso-flag-png                       	       0        1        0        0        1
21197 iso-flags-png-320x240              	       0      290        0        0      290
21198 iso-flags-svg                      	       0        5        0        0        5
21199 isochron                           	       0        2        0        2        0
21200 isomaster                          	       0       22        0       22        0
21201 isomd5sum                          	       0        5        0        5        0
21202 isoquery                           	       0       17        0       17        0
21203 isort                              	       0        5        0        5        0
21204 isrcsubmit                         	       0        8        0        8        0
21205 istgt                              	       0        2        0        2        0
21206 iswedish                           	       0        6        0        6        0
21207 itamae                             	       0        1        0        1        0
21208 itcl3                              	       0       35        0        0       35
21209 itcl3-dev                          	       0        3        0        3        0
21210 itcl3-doc                          	       0        4        0        0        4
21211 itcl3.1                            	       0        1        0        1        0
21212 itk3                               	       0       20        0        0       20
21213 itk3-dev                           	       0        2        0        2        0
21214 itk3-doc                           	       0        4        0        0        4
21215 itk3.1                             	       0        1        0        1        0
21216 itksnap                            	       0        1        0        1        0
21217 itools                             	       0        4        0        4        0
21218 itop                               	       0        8        0        8        0
21219 itrans-fonts                       	       0        2        0        0        2
21220 itstool                            	       0       28        1       27        0
21221 iukrainian                         	       0        6        0        6        0
21222 iverilog                           	       0       14        0       14        0
21223 ivpn                               	       0        1        0        1        0
21224 ivritex                            	       0        1        0        0        1
21225 ivtools-bin                        	       0        3        0        3        0
21226 ivy                                	       0       82        0        0       82
21227 ivy-debian-helper                  	       0        1        0        1        0
21228 ivy-doc                            	       0        4        0        0        4
21229 iwatch                             	       0        8        1        7        0
21230 iwd                                	       0       37        6       31        0
21231 iwgtk                              	       0        9        0        9        0
21232 iwidgets3.1                        	       0        1        0        0        1
21233 iwidgets4                          	       0       19        0        0       19
21234 iwidgets4-doc                      	       0        4        0        0        4
21235 ixo-usb-jtag                       	       0        6        0        0        6
21236 j2cli                              	       0        1        0        1        0
21237 jaaa                               	       0       15        0       15        0
21238 jabber-muc                         	       0        1        0        1        0
21239 jabber-querybot                    	       0        1        0        1        0
21240 jabberd2                           	       0        2        0        2        0
21241 jack                               	       0        6        0        6        0
21242 jack-capture                       	       0       31        0       31        0
21243 jack-delay                         	       0        1        0        1        0
21244 jack-example-tools                 	       0        8        2        6        0
21245 jack-keyboard                      	       0        9        0        9        0
21246 jack-midi-clock                    	       0       11        0       11        0
21247 jack-mixer                         	       0        5        0        5        0
21248 jack-stdio                         	       0       12        0       12        0
21249 jackass                            	       0        1        0        1        0
21250 jackd                              	       0      162        0        0      162
21251 jackd1                             	       0       15        0       15        0
21252 jackd1-firewire                    	       0       12        0        0       12
21253 jackd2-firewire                    	       0      149        0        1      148
21254 jackeq                             	       0        6        0        6        0
21255 jackmeter                          	       0       16        0       16        0
21256 jacksum                            	       0        5        0        5        0
21257 jacktrip                           	       0        8        0        8        0
21258 jacktrip-gui                       	       0        2        0        2        0
21259 jade                               	       0        1        0        1        0
21260 jadetex                            	       0       22        0        2       20
21261 jag                                	       0        6        0        6        0
21262 jag-data                           	       0        1        0        0        1
21263 jailkit                            	       0        8        0        8        0
21264 jalv                               	       0       11        0       11        0
21265 jalview                            	       0        1        0        1        0
21266 jam                                	       0        9        0        9        0
21267 jameica                            	       0        4        1        3        0
21268 jameica-doc                        	       0        1        0        0        1
21269 jameica-h2database                 	       0        4        0        0        4
21270 jami                               	       0       25        1       24        0
21271 jami-all                           	       0        3        0        3        0
21272 jami-daemon                        	       0       25        0       11       14
21273 jamin                              	       0        4        0        4        0
21274 jan                                	       0        2        0        0        2
21275 janino                             	       0       37        0       37        0
21276 janus                              	       0        2        0        2        0
21277 janus-doc                          	       0        3        0        0        3
21278 janus-tools                        	       0        3        0        3        0
21279 japa                               	       0        6        0        6        0
21280 jaranalyzer                        	       0        1        0        1        0
21281 jargon-text                        	       0       11        0        0       11
21282 jarwrapper                         	       0       83        3       80        0
21283 jasmin-sable                       	       0        1        0        1        0
21284 jaspersoftstudio                   	       0        1        0        1        0
21285 jattach                            	       0        1        0        1        0
21286 java-1.8.0-amazon-corretto-jdk     	       0        1        0        1        0
21287 java-11-amazon-corretto-jdk        	       0        1        0        1        0
21288 java-gcj-compat                    	       0        1        0        0        1
21289 java-gcj-compat-headless           	       0        1        0        1        0
21290 java-package                       	       0       13        0       13        0
21291 java-policy                        	       0        1        0        0        1
21292 java-propose-classpath             	       0        5        0        5        0
21293 java2-common                       	       0        1        0        1        0
21294 java2html                          	       0        1        0        1        0
21295 java3ds-fileloader                 	       0        2        0        0        2
21296 javacc5                            	       0        1        0        1        0
21297 javahelp2-doc                      	       0        6        0        0        6
21298 javahelper                         	       0       11        0       11        0
21299 javamorph                          	       0        2        0        2        0
21300 javascript-common                  	       0     3266        0        0     3266
21301 jaxb                               	       0        1        0        1        0
21302 jaxe                               	       0        1        0        1        0
21303 jaxws                              	       0        2        0        2        0
21304 jazip                              	       0        1        0        1        0
21305 jazz2                              	       0        3        0        3        0
21306 jbibtex-base                       	       0        2        0        0        2
21307 jbibtex-bin                        	       0        1        0        1        0
21308 jbig2                              	       0        8        0        8        0
21309 jbig2dec                           	       0        9        0        9        0
21310 jbig2enc                           	       0        2        0        2        0
21311 jbigkit-bin                        	       0        7        0        7        0
21312 jc                                 	       0        6        0        6        0
21313 jc2rec                             	       0        1        0        1        0
21314 jcat                               	       0        1        0        1        0
21315 jchroot                            	       0        1        0        1        0
21316 jclassinfo                         	       0        3        0        3        0
21317 jconvolver                         	       0        7        0        7        0
21318 jconvolver-config-files            	       0        7        0        0        7
21319 jd-gui                             	       0        3        0        0        3
21320 jdk-10                             	       0        1        0        1        0
21321 jdk-11                             	       0        3        0        3        0
21322 jdk-11.0.12                        	       0        2        0        2        0
21323 jdk-11.0.6                         	       0        1        0        1        0
21324 jdk-11.0.8                         	       0        1        0        1        0
21325 jdk-13.0.2                         	       0        1        0        1        0
21326 jdk-14                             	       0        1        0        1        0
21327 jdk-14.0.1                         	       0        1        1        0        0
21328 jdk-14.0.2                         	       0        1        0        1        0
21329 jdk-15                             	       0        1        0        1        0
21330 jdk-15.0.1                         	       0        1        0        1        0
21331 jdk-16                             	       0        2        0        2        0
21332 jdk-16.0.1                         	       0        1        0        1        0
21333 jdk-16.0.2                         	       0        1        0        1        0
21334 jdk-17                             	       0        5        1        4        0
21335 jdk-18                             	       0        2        0        2        0
21336 jdk-20                             	       0        1        0        1        0
21337 jdk-21                             	       0        7        0        7        0
21338 jdk-23                             	       0        3        0        3        0
21339 jdk-9                              	       0        1        0        1        0
21340 jdk1.8                             	       0        1        0        1        0
21341 jdns                               	       0        1        0        1        0
21342 jdresolve                          	       0        1        0        1        0
21343 jed-extra                          	       0        9        0        0        9
21344 jedit                              	       0       10        0       10        0
21345 jeepyb                             	       0        1        0        1        0
21346 jeex                               	       0        8        0        8        0
21347 jekyll                             	       0       10        1        9        0
21348 jekyll-theme-minima                	       0        8        0        0        8
21349 jel-java                           	       0        1        0        0        1
21350 jello                              	       0        1        0        1        0
21351 jellyfin                           	       0        2        0        0        2
21352 jellyfin-apiclient-python          	       0        3        0        3        0
21353 jellyfin-ffmpeg5                   	       0        1        1        0        0
21354 jellyfin-ffmpeg6                   	       0        2        0        2        0
21355 jellyfin-ffmpeg7                   	       0        1        0        1        0
21356 jellyfin-media-player              	       0       10        1        9        0
21357 jellyfin-mpv-shim                  	       0        2        0        2        0
21358 jellyfin-server                    	       0        5        1        4        0
21359 jellyfin-web                       	       0        5        0        0        5
21360 jellyfish                          	       0        1        0        1        0
21361 jellyfish1                         	       0        2        0        2        0
21362 jenkins                            	       0        2        0        1        1
21363 jenkins-buildenv-devuan            	       0        1        0        0        1
21364 jenkins-debian-glue                	       0        4        0        4        0
21365 jenkins-debian-glue-buildenv       	       0        4        0        0        4
21366 jenkins-debian-glue-buildenv-devuan	       0        4        0        0        4
21367 jerry                              	       0        2        0        2        0
21368 jest                               	       0        3        0        3        0
21369 jester                             	       0        3        0        3        0
21370 jetring                            	       0        2        0        2        0
21371 jetty9                             	       0        1        0        1        0
21372 jeuclid-cli                        	       0        4        0        4        0
21373 jeuclid-mathviewer                 	       0        4        0        4        0
21374 jexiftoolgui                       	       0        1        0        1        0
21375 jfp-e16-themes                     	       0        2        0        0        2
21376 jfp-fonts                          	       0        1        0        0        1
21377 jfractionlab                       	       0        5        1        4        0
21378 jfritz                             	       0        1        0        1        0
21379 jgmenu-xfce4-panel-applet          	       0        1        0        0        1
21380 jgraph                             	       0        1        0        1        0
21381 jgrep                              	       0        3        0        3        0
21382 jibri                              	       0        1        0        0        1
21383 jicofo                             	       0        4        0        0        4
21384 jid                                	       0        3        0        3        0
21385 jigasi                             	       0        2        0        2        0
21386 jigdo-file                         	       0       18        1       17        0
21387 jigit                              	       0        4        0        4        0
21388 jigl                               	       0        1        0        1        0
21389 jigsaw-generator                   	       0        1        0        1        0
21390 jigzo                              	       0        8        0        8        0
21391 jigzo-data                         	       0        8        0        0        8
21392 jikes                              	       0        1        0        1        0
21393 jikes-dbgsym                       	       0        1        0        1        0
21394 jimsh                              	       0        4        0        4        0
21395 jing                               	       0        2        0        2        0
21396 jitsi                              	       0        7        0        7        0
21397 jitsi-archive-keyring              	       0        8        0        0        8
21398 jitsi-meet                         	       0        3        0        0        3
21399 jitsi-meet-electron                	       0        2        0        0        2
21400 jitsi-meet-prosody                 	       0        4        0        0        4
21401 jitsi-meet-turnserver              	       0        3        0        0        3
21402 jitsi-meet-web                     	       0        3        0        0        3
21403 jitsi-meet-web-config              	       0        3        0        0        3
21404 jitsi-videobridge2                 	       0        3        0        2        1
21405 jitterentropy-rngd                 	       0        4        1        3        0
21406 jkmeter                            	       0        4        0        4        0
21407 jlang                              	       0        2        0        2        0
21408 jless                              	       0        3        0        3        0
21409 jlint                              	       0        1        0        1        0
21410 jmagick7-docs                      	       0        1        0        0        1
21411 jmathedit                          	       0        1        0        1        0
21412 jmdlx                              	       0        1        0        1        0
21413 jmeter                             	       0        2        0        2        0
21414 jmeter-help                        	       0        2        0        0        2
21415 jmeter-http                        	       0        2        0        2        0
21416 jmeter-java                        	       0        1        0        1        0
21417 jmeters                            	       0       12        0       12        0
21418 jmodeltest                         	       0        1        0        1        0
21419 jmol                               	       0       14        1       13        0
21420 jmol-applet                        	       0        1        0        0        1
21421 jnettop                            	       0       64        1       63        0
21422 jnoise                             	       0        9        0        9        0
21423 jnoisemeter                        	       0       10        0       10        0
21424 jockey-common                      	       0        1        0        1        0
21425 jodconverter                       	       0        2        0        2        0
21426 joe-jupp                           	       0        2        0        2        0
21427 john-data                          	       0       88        0        0       88
21428 joint-state-publisher              	       0        1        0        1        0
21429 joint-state-publisher-gui          	       0        1        0        1        0
21430 jons-wallpaper-1                   	       0        3        0        0        3
21431 jool-dkms                          	       0        1        0        1        0
21432 jool-tools                         	       0        1        0        1        0
21433 joplin                             	       0        1        0        1        0
21434 jose                               	       0        9        0        9        0
21435 jose-util                          	       0        1        0        1        0
21436 josm-l10n                          	       0       12        0        0       12
21437 josm-plugins                       	       0        1        0        0        1
21438 jove                               	       0        4        0        4        0
21439 jovie                              	       0       15        1       14        0
21440 joy2key                            	       0        4        0        4        0
21441 joystick                           	       0       69        1       68        0
21442 jparse                             	       0        3        0        3        0
21443 jpdfbookmarks                      	       0        1        0        1        0
21444 jpdftweak                          	       0        1        0        1        0
21445 jpeg-decomp                        	       0        1        0        1        0
21446 jpeg-recompress                    	       0        1        0        1        0
21447 jpeg-xl-doc                        	       0        2        0        0        2
21448 jpeg2pdf                           	       0        1        0        1        0
21449 jpeginfo                           	       0       22        0       22        0
21450 jpegjudge                          	       0        8        0        8        0
21451 jpegoptim                          	       0       37        1       36        0
21452 jpegpixi                           	       0        4        0        4        0
21453 jpegqs                             	       0        4        0        4        0
21454 jpegquant                          	       0        1        0        1        0
21455 jpegrepair                         	       0        1        0        1        0
21456 jpegsnoop                          	       0        1        0        1        0
21457 jpgcrush                           	       0        1        0        1        0
21458 jpge                               	       0        1        0        1        0
21459 jpilot                             	       0        6        0        6        0
21460 jpilot-plugins                     	       0        6        0        6        0
21461 jpnevulator                        	       0        5        0        5        0
21462 jprofiler                          	       0        1        0        1        0
21463 jquery-alternative-doc             	       0        1        0        0        1
21464 jquery-jplayer-pinkflag            	       0        1        0        0        1
21465 jruby                              	       0        4        1        3        0
21466 jruby-maven-plugins                	       0        1        0        0        1
21467 jruby-openssl                      	       0        1        0        1        0
21468 js2-mode                           	       0        2        0        0        2
21469 js8call                            	       0        8        0        8        0
21470 jsamp                              	       0        1        0        1        0
21471 jscalibrator                       	       0        1        0        1        0
21472 jshon                              	       0        7        0        7        0
21473 jsmath                             	       0        8        0        0        8
21474 jsmath-fonts                       	       0        8        0        0        8
21475 jsmath-fonts-sprite                	       0        3        0        0        3
21476 jsoko                              	       0        1        1        0        0
21477 json-glib-tools                    	       0        4        0        4        0
21478 json2file-go                       	       0        1        0        1        0
21479 jsonnet                            	       0        1        0        1        0
21480 jstest-gtk                         	       0       36        0       36        0
21481 jsvc                               	       0       14        2       12        0
21482 jsxcompressor                      	       0        3        0        3        0
21483 jsxgraph                           	       0        3        0        3        0
21484 jta                                	       0        1        0        0        1
21485 jtb                                	       0        3        1        2        0
21486 jtdx                               	       0        6        0        6        0
21487 jtreg                              	       0        1        0        1        0
21488 juce-modules-source                	       0        2        0        0        2
21489 juce-modules-source-data           	       0        4        0        4        0
21490 juce-opl-lv2                       	       0        2        0        2        0
21491 juce-tools                         	       0        3        0        3        0
21492 juced-plugins-lv2                  	       0        1        0        1        0
21493 juffed                             	       0        8        0        8        0
21494 juffed-plugins                     	       0        8        0        0        8
21495 julia                              	       0       17        0       17        0
21496 julia-common                       	       0       18        0       17        1
21497 julia-doc                          	       0        8        0        0        8
21498 jumpnbump                          	       0        5        0        5        0
21499 jumpnbump-levels                   	       0        4        0        0        4
21500 junior-art                         	       0        1        0        0        1
21501 junior-config                      	       0       10        0       10        0
21502 junior-doc                         	       0        3        0        0        3
21503 junior-education                   	       0        2        0        0        2
21504 junior-games-adventure             	       0        1        0        0        1
21505 junior-games-arcade                	       0        2        0        0        2
21506 junior-games-card                  	       0        2        0        0        2
21507 junior-games-gl                    	       0        2        0        0        2
21508 junior-games-net                   	       0        1        0        0        1
21509 junior-games-sim                   	       0        1        0        0        1
21510 junior-games-text                  	       0        2        0        0        2
21511 junior-internet                    	       0        2        0        0        2
21512 junior-math                        	       0        3        0        0        3
21513 junior-system                      	       0        2        0        0        2
21514 junior-tasks                       	       0       10        0        0       10
21515 junior-toys                        	       0        1        0        0        1
21516 junior-typing                      	       0        4        0        0        4
21517 junior-video                       	       0        2        0        0        2
21518 junior-writing                     	       0        1        0        0        1
21519 junit-doc                          	       0       22        0        0       22
21520 junit4                             	       0      208        0        0      208
21521 junit4-doc                         	       0        6        0        0        6
21522 junit5                             	       0        7        0        0        7
21523 jupp                               	       0        5        0        5        0
21524 jupyter                            	       0       21        0        0       21
21525 jupyter-client                     	       0       29        2       27        0
21526 jupyter-console                    	       0       22        2       20        0
21527 jupyter-core                       	       0       65        1       64        0
21528 jupyter-nbextension-jupyter-js-widgets	       0       52        0        0       52
21529 jupyter-nbformat                   	       0       31        1       30        0
21530 jupyter-notebook                   	       0       53        1       52        0
21531 jupyter-server                     	       0        3        1        2        0
21532 jupyter-sphinx-theme-common        	       0        8        0        0        8
21533 jupyter-sphinx-theme-doc           	       0        1        0        0        1
21534 jupyterhub                         	       0        1        0        1        0
21535 just                               	       0        1        0        1        0
21536 jwm                                	       0       43        2       41        0
21537 jwmkit                             	       0        2        0        2        0
21538 jxl                                	       0        1        0        1        0
21539 jxplorer                           	       0        9        0        9        0
21540 jython-doc                         	       0        8        0        0        8
21541 jzip                               	       0        6        0        6        0
21542 k3b-data                           	       0      301        0        0      301
21543 k3b-data-trinity                   	       0       19        0        0       19
21544 k3b-extrathemes                    	       0       14        0        0       14
21545 k3b-i18n                           	       0      182        0        0      182
21546 k3b-i18n-trinity                   	       0        3        0        0        3
21547 k3b-trinity                        	       0       19        1       18        0
21548 k3bmonkeyaudioplugin               	       0        2        0        2        0
21549 k4dirstat                          	       0       14        0       14        0
21550 k6                                 	       0        1        0        1        0
21551 k9copy-trinity                     	       0        2        0        2        0
21552 k9s                                	       0        1        0        1        0
21553 kaboom                             	       0        1        0        1        0
21554 kaccessible                        	       0       18        4       14        0
21555 kaccounts-integration              	       0       28        2       10       16
21556 kaccounts-providers                	       0      760        0        0      760
21557 kacpimon                           	       0       10        0       10        0
21558 kaddressbook-data                  	       0      389        1        2      386
21559 kadu-common                        	       0        1        0        0        1
21560 kadu-themes                        	       0        1        0        0        1
21561 kaffe                              	       0        1        0        1        0
21562 kaffe-common                       	       0        2        0        2        0
21563 kaffe-pthreads                     	       0        1        0        1        0
21564 kaffeine-mozilla-trinity           	       0        1        0        1        0
21565 kaffeine-trinity                   	       0        8        2        6        0
21566 kaffeine-trinity-dbg               	       0        1        0        1        0
21567 kafkacat                           	       0        3        0        0        3
21568 kagestudio                         	       0        1        0        1        0
21569 kaidan                             	       0        3        0        3        0
21570 kakasi                             	       0        5        0        5        0
21571 kakasi-dic                         	       0        6        0        0        6
21572 kakoune                            	       0        5        0        5        0
21573 kalamine                           	       0        1        0        1        0
21574 kalendar                           	       0        5        0        5        0
21575 kalgebra-common                    	       0       50        0        0       50
21576 kalgebramobile                     	       0        1        0        1        0
21577 kali                               	       0        3        0        3        0
21578 kalign                             	       0        3        0        3        0
21579 kalk                               	       0        1        0        1        0
21580 kalternatives                      	       0        1        0        1        0
21581 kalzium-data                       	       0       56        0        0       56
21582 kalzium-data-trinity               	       0       24        0        0       24
21583 kamera                             	       0      569        1        3      565
21584 kamoso                             	       0       24        0       24        0
21585 kanadic                            	       0        1        0        0        1
21586 kanatest                           	       0        2        0        2        0
21587 kanboard                           	       0        1        0        1        0
21588 kanboard-cli                       	       0        1        0        1        0
21589 kanjidic                           	       0       83        0        0       83
21590 kanjidic-xml                       	       0        1        0        0        1
21591 kanjidraw                          	       0        1        0        1        0
21592 kanjipad                           	       0        4        0        4        0
21593 kannel                             	       0        1        0        1        0
21594 kanotix-archive-keyring            	       0        1        0        0        1
21595 kanotix-keyring                    	       0        1        0        0        1
21596 kanotix-keyrings                   	       0        1        0        0        1
21597 kanotix-menu                       	       0        1        0        0        1
21598 kanshi                             	       0        6        1        5        0
21599 kanyremote                         	       0        2        0        2        0
21600 kapidox                            	       0        2        0        2        0
21601 kappanhang                         	       0        1        0        1        0
21602 kapptemplate-trinity               	       0        1        0        1        0
21603 karbon-trinity                     	       0        4        0        4        0
21604 kasteroids-trinity                 	       0       24        0        0       24
21605 katapult-trinity                   	       0        1        0        1        0
21606 katarakt                           	       0       10        1        9        0
21607 kate-data                          	       0       87        0        0       87
21608 kate5-data                         	       0      587        2       12      573
21609 katepart                           	       0       45        1       44        0
21610 katex                              	       0        3        0        3        0
21611 katomic-trinity                    	       0       24        0        0       24
21612 kawari8                            	       0        3        0        3        0
21613 kazam                              	       0       56        2       54        0
21614 kazehakase                         	       0        1        0        1        0
21615 kazehakase-gecko                   	       0        1        0        1        0
21616 kbabel-trinity                     	       0        1        0        1        0
21617 kbabel-trinity-dev                 	       0        1        0        1        0
21618 kbackgammon-trinity                	       0       24        0        0       24
21619 kbackup                            	       0        8        0        8        0
21620 kball                              	       0        9        0        9        0
21621 kball-data                         	       0        9        0        0        9
21622 kbattleship                        	       0        2        0        0        2
21623 kbattleship-trinity                	       0       24        0        0       24
21624 kbdd                               	       0        2        0        2        0
21625 kbibtex-data                       	       0       14        0        0       14
21626 kbiff-trinity                      	       0        2        0        2        0
21627 kblackbox-trinity                  	       0       24        0        0       24
21628 kbookreader-trinity                	       0        1        0        1        0
21629 kbounce-trinity                    	       0       24        0        0       24
21630 kbstate                            	       0        1        0        1        0
21631 kbtin                              	       0        1        0        1        0
21632 kbugbuster-trinity                 	       0        1        0        1        0
21633 kbuild                             	       0       15        0       15        0
21634 kcachegrind                        	       0       56        0       56        0
21635 kcachegrind-converters             	       0        6        0        6        0
21636 kcat                               	       0        3        0        3        0
21637 kcemu                              	       0        1        0        1        0
21638 kcemu-common                       	       0        1        0        1        0
21639 kchart-trinity                     	       0        3        0        3        0
21640 kchmviewer-trinity                 	       0        2        0        2        0
21641 kcollectd                          	       0        5        0        5        0
21642 kconfig-frontends                  	       0        3        0        3        0
21643 kcontrol-autostart-trinity         	       0        4        0        4        0
21644 kcov                               	       0        1        0        1        0
21645 kcptun                             	       0        1        0        1        0
21646 kcpuload-trinity                   	       0        1        0        1        0
21647 kcron                              	       0        1        0        0        1
21648 kdat                               	       0        1        0        1        0
21649 kdbg-trinity                       	       0        2        0        2        0
21650 kdbusnotification-trinity          	       0        2        0        2        0
21651 kdc2tiff                           	       0        1        0        1        0
21652 kde-base-artwork                   	       0        8        0        0        8
21653 kde-baseapps                       	       0      508        0        0      508
21654 kde-baseapps-bin                   	       0       14        1       13        0
21655 kde-baseapps-data                  	       0       20        0        0       20
21656 kde-config-baloo-advanced          	       0        1        0        1        0
21657 kde-config-cddb                    	       0       71        0        3       68
21658 kde-config-fcitx                   	       0        4        0        4        0
21659 kde-config-fcitx5                  	       0        7        0        5        2
21660 kde-config-flatpak                 	       0       12        0        0       12
21661 kde-config-gtk-style-preview       	       0       34        0        0       34
21662 kde-config-mailtransport           	       0      489        0        0      489
21663 kde-config-mobile-networking       	       0        6        0        0        6
21664 kde-config-phonon-xine             	       0        1        0        1        0
21665 kde-config-plymouth                	       0       12        0       12        0
21666 kde-config-tablet                  	       0       10        1        9        0
21667 kde-config-telepathy-accounts      	       0        5        0        0        5
21668 kde-config-touchpad                	       0        2        0        0        2
21669 kde-full                           	       0       32        0        0       32
21670 kde-games-core-declarative         	       0        3        0        3        0
21671 kde-i18n-cs-trinity                	       0        1        0        0        1
21672 kde-i18n-de                        	       0        1        0        0        1
21673 kde-i18n-de-trinity                	       0        3        0        0        3
21674 kde-i18n-engb-trinity              	       0        1        0        0        1
21675 kde-i18n-es-trinity                	       0        1        0        0        1
21676 kde-i18n-fr-trinity                	       0        1        0        0        1
21677 kde-i18n-pl-trinity                	       0        1        0        0        1
21678 kde-i18n-ru-trinity                	       0        1        0        0        1
21679 kde-i18n-sk-trinity                	       0        1        0        0        1
21680 kde-icons-crystal                  	       0        2        0        0        2
21681 kde-icons-gorilla                  	       0        1        0        0        1
21682 kde-icons-kneu                     	       0        1        0        0        1
21683 kde-icons-korilla                  	       0        1        0        0        1
21684 kde-icons-mono                     	       0       21        0        0       21
21685 kde-icons-noia                     	       0        1        0        0        1
21686 kde-icons-nuovext                  	       0        1        0        0        1
21687 kde-icons-nuvola                   	       0        3        0        0        3
21688 kde-icons-oxygen                   	       0        1        0        0        1
21689 kde-inotify-survey                 	       0        1        0        1        0
21690 kde-l10n-de                        	       0        6        0        1        5
21691 kde-l10n-engb                      	       0        1        0        0        1
21692 kde-l10n-eu                        	       0        1        0        0        1
21693 kde-l10n-fr                        	       0        1        0        0        1
21694 kde-l10n-ru                        	       0        1        0        0        1
21695 kde-plasma-desktop                 	       0      497        0        0      497
21696 kde-plasma-netbook                 	       0        1        0        0        1
21697 kde-runtime                        	       0       42        4       38        0
21698 kde-runtime-data                   	       0       65        1       64        0
21699 kde-standard                       	       0      435        0        0      435
21700 kde-style-breeze-data              	       0       15        0        0       15
21701 kde-style-breeze-qt4               	       0       21        2       19        0
21702 kde-style-breeze-qt5               	       0       13        1        0       12
21703 kde-style-oxygen                   	       0        6        1        2        3
21704 kde-style-oxygen-qt4               	       0        5        0        5        0
21705 kde-style-oxygen-transparent       	       0        1        0        1        0
21706 kde-style-qtcurve                  	       0        3        0        3        0
21707 kde-style-qtcurve-qt4              	       0       23        0        0       23
21708 kde-style-qtcurve-qt5              	       0       67        0        9       58
21709 kde-systemsettings-trinity         	       0        1        0        0        1
21710 kde-telepathy                      	       0        5        0        0        5
21711 kde-telepathy-approver             	       0        5        0        4        1
21712 kde-telepathy-auth-handler         	       0        5        0        0        5
21713 kde-telepathy-call-ui              	       0        5        0        5        0
21714 kde-telepathy-contact-list         	       0        5        0        5        0
21715 kde-telepathy-data                 	       0       10        0        2        8
21716 kde-telepathy-desktop-applets      	       0        6        0        2        4
21717 kde-telepathy-filetransfer-handler 	       0        5        0        0        5
21718 kde-telepathy-integration-module   	       0        5        0        4        1
21719 kde-telepathy-kaccounts            	       0        8        0        4        4
21720 kde-telepathy-kpeople              	       0        8        0        1        7
21721 kde-telepathy-minimal              	       0        5        0        0        5
21722 kde-telepathy-send-file            	       0        7        0        7        0
21723 kde-telepathy-text-ui              	       0        5        0        5        0
21724 kde-thumbnailer-deb                	       0       12        0        0       12
21725 kde-wallpapers                     	       0       14        0        0       14
21726 kde-wallpapers-default             	       0       15        0        0       15
21727 kde-wallpapers-lineartreworked     	       0        1        0        0        1
21728 kde-window-manager                 	       0        6        1        2        3
21729 kde-workspace                      	       0        3        0        0        3
21730 kde-workspace-bin                  	       0        3        1        2        0
21731 kde-workspace-data                 	       0        3        0        0        3
21732 kde-workspace-kgreet-plugins       	       0        5        1        4        0
21733 kde-zeroconf                       	       0       10        0        3        7
21734 kdeaccessibility                   	       0      443        0        0      443
21735 kdeaccessibility-doc-html          	       0        1        0        0        1
21736 kdeaccessibility-trinity-doc-html  	       0        1        0        0        1
21737 kdeaddons-doc-html                 	       0        2        0        0        2
21738 kdeaddons-trinity-doc-html         	       0        1        0        0        1
21739 kdeadmin                           	       0       46        0        0       46
21740 kdeadmin-doc-html                  	       0        1        0        0        1
21741 kdeadmin-trinity-doc-html          	       0        1        0        0        1
21742 kdeartwork                         	       0       12        0        0       12
21743 kdeartwork-dbg                     	       0        1        0        1        0
21744 kdeartwork-emoticons               	       0       15        0        0       15
21745 kdeartwork-style                   	       0        1        0        1        0
21746 kdeartwork-theme-icon              	       0        9        0        0        9
21747 kdeartwork-theme-window            	       0        1        0        1        0
21748 kdeartwork-wallpapers              	       0       14        0        0       14
21749 kdebase                            	       0        1        0        0        1
21750 kdebase-bin                        	       0        2        0        0        2
21751 kdebase-data-trinity               	       0        1        0        0        1
21752 kdebase-doc-html                   	       0        1        0        0        1
21753 kdebase-kio-smb-trinity            	       0        1        0        0        1
21754 kdebase-runtime                    	       0        2        0        0        2
21755 kdebase-trinity-doc-html           	       0        1        0        0        1
21756 kdebugsettings                     	       0        2        0        2        0
21757 kded5-dev                          	       0        2        0        0        2
21758 kdeedu                             	       0       41        0        0       41
21759 kdeedu-data                        	       0       63        0        0       63
21760 kdeedu-doc-html                    	       0        1        0        0        1
21761 kdeedu-kvtml-data                  	       0       58        0        0       58
21762 kdeedu-trinity-doc-html            	       0        1        0        0        1
21763 kdeeject                           	       0        1        0        1        0
21764 kdegames                           	       0       53        0        0       53
21765 kdegames-card-data                 	       0       12        0        0       12
21766 kdegames-card-data-kf5             	       0       88        0        0       88
21767 kdegames-doc-html                  	       0        1        0        0        1
21768 kdegames-mahjongg-data             	       0        6        0        0        6
21769 kdegames-mahjongg-data-kf5         	       0       80        0        0       80
21770 kdegames-mahjongg-data-kf6         	       0        5        0        0        5
21771 kdegraphics                        	       0       41        0        0       41
21772 kdegraphics-doc-html               	       0        1        0        0        1
21773 kdegraphics-mobipocket             	       0        9        0        1        8
21774 kdegraphics-trinity-doc-html       	       0        1        0        0        1
21775 kdelibs                            	       0        1        0        0        1
21776 kdelibs-bin                        	       0       45        3       42        0
21777 kdelibs-data                       	       0        4        0        4        0
21778 kdelibs-data-trinity               	       0        1        0        0        1
21779 kdelibs-trinity                    	       0        1        0        0        1
21780 kdelibs4c2a                        	       0        2        0        2        0
21781 kdelibs4c2a-trinity                	       0        1        0        0        1
21782 kdelibs5-data                      	       0       74        0        0       74
21783 kdelibs5-dev                       	       0        1        0        1        0
21784 kdelibs5-plugins                   	       0       44        4       40        0
21785 kdelirc                            	       0        1        0        0        1
21786 kdemultimedia                      	       0       46        0        0       46
21787 kdemultimedia-doc-html             	       0        1        0        0        1
21788 kdemultimedia-kio-plugins          	       0        2        0        0        2
21789 kdemultimedia-trinity-doc-html     	       0        1        0        0        1
21790 kdenetwork                         	       0       43        0        0       43
21791 kdenetwork-doc-html                	       0        1        0        0        1
21792 kdenetwork-trinity-doc-html        	       0        1        0        0        1
21793 kdenlive-data                      	       0      137        0        1      136
21794 kdepasswd                          	       0       13        1       12        0
21795 kdepim                             	       0       41        0        0       41
21796 kdepim-doc                         	       0        6        0        0        6
21797 kdepim-kresources                  	       0        2        1        1        0
21798 kdepimlibs-data                    	       0       12        0        0       12
21799 kdepimlibs-kio-plugins             	       0        6        1        5        0
21800 kdeplasma-addons                   	       0        2        0        0        2
21801 kdeplasma-applets-xrdesktop        	       0        2        0        0        2
21802 kdeprint                           	       0        1        0        1        0
21803 kdesdk                             	       0       37        0        0       37
21804 kdesdk-dolphin-plugins             	       0        1        0        0        1
21805 kdesdk-kio-plugins                 	       0        2        0        1        1
21806 kdesdk-misc                        	       0        1        0        1        0
21807 kdesdk-scripts                     	       0       44        1       43        0
21808 kdesdk-thumbnailers                	       0       44        0        0       44
21809 kdesignerplugin                    	       0        1        0        0        1
21810 kdesignerplugin-data               	       0        9        0        0        9
21811 kdesudo                            	       0        9        1        8        0
21812 kdesvn                             	       0        7        0        7        0
21813 kdesvn-kio-plugins                 	       0        8        0        1        7
21814 kdetoys                            	       0       42        0        0       42
21815 kdeutils                           	       0       50        0        0       50
21816 kdeutils-doc-html                  	       0        1        0        0        1
21817 kdevdesigner-trinity               	       0        1        0        1        0
21818 kdevelop-l10n                      	       0       15        0        0       15
21819 kdevelop-pg-qt                     	       0        5        0        5        0
21820 kdevelop-php-l10n                  	       0        4        0        0        4
21821 kdevelop-python                    	       0       13        0        0       13
21822 kdevelop-python-data               	       0       13        0        0       13
21823 kdevelop-python-l10n               	       0        6        0        0        6
21824 kdevelop-trinity                   	       0        1        0        0        1
21825 kdevelop510-libs                   	       0       29        0        0       29
21826 kdevelop512-libs                   	       0        4        0        0        4
21827 kdevelop53-libs                    	       0        2        0        0        2
21828 kdevelop56-libs                    	       0       10        0        1        9
21829 kdevelop61-libs                    	       0        4        0        0        4
21830 kdevplatform-l10n                  	       0        1        0        0        1
21831 kdevplatform10-libs                	       0        1        0        1        0
21832 kdewallpapers                      	       0        2        0        0        2
21833 kdewebdev                          	       0       39        0        0       39
21834 kdiff3-doc                         	       0       81        0        0       81
21835 kdiff3-qt                          	       0       10        0        0       10
21836 kdiff3-trinity                     	       0        3        0        3        0
21837 kdiff3-trinity-doc                 	       0        3        0        0        3
21838 kdirstat-trinity                   	       0        3        0        3        0
21839 kdiskmark                          	       0        7        0        7        0
21840 kdm                                	       0        4        1        3        0
21841 kdm-gdmcompat                      	       0        1        0        1        0
21842 kdm-theme-aperture                 	       0        1        0        0        1
21843 kdm-theme-bespin                   	       0        1        0        0        1
21844 kdm-theme-tibanna                  	       0        1        0        0        1
21845 kdocker                            	       0       11        0       11        0
21846 kdoctools                          	       0       44        1       43        0
21847 kdoctools-dev                      	       0        1        0        0        1
21848 kdpkg-trinity                      	       0        1        0        1        0
21849 kdrill                             	       0        1        0        1        0
21850 kdump-tools                        	       0        5        0        5        0
21851 kea                                	       0        3        0        0        3
21852 kea-admin                          	       0        3        0        3        0
21853 kea-common                         	       0        6        0        6        0
21854 kea-ctrl-agent                     	       0        3        0        3        0
21855 kea-dhcp-ddns-server               	       0        3        0        3        0
21856 kea-dhcp4-server                   	       0        6        0        6        0
21857 kea-dhcp6-server                   	       0        4        0        4        0
21858 kea-doc                            	       0        2        0        0        2
21859 kedit                              	       0        1        0        1        0
21860 keep                               	       0        1        0        1        0
21861 keepalived                         	       0        4        0        4        0
21862 keepass2                           	       0       69        1       68        0
21863 keepass2-doc                       	       0        9        0        0        9
21864 keepass2-plugin-keepasshttp        	       0        5        0        5        0
21865 keepassx                           	       0       55        0       13       42
21866 keepassxc-minimal                  	       0        4        0        4        0
21867 keeweb-desktop                     	       0        1        0        1        0
21868 kel-agent                          	       0        3        0        3        0
21869 kelbt                              	       0        1        0        1        0
21870 kenolaba                           	       0        1        0        1        0
21871 kenolaba-trinity                   	       0       23        0        0       23
21872 kephra                             	       0        1        0        1        0
21873 kerio-control-vpnclient            	       0        1        0        1        0
21874 kernel-common                      	       0        9        0        0        9
21875 kernel-image-5.10.0-22-amd64-di    	       0        1        0        0        1
21876 kernel-mft-dkms                    	       0        1        0        1        0
21877 kernel-package                     	       0       20        0       20        0
21878 kernel-patch-nfs-ngroups           	       0        1        0        0        1
21879 kernel-patch-scripts               	       0        1        0        1        0
21880 kernel-wedge                       	       0       33        1       32        0
21881 kerneloops                         	       0        5        0        5        0
21882 kerneloops-applet                  	       0        5        0        5        0
21883 kernelshark                        	       0        6        0        6        0
21884 kerneltop                          	       0        9        0        9        0
21885 ket-keyring                        	       0        1        0        0        1
21886 ketchup                            	       0        1        0        1        0
21887 ketm                               	       0        1        0        1        0
21888 ketm-data                          	       0        1        0        0        1
21889 keurocalc                          	       0        9        0        9        0
21890 keurocalc-data                     	       0       10        0        0       10
21891 kexec-tools                        	       0       19        0       19        0
21892 kexi-data                          	       0       14        0        0       14
21893 kexi-mysql-driver                  	       0        4        0        0        4
21894 kexi-postgresql-driver             	       0        2        0        0        2
21895 kexi-trinity                       	       0        4        0        4        0
21896 kexi-web-form-widget               	       0        5        0        0        5
21897 key-mapper                         	       0        1        0        1        0
21898 key-mon                            	       0        1        0        1        0
21899 key2odp                            	       0        1        0        1        0
21900 keyanalyze                         	       0        1        0        0        1
21901 keybase                            	       0       11        1       10        0
21902 keybinder-3.0-doc                  	       0        2        0        0        2
21903 keybinder-doc                      	       0        1        0        0        1
21904 keyboard-configuration             	       0     4091        0        0     4091
21905 keyboard-leds-trayicons            	       0        5        0        5        0
21906 keyboards-rg                       	       0        1        0        1        0
21907 keyboxd                            	       0        1        0        1        0
21908 keychain                           	       0       40        3       37        0
21909 keydb                              	       0        1        0        0        1
21910 keydb-server                       	       0        1        0        1        0
21911 keydb-tools                        	       0        1        0        1        0
21912 keygen2keylog                      	       0        1        0        1        0
21913 keylaunch                          	       0        3        0        3        0
21914 keymapper                          	       0        5        0        5        0
21915 keynav                             	       0        3        0        3        0
21916 keyringer                          	       0        4        0        4        0
21917 keystone                           	       0        1        0        1        0
21918 keysync                            	       0        1        0        1        0
21919 keytouch-data                      	       0        1        0        0        1
21920 keytouch-editor                    	       0        2        0        2        0
21921 kf5-kdepim-apps-libs-data          	       0      107        0        0      107
21922 kf5-kdepimlibs-kio-plugins         	       0       10        0        0       10
21923 kf5-messagelib-data                	       0      488        3        8      477
21924 kf6-breeze-icon-theme              	       0       53        0        0       53
21925 kf6-breeze-icon-theme-rcc          	       0        2        0        0        2
21926 kfaxview                           	       0        1        0        1        0
21927 kfilereplace                       	       0        2        0        2        0
21928 kfloppy                            	       0        5        0        5        0
21929 kformula-trinity                   	       0        3        0        3        0
21930 kfouleggs                          	       0        1        0        1        0
21931 kfouleggs-trinity                  	       0       23        0        0       23
21932 kfreebsd-source-10.3               	       0        1        0        0        1
21933 kftpgrabber-trinity                	       0        1        0        1        0
21934 kgames                             	       0        9        0        9        0
21935 kgamma                             	       0       22        0        0       22
21936 kgamma5                            	       0      520        0        2      518
21937 kgb                                	       0        5        0        5        0
21938 kgendesignerplugin                 	       0        8        0        0        8
21939 kgeography-data                    	       0       55        0        0       55
21940 kgeography-data-trinity            	       0       24        0        0       24
21941 kget-doc                           	       0        8        0        0        8
21942 kghostview                         	       0        1        0        1        0
21943 kgoldrunner-trinity                	       0       23        0        0       23
21944 kgpg                               	       0       66        4       62        0
21945 kgrapheditor                       	       0        1        0        1        0
21946 khal-doc                           	       0        3        0        0        3
21947 khelpcenter-data                   	       0       17        0        0       17
21948 khelpcenter4                       	       0        5        1        1        3
21949 khmer                              	       0        1        0        1        0
21950 khmer-common                       	       0        2        0        0        2
21951 khmerconverter                     	       0        2        0        2        0
21952 khronos-api                        	       0        6        0        6        0
21953 kiauto                             	       0        1        0        1        0
21954 kibot                              	       0        1        0        1        0
21955 kicad-common                       	       0       10        0        0       10
21956 kicad-demos                        	       0      107        0        0      107
21957 kicad-doc-ca                       	       0        1        0        0        1
21958 kicad-doc-de                       	       0       17        0        0       17
21959 kicad-doc-en                       	       0       41        0        0       41
21960 kicad-doc-es                       	       0        7        0        0        7
21961 kicad-doc-fr                       	       0        5        0        0        5
21962 kicad-doc-id                       	       0        2        0        0        2
21963 kicad-doc-it                       	       0        4        0        0        4
21964 kicad-doc-ja                       	       0        1        0        0        1
21965 kicad-doc-nl                       	       0        2        0        0        2
21966 kicad-doc-pl                       	       0        1        0        0        1
21967 kicad-doc-ru                       	       0        8        0        0        8
21968 kicad-doc-zh                       	       0        4        0        0        4
21969 kicad-footprints                   	       0      116        0        0      116
21970 kicad-libraries                    	       0      113        0        0      113
21971 kicad-packages3d                   	       0       48        0        0       48
21972 kicad-symbols                      	       0      116        0        0      116
21973 kicad-templates                    	       0      114        0        0      114
21974 kickpass                           	       0        1        0        1        0
21975 kiki                               	       0        1        0        1        0
21976 kiki-the-nano-bot                  	       0        3        0        3        0
21977 kiki-the-nano-bot-data             	       0        3        0        0        3
21978 kildclient                         	       0        4        0        4        0
21979 kildclient-doc                     	       0        2        0        0        2
21980 kile-doc                           	       0        5        0        0        5
21981 kile-i18n-de                       	       0        1        0        0        1
21982 kile-l10n                          	       0        6        0        0        6
21983 kile-trinity                       	       0        2        0        2        0
21984 kile-trinity-i18n-pl               	       0        1        0        0        1
21985 killswitch                         	       0        1        1        0        0
21986 kilo                               	       0        1        0        1        0
21987 kima-trinity                       	       0        1        0        1        0
21988 kimwitu                            	       0        1        0        1        0
21989 kimwitu-doc                        	       0        1        0        0        1
21990 kindleclip                         	       0        2        0        2        0
21991 kindlecomicconverter               	       0        1        0        1        0
21992 kindletool                         	       0        1        0        1        0
21993 kineticstools                      	       0        1        0        1        0
21994 kineticstools-data                 	       0        1        0        0        1
21995 king                               	       0        2        0        2        0
21996 king-probe                         	       0        1        0        1        0
21997 kinit-dev                          	       0        9        0        0        9
21998 kino                               	       0       10        0       10        0
21999 kinput2-canna                      	       0        2        0        2        0
22000 kinput2-common                     	       0        2        0        0        2
22001 kio-admin                          	       0        8        0        1        7
22002 kio-apt                            	       0        1        0        1        0
22003 kio-audiocd                        	       0       82        0        4       78
22004 kio-dev                            	       0        1        0        0        1
22005 kio-ftps                           	       0        1        0        1        0
22006 kio-gdrive                         	       0       16        2        4       10
22007 kio-gopher                         	       0        4        0        1        3
22008 kio-ldap                           	       0      488        0        0      488
22009 kio-locate                         	       0        1        0        1        0
22010 kio-mtp                            	       0        2        0        2        0
22011 kio-perldoc                        	       0       39        0        0       39
22012 kio-sieve                          	       0        2        0        0        2
22013 kipi-plugins                       	       0        8        0        4        4
22014 kipi-plugins-common                	       0       14        0        0       14
22015 kipi-plugins-trinity               	       0       11        1       10        0
22016 kirigami-addons-data               	       0       68        0        0       68
22017 kirigami-addons-dev                	       0        1        0        0        1
22018 kirigami-addons5-data              	       0       23        0        0       23
22019 kismet                             	       0       12        0       11        1
22020 kismet-adsb-icao-data              	       0        1        0        0        1
22021 kismet-capture-linux-bluetooth     	       0        1        0        1        0
22022 kismet-capture-linux-wifi          	       0        1        0        1        0
22023 kismet-capture-nrf-51822           	       0        1        0        1        0
22024 kismet-capture-nrf-52840           	       0        1        0        1        0
22025 kismet-capture-nrf-mousejack       	       0        1        0        1        0
22026 kismet-capture-nxp-kw41z           	       0        1        0        1        0
22027 kismet-capture-rz-killerbee        	       0        1        0        1        0
22028 kismet-capture-ti-cc-2531          	       0        1        0        1        0
22029 kismet-capture-ti-cc-2540          	       0        1        0        1        0
22030 kismet-capture-ubertooth-one       	       0        1        0        1        0
22031 kismet-core                        	       0        1        0        1        0
22032 kismet-logtools                    	       0        1        0        1        0
22033 kismet-plugins                     	       0        6        0        6        0
22034 kiso                               	       0        1        0        1        0
22035 kissfft-tools                      	       0        1        0        1        0
22036 kitchensync-trinity                	       0        8        0        8        0
22037 kitty-doc                          	       0       64        0        0       64
22038 kitty-terminfo                     	       0       74        0        0       74
22039 kitware-archive-keyring            	       0        1        0        0        1
22040 kivio-data                         	       0        1        0        0        1
22041 kivio-data-trinity                 	       0        4        0        0        4
22042 kivio-trinity                      	       0        4        0        4        0
22043 kiwi                               	       0        2        0        2        0
22044 kiwi-systemdeps                    	       0        1        0        0        1
22045 kiwi-systemdeps-bootloaders        	       0        1        0        0        1
22046 kiwi-systemdeps-containers         	       0        1        0        0        1
22047 kiwi-systemdeps-core               	       0        1        0        0        1
22048 kiwi-systemdeps-disk-images        	       0        1        0        0        1
22049 kiwi-systemdeps-filesystems        	       0        1        0        0        1
22050 kiwi-systemdeps-iso-media          	       0        1        0        0        1
22051 kiwix                              	       0       10        0       10        0
22052 kiwix-lib-git                      	       0        1        0        1        0
22053 kiwix-tools                        	       0        4        0        4        0
22054 kjots                              	       0        3        0        3        0
22055 kjumpingcube-trinity               	       0       23        0        0       23
22056 klangfalter-lv2                    	       0        1        0        1        0
22057 klatexformula                      	       0        5        0        5        0
22058 klatin                             	       0        1        0        1        0
22059 klaus                              	       0        1        0        0        1
22060 klavaro                            	       0       34        2       32        0
22061 klayout                            	       0        3        0        3        0
22062 klettres-data                      	       0       49        0        0       49
22063 klettres-data-trinity              	       0       24        0        0       24
22064 klick                              	       0        7        0        7        0
22065 klickety-trinity                   	       0       23        0        0       23
22066 klines-trinity                     	       0       23        0        0       23
22067 klinkstatus                        	       0        2        0        2        0
22068 klipper                            	       0        3        1        2        0
22069 klog                               	       0        8        0        8        0
22070 klogd                              	       0        2        0        2        0
22071 kluppe                             	       0        2        0        2        0
22072 kma                                	       0        2        0        2        0
22073 kmahjongg-trinity                  	       0       23        0        0       23
22074 kmailtransport-akonadi             	       0      465        2       13      450
22075 kmailtransport-plugins             	       0        7        0        0        7
22076 kmc                                	       0        1        0        1        0
22077 kmer                               	       0        1        0        0        1
22078 kmer-examples                      	       0        1        0        0        1
22079 kmetronome                         	       0       13        0       13        0
22080 kmfl-keyboard-ipa                  	       0        1        0        0        1
22081 kmilo-legacy-trinity               	       0        1        0        1        0
22082 kmines-trinity                     	       0       23        0        0       23
22083 kmix                               	       0       50        5       45        0
22084 kmldonkey                          	       0        1        0        1        0
22085 kmobiletools                       	       0        1        0        1        0
22086 kmod-build-deps                    	       0        1        0        0        1
22087 kmod-dbgsym                        	       0        1        0        1        0
22088 kmod-zfs-5.10.0-18-amd64           	       0        1        0        1        0
22089 kmod-zfs-devel-5.10.0-15-amd64     	       0        1        0        0        1
22090 kmon                               	       0        3        0        3        0
22091 kmplayer                           	       0        7        0        7        0
22092 kmplayer-base-trinity              	       0        2        0        2        0
22093 kmplayer-trinity                   	       0        2        0        2        0
22094 kmrml-trinity                      	       0        9        0        9        0
22095 kmscon                             	       0        2        0        2        0
22096 kmscube                            	       0        4        0        4        0
22097 kmtrace                            	       0        1        0        1        0
22098 kmtrace-trinity                    	       0        1        0        1        0
22099 kmymoney                           	       0        8        0        8        0
22100 kmymoney-common                    	       0        8        0        0        8
22101 kmymoney2-common-trinity           	       0        1        0        0        1
22102 kmymoney2-trinity                  	       0        1        0        1        0
22103 knemo-trinity                      	       0        3        0        3        0
22104 knetload-trinity                   	       0        1        0        1        0
22105 knetwalk-trinity                   	       0       23        0        0       23
22106 knetworkconf                       	       0        1        0        1        0
22107 knews                              	       0        8        0        8        0
22108 knewsticker-scripts-trinity        	       0        1        0        0        1
22109 knmap-trinity                      	       0        1        0        1        0
22110 knnimdenoiser                      	       0        1        0        1        0
22111 knockd                             	       0       14        2       12        0
22112 knocker                            	       0        1        0        1        0
22113 knode                              	       0        1        0        1        0
22114 knot                               	       0        7        3        4        0
22115 knot-dnssecutils                   	       0        1        0        1        0
22116 knot-dnsutils                      	       0       10        0       10        0
22117 knot-doc                           	       0        4        0        0        4
22118 knot-host                          	       0        2        0        2        0
22119 knot-resolver                      	       0        7        2        5        0
22120 knot-resolver-module-http          	       0        5        0        5        0
22121 knutclient-trinity                 	       0        1        0        1        0
22122 knxd                               	       0        1        0        1        0
22123 knxd-tools                         	       0        1        0        1        0
22124 ko.tex-extra-hlfont                	       0        4        0        0        4
22125 kobodeluxe                         	       0       13        0       13        0
22126 kobodeluxe-data                    	       0       13        0       13        0
22127 kodi-addons-dev                    	       0        6        0        6        0
22128 kodi-addons-dev-common             	       0        5        0        5        0
22129 kodi-audiodecoder-fluidsynth       	       0        3        0        0        3
22130 kodi-audiodecoder-modplug          	       0        1        0        0        1
22131 kodi-audiodecoder-openmpt          	       0        3        0        0        3
22132 kodi-audiodecoder-sidplay          	       0        3        0        0        3
22133 kodi-audioencoder-flac             	       0        6        0        0        6
22134 kodi-audioencoder-lame             	       0        7        0        0        7
22135 kodi-audioencoder-vorbis           	       0        7        0        0        7
22136 kodi-audioencoder-wav              	       0        7        0        0        7
22137 kodi-bin                           	       0       84        2       26       56
22138 kodi-eventclients-common           	       0        7        0        2        5
22139 kodi-eventclients-dev              	       0        1        0        0        1
22140 kodi-eventclients-dev-common       	       0        1        0        1        0
22141 kodi-eventclients-kodi-send        	       0        2        0        2        0
22142 kodi-eventclients-ps3              	       0        2        0        2        0
22143 kodi-eventclients-python           	       0        5        0        5        0
22144 kodi-eventclients-wiiremote        	       0        3        0        3        0
22145 kodi-eventclients-zeroconf         	       0        5        0        5        0
22146 kodi-game-libretro                 	       0        2        0        0        2
22147 kodi-imagedecoder-heif             	       0        5        0        0        5
22148 kodi-imagedecoder-raw              	       0        4        0        0        4
22149 kodi-inputstream-adaptive          	       0       18        0        0       18
22150 kodi-inputstream-ffmpegdirect      	       0       15        0        0       15
22151 kodi-inputstream-rtmp              	       0       15        0        0       15
22152 kodi-peripheral-joystick           	       0        4        0        1        3
22153 kodi-peripheral-xarcade            	       0        3        0        3        0
22154 kodi-pvr-argustv                   	       0        2        0        0        2
22155 kodi-pvr-dvblink                   	       0        2        0        0        2
22156 kodi-pvr-dvbviewer                 	       0        2        0        0        2
22157 kodi-pvr-filmon                    	       0        2        0        0        2
22158 kodi-pvr-hdhomerun                 	       0        2        0        0        2
22159 kodi-pvr-hts                       	       0       10        0        1        9
22160 kodi-pvr-iptvsimple                	       0       11        0        0       11
22161 kodi-pvr-mediaportal-tvserver      	       0        2        0        0        2
22162 kodi-pvr-mythtv                    	       0        3        0        0        3
22163 kodi-pvr-nextpvr                   	       0        2        0        0        2
22164 kodi-pvr-njoy                      	       0        2        0        0        2
22165 kodi-pvr-octonet                   	       0        2        0        0        2
22166 kodi-pvr-pctv                      	       0        2        0        0        2
22167 kodi-pvr-sledovanitv-cz            	       0        2        0        0        2
22168 kodi-pvr-stalker                   	       0        2        0        0        2
22169 kodi-pvr-teleboy                   	       0        2        0        0        2
22170 kodi-pvr-vbox                      	       0        1        0        0        1
22171 kodi-pvr-vdr-vnsi                  	       0        3        0        0        3
22172 kodi-pvr-vuplus                    	       0        2        0        0        2
22173 kodi-pvr-waipu                     	       0        2        0        0        2
22174 kodi-pvr-wmc                       	       0        2        0        0        2
22175 kodi-pvr-zattoo                    	       0        2        0        0        2
22176 kodi-repository-kodi               	       0       78        0        0       78
22177 kodi-screensaver-asteroids         	       0        4        0        0        4
22178 kodi-screensaver-biogenesis        	       0        3        0        0        3
22179 kodi-screensaver-greynetic         	       0        3        0        0        3
22180 kodi-screensaver-pingpong          	       0        3        0        0        3
22181 kodi-screensaver-pyro              	       0        4        0        0        4
22182 kodi-screensaver-shadertoy         	       0        3        0        0        3
22183 kodi-skin-confluence               	       0        1        0        0        1
22184 kodi-tools-texturepacker           	       0        1        0        1        0
22185 kodi-vfs-libarchive                	       0        2        0        0        2
22186 kodi-vfs-sftp                      	       0        4        0        1        3
22187 kodi-visualization-fishbmc         	       0        5        0        0        5
22188 kodi-visualization-pictureit       	       0        5        0        0        5
22189 kodi-visualization-shadertoy       	       0        6        0        0        6
22190 kodi-visualization-shadertoy-data  	       0        7        0        0        7
22191 kodi-visualization-spectrum        	       0       74        0        0       74
22192 kodi-visualization-waveform        	       0        5        0        0        5
22193 koffice-data-trinity               	       0        7        0        0        7
22194 koffice-i18n-cs-trinity            	       0        1        0        0        1
22195 koffice-i18n-ru                    	       0        1        0        0        1
22196 koffice-libs-trinity               	       0        7        0        7        0
22197 koffice-trinity                    	       0        3        0        0        3
22198 koffice-trinity-doc-html           	       0        1        0        0        1
22199 koha                               	       0        1        0        1        0
22200 koha-common                        	       0        2        1        1        0
22201 koha-deps                          	       0        1        0        0        1
22202 koha-elasticsearch                 	       0        1        0        0        1
22203 koha-l10n                          	       0        1        0        0        1
22204 koha-perldeps                      	       0        1        0        0        1
22205 kolab                              	       0        1        0        0        1
22206 kolab-cli                          	       0        1        0        1        0
22207 kolab-conf                         	       0        1        0        1        0
22208 kolab-freebusy                     	       0        1        0        1        0
22209 kolab-imap                         	       0        1        0        0        1
22210 kolab-ldap                         	       0        1        0        0        1
22211 kolab-mta                          	       0        1        0        0        1
22212 kolab-saslauthd                    	       0        1        0        1        0
22213 kolab-schema                       	       0        1        0        0        1
22214 kolab-server                       	       0        1        0        1        0
22215 kolab-syncroton                    	       0        1        0        1        0
22216 kolab-webadmin                     	       0        1        0        1        0
22217 kolab-webclient                    	       0        1        0        0        1
22218 kolab-xml                          	       0        1        0        1        0
22219 kolourpaint4                       	       0       20        0        1       19
22220 komi                               	       0        2        0        2        0
22221 kommander                          	       0        2        0        2        0
22222 kompare                            	       0       71        1       70        0
22223 kompozer                           	       0        1        0        1        0
22224 konfont                            	       0        2        0        0        2
22225 kongress                           	       0        1        0        1        0
22226 konqueror-data                     	       0       15        0        0       15
22227 konqueror-doc                      	       0       15        0        0       15
22228 konqueror-nsplugins                	       0       15        1       14        0
22229 konquest-trinity                   	       0       23        0        0       23
22230 konversation                       	       0       30        0       30        0
22231 konversation-data                  	       0       30        0        3       27
22232 konversation-trinity               	       0        2        0        2        0
22233 konwert-filters                    	       0      243        0        0      243
22234 koodo-reader                       	       0        1        0        0        1
22235 kooka                              	       0        1        0        1        0
22236 kookbook                           	       0        7        0        7        0
22237 kooldock-trinity                   	       0        1        0        1        0
22238 kopano-backup                      	       0        1        1        0        0
22239 kopano-client                      	       0        1        1        0        0
22240 kopano-common                      	       0        1        0        1        0
22241 kopano-dagent                      	       0        1        1        0        0
22242 kopano-dagent-pytils               	       0        1        1        0        0
22243 kopano-gateway                     	       0        1        1        0        0
22244 kopano-ical                        	       0        1        1        0        0
22245 kopano-lang                        	       0        1        1        0        0
22246 kopano-monitor                     	       0        1        0        1        0
22247 kopano-python-utils                	       0        1        0        1        0
22248 kopano-search                      	       0        1        1        0        0
22249 kopano-server                      	       0        1        1        0        0
22250 kopano-server-packages             	       0        1        0        0        1
22251 kopano-spooler                     	       0        1        1        0        0
22252 kopano-webapp                      	       0        1        1        0        0
22253 kopano-webapp-plugin-files         	       0        1        1        0        0
22254 kopano-webapp-plugin-filesbackend-owncloud	       0        1        1        0        0
22255 kopete                             	       0       27        1       26        0
22256 kopete-otr-trinity                 	       0        1        0        1        0
22257 kopete-silc-plugin                 	       0        1        0        1        0
22258 kopia                              	       0        2        0        2        0
22259 kopia-ui                           	       0        3        0        0        3
22260 koreader                           	       0        3        1        2        0
22261 koshell-trinity                    	       0        3        0        3        0
22262 koth                               	       0        1        0        1        0
22263 kotlin                             	       0        3        0        3        0
22264 koules                             	       0       12        0       12        0
22265 kpart-webkit                       	       0       14        1       13        0
22266 kpart5-kompare                     	       0       66        0        0       66
22267 kpart6-kompare                     	       0        5        0        0        5
22268 kpartloader                        	       0       39        0       39        0
22269 kpartsplugin                       	       0        1        0        1        0
22270 kpat-trinity                       	       0       23        0        0       23
22271 kpatch                             	       0        1        0        1        0
22272 kpatch-build                       	       0        1        0        1        0
22273 kpatch-dkms                        	       0        1        0        1        0
22274 kpcli                              	       0       10        0       10        0
22275 kpeople-vcard                      	       0      706        0        1      705
22276 kphotoalbum                        	       0        7        0        7        0
22277 kplato-trinity                     	       0        3        0        3        0
22278 kplayer-trinity                    	       0        1        0        1        0
22279 kpogre                             	       0        1        0        1        0
22280 kpoker-trinity                     	       0       23        0        0       23
22281 kppp                               	       0        3        0        3        0
22282 kpresenter-data-trinity            	       0        3        0        0        3
22283 kpresenter-trinity                 	       0        3        0        3        0
22284 kq                                 	       0        1        0        1        0
22285 kq-data                            	       0        1        0        0        1
22286 kqemu-common                       	       0        1        0        0        1
22287 kquickcharts-dev                   	       0       10        0        0       10
22288 kquickimageeditor-dev              	       0        2        0        0        2
22289 kraft                              	       0        6        0        6        0
22290 krakend                            	       0        1        0        1        0
22291 kramdown                           	       0        3        0        3        0
22292 krank                              	       0        3        0        3        0
22293 kraptor                            	       0        4        0        4        0
22294 kraptor-data                       	       0        4        0        0        4
22295 krb5-admin-server                  	       0        8        1        7        0
22296 krb5-config                        	       0       90        0        0       90
22297 krb5-doc                           	       0       21        0        0       21
22298 krb5-gss-samples                   	       0        4        0        4        0
22299 krb5-k5tls                         	       0       10        0        0       10
22300 krb5-kdc                           	       0       13        2       11        0
22301 krb5-kdc-ldap                      	       0        4        0        4        0
22302 krb5-kpropd                        	       0        4        1        3        0
22303 krb5-locales                       	       0     3865        4        6     3855
22304 krb5-otp                           	       0        3        0        3        0
22305 krb5-pkinit                        	       0        6        0        1        5
22306 krb5-strength                      	       0        4        0        4        0
22307 krecorder                          	       0        7        0        7        0
22308 kremotecontrol                     	       0        2        0        2        0
22309 krename-trinity                    	       0        4        0        4        0
22310 kretsim                            	       0        1        0        1        0
22311 kreversi-trinity                   	       0       23        0        0       23
22312 kristall                           	       0        7        1        6        0
22313 krita-data                         	       0      140        0        0      140
22314 krita-gemini                       	       0        1        0        0        1
22315 krita-l10n                         	       0       30        0        0       30
22316 kronometer                         	       0        5        0        5        0
22317 krop                               	       0        7        1        6        0
22318 kross-dev                          	       0        1        0        1        0
22319 krosspython                        	       0        9        0        0        9
22320 krossruby                          	       0        2        0        0        2
22321 krunner-symbols                    	       0        1        0        1        0
22322 krusader-icons-trinity             	       0        1        0        0        1
22323 krusader-trinity                   	       0        6        1        5        0
22324 ksame                              	       0        1        0        0        1
22325 ksame-trinity                      	       0       23        0        0       23
22326 ksaneplugin                        	       0        1        0        1        0
22327 kscd                               	       0        1        0        1        0
22328 kscreenlocker-dev                  	       0        1        0        1        0
22329 kscreensaver                       	       0        3        1        2        0
22330 kse                                	       0        1        0        1        0
22331 ksensors                           	       0        1        0        1        0
22332 ksh                                	       0       73        0       25       48
22333 kshisen-trinity                    	       0       23        0        0       23
22334 ksirtet-trinity                    	       0       23        0        0       23
22335 ksmbd-tools                        	       0        1        0        1        0
22336 ksmiletris-trinity                 	       0       23        0        0       23
22337 ksmtuned                           	       0       10        0       10        0
22338 ksnake-trinity                     	       0       24        0        0       24
22339 ksnapshot                          	       0        9        1        1        7
22340 ksniffer                           	       0        1        0        1        0
22341 ksnip                              	       0       12        2       10        0
22342 ksokoban                           	       0        1        0        1        0
22343 ksokoban-trinity                   	       0       23        0        0       23
22344 kspaceduel-trinity                 	       0       23        0        0       23
22345 ksplash-engine-moodin-trinity      	       0        1        0        1        0
22346 ksplash-theme-bespin               	       0        1        0        0        1
22347 ksplice                            	       0        1        0        1        0
22348 kspread-trinity                    	       0        4        0        4        0
22349 kspy-trinity                       	       0        1        0        1        0
22350 ksquirrel-trinity                  	       0        2        0        2        0
22351 kst                                	       0        3        0        3        0
22352 kst-doc                            	       0        3        0        0        3
22353 kstars-data                        	       0       34        0        0       34
22354 kstars-data-extra-tycho2           	       0        3        0        0        3
22355 kstars-data-trinity                	       0       24        0        0       24
22356 kstreamripper-trinity              	       0        1        0        1        0
22357 ksvg                               	       0        1        0        1        0
22358 ksysguard-data                     	       0      109        0        5      104
22359 ksystemlog                         	       0       53        1       52        0
22360 ksystemlog-trinity                 	       0        1        0        1        0
22361 ksysv                              	       0        1        0        1        0
22362 kterm                              	       0        2        0        2        0
22363 ktexteditor-data                   	       0      683        2       13      668
22364 kthesaurus-trinity                 	       0        3        0        3        0
22365 ktikz                              	       0        4        0        4        0
22366 ktls-utils                         	       0        1        0        1        0
22367 ktnef                              	       0        6        0        4        2
22368 ktoblzcheck                        	       0        2        0        2        0
22369 ktorrent                           	       0       46        0       46        0
22370 ktorrent-data                      	       0       47        0        3       44
22371 ktorrent-trinity                   	       0        3        0        3        0
22372 ktouch-data                        	       0       62        0        0       62
22373 ktron-trinity                      	       0       23        0        0       23
22374 kttsd                              	       0        1        0        0        1
22375 kttsd-contrib-plugins-trinity      	       0        1        0        1        0
22376 ktuberling-data                    	       0       61        0        0       61
22377 ktuberling-trinity                 	       0       23        0        0       23
22378 kubecolor                          	       0        1        0        1        0
22379 kubectx                            	       0        2        0        2        0
22380 kubernetes-client                  	       0        6        0        6        0
22381 kubernetes-split-yaml              	       0        1        0        1        0
22382 kubetail                           	       0        1        0        1        0
22383 kugar-trinity                      	       0        3        0        3        0
22384 kuiviewer-trinity                  	       0        1        0        1        0
22385 kunststoff                         	       0       11        0        0       11
22386 kup-client                         	       0        1        1        0        0
22387 kupfer                             	       0        2        0        2        0
22388 kuser                              	       0        2        0        2        0
22389 kuserfeedback-dev                  	       0        2        0        2        0
22390 kuserfeedback-doc                  	       0      577        0        0      577
22391 kvazaar                            	       0        1        0        1        0
22392 kviewshell                         	       0        1        0        1        0
22393 kvirc                              	       0       13        1       12        0
22394 kvirc-data                         	       0       13        0        0       13
22395 kvirc-data-trinity                 	       0        1        0        1        0
22396 kvirc-modules                      	       0       13        0        0       13
22397 kvirc-trinity                      	       0        1        0        1        0
22398 kvmtool                            	       0        3        0        3        0
22399 kvpnc                              	       0        2        0        2        0
22400 kwalify                            	       0        1        0        1        0
22401 kwayland-data                      	       0     1203        0        0     1203
22402 kwayland-doc                       	       0        1        0        0        1
22403 kwayland5-data                     	       0       70        0        0       70
22404 kwayland6-data                     	       0       27        0        0       27
22405 kweather                           	       0        1        0        1        0
22406 kwin-addons                        	       0       22        0        0       22
22407 kwin-bismuth                       	       0        3        0        3        0
22408 kwin-decoration-oxygen             	       0       51        1        5       45
22409 kwin-effect-xrdesktop              	       0        1        0        0        1
22410 kwin-style-qtcurve                 	       0        4        0        4        0
22411 kwin-wayland-backend-drm           	       0        4        0        1        3
22412 kwin4                              	       0        1        0        1        0
22413 kword-data                         	       0        1        0        0        1
22414 kword-data-trinity                 	       0        4        0        0        4
22415 kword-trinity                      	       0        4        0        4        0
22416 kwstyle                            	       0        4        0        4        0
22417 kxgencert                          	       0        1        0        1        0
22418 kxstudio-default-settings          	       0        1        0        1        0
22419 kxstudio-lv2-extensions            	       0        3        0        3        0
22420 kxstudio-menu                      	       0        1        0        0        1
22421 kxstudio-meta-audio-plugins-vamp   	       0        1        0        0        1
22422 kxstudio-repos                     	       0       13        0        0       13
22423 kxterm                             	       0        1        0        1        0
22424 kylin-nm                           	       0        1        0        1        0
22425 kylin-scanner                      	       0        3        0        3        0
22426 kylin-video                        	       0        9        2        7        0
22427 kyodialog                          	       0        1        0        1        0
22428 kytos-sphinx-theme-common          	       0        2        0        0        2
22429 kyua                               	       0        1        0        1        0
22430 la                                 	       0        1        0        1        0
22431 labelme                            	       0        2        0        2        0
22432 labjack-exodriver                  	       0        1        1        0        0
22433 labjackpython                      	       0        1        1        0        0
22434 labltk                             	       0        1        0        1        0
22435 labplot-data                       	       0        8        0        0        8
22436 labview-2023-community-exe         	       0        1        0        0        1
22437 labview-2023-exe-libs              	       0        1        0        0        1
22438 labview-2023-rte                   	       0        1        0        0        1
22439 labwc                              	       0        2        0        2        0
22440 laby                               	       0       13        2       11        0
22441 lacme                              	       0        1        0        1        0
22442 lact                               	       0        2        0        2        0
22443 ladish                             	       0        5        0        5        0
22444 laditools                          	       0        1        0        1        0
22445 ladspalist                         	       0        6        0        6        0
22446 lagan                              	       0        3        0        3        0
22447 lam-runtime                        	       0        2        0        2        0
22448 lambdahack                         	       0        3        0        3        0
22449 lame-doc                           	       0        7        0        0        7
22450 lame-extras                        	       0        1        0        1        0
22451 laminar                            	       0        1        0        0        1
22452 laminarc                           	       0        1        0        1        0
22453 laminard                           	       0        1        0        1        0
22454 lammps-data                        	       0        1        0        0        1
22455 langford-dkms                      	       0        2        0        2        0
22456 langford-utils                     	       0        3        0        3        0
22457 language-env                       	       0        4        0        4        0
22458 lanshare                           	       0        1        0        1        0
22459 lapce                              	       0        1        0        1        0
22460 larch                              	       0        2        0        2        0
22461 larswm                             	       0        1        0        1        0
22462 lasagne-doc                        	       0        1        0        0        1
22463 last-align                         	       0        2        0        2        0
22464 lastpass-cli                       	       0        1        0        1        0
22465 late                               	       0        3        0        3        0
22466 late-data                          	       0        3        0        0        3
22467 latencytop                         	       0       12        0       12        0
22468 latex-beamer                       	       0        3        0        0        3
22469 latex-cjk-all                      	       0       94        0        0       94
22470 latex-cjk-chinese-arphic-bkai00mp  	       0       96        0        1       95
22471 latex-cjk-chinese-arphic-bsmi00lp  	       0       94        0        0       94
22472 latex-cjk-chinese-arphic-gbsn00lp  	       0       94        0        1       93
22473 latex-cjk-chinese-arphic-gkai00mp  	       0       94        0        0       94
22474 latex-cjk-japanese-wadalab         	       0       99        0        1       98
22475 latex-cjk-korean                   	       0       94        0        0       94
22476 latex-coffee-stains                	       0        1        0        0        1
22477 latex-fonts-arundina               	       0        2        0        0        2
22478 latex-fonts-sipa-arundina          	       0        2        0        0        2
22479 latex-fonts-thai-tlwg              	       0        2        0        0        2
22480 latex-make                         	       0        8        0        0        8
22481 latex-mk                           	       0        9        2        7        0
22482 latex-xcolor                       	       0        6        0        0        6
22483 latex-xft-fonts                    	       0        2        0        0        2
22484 latex209-base                      	       0        4        0        0        4
22485 latex209-bin                       	       0        2        1        1        0
22486 latex209-src                       	       0        2        0        0        2
22487 latex2html                         	       0       32        0       32        0
22488 latex2rtf                          	       0       18        2       16        0
22489 latex2rtf-doc                      	       0        7        0        0        7
22490 latexdraw                          	       0        5        0        5        0
22491 latexila                           	       0        9        0        9        0
22492 latexila-data                      	       0        9        0        0        9
22493 latexml                            	       0       10        2        8        0
22494 latrace                            	       0        1        0        1        0
22495 latte-dock                         	       0       13        1       12        0
22496 launchpad-getkeys                  	       0        1        0        1        0
22497 launchpad-integration              	       0        1        0        0        1
22498 lava-common                        	       0        1        0        1        0
22499 lava-lxc-mocker                    	       0        1        0        1        0
22500 lavacli                            	       0        1        0        1        0
22501 layout                             	       0        1        0        1        0
22502 lazarus                            	       0       28        0        0       28
22503 lazarus-1.6                        	       0        1        0        0        1
22504 lazarus-2.0                        	       0        5        0        0        5
22505 lazarus-2.2                        	       0       20        0        0       20
22506 lazarus-3.0                        	       0        2        0        0        2
22507 lazarus-3.6                        	       0        2        0        0        2
22508 lazarus-3.8                        	       0        2        0        0        2
22509 lazarus-doc                        	       0        5        0        0        5
22510 lazarus-doc-1.6                    	       0        1        0        0        1
22511 lazarus-doc-2.0                    	       0        5        0        0        5
22512 lazarus-doc-2.2                    	       0       20        0        0       20
22513 lazarus-doc-3.0                    	       0        3        0        0        3
22514 lazarus-doc-3.6                    	       0        2        0        0        2
22515 lazarus-doc-3.8                    	       0        2        0        0        2
22516 lazarus-ide                        	       0       35        0        0       35
22517 lazarus-ide-1.2.4                  	       0        1        0        1        0
22518 lazarus-ide-1.6                    	       0        1        0        1        0
22519 lazarus-ide-1.8                    	       0        2        0        2        0
22520 lazarus-ide-2.0                    	       0       12        0       12        0
22521 lazarus-ide-2.2                    	       0       29        0       29        0
22522 lazarus-ide-3.0                    	       0        2        0        2        0
22523 lazarus-ide-3.6                    	       0        4        0        4        0
22524 lazarus-ide-gtk2                   	       0        5        0        0        5
22525 lazarus-ide-gtk2-1.2.4             	       0        1        0        1        0
22526 lazarus-ide-gtk2-1.6               	       0        1        0        1        0
22527 lazarus-ide-gtk2-1.8               	       0        2        0        2        0
22528 lazarus-ide-gtk2-2.0               	       0        7        0        7        0
22529 lazarus-ide-gtk2-2.2               	       0       23        0       23        0
22530 lazarus-ide-gtk2-3.0               	       0        2        0        2        0
22531 lazarus-ide-gtk2-3.6               	       0        4        0        4        0
22532 lazarus-ide-gtk2-3.8               	       0        2        0        2        0
22533 lazarus-ide-qt5                    	       0        9        0        0        9
22534 lazarus-ide-qt5-2.0                	       0        2        0        2        0
22535 lazarus-ide-qt5-2.2                	       0        6        0        6        0
22536 lazarus-ide-qt5-3.0                	       0        1        0        1        0
22537 lazarus-project                    	       0        4        0        4        0
22538 lazarus-src                        	       0        5        0        0        5
22539 lazarus-src-1.2.4                  	       0        1        0        1        0
22540 lazarus-src-1.6                    	       0        1        0        1        0
22541 lazarus-src-1.8                    	       0        2        0        2        0
22542 lazarus-src-2.0                    	       0       11        0       10        1
22543 lazarus-src-2.2                    	       0       28        0       28        0
22544 lazarus-src-3.0                    	       0        2        0        2        0
22545 lazarus-src-3.6                    	       0        4        0        4        0
22546 lazpaint                           	       0        1        0        1        0
22547 lazpaint-gtk2                      	       0        9        0        9        0
22548 lazpaint-qt5                       	       0        5        0        5        0
22549 lazygal                            	       0        2        0        2        0
22550 lbackup                            	       0        4        0        0        4
22551 lbackup-2pir                       	       0        1        0        1        0
22552 lbackup-config-2pir                	       0        1        0        1        0
22553 lbdb                               	       0        5        0        5        0
22554 lboot                              	       0        1        0        1        0
22555 lbreakout2                         	       0       18        0       18        0
22556 lbreakout2-data                    	       0       18        0        0       18
22557 lbreakouthd                        	       0        2        0        2        0
22558 lbreakouthd-data                   	       0        2        0        0        2
22559 lbry                               	       0        3        0        0        3
22560 lbt                                	       0        3        0        3        0
22561 lcab                               	       0        5        0        5        0
22562 lcalc                              	       0       12        0       12        0
22563 lcap                               	       0        1        0        1        0
22564 lcarsde-app-menu                   	       0        1        1        0        0
22565 lcarsde-application-starter        	       0        1        1        0        0
22566 lcarsde-logout                     	       0        1        1        0        0
22567 lcarsde-onboard-theme              	       0        1        0        0        1
22568 lcarswm                            	       0        1        1        0        0
22569 lcd4linux                          	       0        1        1        0        0
22570 lcdproc                            	       0        8        2        6        0
22571 lcdproc-extra-drivers              	       0        7        0        1        6
22572 lcl                                	       0        7        0        0        7
22573 lcl-1.6                            	       0        3        0        0        3
22574 lcl-1.8                            	       0        2        0        0        2
22575 lcl-2.0                            	       0       10        0        0       10
22576 lcl-2.2                            	       0       28        0        0       28
22577 lcl-3.0                            	       0        2        0        0        2
22578 lcl-3.6                            	       0        4        0        0        4
22579 lcl-3.8                            	       0        3        0        0        3
22580 lcl-gtk2                           	       0        3        0        0        3
22581 lcl-gtk2-1.2.4                     	       0        1        0        1        0
22582 lcl-gtk2-1.6                       	       0        3        0        3        0
22583 lcl-gtk2-1.8                       	       0        2        0        2        0
22584 lcl-gtk2-2.0                       	       0       11        0       11        0
22585 lcl-gtk2-2.2                       	       0       29        0       29        0
22586 lcl-gtk2-3.0                       	       0        2        0        2        0
22587 lcl-gtk2-3.6                       	       0        4        0        4        0
22588 lcl-nogui                          	       0        2        0        0        2
22589 lcl-nogui-1.2.4                    	       0        1        0        1        0
22590 lcl-nogui-1.6                      	       0        3        0        3        0
22591 lcl-nogui-1.8                      	       0        2        0        2        0
22592 lcl-nogui-2.0                      	       0       11        0       11        0
22593 lcl-nogui-2.2                      	       0       30        0       30        0
22594 lcl-nogui-3.0                      	       0        2        0        2        0
22595 lcl-nogui-3.6                      	       0        4        0        4        0
22596 lcl-qt5                            	       0       11        0        0       11
22597 lcl-qt5-2.0                        	       0        3        0        3        0
22598 lcl-qt5-2.2                        	       0        9        0        9        0
22599 lcl-qt5-3.0                        	       0        1        0        1        0
22600 lcl-units                          	       0        7        0        0        7
22601 lcl-units-1.2.4                    	       0        1        0        1        0
22602 lcl-units-1.6                      	       0        3        0        3        0
22603 lcl-units-1.8                      	       0        2        0        2        0
22604 lcl-units-2.0                      	       0       11        0       11        0
22605 lcl-units-2.2                      	       0       28        0       28        0
22606 lcl-units-3.0                      	       0        2        0        2        0
22607 lcl-units-3.6                      	       0        4        0        4        0
22608 lcl-utils                          	       0       10        0        0       10
22609 lcl-utils-1.2.4                    	       0        1        0        1        0
22610 lcl-utils-1.6                      	       0        3        0        3        0
22611 lcl-utils-1.8                      	       0        2        0        2        0
22612 lcl-utils-2.0                      	       0       10        0       10        0
22613 lcl-utils-2.2                      	       0       28        0       28        0
22614 lcl-utils-3.0                      	       0        2        0        2        0
22615 lcl-utils-3.6                      	       0        4        0        4        0
22616 lcmaps-basic-interface             	       0        1        0        1        0
22617 lcmaps-globus-interface            	       0        1        0        1        0
22618 lcmaps-openssl-interface           	       0        1        0        1        0
22619 lcomp-dkms                         	       0        2        0        1        1
22620 lcov                               	       0       20        1       19        0
22621 lcxterm                            	       0        1        0        1        0
22622 ld10k1                             	       0        5        0        5        0
22623 ldap-account-manager               	       0        3        0        3        0
22624 ldapscripts                        	       0        8        0        8        0
22625 ldapvi                             	       0        7        0        7        0
22626 ldc                                	       0       13        0       13        0
22627 lde                                	       0        3        0        3        0
22628 ldm                                	       0        4        0        4        0
22629 ldm-server                         	       0        4        0        4        0
22630 ldm-themes                         	       0        1        0        0        1
22631 ldnsutils                          	       0       16        1       15        0
22632 ldp-docbook-dsssl                  	       0        1        0        0        1
22633 ldp-docbook-xsl                    	       0        1        0        0        1
22634 ldraw-mklist                       	       0        1        0        1        0
22635 ldraw-parts                        	       0        2        0        0        2
22636 ldtp                               	       0        1        0        1        0
22637 le                                 	       0        5        0        5        0
22638 leabook                            	       0        1        0        0        1
22639 leaff                              	       0        1        0        1        0
22640 leafnode                           	       0        4        0        4        0
22641 leafpad                            	       0       59        1       58        0
22642 leaktracer                         	       0        1        0        1        0
22643 leap-archive-keyring               	       0       10        0        0       10
22644 leave                              	       0        2        0        2        0
22645 lebiniou                           	       0        3        0        3        0
22646 lebiniou-data                      	       0        3        0        0        3
22647 lecm                               	       0        1        0        1        0
22648 ledger                             	       0       10        1        9        0
22649 ledger-wallets-udev                	       0        2        0        2        0
22650 ledmon                             	       0        7        2        5        0
22651 leela                              	       0        1        0        1        0
22652 leela-zero                         	       0        4        0        4        0
22653 legacylauncher                     	       0        4        0        4        0
22654 legcord                            	       0        1        0        1        0
22655 legit                              	       0        2        0        2        0
22656 lego                               	       0        2        0        2        0
22657 lemon                              	       0        4        0        4        0
22658 lenmus                             	       0        1        0        1        0
22659 lens                               	       0        1        0        1        0
22660 leocad                             	       0        8        0        8        0
22661 lepton-eda                         	       0       11        0       11        0
22662 leptonica-progs                    	       0        3        0        3        0
22663 less-dbgsym                        	       0        1        0        1        0
22664 lesstif2                           	       0       16        0        0       16
22665 lesstif2-dev                       	       0        1        0        1        0
22666 letodms                            	       0        1        0        1        0
22667 letsencrypt                        	       0       10        0        0       10
22668 letsencrypt.sh                     	       0        1        1        0        0
22669 letterize                          	       0        1        0        1        0
22670 levee                              	       0        1        0        1        0
22671 leveldb-doc                        	       0        1        0        0        1
22672 lexicon                            	       0        1        0        1        0
22673 lexmark-network-scan               	       0        1        1        0        0
22674 lexmark-ppd-files-lmado            	       0        1        0        0        1
22675 lfhex                              	       0        2        0        2        0
22676 lfm                                	       0        6        0        6        0
22677 lft                                	       0        7        0        7        0
22678 lg-all                             	       0        1        0        0        1
22679 lg-base                            	       0        1        0        0        1
22680 lg-issue01to08                     	       0        1        0        0        1
22681 lg-issue09                         	       0        1        0        0        1
22682 lg-issue10                         	       0        1        0        0        1
22683 lg-issue100                        	       0        1        0        0        1
22684 lg-issue101                        	       0        1        0        0        1
22685 lg-issue102                        	       0        1        0        0        1
22686 lg-issue103                        	       0        1        0        0        1
22687 lg-issue104                        	       0        1        0        0        1
22688 lg-issue105                        	       0        1        0        0        1
22689 lg-issue106                        	       0        1        0        0        1
22690 lg-issue107                        	       0        1        0        0        1
22691 lg-issue108                        	       0        1        0        0        1
22692 lg-issue109                        	       0        1        0        0        1
22693 lg-issue11                         	       0        1        0        0        1
22694 lg-issue110                        	       0        1        0        0        1
22695 lg-issue111                        	       0        1        0        0        1
22696 lg-issue112                        	       0        1        0        0        1
22697 lg-issue113                        	       0        1        0        0        1
22698 lg-issue12                         	       0        1        0        0        1
22699 lg-issue13                         	       0        1        0        0        1
22700 lg-issue14                         	       0        1        0        0        1
22701 lg-issue15                         	       0        1        0        0        1
22702 lg-issue16                         	       0        1        0        0        1
22703 lg-issue17                         	       0        1        0        0        1
22704 lg-issue18                         	       0        1        0        0        1
22705 lg-issue19                         	       0        1        0        0        1
22706 lg-issue20                         	       0        1        0        0        1
22707 lg-issue21                         	       0        1        0        0        1
22708 lg-issue22                         	       0        1        0        0        1
22709 lg-issue23                         	       0        1        0        0        1
22710 lg-issue24                         	       0        1        0        0        1
22711 lg-issue25                         	       0        1        0        0        1
22712 lg-issue26                         	       0        1        0        0        1
22713 lg-issue27                         	       0        1        0        0        1
22714 lg-issue28                         	       0        1        0        0        1
22715 lg-issue29                         	       0        1        0        0        1
22716 lg-issue30                         	       0        1        0        0        1
22717 lg-issue31                         	       0        1        0        0        1
22718 lg-issue32                         	       0        1        0        0        1
22719 lg-issue33                         	       0        1        0        0        1
22720 lg-issue34                         	       0        1        0        0        1
22721 lg-issue35                         	       0        1        0        0        1
22722 lg-issue36                         	       0        1        0        0        1
22723 lg-issue37                         	       0        1        0        0        1
22724 lg-issue38                         	       0        1        0        0        1
22725 lg-issue39                         	       0        1        0        0        1
22726 lg-issue40                         	       0        1        0        0        1
22727 lg-issue41                         	       0        1        0        0        1
22728 lg-issue42                         	       0        1        0        0        1
22729 lg-issue43                         	       0        1        0        0        1
22730 lg-issue44                         	       0        1        0        0        1
22731 lg-issue45                         	       0        1        0        0        1
22732 lg-issue46                         	       0        1        0        0        1
22733 lg-issue47                         	       0        1        0        0        1
22734 lg-issue48                         	       0        1        0        0        1
22735 lg-issue49                         	       0        1        0        0        1
22736 lg-issue50                         	       0        1        0        0        1
22737 lg-issue51                         	       0        1        0        0        1
22738 lg-issue52                         	       0        1        0        0        1
22739 lg-issue53                         	       0        1        0        0        1
22740 lg-issue54                         	       0        1        0        0        1
22741 lg-issue55                         	       0        1        0        0        1
22742 lg-issue56                         	       0        1        0        0        1
22743 lg-issue57                         	       0        1        0        0        1
22744 lg-issue58                         	       0        1        0        0        1
22745 lg-issue59                         	       0        1        0        0        1
22746 lg-issue60                         	       0        1        0        0        1
22747 lg-issue61                         	       0        1        0        0        1
22748 lg-issue62                         	       0        1        0        0        1
22749 lg-issue63                         	       0        1        0        0        1
22750 lg-issue64                         	       0        1        0        0        1
22751 lg-issue65                         	       0        1        0        0        1
22752 lg-issue66                         	       0        1        0        0        1
22753 lg-issue67                         	       0        1        0        0        1
22754 lg-issue68                         	       0        1        0        0        1
22755 lg-issue69                         	       0        1        0        0        1
22756 lg-issue70                         	       0        1        0        0        1
22757 lg-issue71                         	       0        1        0        0        1
22758 lg-issue72                         	       0        1        0        0        1
22759 lg-issue73                         	       0        1        0        0        1
22760 lg-issue74                         	       0        1        0        0        1
22761 lg-issue75                         	       0        1        0        0        1
22762 lg-issue76                         	       0        1        0        0        1
22763 lg-issue77                         	       0        1        0        0        1
22764 lg-issue78                         	       0        1        0        0        1
22765 lg-issue79                         	       0        1        0        0        1
22766 lg-issue80                         	       0        1        0        0        1
22767 lg-issue81                         	       0        1        0        0        1
22768 lg-issue82                         	       0        1        0        0        1
22769 lg-issue83                         	       0        1        0        0        1
22770 lg-issue84                         	       0        1        0        0        1
22771 lg-issue85                         	       0        1        0        0        1
22772 lg-issue86                         	       0        1        0        0        1
22773 lg-issue87                         	       0        1        0        0        1
22774 lg-issue88                         	       0        1        0        0        1
22775 lg-issue89                         	       0        1        0        0        1
22776 lg-issue90                         	       0        1        0        0        1
22777 lg-issue91                         	       0        1        0        0        1
22778 lg-issue92                         	       0        1        0        0        1
22779 lg-issue93                         	       0        1        0        0        1
22780 lg-issue94                         	       0        1        0        0        1
22781 lg-issue95                         	       0        1        0        0        1
22782 lg-issue96                         	       0        1        0        0        1
22783 lg-issue97                         	       0        1        0        0        1
22784 lg-issue98                         	       0        1        0        0        1
22785 lg-issue99                         	       0        1        0        0        1
22786 lgc-pg                             	       0        6        0        6        0
22787 lgeneral                           	       0        6        0        6        0
22788 lgeneral-data                      	       0        6        0        0        6
22789 lgogdownloader                     	       0        6        0        6        0
22790 lha                                	       0        2        1        1        0
22791 lhs2tex                            	       0        2        0        2        0
22792 lib++dfb-1.7-7                     	       0       13        0        0       13
22793 lib++dfb-1.7-7t64                  	       0        2        0        0        2
22794 lib-utils                          	       0        1        0        0        1
22795 lib-utils2                         	       0        3        0        0        3
22796 lib25519-1                         	       0        1        0        0        1
22797 lib2geom-dev                       	       0        1        0        1        0
22798 lib2geom1.1.0                      	       0        4        0        0        4
22799 lib2geom1.2.0                      	       0      393        0        3      390
22800 lib2geom1.2.0t64                   	       0       47        0        0       47
22801 lib32asan1                         	       0        5        0        0        5
22802 lib32asan3                         	       0        7        0        0        7
22803 lib32asan5                         	       0       18        0        0       18
22804 lib32asan5-x32-cross               	       0        1        0        0        1
22805 lib32asan6                         	       0       91        0        0       91
22806 lib32asan6-ppc64-cross             	       0        1        0        0        1
22807 lib32asan8                         	       0      140        0        0      140
22808 lib32asan8-x32-cross               	       0        4        0        0        4
22809 lib32atomic1                       	       0      187        0        0      187
22810 lib32atomic1-dbgsym                	       0        1        0        1        0
22811 lib32atomic1-ppc64-cross           	       0        1        0        0        1
22812 lib32atomic1-x32-cross             	       0        5        0        0        5
22813 lib32bz2-1.0                       	       0        6        0        0        6
22814 lib32cilkrts5                      	       0        9        0        0        9
22815 lib32cr0                           	       0        1        0        0        1
22816 lib32gcc-10-dev                    	       0       85        0        0       85
22817 lib32gcc-10-dev-ppc64-cross        	       0        1        0        0        1
22818 lib32gcc-11-dev                    	       0        8        0        0        8
22819 lib32gcc-12-dev                    	       0      127        0        0      127
22820 lib32gcc-12-dev-x32-cross          	       0        4        0        0        4
22821 lib32gcc-13-dev                    	       0       19        0        0       19
22822 lib32gcc-14-dev                    	       0       24        0        0       24
22823 lib32gcc-4.9-dev                   	       0        5        0        0        5
22824 lib32gcc-6-dev                     	       0        7        0        0        7
22825 lib32gcc-8-dev                     	       0       10        0        0       10
22826 lib32gcc-8-dev-x32-cross           	       0        1        0        0        1
22827 lib32gcc-9-dev                     	       0        3        0        0        3
22828 lib32gcc-s1                        	       0      535        0        0      535
22829 lib32gcc-s1-dbgsym                 	       0        1        0        1        0
22830 lib32gcc-s1-ppc64-cross            	       0        1        0        0        1
22831 lib32gcc-s1-x32-cross              	       0        4        0        0        4
22832 lib32gcc1                          	       0       38        0        0       38
22833 lib32gcc1-x32-cross                	       0        1        0        0        1
22834 lib32gfortran-10-dev               	       0       13        0        0       13
22835 lib32gfortran-11-dev               	       0        2        0        0        2
22836 lib32gfortran-12-dev               	       0       15        0        0       15
22837 lib32gfortran-12-dev-x32-cross     	       0        1        0        0        1
22838 lib32gfortran-13-dev               	       0        3        0        0        3
22839 lib32gfortran-14-dev               	       0        5        0        0        5
22840 lib32gfortran-4.9-dev              	       0        1        0        0        1
22841 lib32gfortran-6-dev                	       0        1        0        0        1
22842 lib32gfortran-8-dev                	       0        1        0        0        1
22843 lib32gfortran3                     	       0        1        0        0        1
22844 lib32gfortran5                     	       0       22        0        0       22
22845 lib32gfortran5-dbgsym              	       0        1        0        1        0
22846 lib32gfortran5-x32-cross           	       0        1        0        0        1
22847 lib32go-13-dev                     	       0        1        0        0        1
22848 lib32go22                          	       0        1        0        0        1
22849 lib32gomp1                         	       0      185        0        0      185
22850 lib32gomp1-dbgsym                  	       0        1        0        1        0
22851 lib32gomp1-ppc64-cross             	       0        1        0        0        1
22852 lib32gomp1-x32-cross               	       0        5        0        0        5
22853 lib32gphobos-13-dev                	       0        1        0        0        1
22854 lib32gphobos4                      	       0        1        0        0        1
22855 lib32gphobos4-dbgsym               	       0        1        0        1        0
22856 lib32itm1                          	       0      187        0        0      187
22857 lib32itm1-dbgsym                   	       0        1        0        1        0
22858 lib32itm1-ppc64-cross              	       0        1        0        0        1
22859 lib32itm1-x32-cross                	       0        5        0        0        5
22860 lib32mpx2                          	       0       23        0        0       23
22861 lib32ncurses-dev                   	       0       21        0       21        0
22862 lib32ncurses5                      	       0       13        0        0       13
22863 lib32ncurses6                      	       0       22        0        0       22
22864 lib32ncursesw6                     	       0       21        0        0       21
22865 lib32objc-12-dev                   	       0        1        0        0        1
22866 lib32objc-13-dev                   	       0        1        0        0        1
22867 lib32objc-14-dev                   	       0        1        0        0        1
22868 lib32objc-4.9-dev                  	       0        1        0        0        1
22869 lib32objc-6-dev                    	       0        1        0        0        1
22870 lib32objc4                         	       0        5        0        0        5
22871 lib32objc4-dbgsym                  	       0        1        0        1        0
22872 lib32quadmath0                     	       0      187        0        0      187
22873 lib32quadmath0-dbgsym              	       0        1        0        1        0
22874 lib32quadmath0-x32-cross           	       0        5        0        0        5
22875 lib32readline-dev                  	       0       13        0       13        0
22876 lib32readline5                     	       0        1        0        0        1
22877 lib32readline6                     	       0        1        0        0        1
22878 lib32readline7                     	       0        2        0        0        2
22879 lib32readline8                     	       0       12        0        0       12
22880 lib32stdc++-10-dev                 	       0       34        0       34        0
22881 lib32stdc++-10-dev-ppc64-cross     	       0        1        0        0        1
22882 lib32stdc++-11-dev                 	       0        5        0        5        0
22883 lib32stdc++-12-dev-x32-cross       	       0        1        0        0        1
22884 lib32stdc++-13-dev                 	       0        9        0        9        0
22885 lib32stdc++-4.9-dev                	       0        2        0        2        0
22886 lib32stdc++-6-dev                  	       0        1        0        1        0
22887 lib32stdc++-8-dev                  	       0        5        0        5        0
22888 lib32stdc++6                       	       0      563        0        1      562
22889 lib32stdc++6-10-dbg                	       0        1        0        1        0
22890 lib32stdc++6-12-dbg                	       0        1        0        1        0
22891 lib32stdc++6-dbgsym                	       0        1        0        1        0
22892 lib32stdc++6-ppc64-cross           	       0        1        0        0        1
22893 lib32stdc++6-x32-cross             	       0        5        0        0        5
22894 lib32tinfo-dev                     	       0        1        0        1        0
22895 lib32tinfo5                        	       0       15        0        0       15
22896 lib32tinfo6                        	       0       22        0        0       22
22897 lib32ubsan0                        	       0        9        0        0        9
22898 lib32ubsan1                        	       0      177        0        0      177
22899 lib32ubsan1-ppc64-cross            	       0        1        0        0        1
22900 lib32ubsan1-x32-cross              	       0        5        0        0        5
22901 lib32z1                            	       0       89        0        1       88
22902 lib32z1-dev                        	       0       24        0       24        0
22903 lib3ds-1-3                         	       0       31        0        0       31
22904 lib3ds-dev                         	       0        2        0        2        0
22905 lib3mf-dev                         	       0        2        0        2        0
22906 lib3mf-doc                         	       0        1        0        0        1
22907 lib3mf1                            	       0       69        0        4       65
22908 lib3mf1t64                         	       0        9        0        0        9
22909 lib4ti2-0                          	       0        9        0        0        9
22910 lib4ti2-0t64                       	       0        2        0        0        2
22911 lib64asan3                         	       0        1        0        0        1
22912 lib64asan5                         	       0        1        0        0        1
22913 lib64asan5-i386-cross              	       0        1        0        0        1
22914 lib64asan5-x32-cross               	       0        1        0        0        1
22915 lib64asan6                         	       0        2        0        0        2
22916 lib64asan6-i386-cross              	       0        1        0        0        1
22917 lib64asan8                         	       0        1        0        0        1
22918 lib64asan8-i386-cross              	       0        3        0        0        3
22919 lib64asan8-x32-cross               	       0        4        0        0        4
22920 lib64atomic1                       	       0       14        0        0       14
22921 lib64atomic1-i386-cross            	       0        4        0        0        4
22922 lib64atomic1-mips-cross            	       0        2        0        0        2
22923 lib64atomic1-mipsel-cross          	       0        1        0        0        1
22924 lib64atomic1-x32-cross             	       0        5        0        0        5
22925 lib64cilkrts5                      	       0        1        0        0        1
22926 lib64gcc-10-dev                    	       0        2        0        0        2
22927 lib64gcc-11-dev-i386-cross         	       0        1        0        0        1
22928 lib64gcc-12-dev                    	       0        1        0        0        1
22929 lib64gcc-12-dev-i386-cross         	       0        2        0        0        2
22930 lib64gcc-12-dev-mips-cross         	       0        1        0        0        1
22931 lib64gcc-12-dev-mipsel-cross       	       0        1        0        0        1
22932 lib64gcc-12-dev-x32-cross          	       0        4        0        0        4
22933 lib64gcc-14-dev-i386-cross         	       0        1        0        0        1
22934 lib64gcc-4.7-dev                   	       0        1        0        0        1
22935 lib64gcc-6-dev                     	       0        1        0        0        1
22936 lib64gcc-8-dev-i386-cross          	       0        1        0        0        1
22937 lib64gcc-8-dev-x32-cross           	       0        1        0        0        1
22938 lib64gcc-s1                        	       0       13        0        0       13
22939 lib64gcc-s1-i386-cross             	       0        3        0        0        3
22940 lib64gcc-s1-mips-cross             	       0        1        0        0        1
22941 lib64gcc-s1-mipsel-cross           	       0        1        0        0        1
22942 lib64gcc-s1-x32-cross              	       0        4        0        0        4
22943 lib64gcc1                          	       0        3        0        0        3
22944 lib64gcc1-i386-cross               	       0        1        0        0        1
22945 lib64gcc1-x32-cross                	       0        1        0        0        1
22946 lib64gfortran-12-dev-x32-cross     	       0        1        0        0        1
22947 lib64gfortran5-x32-cross           	       0        1        0        0        1
22948 lib64go-11-dev-i386-cross          	       0        1        0        0        1
22949 lib64go19-i386-cross               	       0        1        0        0        1
22950 lib64gomp1                         	       0        4        0        0        4
22951 lib64gomp1-i386-cross              	       0        4        0        0        4
22952 lib64gomp1-mips-cross              	       0        2        0        0        2
22953 lib64gomp1-mipsel-cross            	       0        1        0        0        1
22954 lib64gomp1-x32-cross               	       0        5        0        0        5
22955 lib64itm1                          	       0        4        0        0        4
22956 lib64itm1-i386-cross               	       0        4        0        0        4
22957 lib64itm1-x32-cross                	       0        5        0        0        5
22958 lib64mpx2                          	       0        1        0        0        1
22959 lib64mpx2-i386-cross               	       0        1        0        0        1
22960 lib64ncurses-dev                   	       0        2        0        2        0
22961 lib64ncurses6                      	       0        2        0        0        2
22962 lib64ncursesw6                     	       0        2        0        0        2
22963 lib64quadmath0                     	       0        4        0        0        4
22964 lib64quadmath0-i386-cross          	       0        4        0        0        4
22965 lib64quadmath0-x32-cross           	       0        5        0        0        5
22966 lib64readline-dev                  	       0        2        0        2        0
22967 lib64readline8                     	       0        2        0        0        2
22968 lib64stdc++-12-dev-mips-cross      	       0        1        0        0        1
22969 lib64stdc++-12-dev-mipsel-cross    	       0        1        0        0        1
22970 lib64stdc++-12-dev-x32-cross       	       0        1        0        0        1
22971 lib64stdc++-6-dev                  	       0        1        0        1        0
22972 lib64stdc++6                       	       0       15        0        0       15
22973 lib64stdc++6-i386-cross            	       0        4        0        0        4
22974 lib64stdc++6-mips-cross            	       0        1        0        0        1
22975 lib64stdc++6-mipsel-cross          	       0        1        0        0        1
22976 lib64stdc++6-x32-cross             	       0        5        0        0        5
22977 lib64tinfo6                        	       0        2        0        0        2
22978 lib64ubsan0                        	       0        1        0        0        1
22979 lib64ubsan1                        	       0        2        0        0        2
22980 lib64ubsan1-i386-cross             	       0        4        0        0        4
22981 lib64ubsan1-x32-cross              	       0        5        0        0        5
22982 lib64z1                            	       0        2        0        0        2
22983 lib64z1-dev                        	       0        1        0        1        0
22984 liba52-0.7.4                       	       0     3087        5       15     3067
22985 libaa-bin                          	       0       12        0       12        0
22986 libaa1                             	       0     3404        4       12     3388
22987 libaa1-dev                         	       0       23        0       23        0
22988 libaacplus-dev                     	       0        1        0        1        0
22989 libaacplus2                        	       0       22        0        0       22
22990 libaacs-bin                        	       0        2        0        2        0
22991 libaacs-dev                        	       0       11        0       11        0
22992 libaacs0                           	       0     3285        0        0     3285
22993 libaal-dev                         	       0        1        0        1        0
22994 libabigail-dev                     	       0        1        0        1        0
22995 libabigail0                        	       0        3        0        0        3
22996 libabiword-2.9                     	       0        4        0        0        4
22997 libabiword-3.0                     	       0       95        0        1       94
22998 libabiword-dev                     	       0        1        0        1        0
22999 libabsl20210324                    	       0       12        0        0       12
23000 libabsl20220623t64                 	       0        4        0        0        4
23001 libabsl20240722                    	       0        1        0        0        1
23002 libabw-0.0-0                       	       0        1        0        0        1
23003 libabw-dev                         	       0        1        0        1        0
23004 libabz0                            	       0        1        0        0        1
23005 libacars2                          	       0        1        0        0        1
23006 libaccess-bridge-java              	       0        4        0        0        4
23007 libaccess-bridge-java-jni          	       0        3        0        0        3
23008 libaccessors-perl                  	       0        1        0        1        0
23009 libaccinj64-11.2                   	       0        4        0        0        4
23010 libaccinj64-11.8                   	       0       14        0        0       14
23011 libaccinj64-12.2                   	       0        3        0        0        3
23012 libaccinj64-9.2                    	       0        1        0        0        1
23013 libaccounts-qt-doc                 	       0        1        0        0        1
23014 libaccounts-qt5-dev                	       0        1        0        1        0
23015 libaccounts-qt6-1                  	       0       39        2        2       35
23016 libaccountsservice-dev             	       0        1        0        1        0
23017 libaccountsservice-doc             	       0        2        0        0        2
23018 libace-6.0.3                       	       0        1        0        0        1
23019 libace-6.2.8                       	       0        1        0        0        1
23020 libace-6.3.3                       	       0        1        0        0        1
23021 libace-6.4.5                       	       0        1        0        0        1
23022 libace-6.5.12                      	       0        2        0        0        2
23023 libace-7.0.8                       	       0        4        0        0        4
23024 libace-8.0.1                       	       0        1        0        0        1
23025 libace-dev                         	       0        1        0        1        0
23026 libace-doc                         	       0        1        0        1        0
23027 libace-perl                        	       0        4        0        4        0
23028 libacme-bleach-perl                	       0        2        0        2        0
23029 libacme-brainfck-perl              	       0        2        0        2        0
23030 libacme-constant-perl              	       0        2        0        2        0
23031 libacme-damn-perl                  	       0        6        0        0        6
23032 libacme-eyedrops-perl              	       0        2        0        2        0
23033 libacme-poe-knee-perl              	       0        1        0        1        0
23034 libacpi-dev                        	       0        1        0        1        0
23035 libacpi0                           	       0       25        0        0       25
23036 libacr38u                          	       0        1        0        1        0
23037 libacsccid1                        	       0       12        1       11        0
23038 libactionlib-dev                   	       0        2        0        2        0
23039 libactionlib-msgs-dev              	       0        2        0        2        0
23040 libactionlib1d                     	       0        2        0        0        2
23041 libactivation-java                 	       0      821        0        0      821
23042 libactiverecord-ruby1.9.1          	       0        1        0        0        1
23043 libactivesupport-ruby1.9.1         	       0        1        0        0        1
23044 libad9361-0                        	       0       41        0        0       41
23045 libad9361-dev                      	       0        2        0        2        0
23046 libaddressable-ruby1.9.1           	       0        1        0        0        1
23047 libaddresses0                      	       0        3        0        3        0
23048 libaddressview0                    	       0        3        0        3        0
23049 libadduser-pluginloader-perl       	       0        1        0        1        0
23050 libadios-bin                       	       0        3        0        3        0
23051 libadios-dev                       	       0        3        0        3        0
23052 libadios-examples                  	       0        2        0        2        0
23053 libadios-openmpi-dev               	       0        2        0        0        2
23054 libadmesh1                         	       0        4        0        0        4
23055 libadms0                           	       0        3        0        0        3
23056 libadns1                           	       0       36        0        0       36
23057 libadns1-bin                       	       0        1        0        1        0
23058 libadns1-dev                       	       0        1        0        1        0
23059 libadns1t64                        	       0        5        1        0        4
23060 libadolc2                          	       0        1        0        0        1
23061 libadolc2t64                       	       0        1        0        0        1
23062 libadplug-2.2.1-0                  	       0        5        0        0        5
23063 libadplug-2.2.1-0v5                	       0        7        0        0        7
23064 libadplug-2.3.3-0                  	       0       67       16       15       36
23065 libadplug-dev                      	       0        3        0        3        0
23066 libadplug0c2a                      	       0        1        0        0        1
23067 libadwaita-1-doc                   	       0        1        0        0        1
23068 libadwaita-1-examples              	       0        1        0        1        0
23069 libadwaitaqt-dev                   	       0        2        0        2        0
23070 libadwaitaqt1                      	       0      112        3        7      102
23071 libadwaitaqt6-1                    	       0       19        0        0       19
23072 libadwaitaqt6-dev                  	       0        3        0        3        0
23073 libadwaitaqt6priv1                 	       0       19        0        0       19
23074 libadwaitaqtpriv1                  	       0      112        3        7      102
23075 libaec-tools                       	       0        2        0        2        0
23076 libaec0                            	       0      808        4       11      793
23077 libaether-ant-tasks-java           	       0        1        0        0        1
23078 libaether-java                     	       0        1        0        0        1
23079 libafflib-dev                      	       0        1        0        1        0
23080 libafflib0                         	       0        1        0        0        1
23081 libafflib0t64                      	       0       14        0        0       14
23082 libafflib0v5                       	       0      164        0        0      164
23083 libafs-pag-perl                    	       0        1        0        0        1
23084 libaften0                          	       0       33        0        1       32
23085 libafterburner.fx-java             	       0        1        0        0        1
23086 libafterimage0                     	       0       12        0        0       12
23087 libafterstep1                      	       0       10        0        0       10
23088 libagg-dev                         	       0        6        0        6        0
23089 libagg2                            	       0       22        0        0       22
23090 libagg2-dev                        	       0        2        0        2        0
23091 libagg2t64                         	       0        4        0        0        4
23092 libagress0                         	       0        1        0        0        1
23093 libags-audio3                      	       0        1        0        0        1
23094 libags-audio6t64                   	       0        1        0        0        1
23095 libags-gui3                        	       0        2        0        0        2
23096 libags-gui6t64                     	       0        1        0        0        1
23097 libags3                            	       0        2        0        0        2
23098 libags6t64                         	       0        1        0        0        1
23099 libahp-gt-dev                      	       0        2        0        2        0
23100 libahp-gt1                         	       0        2        0        0        2
23101 libai-decisiontree-perl            	       0        1        0        0        1
23102 libai-fann-perl                    	       0        1        0        0        1
23103 libaiksaurus-1.2-0c2a              	       0       11        0        0       11
23104 libaiksaurus-1.2-data              	       0       12        0        0       12
23105 libaiksaurus-1.2-dev               	       0        3        0        3        0
23106 libaiksaurusgtk-1.2-0c2a           	       0        6        0        0        6
23107 libaiksaurusgtk-1.2-dev            	       0        2        0        2        0
23108 libaio-dev                         	       0       48        0       48        0
23109 libaio1t64                         	       0      142        1        4      137
23110 libairspy-dev                      	       0        2        0        2        0
23111 libairspyhf-dev                    	       0        2        0        2        0
23112 libairspyhf1                       	       0       43        2       40        1
23113 libajantv2-dev                     	       0        1        0        1        0
23114 libakai0                           	       0        8        0        0        8
23115 libakode2-mpeg                     	       0        3        0        0        3
23116 libakonadi-calendar4               	       0        6        0        1        5
23117 libakonadi-contact4                	       0        6        1        5        0
23118 libakonadi-data                    	       0       12        2        0       10
23119 libakonadi-kabc4                   	       0       10        0        0       10
23120 libakonadi-kcal4                   	       0        6        0        0        6
23121 libakonadi-kde4                    	       0        7        1        6        0
23122 libakonadi-kmime4                  	       0        7        0        1        6
23123 libakonadi-notes4                  	       0        5        0        0        5
23124 libakonadicalendar-data            	       0        7        3        0        4
23125 libakonadiprotocolinternals1       	       0        7        0        1        6
23126 libakonadisearch-data              	       0        7        0        0        7
23127 libakonadisearch-plugins           	       0        7        4        2        1
23128 libalac-dev                        	       0        1        0        1        0
23129 libalac0                           	       0        1        0        0        1
23130 libalberta4                        	       0        2        0        0        2
23131 libalberta5                        	       0        1        0        0        1
23132 libaldmb1                          	       0       30        0        0       30
23133 libaldmb1-dev                      	       0        2        0        2        0
23134 libaldmb1t64                       	       0        1        0        0        1
23135 libalglib-dev                      	       0        2        0        2        0
23136 libalglib3.14                      	       0        1        0        0        1
23137 libalglib3.17                      	       0        3        0        0        3
23138 libalglib3.19                      	       0       19        0        0       19
23139 libalglib4.2                       	       0        3        0        0        3
23140 libalgorithm-backoff-perl          	       0        4        0        4        0
23141 libalgorithm-checkdigits-perl      	       0        4        1        3        0
23142 libalgorithm-combinatorics-perl    	       0        5        0        0        5
23143 libalgorithm-dependency-perl       	       0        2        0        2        0
23144 libalgorithm-diff-xs-perl          	       0     2133        0        0     2133
23145 libalgorithm-hyperloglog-perl      	       0        1        0        0        1
23146 libalgorithm-lbfgs-perl            	       0        1        0        0        1
23147 libalgorithm-munkres-perl          	       0        6        0        6        0
23148 libalgorithm-naivebayes-perl       	       0        1        0        1        0
23149 libalgorithm-numerical-sample-perl 	       0        1        0        1        0
23150 libalgorithm-permute-perl          	       0        3        0        0        3
23151 libalgorithm-svm-perl              	       0        1        0        0        1
23152 libalgorithms1                     	       0        6        0        0        6
23153 libalias-perl                      	       0        1        0        0        1
23154 libalien-build-perl                	       0        1        0        1        0
23155 libalien-gnuplot-perl              	       0        1        0        0        1
23156 libalien-sdl-dev-perl              	       0        4        0        0        4
23157 libalien-wxwidgets-perl            	       0       57        0        0       57
23158 libalkimia5                        	       0        1        0        0        1
23159 libalkimia5-7                      	       0        2        0        0        2
23160 libalkimia5-8                      	       0        5        0        0        5
23161 liballeggl4.4                      	       0        1        0        0        1
23162 liballegro-acodec5-dev             	       0        6        0        6        0
23163 liballegro-acodec5.0               	       0        1        0        0        1
23164 liballegro-acodec5.2               	       0       13        0        0       13
23165 liballegro-audio5-dev              	       0        6        0        6        0
23166 liballegro-audio5.0                	       0        1        0        0        1
23167 liballegro-audio5.2                	       0       13        0        0       13
23168 liballegro-dialog5-dev             	       0        5        0        5        0
23169 liballegro-dialog5.0               	       0        1        0        0        1
23170 liballegro-dialog5.2               	       0        6        0        0        6
23171 liballegro-image5-dev              	       0        5        0        5        0
23172 liballegro-image5.0                	       0        1        0        0        1
23173 liballegro-image5.2                	       0       12        0        0       12
23174 liballegro-physfs5-dev             	       0        4        0        4        0
23175 liballegro-physfs5.0               	       0        1        0        0        1
23176 liballegro-physfs5.2               	       0        4        0        0        4
23177 liballegro-ttf5-dev                	       0        6        0        6        0
23178 liballegro-ttf5.0                  	       0        1        0        0        1
23179 liballegro-ttf5.2                  	       0       13        0        0       13
23180 liballegro-video5-dev              	       0        4        0        4        0
23181 liballegro-video5.2                	       0        5        0        0        5
23182 liballegro4-dev                    	       0       13        0       13        0
23183 liballegro4.2                      	       0        1        0        1        0
23184 liballegro4.4                      	       0       71        0        0       71
23185 liballegro4.4t64                   	       0        3        0        0        3
23186 liballegro5-dev                    	       0        7        0        7        0
23187 liballegro5.0                      	       0        1        0        0        1
23188 liballegro5.2                      	       0       14        0        0       14
23189 liballegro5.2-dbgsym               	       0        1        0        1        0
23190 liballelecount-perl                	       0        1        0        1        0
23191 libalogg1                          	       0        1        0        0        1
23192 libalpm13                          	       0        3        0        0        3
23193 libalpm13t64                       	       0        1        0        0        1
23194 libalsa-ocaml                      	       0        2        0        2        0
23195 libalsa-ocaml-dev                  	       0        2        0        2        0
23196 libalsaplayer-dev                  	       0       11        0       11        0
23197 libalsaplayer0                     	       0       29        0        0       29
23198 libalt-base-perl                   	       0        1        0        1        0
23199 libalt-perl                        	       0        1        0        1        0
23200 libalure-dev                       	       0        5        0        5        0
23201 libalure1                          	       0       40        0        0       40
23202 libalut-dev                        	       0       23        0       23        0
23203 libalut0                           	       0      103        0        0      103
23204 libalzabo-perl                     	       0        1        0        1        0
23205 libamarok-trinity                  	       0        6        0        1        5
23206 libamazon-s3-perl                  	       0        1        0        1        0
23207 libamazon-sqs-simple-perl          	       0        1        0        1        0
23208 libambix-utils                     	       0        5        0        5        0
23209 libambix0                          	       0        8        0        0        8
23210 libamd-comgr-dev                   	       0        5        0        5        0
23211 libamd-comgr2                      	       0       47        0        0       47
23212 libamd2                            	       0     2597        1       14     2582
23213 libamd2.2.0                        	       0        7        0        0        7
23214 libamd2.3.1                        	       0       26        0        0       26
23215 libamd3                            	       0      133        0        2      131
23216 libamdhip64-5                      	       0       46        0        0       46
23217 libamdhip64-doc                    	       0        1        0        0        1
23218 libament-index-cpp-dev             	       0        1        0        1        0
23219 libament-index-cpp0d               	       0        2        0        0        2
23220 libaml0                            	       0        5        0        0        5
23221 libaml0t64                         	       0        6        0        0        6
23222 libamrita2-ruby1.9.1               	       0        1        0        0        1
23223 libamrnb3                          	       0        1        0        0        1
23224 libamrwb3                          	       0        1        0        0        1
23225 libamtk-5-0                        	       0      349        1        7      341
23226 libamtk-5-common                   	       0      349        0        0      349
23227 libanalitza7                       	       0        2        0        0        2
23228 libanalitza8                       	       0       46        0        0       46
23229 libanalitza9                       	       0        5        0        0        5
23230 libanalitzagui7                    	       0        2        0        0        2
23231 libanalitzagui8                    	       0       46        0        0       46
23232 libanalitzagui9                    	       0        5        0        0        5
23233 libanalitzaplot7                   	       0        2        0        0        2
23234 libanalitzaplot8                   	       0       45        0        0       45
23235 libanalitzaplot9                   	       0        5        0        0        5
23236 libanalitzawidgets7                	       0        2        0        0        2
23237 libanalitzawidgets8                	       0       45        0        0       45
23238 libanalitzawidgets9                	       0        5        0        0        5
23239 libancient-dev                     	       0        2        0        0        2
23240 libancient2                        	       0       14        0        0       14
23241 libandroid-23-java                 	       0       13        0        0       13
23242 libandroid-databinding-java        	       0        2        0        0        2
23243 libandroid-ddms-java               	       0        5        0        0        5
23244 libandroid-json-java               	       0       43        0        0       43
23245 libandroid-json-org-java           	       0       11        0        0       11
23246 libandroid-json-org-java-doc       	       0        1        0        0        1
23247 libandroid-layoutlib-api-java      	       0        2        0        0        2
23248 libandroid-tools-analytics-library-java	       0        2        0        0        2
23249 libandroid-tools-annotations-java  	       0        5        0        0        5
23250 libandroid-tools-common-java       	       0        5        0        0        5
23251 libandroid-tools-dvlib-java        	       0        2        0        0        2
23252 libandroid-tools-repository-java   	       0        2        0        0        2
23253 libandroid-tools-sdklib-java       	       0        2        0        0        2
23254 libandroid-uiautomator-23-java     	       0        6        0        0        6
23255 libandroidsdk-swtmenubar-java      	       0        1        0        0        1
23256 libangelscript2.35.1t64            	       0       13        0        0       13
23257 libangles-dev                      	       0        1        0        1        0
23258 libangular-maven-plugin-java       	       0        1        0        0        1
23259 libanjuta-3-0                      	       0       15        0        0       15
23260 libann-dev                         	       0        2        0        2        0
23261 libann0                            	       0     1630        0        0     1630
23262 libansilove-dev                    	       0        2        0        2        0
23263 libansilove1                       	       0        3        0        0        3
23264 libanthy0                          	       0        1        0        0        1
23265 libanthy1                          	       0       63        1        7       55
23266 libanthy1t64                       	       0        4        0        0        4
23267 libanthyinput0                     	       0       62        0        0       62
23268 libanthyinput0t64                  	       0        3        0        0        3
23269 libantic-dev                       	       0        1        0        1        0
23270 libantic0                          	       0       10        0        0       10
23271 libantlr-dev                       	       0        2        0        2        0
23272 libantlr-java                      	       0      152        0        0      152
23273 libantlr-java-gcj                  	       0        1        0        1        0
23274 libantlr-maven-plugin-java         	       0        2        0        0        2
23275 libantlr3-gunit-java               	       0        1        0        0        1
23276 libantlr3-runtime-java             	       0       89        0        0       89
23277 libantlr3.2-gunit-java             	       0        1        0        0        1
23278 libantlr3c-3.2-0                   	       0        1        0        0        1
23279 libantlr3c-3.4-0                   	       0       36        2        2       32
23280 libantlr3c-dev                     	       0        1        0        1        0
23281 libantlr4-runtime-dev              	       0        2        0        2        0
23282 libantlr4-runtime-java             	       0       37        0        0       37
23283 libantlr4-runtime4.9               	       0        4        0        1        3
23284 libany-moose-perl                  	       0       15        0       15        0
23285 libany-template-processdir-perl    	       0        3        0        3        0
23286 libany-uri-escape-perl             	       0       77        0       77        0
23287 libanydata-perl                    	       0        2        0        2        0
23288 libanyevent-aggressiveidle-perl    	       0        2        0        2        0
23289 libanyevent-aio-perl               	       0        2        0        2        0
23290 libanyevent-cachedns-perl          	       0        3        0        3        0
23291 libanyevent-callback-perl          	       0        2        0        2        0
23292 libanyevent-connection-perl        	       0        1        0        1        0
23293 libanyevent-connector-perl         	       0        1        0        1        0
23294 libanyevent-dbd-pg-perl            	       0        2        0        2        0
23295 libanyevent-dbi-perl               	       0        5        0        5        0
23296 libanyevent-fcgi-perl              	       0        1        0        1        0
23297 libanyevent-feed-perl              	       0        2        0        2        0
23298 libanyevent-fork-perl              	       0        5        0        5        0
23299 libanyevent-forkmanager-perl       	       0        1        0        1        0
23300 libanyevent-forkobject-perl        	       0        2        0        2        0
23301 libanyevent-handle-udp-perl        	       0        2        0        2        0
23302 libanyevent-http-perl              	       0        9        0        9        0
23303 libanyevent-http-scopedclient-perl 	       0        1        0        1        0
23304 libanyevent-httpd-perl             	       0        1        0        1        0
23305 libanyevent-irc-perl               	       0        7        0        7        0
23306 libanyevent-memcached-perl         	       0        1        0        1        0
23307 libanyevent-perl                   	       0      171        0        0      171
23308 libanyevent-processor-perl         	       0        1        0        1        0
23309 libanyevent-rabbitmq-perl          	       0        2        0        2        0
23310 libanyevent-redis-perl             	       0        1        0        1        0
23311 libanyevent-serialize-perl         	       0        2        0        2        0
23312 libanyevent-termkey-perl           	       0        3        0        3        0
23313 libanyevent-tools-perl             	       0        2        0        2        0
23314 libanyevent-websocket-client-perl  	       0        1        0        1        0
23315 libanyevent-xmpp-perl              	       0        1        0        1        0
23316 libanyevent-xspromises-perl        	       0        1        0        0        1
23317 libanyevent-yubico-perl            	       0        2        0        2        0
23318 libao-common                       	       0     2883        0        0     2883
23319 libao-dev                          	       0       27        0       27        0
23320 libao-ocaml                        	       0        1        0        1        0
23321 libao-ocaml-dev                    	       0        1        0        1        0
23322 libao-pulse                        	       0        2        0        0        2
23323 libaoflagger0                      	       0        2        0        0        2
23324 libaopalliance-java                	       0      468        0        0      468
23325 libaopalliance-java-doc            	       0        1        0        0        1
23326 libaosd-text2                      	       0        5        0        0        5
23327 libaosd2                           	       0        5        0        0        5
23328 libapache-admin-config-perl        	       0        1        0        1        0
23329 libapache-asp-perl                 	       0        1        0        1        0
23330 libapache-authenhook-perl          	       0        1        0        0        1
23331 libapache-authznetldap-perl        	       0        1        0        1        0
23332 libapache-dbi-perl                 	       0        9        1        8        0
23333 libapache-dbilogger-perl           	       0        1        0        1        0
23334 libapache-gallery-perl             	       0        1        0        1        0
23335 libapache-htgroup-perl             	       0        1        0        1        0
23336 libapache-htpasswd-perl            	       0        1        0        1        0
23337 libapache-jena-java                	       0        2        0        0        2
23338 libapache-logformat-compiler-perl  	       0       18        1       17        0
23339 libapache-mime4j-java              	       0        4        0        0        4
23340 libapache-mod-jk-doc               	       0        1        0        0        1
23341 libapache-mod-security             	       0        1        0        0        1
23342 libapache-opennlp-java             	       0        1        0        0        1
23343 libapache-poi-java                 	       0      336        0        0      336
23344 libapache-poi-java-doc             	       0        1        0        0        1
23345 libapache-pom-java                 	       0     1194        0        0     1194
23346 libapache-session-browseable-perl  	       0        1        0        1        0
23347 libapache-session-ldap-perl        	       0        1        0        1        0
23348 libapache-session-memcached-perl   	       0        1        0        1        0
23349 libapache-session-mongodb-perl     	       0        1        0        1        0
23350 libapache-session-perl             	       0        3        0        3        0
23351 libapache-session-sqlite3-perl     	       0        1        0        1        0
23352 libapache-session-wrapper-perl     	       0        1        0        1        0
23353 libapache-sessionx-perl            	       0        1        0        1        0
23354 libapache-singleton-perl           	       0        1        0        1        0
23355 libapache-ssllookup-perl           	       0        1        0        0        1
23356 libapache2-authcassimple-perl      	       0        1        0        1        0
23357 libapache2-authcookie-perl         	       0        1        0        1        0
23358 libapache2-mod-apparmor            	       0        2        0        2        0
23359 libapache2-mod-apreq2              	       0        2        2        0        0
23360 libapache2-mod-auth-gssapi         	       0        8        0        8        0
23361 libapache2-mod-auth-pgsql          	       0        1        1        0        0
23362 libapache2-mod-auth-plain          	       0        3        1        2        0
23363 libapache2-mod-auth-radius         	       0        1        0        1        0
23364 libapache2-mod-authn-sasl          	       0        3        1        2        0
23365 libapache2-mod-authn-yubikey       	       0        1        0        1        0
23366 libapache2-mod-authnz-external     	       0        9        2        7        0
23367 libapache2-mod-authnz-pam          	       0        1        0        1        0
23368 libapache2-mod-authz-unixgroup     	       0        2        1        1        0
23369 libapache2-mod-bw                  	       0        1        0        1        0
23370 libapache2-mod-encoding            	       0        3        0        3        0
23371 libapache2-mod-evasive             	       0       15        4       11        0
23372 libapache2-mod-fastcgi             	       0        5        1        4        0
23373 libapache2-mod-fcgid               	       0       41       12       29        0
23374 libapache2-mod-form                	       0        1        0        1        0
23375 libapache2-mod-geoip               	       0        6        3        3        0
23376 libapache2-mod-gnutls              	       0        1        0        1        0
23377 libapache2-mod-jk                  	       0        3        2        1        0
23378 libapache2-mod-lisp                	       0        1        1        0        0
23379 libapache2-mod-log-sql             	       0        3        0        3        0
23380 libapache2-mod-log-sql-mysql       	       0        3        0        3        0
23381 libapache2-mod-log-sql-ssl         	       0        3        0        3        0
23382 libapache2-mod-mapcache            	       0        3        1        2        0
23383 libapache2-mod-mime-xattr          	       0        1        0        1        0
23384 libapache2-mod-mono                	       0        1        0        1        0
23385 libapache2-mod-passenger           	       0       15        2       13        0
23386 libapache2-mod-perl2               	       0       32        5       27        0
23387 libapache2-mod-perl2-dev           	       0        3        0        3        0
23388 libapache2-mod-perl2-doc           	       0        2        0        0        2
23389 libapache2-mod-php                 	       0      143        0        0      143
23390 libapache2-mod-php5                	       0       43        8       35        0
23391 libapache2-mod-php5.6              	       0        6        4        2        0
23392 libapache2-mod-php7.0              	       0       20        4       16        0
23393 libapache2-mod-php7.1              	       0        2        0        2        0
23394 libapache2-mod-php7.2              	       0        1        0        1        0
23395 libapache2-mod-php7.3              	       0       38        3       35        0
23396 libapache2-mod-php7.4              	       0       72       21       51        0
23397 libapache2-mod-php8.0              	       0        9        0        9        0
23398 libapache2-mod-php8.1              	       0       10        1        9        0
23399 libapache2-mod-php8.3              	       0        9        0        9        0
23400 libapache2-mod-php8.4              	       0        5        4        1        0
23401 libapache2-mod-proxy-uwsgi         	       0        6        1        0        5
23402 libapache2-mod-python              	       0       19        1       18        0
23403 libapache2-mod-python-doc          	       0        4        0        0        4
23404 libapache2-mod-rivet               	       0        1        1        0        0
23405 libapache2-mod-rivet-doc           	       0        1        0        0        1
23406 libapache2-mod-rpaf                	       0        4        0        4        0
23407 libapache2-mod-ruid2               	       0        1        1        0        0
23408 libapache2-mod-scgi                	       0        2        0        2        0
23409 libapache2-mod-security2           	       0       19        6       13        0
23410 libapache2-mod-svn                 	       0       11        1       10        0
23411 libapache2-mod-upload-progress     	       0        3        1        2        0
23412 libapache2-mod-uwsgi               	       0        7        1        6        0
23413 libapache2-mod-watchcat            	       0        1        0        1        0
23414 libapache2-mod-wsgi                	       0        7        1        6        0
23415 libapache2-mod-wsgi-py3            	       0       18        4       14        0
23416 libapache2-mod-xforward            	       0        1        0        1        0
23417 libapache2-mod-xsendfile           	       0        2        1        1        0
23418 libapache2-modsecurity             	       0        3        0        0        3
23419 libapache2-mpm-itk                 	       0       11        2        9        0
23420 libapache2-reload-perl             	       0       32        1       31        0
23421 libapache2-request-perl            	       0        1        0        0        1
23422 libapache2-sitecontrol-perl        	       0        1        0        1        0
23423 libapbs3                           	       0        6        0        0        6
23424 libapertium-lex-tools1             	       0        3        0        0        3
23425 libapertium3                       	       0        4        0        0        4
23426 libapertium3-3.5-1                 	       0        1        0        0        1
23427 libapertium3-3.7-1                 	       0        2        0        0        2
23428 libaperture-0-0                    	       0        2        0        0        2
23429 libapiguardian-java                	       0        7        0        0        7
23430 libapksig-java                     	       0       50        0        0       50
23431 libapm-dev                         	       0        1        0        1        0
23432 libapm1                            	       0       18        0        0       18
23433 libapol4                           	       0        4        0        0        4
23434 libapophenia2                      	       0        1        0        0        1
23435 libapp-cache-perl                  	       0        1        0        1        0
23436 libapp-cell-perl                   	       0        1        0        1        0
23437 libapp-cli-perl                    	       0        1        0        1        0
23438 libapp-cmd-perl                    	       0       29        1       28        0
23439 libapp-cmd-plugin-prompt-perl      	       0        2        0        2        0
23440 libapp-control-perl                	       0        1        0        1        0
23441 libapp-cpants-lint-perl            	       0        1        0        1        0
23442 libapp-daemon-perl                 	       0        2        0        2        0
23443 libapp-fatpacker-perl              	       0        3        0        3        0
23444 libapp-info-perl                   	       0        1        0        1        0
23445 libapp-nopaste-perl                	       0        3        0        3        0
23446 libapp-options-perl                	       0        1        0        1        0
23447 libapp-perlrdf-command-query-perl  	       0        1        0        1        0
23448 libapp-rad-perl                    	       0        1        0        1        0
23449 libapp-repl-perl                   	       0        1        0        1        0
23450 libapp-st-perl                     	       0        1        0        1        0
23451 libapp-termcast-perl               	       0        1        0        1        0
23452 libapparmor-dev                    	       0        7        0        7        0
23453 libapparmor-perl                   	       0       19        0        1       18
23454 libapparmor1-dbgsym                	       0        1        0        1        0
23455 libappconfig-std-perl              	       0        1        0        1        0
23456 libappimage0                       	       0        1        0        0        1
23457 libappimage1.0abi1                 	       0      587        0        0      587
23458 libappindicator-dev                	       0        2        0        2        0
23459 libappindicator1                   	       0       87        0        0       87
23460 libappindicator3-0.1-cil           	       0       11        0        0       11
23461 libappindicator3-0.1-cil-dev       	       0        2        0        2        0
23462 libappindicator3-1                 	       0       84        0        3       81
23463 libappmenu-gtk-parser-dev-common   	       0        4        0        4        0
23464 libappmenu-gtk2-parser-dev         	       0        1        0        0        1
23465 libappmenu-gtk2-parser0            	       0       61        0        6       55
23466 libappmenu-gtk3-parser-dev         	       0        2        0        0        2
23467 libappmenu-gtk3-parser0            	       0       65        8       19       38
23468 libappstream-compose0              	       0        6        0        0        6
23469 libappstream-dev                   	       0        4        0        4        0
23470 libappstream1                      	       0        3        0        0        3
23471 libappstreamqt-dev                 	       0        4        0        4        0
23472 libappstreamqt5-3                  	       0       26        0       12       14
23473 libappstreamqt5-dev                	       0        1        0        1        0
23474 libapr1-dbg                        	       0        1        0        1        0
23475 libapr1-dev                        	       0       47        1       46        0
23476 libapr1t64                         	       0       83       10       24       49
23477 libapreq2-3                        	       0        1        1        0        0
23478 libapreq2-3t64                     	       0        1        0        0        1
23479 libapriltag3                       	       0        1        0        0        1
23480 libaprutil1-dbd-mysql              	       0       12        0        0       12
23481 libaprutil1-dbd-odbc               	       0        3        0        0        3
23482 libaprutil1-dbd-pgsql              	       0        5        0        0        5
23483 libaprutil1-dbd-sqlite3            	       0     1163        0        1     1162
23484 libaprutil1-dbg                    	       0        1        0        1        0
23485 libaprutil1-dev                    	       0       40        0       40        0
23486 libaprutil1-ldap                   	       0     1164        2        3     1159
23487 libaprutil1t64                     	       0       82       10       24       48
23488 libapt-inst1.5                     	       0      255        0        0      255
23489 libapt-inst2.0                     	       0      940        0        0      940
23490 libapt-pkg-doc                     	       0        4        0        0        4
23491 libapt-pkg-perl                    	       0     1139        0        0     1139
23492 libapt-pkg4.12                     	       0      270        0        0      270
23493 libapt-pkg5.0                      	       0      946        1        0      945
23494 libapt-pkg6.0-dbgsym               	       0        1        0        1        0
23495 libapulse1                         	       0        1        0        1        0
23496 libaqbanking-data                  	       0      109        1        2      106
23497 libaqbanking-dev                   	       0        3        0        3        0
23498 libaqbanking-doc                   	       0        2        0        0        2
23499 libaqbanking34                     	       0        3        0        0        3
23500 libaqbanking34-plugins             	       0        1        0        1        0
23501 libaqbanking35                     	       0       14        0        0       14
23502 libaqbanking35-plugins             	       0       13        0        5        8
23503 libaqbanking44                     	       0      100        1        5       94
23504 libaqebics0                        	       0       13        0        0       13
23505 libaqhbci20                        	       0        1        0        0        1
23506 libaqhbci22                        	       0        3        0        0        3
23507 libaqhbci23                        	       0        5        0        0        5
23508 libaqhbci24                        	       0        8        0        0        8
23509 libaqofxconnect7                   	       0       13        0        0       13
23510 libarb                             	       0        2        0        2        0
23511 libarchive-any-create-perl         	       0        1        0        1        0
23512 libarchive-any-lite-perl           	       0        1        0        1        0
23513 libarchive-any-perl                	       0        2        0        2        0
23514 libarchive-ar-perl                 	       0        1        0        1        0
23515 libarchive-peek-perl               	       0        2        0        2        0
23516 libarchive-tar-wrapper-perl        	       0        1        0        1        0
23517 libarchive1                        	       0        2        0        0        2
23518 libarchive12                       	       0        3        0        1        2
23519 libarcus3                          	       0       25        0        0       25
23520 libarcus5                          	       0        2        0        0        2
23521 libares0                           	       0        1        0        0        1
23522 libargon2-0                        	       0        6        0        0        6
23523 libargon2-dev                      	       0       15        0       15        0
23524 libargs4j-java                     	       0      344        0        0      344
23525 libargtable2-0                     	       0       24        0        0       24
23526 libargtable2-dev                   	       0        4        0        4        0
23527 libargtable2-docs                  	       0        4        0        0        4
23528 libaribb24-0                       	       0     1482       25      110     1347
23529 libaribb24-0t64                    	       0      141        4       13      124
23530 libaribb24-dev                     	       0       14        0       14        0
23531 libaribb25-0                       	       0       63        0        0       63
23532 libarkrpg0c2a                      	       0        1        0        1        0
23533 libarmadillo-dev                   	       0       40        0        0       40
23534 libarmadillo10                     	       0      136        0        2      134
23535 libarmadillo11                     	       0      465        3        7      455
23536 libarmadillo12                     	       0       16        0        1       15
23537 libarmadillo14                     	       0       55        0        0       55
23538 libarmadillo3                      	       0        2        0        0        2
23539 libarmadillo4                      	       0        3        0        0        3
23540 libarmadillo7                      	       0       13        0        0       13
23541 libarmadillo9                      	       0       55        0        0       55
23542 libarpack++2-dev                   	       0        2        0        2        0
23543 libarpack++2c2a                    	       0        2        0        0        2
23544 libarpack2                         	       0      662        3       10      649
23545 libarpack2t64                      	       0       61        0        0       61
23546 libarray-base-perl                 	       0        1        0        0        1
23547 libarray-compare-perl              	       0        6        0        6        0
23548 libarray-diff-perl                 	       0        2        0        2        0
23549 libarray-group-perl                	       0        2        0        2        0
23550 libarray-printcols-perl            	       0        2        0        2        0
23551 libarray-refelem-perl              	       0        1        0        0        1
23552 libarray-unique-perl               	       0       17        0       17        0
23553 libarray-utils-perl                	       0       10        1        9        0
23554 libarrayfire-cpu3                  	       0        1        0        0        1
23555 libarrayfire-dev                   	       0        2        0        2        0
23556 libarrayfire-doc                   	       0        1        0        1        0
23557 libarrayfire-opencl-dev            	       0        1        0        0        1
23558 libarrayfire-opencl3               	       0        1        0        0        1
23559 libarrayfire-unified-dev           	       0        1        0        0        1
23560 libarrayfire-unified3              	       0        1        0        0        1
23561 libart-2.0-dev                     	       0       17        0       17        0
23562 libart2                            	       0        1        0        0        1
23563 libart2.0-cil                      	       0        6        0        0        6
23564 libart2.0-cil-dev                  	       0        1        0        1        0
23565 libarts1-trinity-dev               	       0        1        0        1        0
23566 libarts1c2a                        	       0        2        0        2        0
23567 libartsc0                          	       0        5        0        0        5
23568 libartsc0-dev                      	       0        2        0        2        0
23569 libartsc0-trinity                  	       0       41        0        0       41
23570 libartsc0-trinity-dev              	       0        1        0        1        0
23571 libasa-perl                        	       0        6        0        6        0
23572 libasan0                           	       0       64        0        0       64
23573 libasan0-dbg                       	       0        1        0        0        1
23574 libasan1                           	       0      146        0        0      146
23575 libasan1-dbg                       	       0        2        0        0        2
23576 libasan2                           	       0        7        0        0        7
23577 libasan3                           	       0      382        0        0      382
23578 libasan3-arm64-cross               	       0        2        0        0        2
23579 libasan3-armhf-cross               	       0        1        0        0        1
23580 libasan3-dbg                       	       0        1        0        1        0
23581 libasan4                           	       0        9        0        0        9
23582 libasan5                           	       0      239        0        0      239
23583 libasan5-arm64-cross               	       0        8        0        0        8
23584 libasan5-armel-cross               	       0        4        0        0        4
23585 libasan5-armhf-cross               	       0        6        0        0        6
23586 libasan5-i386-cross                	       0        4        0        0        4
23587 libasan5-x32-cross                 	       0        2        0        0        2
23588 libasan6                           	       0     1043        0        0     1043
23589 libasan6-arm64-cross               	       0       10        0        0       10
23590 libasan6-armel-cross               	       0        8        0        0        8
23591 libasan6-armhf-cross               	       0       10        0        0       10
23592 libasan6-i386-cross                	       0        4        0        0        4
23593 libasan6-powerpc-cross             	       0        1        0        0        1
23594 libasan6-ppc64-cross               	       0        2        0        0        2
23595 libasan6-s390x-cross               	       0        1        0        0        1
23596 libasan6-sparc64-cross             	       0        1        0        0        1
23597 libasan8                           	       0     1943        0        0     1943
23598 libasan8-arm64-cross               	       0       25        0        0       25
23599 libasan8-armel-cross               	       0       14        0        0       14
23600 libasan8-armhf-cross               	       0       18        0        0       18
23601 libasan8-i386-cross                	       0        6        0        0        6
23602 libasan8-powerpc-cross             	       0        4        0        0        4
23603 libasan8-ppc64-cross               	       0        4        0        0        4
23604 libasan8-ppc64el-cross             	       0        1        0        0        1
23605 libasan8-riscv64-cross             	       0        8        0        0        8
23606 libasan8-s390x-cross               	       0        3        0        0        3
23607 libasan8-sparc64-cross             	       0        2        0        0        2
23608 libasan8-x32-cross                 	       0        4        0        0        4
23609 libasedrive-serial                 	       0        1        0        0        1
23610 libasio-dev                        	       0       23        0        0       23
23611 libasio-doc                        	       0        2        0        0        2
23612 libasis2019.1                      	       0        2        0        0        2
23613 libask                             	       0        1        0        1        0
23614 libasm-java                        	       0      379        0        0      379
23615 libasm0                            	       0        1        0        0        1
23616 libasm1                            	       0       92        0        0       92
23617 libasm1t64                         	       0       12        0        0       12
23618 libasm3-java                       	       0       10        0        0       10
23619 libasm4-java                       	       0       10        0        0       10
23620 libasmtools-java                   	       0        1        0        0        1
23621 libasn1-8-heimdal                  	       0       80        4        6       70
23622 libasn1-8t64-heimdal               	       0        2        0        0        2
23623 libasound2-data                    	       0     3732        0        0     3732
23624 libasound2-doc                     	       0       18        0        0       18
23625 libasound2-plugin-bluez            	       0       57        0        0       57
23626 libasound2-plugin-equal            	       0       28        0        0       28
23627 libasound2-plugin-smixer           	       0       11        0        0       11
23628 libaspect-perl                     	       0        1        0        1        0
23629 libaspect0                         	       0        1        0        0        1
23630 libaspectj-java                    	       0       13        0        0       13
23631 libaspectj-maven-plugin-java       	       0        1        0        0        1
23632 libasprintf0c2                     	       0       75        0        0       75
23633 libasprintf0v5                     	       0      101        0        0      101
23634 libasr-dev                         	       0        1        0        1        0
23635 libasr0                            	       0        2        0        0        2
23636 libass-dev                         	       0       46        0       46        0
23637 libass4                            	       0       17        0        0       17
23638 libass5                            	       0      144        0        1      143
23639 libassa-3.5-5v5                    	       0        2        0        0        2
23640 libassimp-dev                      	       0        8        0        8        0
23641 libassimp3v5                       	       0        1        0        0        1
23642 libassimp4                         	       0        4        0        0        4
23643 libassimp5                         	       0       77        0        0       77
23644 libassuan-mingw-w64-dev            	       0        1        0        1        0
23645 libast2                            	       0       30        0        0       30
23646 libast2-dev                        	       0        1        0        1        0
23647 libast2t64                         	       0        2        0        0        2
23648 libastcenc-dev                     	       0        1        0        1        0
23649 libastcenc3d                       	       0        1        0        0        1
23650 libasterisk-agi-perl               	       0        1        0        1        0
23651 libastring-ocaml                   	       0        1        0        1        0
23652 libastring-ocaml-dev               	       0        1        0        1        0
23653 libastro-fits-cfitsio-perl         	       0        4        0        0        4
23654 libastro-fits-header-perl          	       0        2        0        2        0
23655 libastro-perl                      	       0        1        0        1        0
23656 libastro1                          	       0      142        0        3      139
23657 libastrometry-dev                  	       0        2        0        2        0
23658 libastrometry0                     	       0       23        0        0       23
23659 libastrometry0t64                  	       0        6        0        0        6
23660 libastyle-dev                      	       0        1        0        1        0
23661 libastyle3                         	       0      100        0        3       97
23662 libastylej-jni                     	       0       85        0        1       84
23663 libasync-http-client-java          	       0        3        0        0        3
23664 libasync-interrupt-perl            	       0      168        0        0      168
23665 libasyncaudio1.6                   	       0        2        0        0        2
23666 libasyncaudio1.6t64                	       0        2        0        0        2
23667 libasynccore1.6                    	       0        2        0        0        2
23668 libasynccore1.6t64                 	       0        2        0        0        2
23669 libasynccpp1.6t64                  	       0        2        0        0        2
23670 libasyncns-dev                     	       0        4        0        4        0
23671 libasyncqt1.6                      	       0        2        0        0        2
23672 libasyncqt1.6t64                   	       0        2        0        0        2
23673 libatasmart-bin                    	       0        5        1        4        0
23674 libatasmart-dev                    	       0        3        0        3        0
23675 libatf-c++-2                       	       0        1        0        0        1
23676 libatf-c-1                         	       0        1        0        0        1
23677 libatf-dev                         	       0        1        0        1        0
23678 libatfs1                           	       0        3        0        3        0
23679 libatinject-jsr330-api-java        	       0      537        0        0      537
23680 libatinject-jsr330-api-java-doc    	       0        5        0        0        5
23681 libation                           	       0        1        0        1        0
23682 libatk-adaptor-data                	       0        4        0        0        4
23683 libatk-wrapper-java                	       0     1479        0        7     1472
23684 libatk-wrapper-java-jni            	       0     1478        0        0     1478
23685 libatk1-ruby                       	       0        1        0        0        1
23686 libatk1-ruby1.8                    	       0        2        0        1        1
23687 libatk1.0-doc                      	       0       73        0        0       73
23688 libatk3.0-cil                      	       0       60        0        0       60
23689 libatkmm-1.6-1                     	       0       10        0        1        9
23690 libatkmm-1.6-dev                   	       0       48        1       47        0
23691 libatkmm-1.6-doc                   	       0        3        0        0        3
23692 libatlas-base-dev                  	       0        9        0        9        0
23693 libatlas-cpp-0.6-1                 	       0        1        0        0        1
23694 libatlas-dev                       	       0        1        0        1        0
23695 libatlas-ecmwf-0                   	       0        1        0        0        1
23696 libatlas-test                      	       0        1        0        0        1
23697 libatlas3-base                     	       0      160        4       12      144
23698 libatlas3gf-base                   	       0        2        0        0        2
23699 libatm1                            	       0      134        0        0      134
23700 libatm1-dev                        	       0        3        0        3        0
23701 libatm1t64                         	       0        2        0        1        1
23702 libatombus-perl                    	       0        1        0        1        0
23703 libatomic-ops-dev                  	       0       28        0       28        0
23704 libatomic1-alpha-cross             	       0        3        0        0        3
23705 libatomic1-arm64-cross             	       0       38        0        0       38
23706 libatomic1-armel-cross             	       0       23        0        0       23
23707 libatomic1-armhf-cross             	       0       29        0        0       29
23708 libatomic1-hppa-cross              	       0        3        0        0        3
23709 libatomic1-i386-cross              	       0       13        0        0       13
23710 libatomic1-m68k-cross              	       0        1        0        0        1
23711 libatomic1-mips-cross              	       0        5        0        0        5
23712 libatomic1-mips64-cross            	       0        1        0        0        1
23713 libatomic1-mips64el-cross          	       0        1        0        0        1
23714 libatomic1-mips64r6el-cross        	       0        1        0        0        1
23715 libatomic1-mipsel-cross            	       0        5        0        0        5
23716 libatomic1-powerpc-cross           	       0        5        0        0        5
23717 libatomic1-ppc64-cross             	       0        6        0        0        6
23718 libatomic1-ppc64el-cross           	       0        1        0        0        1
23719 libatomic1-riscv64-cross           	       0       10        0        0       10
23720 libatomic1-s390x-cross             	       0        4        0        0        4
23721 libatomic1-sparc64-cross           	       0        3        0        0        3
23722 libatomic1-x32-cross               	       0        6        0        0        6
23723 libatomicparsley-dev               	       0        1        0        0        1
23724 libatomicparsley0                  	       0       11        0        0       11
23725 libatompub-perl                    	       0        1        0        1        0
23726 libatopology2                      	       0     2834        0        0     2834
23727 libatopology2t64                   	       0      224        0        0      224
23728 libatrilview3                      	       0     1913        5       25     1883
23729 libatrilview3t64                   	       0       84        1        1       82
23730 libatspi1.0-0                      	       0        3        0        0        3
23731 libattean-perl                     	       0        1        0        1        0
23732 libatteanx-compatibility-trine-perl	       0        1        0        1        0
23733 libatteanx-endpoint-perl           	       0        1        0        1        0
23734 libatteanx-parser-jsonld-perl      	       0        1        0        1        0
23735 libatteanx-store-ldf-perl          	       0        1        0        1        0
23736 libatteanx-store-sparql-perl       	       0        1        0        1        0
23737 libattica0.4                       	       0       48        1        1       46
23738 libattr1                           	       0     4160        3        7     4150
23739 libattribute-storage-perl          	       0        1        0        0        1
23740 libaubio-dev                       	       0       11        0       11        0
23741 libaubio-doc                       	       0        1        0        0        1
23742 libaubio5                          	       0       97        0        0       97
23743 libaudclient-dev                   	       0        2        0        2        0
23744 libaudclient2                      	       0       62        7        6       49
23745 libaudcore1                        	       0        2        0        0        2
23746 libaudcore2                        	       0        4        0        0        4
23747 libaudcore3                        	       0        9        0        0        9
23748 libaudcore5t64                     	       0       30        0        0       30
23749 libaudgui3                         	       0        9        0        0        9
23750 libaudgui5                         	       0      514        6       15      493
23751 libaudgui5t64                      	       0       10        0        0       10
23752 libaudgui6                         	       0       21        0        0       21
23753 libaudio-cd-perl                   	       0       12        0        0       12
23754 libaudio-dev                       	       0       16        0       16        0
23755 libaudio-ecasound-perl             	       0        1        0        0        1
23756 libaudio-file-perl                 	       0        4        0        4        0
23757 libaudio-flac-decoder-perl         	       0        3        0        0        3
23758 libaudio-flac-header-perl          	       0       21        0        0       21
23759 libaudio-mixer-perl                	       0        2        0        0        2
23760 libaudio-moosic-perl               	       0        1        0        1        0
23761 libaudio-mpd-common-perl           	       0        5        0        5        0
23762 libaudio-mpd-perl                  	       0        5        0        5        0
23763 libaudio-musepack-perl             	       0        3        0        3        0
23764 libaudio-rpld-perl                 	       0        2        0        2        0
23765 libaudio-scan-perl                 	       0       16        0        0       16
23766 libaudio-wav-perl                  	       0        3        0        3        0
23767 libaudio-wma-perl                  	       0        2        0        2        0
23768 libaudiofile-dev                   	       0       20        0       20        0
23769 libaudiofile0                      	       0        9        0        0        9
23770 libaudiomask1                      	       0        1        0        0        1
23771 libaudit-common                    	       0     4160        0        0     4160
23772 libaudqt0                          	       0        8        0        0        8
23773 libaudqt2t64                       	       0       10        0        0       10
23774 libaudqt3                          	       0       21        0        0       21
23775 libaudtag2                         	       0        9        0        0        9
23776 libaudtag3                         	       0      514        5       12      497
23777 libaudtag3t64                      	       0       30        0        0       30
23778 libaugeas-dev                      	       0        3        0        3        0
23779 libaugeas-ruby1.9.1                	       0        1        0        0        1
23780 libaugeas0                         	       0      396       14       54      328
23781 libauparse-dev                     	       0        1        0        1        0
23782 libauparse0                        	       0       42        9       16       17
23783 libauparse0t64                     	       0       19        2        2       15
23784 libauth-googleauth-perl            	       0        3        1        2        0
23785 libauth-yubikey-webclient-perl     	       0        1        0        1        0
23786 libauthen-cas-client-perl          	       0        4        1        3        0
23787 libauthen-dechpwd-perl             	       0        3        0        0        3
23788 libauthen-htpasswd-perl            	       0        1        0        1        0
23789 libauthen-libwrap-perl             	       0        5        0        0        5
23790 libauthen-ntlm-perl                	       0       20        0       20        0
23791 libauthen-pam-perl                 	       0       71       10       38       23
23792 libauthen-passphrase-perl          	       0        3        0        3        0
23793 libauthen-radius-perl              	       0        3        0        3        0
23794 libauthen-sasl-cyrus-perl          	       0        1        0        0        1
23795 libauthen-sasl-saslprep-perl       	       0        5        0        5        0
23796 libauthen-scram-perl               	       0        3        0        3        0
23797 libauthen-simple-pam-perl          	       0        2        0        2        0
23798 libauthen-simple-perl              	       0        3        0        3        0
23799 libauthen-simple-smb-perl          	       0        1        0        1        0
23800 libauthen-smb-perl                 	       0        1        0        0        1
23801 libauthen-u2f-perl                 	       0        1        0        1        0
23802 libauthen-u2f-tester-perl          	       0        1        0        1        0
23803 libautobox-core-perl               	       0        2        0        2        0
23804 libautobox-perl                    	       0        3        0        0        3
23805 libautobox-transform-perl          	       0        1        0        1        0
23806 libautocomplete-java               	       0        7        0        0        7
23807 libautomaton-java                  	       0        2        0        0        2
23808 libautotrace3                      	       0        3        0        0        3
23809 libautovivification-perl           	       0      117        0        0      117
23810 libavahi-client-dev                	       0       73        2       71        0
23811 libavahi-common-data               	       0     3968        0        1     3967
23812 libavahi-common-dev                	       0       77        2       75        0
23813 libavahi-compat-libdnssd-dev       	       0       19        0       19        0
23814 libavahi-compat-libdnssd1          	       0      143        9       22      112
23815 libavahi-core-dev                  	       0        8        0        8        0
23816 libavahi-core5                     	       0        1        0        0        1
23817 libavahi-core6                     	       0        1        0        0        1
23818 libavahi-glib-dev                  	       0       14        0       14        0
23819 libavahi-gobject0                  	       0      375        0        0      375
23820 libavahi-qt3-1                     	       0        2        0        0        2
23821 libavahi-tqt-dev                   	       0        1        0        1        0
23822 libavahi-ui-gtk3-0                 	       0      541       11       23      507
23823 libavahi-ui-gtk3-dev               	       0        6        0        6        0
23824 libavahi-ui0                       	       0        3        0        0        3
23825 libavalon-framework-java           	       0      110        0        0      110
23826 libavalon-framework-java-doc       	       0        8        0        0        8
23827 libavc1394-0                       	       0     3309       25       55     3229
23828 libavc1394-dev                     	       0       21        0       21        0
23829 libavc1394-tools                   	       0        8        0        8        0
23830 libavcall1                         	       0        2        0        0        2
23831 libavcodec-5-dev                   	       0        1        0        1        0
23832 libavcodec-extra                   	       0       87        0        0       87
23833 libavcodec-extra-55                	       0        1        0        0        1
23834 libavcodec-extra-56                	       0        3        0        0        3
23835 libavcodec-extra57                 	       0       14        0        0       14
23836 libavcodec-extra58                 	       0       32        1       10       21
23837 libavcodec-extra58-dbgsym          	       0        1        0        1        0
23838 libavcodec-extra59                 	       0       73       14       49       10
23839 libavcodec-extra60                 	       0       18        0        3       15
23840 libavcodec-extra61                 	       0        6        1        4        1
23841 libavcodec-ffmpeg56                	       0        1        0        0        1
23842 libavcodec0d                       	       0        3        0        0        3
23843 libavcodec52                       	       0        7        0        2        5
23844 libavcodec53                       	       0       18        0        0       18
23845 libavcodec54                       	       0        9        0        0        9
23846 libavcodec55                       	       0        5        0        0        5
23847 libavcodec56                       	       0       80        0        2       78
23848 libavcodec57                       	       0      333        0        2      331
23849 libavcodec58-dbgsym                	       0        1        0        1        0
23850 libavcodec60                       	       0      149       10       46       93
23851 libavcodec61-dbgsym                	       0        1        0        1        0
23852 libavdevice52                      	       0        2        0        0        2
23853 libavdevice53                      	       0        7        0        0        7
23854 libavdevice54                      	       0        5        0        0        5
23855 libavdevice55                      	       0       11        0        0       11
23856 libavdevice56                      	       0        4        0        0        4
23857 libavdevice57                      	       0       59        0        0       59
23858 libavdevice58                      	       0      415        5       15      395
23859 libavdevice58-dbgsym               	       0        1        0        1        0
23860 libavdevice59                      	       0     1040       14       25     1001
23861 libavdevice60                      	       0       65        2        1       62
23862 libavdevice61                      	       0      129        4        7      118
23863 libavdevice61-dbgsym               	       0        1        0        1        0
23864 libavfilter-extra                  	       0        6        0        0        6
23865 libavfilter-extra10                	       0        1        0        0        1
23866 libavfilter-extra6                 	       0        2        0        0        2
23867 libavfilter-extra7                 	       0        5        0        0        5
23868 libavfilter-extra7-dbgsym          	       0        1        0        1        0
23869 libavfilter-extra8                 	       0        7        0        2        5
23870 libavfilter-extra9                 	       0        1        0        0        1
23871 libavfilter0                       	       0        1        0        0        1
23872 libavfilter1                       	       0        1        0        0        1
23873 libavfilter10                      	       0      200       12       28      160
23874 libavfilter10-dbgsym               	       0        1        0        1        0
23875 libavfilter2                       	       0        4        0        0        4
23876 libavfilter3                       	       0        7        0        0        7
23877 libavfilter5                       	       0       11        0        0       11
23878 libavfilter6                       	       0       95        0        0       95
23879 libavfilter7                       	       0      734       10       26      698
23880 libavfilter7-dbgsym                	       0        1        0        1        0
23881 libavfilter9                       	       0       82        3        7       72
23882 libavformat-extra59                	       0        3        0        0        3
23883 libavformat-extra60                	       0        1        0        0        1
23884 libavformat-extra61                	       0        3        0        0        3
23885 libavformat0d                      	       0        2        0        0        2
23886 libavformat52                      	       0        7        0        2        5
23887 libavformat53                      	       0       16        0        0       16
23888 libavformat54                      	       0        7        0        0        7
23889 libavformat55                      	       0        2        0        0        2
23890 libavformat56                      	       0       38        0        1       37
23891 libavformat57                      	       0      144        0        0      144
23892 libavformat58-dbgsym               	       0        1        0        1        0
23893 libavformat60                      	       0      106        3       12       91
23894 libavformat61                      	       0      213       12       31      170
23895 libavformat61-dbgsym               	       0        1        0        1        0
23896 libavidemux0                       	       0        1        0        0        1
23897 libavif-bin                        	       0       12        0       12        0
23898 libavif-gdk-pixbuf                 	       0       40        0        0       40
23899 libavif13                          	       0        1        0        0        1
23900 libavif7                           	       0        1        0        0        1
23901 libavif7-gdk-pixbuf                	       0        1        0        0        1
23902 libavifile-0.7-bin                 	       0        2        0        2        0
23903 libavifile-0.7-common              	       0       22        0        0       22
23904 libavifile-0.7-dev                 	       0        2        0        2        0
23905 libavifile-0.7c2                   	       0       20        0        0       20
23906 libavkys7                          	       0        2        0        0        2
23907 libavkys8                          	       0        8        0        0        8
23908 libavkys9                          	       0       44        0        0       44
23909 libavl-dev                         	       0        1        0        1        0
23910 libavl1                            	       0        1        0        0        1
23911 libavogadro-data                   	       0       38        0        0       38
23912 libavogadro1                       	       0        1        0        1        0
23913 libavogadro2-1                     	       0       45        0        0       45
23914 libavogadro2-1t64                  	       0        8        0        0        8
23915 libavresample-dev                  	       0       17        0       17        0
23916 libavresample-ffmpeg2              	       0        5        0        0        5
23917 libavresample1                     	       0        6        0        0        6
23918 libavresample2                     	       0       80        0        2       78
23919 libavresample3                     	       0      136        0        0      136
23920 libavresample4                     	       0      667        2        5      660
23921 libavresample4-dbgsym              	       0        1        0        1        0
23922 libavro-compiler-java              	       0        1        0        0        1
23923 libavro-java                       	       0        1        0        0        1
23924 libavro-maven-plugin-java          	       0        1        0        0        1
23925 libavtp0                           	       0      208        0        0      208
23926 libavutil-5-dev                    	       0        1        0        1        0
23927 libavutil-ffmpeg54                 	       0        6        0        0        6
23928 libavutil49                        	       0        7        0        2        5
23929 libavutil50                        	       0        6        0        3        3
23930 libavutil51                        	       0       25        0        0       25
23931 libavutil52                        	       0        6        0        0        6
23932 libavutil53                        	       0        9        0        0        9
23933 libavutil54                        	       0       88        0        2       86
23934 libavutil55                        	       0      349        0        2      347
23935 libavutil56-dbgsym                 	       0        1        0        1        0
23936 libavutil58                        	       0      187       10       50      127
23937 libavutil59-dbgsym                 	       0        1        0        1        0
23938 libawl-php                         	       0        2        0        2        0
23939 libaws-bin                         	       0        1        0        1        0
23940 libaws-signature4-perl             	       0        1        0        1        0
23941 libaws20-dev                       	       0        1        0        1        0
23942 libaws6                            	       0        1        0        0        1
23943 libax25                            	       0       16        0        0       16
23944 libax25-dev                        	       0        4        0        4        0
23945 libaxc0                            	       0        8        1        2        5
23946 libaxis-java                       	       0        2        0        0        2
23947 libaxmlrpc-java                    	       0        3        0        0        3
23948 libayatana-appindicator-dev        	       0        2        0        2        0
23949 libayatana-appindicator3-dev       	       0       11        0       11        0
23950 libayatana-common0                 	       0       10        2        3        5
23951 libayatana-ido3-dev                	       0        9        0        9        0
23952 libayatana-indicator-dev           	       0        2        0        2        0
23953 libayatana-indicator3-dev          	       0       12        0       12        0
23954 libayatana-indicator3-tools        	       0        2        0        0        2
23955 libb-debug-perl                    	       0        3        0        3        0
23956 libb-hooks-op-check-perl           	       0     1222        0        0     1222
23957 libb-hooks-op-ppaddr-perl          	       0        1        0        0        1
23958 libb-lint-perl                     	       0        1        0        1        0
23959 libb-perlreq-perl                  	       0        1        0        0        1
23960 libb-utils-perl                    	       0        4        0        0        4
23961 libb2-dev                          	       0        2        0        2        0
23962 libb64-0d                          	       0       80        2        6       72
23963 libb64-dev                         	       0        6        0        6        0
23964 libbabeltrace-ctf-dev              	       0        2        0        0        2
23965 libbabeltrace-ctf1                 	       0       37        0        0       37
23966 libbabeltrace1                     	       0      697        1        3      693
23967 libbabeltrace2-0                   	       0        1        0        0        1
23968 libbabeltrace2-python-plugin-provider	       0        1        0        0        1
23969 libbabl-0.0-0                      	       0        5        0        5        0
23970 libbabl-0.0-0-dev                  	       0        1        0        1        0
23971 libbabl-0.0-doc                    	       0        1        0        0        1
23972 libbabl-0.1-0                      	       0     2719        1       18     2700
23973 libbabl-dev                        	       0       24        0       24        0
23974 libbackport-util-concurrent-java   	       0        5        0        0        5
23975 libbackport9-java                  	       0        3        0        0        3
23976 libbacktrace-dev                   	       0        1        0        1        0
23977 libbacktrace0                      	       0        1        0        0        1
23978 libbackuppc-xs-perl                	       0        7        2        5        0
23979 libball1.5                         	       0        1        0        0        1
23980 libball1.5-data                    	       0        1        0        0        1
23981 libballview1.5                     	       0        1        0        0        1
23982 libbaloocore4                      	       0        5        0        0        5
23983 libbaloofiles4                     	       0        5        0        0        5
23984 libbaloopim4                       	       0        4        0        1        3
23985 libbalooqueryparser4               	       0        5        0        0        5
23986 libbaloowidgets4                   	       0        5        0        0        5
23987 libbalooxapian4                    	       0        5        0        0        5
23988 libbambamc0                        	       0        2        0        0        2
23989 libbamf3-2t64                      	       0       27        0        7       20
23990 libbamf3-dev                       	       0        1        0        1        0
23991 libbamtools2.4.0                   	       0        1        0        0        1
23992 libbarclay-java                    	       0        5        0        0        5
23993 libbarcode-code128-perl            	       0        3        0        3        0
23994 libbarcode-zbar-perl               	       0        3        0        0        3
23995 libbaresip                         	       0        1        0        0        1
23996 libbareword-filehandles-perl       	       0       24        0        0       24
23997 libbase-java                       	       0      745        0        0      745
23998 libbase-java-openoffice.org        	       0        1        0        0        1
23999 libbase1                           	       0        2        0        0        2
24000 libbase58-0                        	       0        1        0        0        1
24001 libbaseencode1                     	       0       13        0        0       13
24002 libbash                            	       0        1        0        1        0
24003 libbash-doc                        	       0        2        0        0        2
24004 libbasicobjects0                   	       0       13        1        6        6
24005 libbasicplayer-java                	       0        2        0        0        2
24006 libbasicusageenvironment0          	       0       25        0        1       24
24007 libbasicusageenvironment1          	       0      198        0        0      198
24008 libbasicusageenvironment2          	       0       38        0        1       37
24009 libbasix-dev                       	       0        1        0        1        0
24010 libbasix0.5                        	       0        1        0        0        1
24011 libbatteries-ocaml-dev             	       0        1        0        1        0
24012 libbatteries-ocaml-doc             	       0        1        0        0        1
24013 libbatterycontrol6                 	       0       23        5       14        4
24014 libbcel-java                       	       0       90        0        0       90
24015 libbcel-java-doc                   	       0        7        0        0        7
24016 libbcg729-0                        	       0      336        2        0      334
24017 libbcg729-dev                      	       0        2        0        2        0
24018 libbcmail-java                     	       0      806        0        0      806
24019 libbcmail-java-doc                 	       0        1        0        0        1
24020 libbcmail-java-gcj                 	       0        1        0        1        0
24021 libbcmatroska2-5                   	       0       27        1        0       26
24022 libbcpg-java                       	       0      133        0        0      133
24023 libbcpg-java-doc                   	       0        2        0        0        2
24024 libbcpkix-java                     	       0      819        0        0      819
24025 libbcpkix-java-doc                 	       0        2        0        0        2
24026 libbcprov-java                     	       0      999        0        0      999
24027 libbcprov-java-doc                 	       0        2        0        0        2
24028 libbcprov-java-gcj                 	       0        1        0        1        0
24029 libbctls-java                      	       0        3        0        0        3
24030 libbctoolbox-dev                   	       0        5        0        5        0
24031 libbctoolbox1                      	       0       57        1        2       54
24032 libbctoolbox1t64                   	       0        3        0        0        3
24033 libbctsp-java                      	       0        5        0        0        5
24034 libbcutil-java                     	       0      511        0        0      511
24035 libbdd0c2                          	       0        2        0        0        2
24036 libbde-utils                       	       0        2        0        2        0
24037 libbde1                            	       0       16        0        0       16
24038 libbdplus-dev                      	       0        1        0        1        0
24039 libbdplus0                         	       0     3204        0        0     3204
24040 libbeam-java                       	       0        2        0        0        2
24041 libbeansbinding-java               	       0        3        0        0        3
24042 libbearssl0                        	       0        1        0        0        1
24043 libbeckon-clojure                  	       0        1        0        0        1
24044 libbeecrypt-dev                    	       0        1        0        1        0
24045 libbeecrypt6                       	       0        2        0        0        2
24046 libbeecrypt7                       	       0        1        0        0        1
24047 libbeegfs-ib                       	       0        1        0        0        1
24048 libbeidpkcs11-0                    	       0        8        1        5        2
24049 libbeidpkcs11-bin                  	       0        8        1        7        0
24050 libbelcard-dev                     	       0        3        0        0        3
24051 libbelcard1                        	       0       32        1        2       29
24052 libbellesip-dev                    	       0        2        0        2        0
24053 libbellesip1                       	       0       26        1        2       23
24054 libbellesip2                       	       0        1        0        0        1
24055 libbellesip2t64                    	       0        3        0        0        3
24056 libbelr-dev                        	       0        2        0        2        0
24057 libbelr1                           	       0       32        1        2       29
24058 libbemenu-wayland                  	       0       10        0        0       10
24059 libbemenu-x11                      	       0        1        0        0        1
24060 libbemenu0                         	       0       11        0        0       11
24061 libbenchmark-dev                   	       0        6        0        6        0
24062 libbenchmark-timer-perl            	       0        3        0        3        0
24063 libbenchmark-tools                 	       0        1        0        0        1
24064 libbenchmark1                      	       0        2        0        0        2
24065 libbenchmark1debian                	       0       18        0        0       18
24066 libbencode-perl                    	       0        2        0        2        0
24067 libberkeleydb-perl                 	       0      543        8       15      520
24068 libberylsettings-dev               	       0        1        0        1        0
24069 libberylsettings0                  	       0        1        0        1        0
24070 libbetter-appframework-java        	       0        3        0        0        3
24071 libbfb0                            	       0       17        0        0       17
24072 libbfb0t64                         	       0        2        0        0        2
24073 libbfio-dev                        	       0        2        0        2        0
24074 libbfio1                           	       0      174        0        0      174
24075 libbg1                             	       0        2        0        0        2
24076 libbg1-doc                         	       0        1        0        0        1
24077 libbg2                             	       0        3        1        0        2
24078 libbgcode-dev                      	       0        1        0        1        0
24079 libbiblesync1.1                    	       0       13        0        0       13
24080 libbiblio-endnotestyle-perl        	       0        2        1        1        0
24081 libbiblio-thesaurus-perl           	       0        2        0        2        0
24082 libbibtex-parser-perl              	       0       23        1       22        0
24083 libbibutils1                       	       0        1        0        0        1
24084 libbibutils2                       	       0        3        0        0        3
24085 libbibutils7                       	       0        7        0        0        7
24086 libbibutils8                       	       0       10        0        0       10
24087 libbidi-clojure                    	       0        2        0        0        2
24088 libbigarray-compat-ocaml           	       0        3        0        2        1
24089 libbigarray-compat-ocaml-dev       	       0        2        0        2        0
24090 libbigwig0                         	       0        1        0        0        1
24091 libbind-config-parser-perl         	       0        1        0        1        0
24092 libbind-export-dev                 	       0        2        0        2        0
24093 libbind9-0                         	       0        3        0        0        3
24094 libbind9-140                       	       0      190        0        0      190
24095 libbind9-161                       	       0      311        0        0      311
24096 libbind9-60                        	       0       18        0        0       18
24097 libbind9-80                        	       0       21        0        0       21
24098 libbind9-90                        	       0       82        0        0       82
24099 libbindex-java                     	       0       47        0        0       47
24100 libbinio-dev                       	       0        4        0        4        0
24101 libbinio1ldbl                      	       0        6        0        0        6
24102 libbinio1v5                        	       0       76       16       15       45
24103 libbio-asn1-entrezgene-perl        	       0        3        0        3        0
24104 libbio-cluster-perl                	       0        3        0        3        0
24105 libbio-eutilities-perl             	       0        3        0        3        0
24106 libbio-featureio-perl              	       0        3        0        3        0
24107 libbio-perl-perl                   	       0        3        0        3        0
24108 libbio-perl-run-perl               	       0        3        0        3        0
24109 libbio-tools-run-alignment-clustalw-perl	       0        3        0        3        0
24110 libbio-tools-run-remoteblast-perl  	       0        3        0        3        0
24111 libbio-variation-perl              	       0        3        0        3        0
24112 libbiojava6-java                   	       0        1        0        0        1
24113 libbiosig2                         	       0        1        0        0        1
24114 libbiosig3                         	       0        2        0        0        2
24115 libbison-dev                       	       0       86        0        0       86
24116 libbit-vector-minimal-perl         	       0        2        0        2        0
24117 libbit-vector-perl                 	       0      444        0        0      444
24118 libbit4xpki                        	       0        4        1        3        0
24119 libbitcoinconsensus-dev            	       0        1        0        1        0
24120 libbitcoinconsensus0               	       0        1        0        0        1
24121 libbitmask-dev                     	       0        2        0        2        0
24122 libbitmask1                        	       0        2        0        0        2
24123 libbitstream-dev                   	       0        3        0        3        0
24124 libbitstring-ocaml                 	       0        3        0        3        0
24125 libbitstring-ocaml-dev             	       0        3        0        3        0
24126 libblacs-openmpi1                  	       0        1        0        0        1
24127 libbladerf-dev                     	       0        2        0        2        0
24128 libbladerf1                        	       0        1        0        1        0
24129 libblas-common                     	       0      160        0        0      160
24130 libblas3gf                         	       0       12        0        0       12
24131 libblas64-3                        	       0        4        0        0        4
24132 libblas64-dev                      	       0        3        0        3        0
24133 libblasr5.3.4                      	       0        1        0        0        1
24134 libblasr5.3.5                      	       0        1        0        0        1
24135 libblis3-openmp                    	       0        1        0        1        0
24136 libblis3-pthread                   	       0        1        0        0        1
24137 libblis3-serial                    	       0        1        0        0        1
24138 libbliss-dev                       	       0        1        0        0        1
24139 libbliss-dev-common                	       0        1        0        1        0
24140 libbliss2                          	       0        6        0        0        6
24141 libblitz-doc                       	       0        1        0        0        1
24142 libblitz0-dev                      	       0        1        0        1        0
24143 libblitz0v5                        	       0        2        0        0        2
24144 libblkid1-dbgsym                   	       0        2        0        2        0
24145 libblkio1                          	       0       47        0        0       47
24146 libblkmaker-0.1-6                  	       0        1        0        0        1
24147 libblockdev-btrfs2                 	       0       12        0        0       12
24148 libblockdev-btrfs3                 	       0        5        0        0        5
24149 libblockdev-dev                    	       0        2        0        2        0
24150 libblockdev-dm2                    	       0        1        0        0        1
24151 libblockdev-fs-dev                 	       0        1        0        1        0
24152 libblockdev-kbd2                   	       0        5        0        0        5
24153 libblockdev-lvm-dbus2              	       0        1        0        0        1
24154 libblockdev-lvm2                   	       0        6        0        0        6
24155 libblockdev-lvm3                   	       0        5        0        0        5
24156 libblockdev-mdraid2                	       0       10        2        4        4
24157 libblockdev-part-dev               	       0        1        0        1        0
24158 libblocksruntime-dev               	       0        2        0        2        0
24159 libblocksruntime0                  	       0        2        0        0        2
24160 libblocksruntime1                  	       0        1        0        0        1
24161 libbloom-dev                       	       0        1        0        1        0
24162 libbloom1                          	       0        5        1        3        1
24163 libblosc1                          	       0      621        3       10      608
24164 libblosc2-2                        	       0        1        0        0        1
24165 libblosc2-3                        	       0        1        0        0        1
24166 libblosc2-4                        	       0        7        0        0        7
24167 libbluedevil1                      	       0        1        0        1        0
24168 libbluedevil2                      	       0        2        0        0        2
24169 libbluetooth2                      	       0        2        0        0        2
24170 libbluray-bdj                      	       0       34        0        1       33
24171 libbluray-bin                      	       0       25        0       25        0
24172 libbluray-dev                      	       0       40        1       39        0
24173 libbluray-doc                      	       0        9        0        0        9
24174 libbluray0                         	       0        1        0        0        1
24175 libbluray1                         	       0      170        0        1      169
24176 libbmusb-dev                       	       0        1        0        1        0
24177 libbmusb6                          	       0        1        0        1        0
24178 libbobcat3                         	       0        1        0        0        1
24179 libbobcat4                         	       0        4        0        0        4
24180 libbobcat5                         	       0        3        0        0        3
24181 libbobcat6                         	       0       11        1        0       10
24182 libbogl-dev                        	       0        4        0        4        0
24183 libbogl0                           	       0        4        0        0        4
24184 libboilerpipe-java                 	       0        1        0        0        1
24185 libboinc-app7                      	       0        1        0        0        1
24186 libboinc7                          	       0       25        5       13        7
24187 libbolt-16-dev                     	       0        1        0        1        0
24188 libbond-dev                        	       0        1        0        1        0
24189 libbondcpp-dev                     	       0        1        0        1        0
24190 libbondcpp1d                       	       0        2        0        0        2
24191 libbonobo2-0                       	       0      167        0        0      167
24192 libbonobo2-bin                     	       0        1        0        1        0
24193 libbonobo2-common                  	       0      168        0        1      167
24194 libbonobo2-dev                     	       0        5        0        5        0
24195 libbonoboui2-0                     	       0       94        0        0       94
24196 libbonoboui2-common                	       0      130        0        0      130
24197 libbonoboui2-dev                   	       0        3        0        3        0
24198 libboo2.0.9-cil                    	       0        1        0        1        0
24199 libboogie-cil                      	       0        1        0        1        0
24200 libboolean-perl                    	       0       41        1       40        0
24201 libboost-all-dev                   	       0       59        0        0       59
24202 libboost-atomic-dev                	       0       67        0        0       67
24203 libboost-atomic1.55-dev            	       0        3        0        0        3
24204 libboost-atomic1.55.0              	       0        4        0        0        4
24205 libboost-atomic1.62-dev            	       0        3        0        0        3
24206 libboost-atomic1.62.0              	       0       24        0        0       24
24207 libboost-atomic1.67-dev            	       0        7        0        0        7
24208 libboost-atomic1.67.0              	       0      194        1        1      192
24209 libboost-atomic1.71-dev            	       0        1        0        0        1
24210 libboost-atomic1.71.0              	       0        1        0        0        1
24211 libboost-atomic1.71.0-dbgsym       	       0        1        0        1        0
24212 libboost-atomic1.74-dev            	       0      130        0        0      130
24213 libboost-atomic1.74.0              	       0      131        0        0      131
24214 libboost-atomic1.74.0-dbgsym       	       0        1        0        1        0
24215 libboost-atomic1.81-dev            	       0        3        0        0        3
24216 libboost-atomic1.81.0              	       0        3        0        0        3
24217 libboost-atomic1.83-dev            	       0       18        0        0       18
24218 libboost-atomic1.83.0              	       0       18        0        0       18
24219 libboost-chrono-dev                	       0       81        0        0       81
24220 libboost-chrono1.49-dev            	       0        1        0        1        0
24221 libboost-chrono1.49.0              	       0        3        0        0        3
24222 libboost-chrono1.55-dev            	       0        3        0        0        3
24223 libboost-chrono1.55.0              	       0        6        0        0        6
24224 libboost-chrono1.62-dev            	       0        3        0        0        3
24225 libboost-chrono1.62.0              	       0       50        1        3       46
24226 libboost-chrono1.67-dev            	       0        8        0        0        8
24227 libboost-chrono1.67.0              	       0      187        1        2      184
24228 libboost-chrono1.71-dev            	       0        1        0        0        1
24229 libboost-chrono1.71.0              	       0        2        0        0        2
24230 libboost-chrono1.71.0-dbgsym       	       0        1        0        1        0
24231 libboost-chrono1.74-dev            	       0      131        0        0      131
24232 libboost-chrono1.74.0              	       0      543        0        5      538
24233 libboost-chrono1.74.0-dbgsym       	       0        1        0        1        0
24234 libboost-chrono1.81-dev            	       0        2        0        0        2
24235 libboost-chrono1.81.0              	       0        2        0        0        2
24236 libboost-chrono1.83-dev            	       0       15        0        0       15
24237 libboost-chrono1.83.0              	       0        2        0        0        2
24238 libboost-chrono1.83.0t64           	       0       56        0        1       55
24239 libboost-container-dev             	       0       58        0        0       58
24240 libboost-container1.67-dev         	       0        5        0        0        5
24241 libboost-container1.67.0           	       0        7        0        0        7
24242 libboost-container1.74-dev         	       0       61        0        0       61
24243 libboost-container1.74.0           	       0       61        0        0       61
24244 libboost-container1.81-dev         	       0        2        0        0        2
24245 libboost-container1.81.0           	       0        2        0        0        2
24246 libboost-container1.83-dev         	       0       11        0        0       11
24247 libboost-container1.83.0           	       0       11        0        0       11
24248 libboost-context-dev               	       0       71        0        0       71
24249 libboost-context1.55-dev           	       0        2        0        0        2
24250 libboost-context1.55.0             	       0        3        0        0        3
24251 libboost-context1.62-dev           	       0        2        0        0        2
24252 libboost-context1.62.0             	       0       11        0        0       11
24253 libboost-context1.67-dev           	       0        5        0        0        5
24254 libboost-context1.67.0             	       0        9        0        0        9
24255 libboost-context1.74-dev           	       0       68        0        0       68
24256 libboost-context1.74.0             	       0      116       12       25       79
24257 libboost-context1.74.0-dbgsym      	       0        1        0        1        0
24258 libboost-context1.81-dev           	       0        2        0        0        2
24259 libboost-context1.81.0             	       0        2        0        0        2
24260 libboost-context1.83-dev           	       0       11        0        0       11
24261 libboost-context1.83.0             	       0       27        5       10       12
24262 libboost-contract-dev              	       0        1        0        0        1
24263 libboost-contract1.74-dev          	       0        5        0        0        5
24264 libboost-contract1.74.0            	       0        5        0        0        5
24265 libboost-coroutine-dev             	       0       69        0        0       69
24266 libboost-coroutine1.55-dev         	       0        2        0        0        2
24267 libboost-coroutine1.62-dev         	       0        2        0        0        2
24268 libboost-coroutine1.62.0           	       0        2        0        0        2
24269 libboost-coroutine1.67-dev         	       0        4        0        0        4
24270 libboost-coroutine1.67.0           	       0        7        0        0        7
24271 libboost-coroutine1.74-dev         	       0       64        0        0       64
24272 libboost-coroutine1.74.0           	       0       99       11       23       65
24273 libboost-coroutine1.74.0-dbgsym    	       0        1        0        1        0
24274 libboost-coroutine1.81-dev         	       0        2        0        0        2
24275 libboost-coroutine1.81.0           	       0        2        0        0        2
24276 libboost-coroutine1.83-dev         	       0       11        0        0       11
24277 libboost-coroutine1.83.0           	       0       26        5       10       11
24278 libboost-date-time-dev             	       0      106        0        0      106
24279 libboost-date-time1.33.1           	       0        1        0        0        1
24280 libboost-date-time1.34.1           	       0        1        0        0        1
24281 libboost-date-time1.42.0           	       0        2        0        0        2
24282 libboost-date-time1.49-dev         	       0        1        0        1        0
24283 libboost-date-time1.49.0           	       0        4        0        0        4
24284 libboost-date-time1.55-dev         	       0        3        0        0        3
24285 libboost-date-time1.55.0           	       0       17        0        0       17
24286 libboost-date-time1.58.0           	       0        2        0        0        2
24287 libboost-date-time1.62-dev         	       0        4        0        0        4
24288 libboost-date-time1.62.0           	       0       82        0        0       82
24289 libboost-date-time1.67-dev         	       0        8        0        0        8
24290 libboost-date-time1.67.0           	       0      179        0        1      178
24291 libboost-date-time1.71-dev         	       0        1        0        0        1
24292 libboost-date-time1.71.0           	       0        4        0        0        4
24293 libboost-date-time1.71.0-dbgsym    	       0        1        0        1        0
24294 libboost-date-time1.74-dev         	       0      146        0        0      146
24295 libboost-date-time1.74.0           	       0      149        0        0      149
24296 libboost-date-time1.74.0-dbgsym    	       0        1        0        1        0
24297 libboost-date-time1.81-dev         	       0        2        0        0        2
24298 libboost-date-time1.81.0           	       0        2        0        0        2
24299 libboost-date-time1.83-dev         	       0       18        0        0       18
24300 libboost-date-time1.83.0           	       0       18        0        0       18
24301 libboost-dev                       	       0      532        0        0      532
24302 libboost-doc                       	       0       11        0        0       11
24303 libboost-exception-dev             	       0       65        0        0       65
24304 libboost-exception1.55-dev         	       0        2        0        0        2
24305 libboost-exception1.62-dev         	       0        2        0        0        2
24306 libboost-exception1.67-dev         	       0        4        0        0        4
24307 libboost-exception1.74-dev         	       0       64        0        0       64
24308 libboost-exception1.81-dev         	       0        2        0        0        2
24309 libboost-exception1.83-dev         	       0       11        0        0       11
24310 libboost-fiber-dev                 	       0       62        0        0       62
24311 libboost-fiber1.62-dev             	       0        2        0        0        2
24312 libboost-fiber1.62.0               	       0        2        0        0        2
24313 libboost-fiber1.67-dev             	       0        5        0        0        5
24314 libboost-fiber1.67.0               	       0        8        0        0        8
24315 libboost-fiber1.74-dev             	       0       61        0        0       61
24316 libboost-fiber1.74.0               	       0       61        0        0       61
24317 libboost-fiber1.81-dev             	       0        2        0        0        2
24318 libboost-fiber1.81.0               	       0        2        0        0        2
24319 libboost-fiber1.83-dev             	       0       11        0        0       11
24320 libboost-fiber1.83.0               	       0       11        0        0       11
24321 libboost-filesystem-dev            	       0      122        0        0      122
24322 libboost-filesystem1.33.1          	       0        1        0        0        1
24323 libboost-filesystem1.34.1          	       0        1        0        0        1
24324 libboost-filesystem1.42.0          	       0        2        0        0        2
24325 libboost-filesystem1.49-dev        	       0        1        0        1        0
24326 libboost-filesystem1.49.0          	       0        5        0        0        5
24327 libboost-filesystem1.54.0          	       0        1        0        0        1
24328 libboost-filesystem1.55-dev        	       0        2        0        0        2
24329 libboost-filesystem1.55.0          	       0        9        0        0        9
24330 libboost-filesystem1.58.0          	       0        1        0        0        1
24331 libboost-filesystem1.61.0          	       0        2        0        0        2
24332 libboost-filesystem1.62-dev        	       0        3        0        0        3
24333 libboost-filesystem1.62.0          	       0      160        1        3      156
24334 libboost-filesystem1.67-dev        	       0       10        0        0       10
24335 libboost-filesystem1.67.0          	       0      189        1        2      186
24336 libboost-filesystem1.71-dev        	       0        1        0        0        1
24337 libboost-filesystem1.71.0          	       0        7        0        0        7
24338 libboost-filesystem1.71.0-dbgsym   	       0        1        0        1        0
24339 libboost-filesystem1.74-dev        	       0      140        0        0      140
24340 libboost-filesystem1.74.0-dbgsym   	       0        1        0        1        0
24341 libboost-filesystem1.81-dev        	       0        3        0        0        3
24342 libboost-filesystem1.81.0          	       0        3        0        0        3
24343 libboost-filesystem1.83-dev        	       0       18        0        0       18
24344 libboost-geometry-utils-perl       	       0       27        0        0       27
24345 libboost-graph-dev                 	       0       73        0        0       73
24346 libboost-graph-parallel-dev        	       0       64        0        0       64
24347 libboost-graph-parallel1.49-dev    	       0        1        0        1        0
24348 libboost-graph-parallel1.55-dev    	       0        2        0        0        2
24349 libboost-graph-parallel1.55.0      	       0        2        0        0        2
24350 libboost-graph-parallel1.62-dev    	       0        2        0        0        2
24351 libboost-graph-parallel1.62.0      	       0        2        0        0        2
24352 libboost-graph-parallel1.67-dev    	       0        5        0        0        5
24353 libboost-graph-parallel1.67.0      	       0        6        0        0        6
24354 libboost-graph-parallel1.74-dev    	       0       61        0        0       61
24355 libboost-graph-parallel1.74.0      	       0       61        0        0       61
24356 libboost-graph-parallel1.81-dev    	       0        2        0        0        2
24357 libboost-graph-parallel1.81.0      	       0        2        0        0        2
24358 libboost-graph-parallel1.83-dev    	       0       11        0        0       11
24359 libboost-graph-parallel1.83.0      	       0       11        0        0       11
24360 libboost-graph1.34.1               	       0        1        0        0        1
24361 libboost-graph1.49-dev             	       0        1        0        1        0
24362 libboost-graph1.49.0               	       0        2        0        0        2
24363 libboost-graph1.55-dev             	       0        2        0        0        2
24364 libboost-graph1.55.0               	       0        3        0        0        3
24365 libboost-graph1.62-dev             	       0        2        0        0        2
24366 libboost-graph1.62.0               	       0        2        0        0        2
24367 libboost-graph1.67-dev             	       0        6        0        0        6
24368 libboost-graph1.67.0               	       0        9        0        0        9
24369 libboost-graph1.74-dev             	       0       70        0        0       70
24370 libboost-graph1.74.0               	       0       70        0        0       70
24371 libboost-graph1.81-dev             	       0        2        0        0        2
24372 libboost-graph1.81.0               	       0        2        0        0        2
24373 libboost-graph1.83-dev             	       0       11        0        0       11
24374 libboost-graph1.83.0               	       0       11        0        0       11
24375 libboost-iostreams-dev             	       0       90        0        0       90
24376 libboost-iostreams1.34.1           	       0        1        0        0        1
24377 libboost-iostreams1.42.0           	       0       20        0        0       20
24378 libboost-iostreams1.46.1           	       0        2        0        0        2
24379 libboost-iostreams1.48.0           	       0        1        0        0        1
24380 libboost-iostreams1.49-dev         	       0        1        0        1        0
24381 libboost-iostreams1.49.0           	       0       62        0        0       62
24382 libboost-iostreams1.53.0           	       0        1        0        0        1
24383 libboost-iostreams1.54.0           	       0        4        0        0        4
24384 libboost-iostreams1.55-dev         	       0        2        0        0        2
24385 libboost-iostreams1.55.0           	       0      215        0        0      215
24386 libboost-iostreams1.58.0           	       0        1        0        0        1
24387 libboost-iostreams1.62-dev         	       0        3        0        0        3
24388 libboost-iostreams1.62.0           	       0      159        0        0      159
24389 libboost-iostreams1.67-dev         	       0        5        0        0        5
24390 libboost-iostreams1.67.0           	       0      233        1        0      232
24391 libboost-iostreams1.71.0           	       0        4        0        0        4
24392 libboost-iostreams1.74-dev         	       0       86        0        0       86
24393 libboost-iostreams1.74.0-dbgsym    	       0        1        0        1        0
24394 libboost-iostreams1.81-dev         	       0        2        0        0        2
24395 libboost-iostreams1.81.0           	       0        3        0        0        3
24396 libboost-iostreams1.83-dev         	       0       12        0        0       12
24397 libboost-iostreams1.83.0           	       0      245        9       19      217
24398 libboost-json-dev                  	       0        6        0        0        6
24399 libboost-json1.83-dev              	       0       10        0        0       10
24400 libboost-json1.83.0                	       0       10        0        0       10
24401 libboost-locale-dev                	       0       78        0        0       78
24402 libboost-locale1.49-dev            	       0        1        0        1        0
24403 libboost-locale1.49.0              	       0        2        0        0        2
24404 libboost-locale1.55-dev            	       0        2        0        0        2
24405 libboost-locale1.55.0              	       0        5        0        0        5
24406 libboost-locale1.62-dev            	       0        3        0        0        3
24407 libboost-locale1.62.0              	       0       25        0        0       25
24408 libboost-locale1.67-dev            	       0        4        0        0        4
24409 libboost-locale1.67.0              	       0      162        0        0      162
24410 libboost-locale1.71-dev            	       0        1        0        0        1
24411 libboost-locale1.71.0              	       0        4        0        0        4
24412 libboost-locale1.71.0-dbgsym       	       0        1        0        1        0
24413 libboost-locale1.74-dev            	       0       73        0        0       73
24414 libboost-locale1.74.0-dbgsym       	       0        1        0        1        0
24415 libboost-locale1.81-dev            	       0        2        0        0        2
24416 libboost-locale1.81.0              	       0        3        0        0        3
24417 libboost-locale1.83-dev            	       0       11        0        0       11
24418 libboost-locale1.83.0              	       0      196        3        5      188
24419 libboost-log-dev                   	       0       70        0        0       70
24420 libboost-log1.55-dev               	       0        2        0        0        2
24421 libboost-log1.55.0                 	       0        2        0        0        2
24422 libboost-log1.62-dev               	       0        2        0        0        2
24423 libboost-log1.62.0                 	       0        3        0        0        3
24424 libboost-log1.67-dev               	       0        4        0        0        4
24425 libboost-log1.67.0                 	       0       20        0        0       20
24426 libboost-log1.74-dev               	       0       67        0        0       67
24427 libboost-log1.74.0                 	       0      112        1        3      108
24428 libboost-log1.74.0-dbgsym          	       0        1        0        1        0
24429 libboost-log1.81-dev               	       0        2        0        0        2
24430 libboost-log1.81.0                 	       0        2        0        0        2
24431 libboost-log1.83-dev               	       0       12        0        0       12
24432 libboost-log1.83.0                 	       0       15        0        0       15
24433 libboost-math-dev                  	       0       66        0        0       66
24434 libboost-math1.49-dev              	       0        1        0        1        0
24435 libboost-math1.49.0                	       0        2        0        0        2
24436 libboost-math1.55-dev              	       0        2        0        0        2
24437 libboost-math1.55.0                	       0        3        0        0        3
24438 libboost-math1.62-dev              	       0        2        0        0        2
24439 libboost-math1.62.0                	       0        2        0        0        2
24440 libboost-math1.67-dev              	       0        5        0        0        5
24441 libboost-math1.67.0                	       0        8        0        0        8
24442 libboost-math1.74-dev              	       0       66        0        0       66
24443 libboost-math1.74.0                	       0       66        0        0       66
24444 libboost-math1.81-dev              	       0        2        0        0        2
24445 libboost-math1.81.0                	       0        2        0        0        2
24446 libboost-math1.83-dev              	       0       11        0        0       11
24447 libboost-math1.83.0                	       0       11        0        0       11
24448 libboost-mpi-dev                   	       0       62        0        0       62
24449 libboost-mpi-python-dev            	       0       60        0        0       60
24450 libboost-mpi-python1.55-dev        	       0        1        0        0        1
24451 libboost-mpi-python1.55.0          	       0        1        0        1        0
24452 libboost-mpi-python1.62-dev        	       0        2        0        0        2
24453 libboost-mpi-python1.62.0          	       0        2        0        2        0
24454 libboost-mpi-python1.67-dev        	       0        2        0        0        2
24455 libboost-mpi-python1.67.0          	       0        2        0        2        0
24456 libboost-mpi-python1.74-dev        	       0       56        0        0       56
24457 libboost-mpi-python1.74.0          	       0       56        0       56        0
24458 libboost-mpi-python1.81-dev        	       0        2        0        0        2
24459 libboost-mpi-python1.81.0          	       0        2        0        2        0
24460 libboost-mpi-python1.83-dev        	       0        7        0        0        7
24461 libboost-mpi1.55-dev               	       0        1        0        0        1
24462 libboost-mpi1.55.0                 	       0        2        0        0        2
24463 libboost-mpi1.62-dev               	       0        2        0        0        2
24464 libboost-mpi1.62.0                 	       0        3        0        0        3
24465 libboost-mpi1.67-dev               	       0        3        0        0        3
24466 libboost-mpi1.67.0                 	       0        6        0        0        6
24467 libboost-mpi1.74-dev               	       0       59        0        0       59
24468 libboost-mpi1.74.0                 	       0       63        0        0       63
24469 libboost-mpi1.81-dev               	       0        2        0        0        2
24470 libboost-mpi1.81.0                 	       0        2        0        0        2
24471 libboost-mpi1.83-dev               	       0       10        0        0       10
24472 libboost-mpi1.83.0                 	       0       11        0        0       11
24473 libboost-nowide-dev                	       0       57        0        0       57
24474 libboost-nowide1.74-dev            	       0       61        0        0       61
24475 libboost-nowide1.74.0              	       0       83        0        0       83
24476 libboost-nowide1.74.0-dbgsym       	       0        1        0        1        0
24477 libboost-nowide1.81-dev            	       0        2        0        0        2
24478 libboost-nowide1.81.0              	       0        2        0        0        2
24479 libboost-nowide1.83-dev            	       0       11        0        0       11
24480 libboost-nowide1.83.0              	       0       12        0        0       12
24481 libboost-numpy-dev                 	       0       57        0        0       57
24482 libboost-numpy1.67-dev             	       0        6        0        0        6
24483 libboost-numpy1.67.0               	       0        7        0        0        7
24484 libboost-numpy1.74-dev             	       0       60        0        0       60
24485 libboost-numpy1.74.0               	       0       60        0        0       60
24486 libboost-numpy1.81-dev             	       0        2        0        0        2
24487 libboost-numpy1.81.0               	       0        2        0        0        2
24488 libboost-numpy1.83-dev             	       0       11        0        0       11
24489 libboost-numpy1.83.0               	       0       12        0        0       12
24490 libboost-program-options-dev       	       0      111        0        0      111
24491 libboost-program-options1.34.1     	       0        1        0        0        1
24492 libboost-program-options1.42.0     	       0        1        0        0        1
24493 libboost-program-options1.49-dev   	       0        1        0        1        0
24494 libboost-program-options1.49.0     	       0        7        0        0        7
24495 libboost-program-options1.55-dev   	       0        2        0        0        2
24496 libboost-program-options1.55.0     	       0       13        0        0       13
24497 libboost-program-options1.58.0     	       0        1        0        0        1
24498 libboost-program-options1.62-dev   	       0        3        0        0        3
24499 libboost-program-options1.62.0     	       0       84        1        3       80
24500 libboost-program-options1.67-dev   	       0        8        0        0        8
24501 libboost-program-options1.67.0     	       0       92        1        0       91
24502 libboost-program-options1.71.0     	       0        5        0        0        5
24503 libboost-program-options1.74-dev   	       0      131        0        0      131
24504 libboost-program-options1.74.0     	       0     1482       23       54     1405
24505 libboost-program-options1.74.0-dbgsym	       0        1        0        1        0
24506 libboost-program-options1.81-dev   	       0        2        0        0        2
24507 libboost-program-options1.81.0     	       0        2        0        0        2
24508 libboost-program-options1.83-dev   	       0       16        0        0       16
24509 libboost-program-options1.83.0     	       0      134        5       15      114
24510 libboost-python-dev                	       0       77        0        0       77
24511 libboost-python1.33.1              	       0        1        0        0        1
24512 libboost-python1.34.1              	       0        2        0        0        2
24513 libboost-python1.42.0              	       0        3        0        0        3
24514 libboost-python1.49-dev            	       0        1        0        1        0
24515 libboost-python1.49.0              	       0        4        0        0        4
24516 libboost-python1.55-dev            	       0        2        0        2        0
24517 libboost-python1.55.0              	       0       13        0        0       13
24518 libboost-python1.58.0              	       0        1        0        0        1
24519 libboost-python1.62-dev            	       0        2        0        2        0
24520 libboost-python1.62.0              	       0       11        0        0       11
24521 libboost-python1.67-dev            	       0        3        0        0        3
24522 libboost-python1.67.0              	       0       39        0        0       39
24523 libboost-python1.74-dev            	       0       71        0        0       71
24524 libboost-python1.74.0              	       0      356        4        6      346
24525 libboost-python1.74.0-dbgsym       	       0        1        0        1        0
24526 libboost-python1.81-dev            	       0        2        0        0        2
24527 libboost-python1.81.0              	       0        2        0        0        2
24528 libboost-python1.83-dev            	       0       12        0        0       12
24529 libboost-python1.83.0              	       0       47        1        0       46
24530 libboost-random-dev                	       0       73        0        0       73
24531 libboost-random1.49-dev            	       0        1        0        1        0
24532 libboost-random1.49.0              	       0        2        0        0        2
24533 libboost-random1.55-dev            	       0        2        0        0        2
24534 libboost-random1.55.0              	       0        4        0        0        4
24535 libboost-random1.62-dev            	       0        2        0        0        2
24536 libboost-random1.62.0              	       0       37        0        0       37
24537 libboost-random1.67-dev            	       0        5        0        0        5
24538 libboost-random1.67.0              	       0       35        0        0       35
24539 libboost-random1.71-dev            	       0        1        0        0        1
24540 libboost-random1.71.0              	       0        1        0        0        1
24541 libboost-random1.71.0-dbgsym       	       0        1        0        1        0
24542 libboost-random1.74-dev            	       0       70        0        0       70
24543 libboost-random1.74.0              	       0      113        2        0      111
24544 libboost-random1.74.0-dbgsym       	       0        1        0        1        0
24545 libboost-random1.81-dev            	       0        2        0        0        2
24546 libboost-random1.81.0              	       0        2        0        0        2
24547 libboost-random1.83-dev            	       0       11        0        0       11
24548 libboost-random1.83.0              	       0       20        0        0       20
24549 libboost-regex-dev                 	       0      110        0        0      110
24550 libboost-regex1.33.1               	       0        1        0        0        1
24551 libboost-regex1.34.1               	       0        1        0        0        1
24552 libboost-regex1.42.0               	       0        5        0        0        5
24553 libboost-regex1.49-dev             	       0        1        0        1        0
24554 libboost-regex1.49.0               	       0        6        0        0        6
24555 libboost-regex1.54.0               	       0        1        0        0        1
24556 libboost-regex1.55-dev             	       0        2        0        0        2
24557 libboost-regex1.55.0               	       0       12        0        0       12
24558 libboost-regex1.62-dev             	       0        3        0        0        3
24559 libboost-regex1.62.0               	       0       48        1        3       44
24560 libboost-regex1.67-dev             	       0        6        0        0        6
24561 libboost-regex1.67.0               	       0       92        1        0       91
24562 libboost-regex1.71-dev             	       0        1        0        0        1
24563 libboost-regex1.71.0               	       0        4        0        0        4
24564 libboost-regex1.71.0-dbgsym        	       0        1        0        1        0
24565 libboost-regex1.74-dev             	       0      137        0        0      137
24566 libboost-regex1.74.0               	       0      806       20       47      739
24567 libboost-regex1.74.0-dbgsym        	       0        1        0        1        0
24568 libboost-regex1.81-dev             	       0        2        0        0        2
24569 libboost-regex1.81.0               	       0        2        0        0        2
24570 libboost-regex1.83-dev             	       0       19        0        0       19
24571 libboost-regex1.83.0               	       0       19        0        0       19
24572 libboost-serialization-dev         	       0       79        0        0       79
24573 libboost-serialization1.34.1       	       0        1        0        0        1
24574 libboost-serialization1.42.0       	       0        2        0        0        2
24575 libboost-serialization1.49-dev     	       0        1        0        1        0
24576 libboost-serialization1.49.0       	       0        5        0        0        5
24577 libboost-serialization1.55-dev     	       0        3        0        0        3
24578 libboost-serialization1.55.0       	       0        6        0        0        6
24579 libboost-serialization1.62-dev     	       0        4        0        0        4
24580 libboost-serialization1.62.0       	       0       11        0        0       11
24581 libboost-serialization1.67-dev     	       0       11        0        0       11
24582 libboost-serialization1.67.0       	       0       26        0        0       26
24583 libboost-serialization1.71-dev     	       0        1        0        0        1
24584 libboost-serialization1.71.0       	       0        1        0        0        1
24585 libboost-serialization1.71.0-dbgsym	       0        1        0        1        0
24586 libboost-serialization1.74-dev     	       0      149        0        0      149
24587 libboost-serialization1.74.0       	       0      213        1        4      208
24588 libboost-serialization1.74.0-dbgsym	       0        1        0        1        0
24589 libboost-serialization1.81-dev     	       0        2        0        0        2
24590 libboost-serialization1.81.0       	       0        2        0        0        2
24591 libboost-serialization1.83-dev     	       0       19        0        0       19
24592 libboost-serialization1.83.0       	       0       31        0        1       30
24593 libboost-signals-dev               	       0        6        0        0        6
24594 libboost-signals1.34.1             	       0        1        0        0        1
24595 libboost-signals1.42.0             	       0        1        0        0        1
24596 libboost-signals1.49.0             	       0        2        0        0        2
24597 libboost-signals1.55-dev           	       0        2        0        0        2
24598 libboost-signals1.55.0             	       0        8        0        0        8
24599 libboost-signals1.62-dev           	       0        2        0        0        2
24600 libboost-signals1.62.0             	       0       15        0        0       15
24601 libboost-signals1.67-dev           	       0        5        0        0        5
24602 libboost-signals1.67.0             	       0       10        0        0       10
24603 libboost-stacktrace-dev            	       0       59        0        0       59
24604 libboost-stacktrace1.67-dev        	       0        5        0        0        5
24605 libboost-stacktrace1.67.0          	       0        7        0        0        7
24606 libboost-stacktrace1.74-dev        	       0       62        0        0       62
24607 libboost-stacktrace1.74.0          	       0       62        0        0       62
24608 libboost-stacktrace1.81-dev        	       0        2        0        0        2
24609 libboost-stacktrace1.81.0          	       0        2        0        0        2
24610 libboost-stacktrace1.83-dev        	       0       11        0        0       11
24611 libboost-stacktrace1.83.0          	       0       11        0        0       11
24612 libboost-system-dev                	       0      121        0        0      121
24613 libboost-system1.42.0              	       0        3        0        0        3
24614 libboost-system1.49-dev            	       0        1        0        1        0
24615 libboost-system1.49.0              	       0        6        0        0        6
24616 libboost-system1.54.0              	       0        3        0        0        3
24617 libboost-system1.55-dev            	       0        3        0        0        3
24618 libboost-system1.55.0              	       0       43        0        0       43
24619 libboost-system1.58.0              	       0        1        0        0        1
24620 libboost-system1.61.0              	       0        2        0        0        2
24621 libboost-system1.62-dev            	       0        3        0        0        3
24622 libboost-system1.62.0              	       0      173        1        3      169
24623 libboost-system1.67-dev            	       0       12        0        0       12
24624 libboost-system1.67.0              	       0      263        1        2      260
24625 libboost-system1.71-dev            	       0        1        0        0        1
24626 libboost-system1.71.0              	       0        1        0        0        1
24627 libboost-system1.71.0-dbgsym       	       0        1        0        1        0
24628 libboost-system1.74-dev            	       0      152        0        0      152
24629 libboost-system1.74.0              	       0      158        0        0      158
24630 libboost-system1.74.0-dbgsym       	       0        1        0        1        0
24631 libboost-system1.81-dev            	       0        3        0        0        3
24632 libboost-system1.81.0              	       0        3        0        0        3
24633 libboost-system1.83-dev            	       0       19        0        0       19
24634 libboost-system1.83.0              	       0       19        0        0       19
24635 libboost-test-dev                  	       0       84        0        0       84
24636 libboost-test1.34.1                	       0        1        0        0        1
24637 libboost-test1.49-dev              	       0        1        0        1        0
24638 libboost-test1.49.0                	       0        2        0        0        2
24639 libboost-test1.55-dev              	       0        2        0        0        2
24640 libboost-test1.55.0                	       0        3        0        0        3
24641 libboost-test1.62-dev              	       0        2        0        0        2
24642 libboost-test1.62.0                	       0        7        0        0        7
24643 libboost-test1.67-dev              	       0        9        0        0        9
24644 libboost-test1.67.0                	       0       16        0        0       16
24645 libboost-test1.74-dev              	       0      114        0        0      114
24646 libboost-test1.74.0                	       0      117        0        0      117
24647 libboost-test1.74.0-dbgsym         	       0        1        0        1        0
24648 libboost-test1.81-dev              	       0        3        0        0        3
24649 libboost-test1.81.0                	       0        3        0        0        3
24650 libboost-test1.83-dev              	       0       16        0        0       16
24651 libboost-test1.83.0                	       0       16        0        0       16
24652 libboost-thread-dev                	       0      104        0        0      104
24653 libboost-thread1.33.1              	       0        1        0        0        1
24654 libboost-thread1.34.1              	       0        1        0        0        1
24655 libboost-thread1.42.0              	       0        2        0        0        2
24656 libboost-thread1.49-dev            	       0        1        0        1        0
24657 libboost-thread1.49.0              	       0        6        0        0        6
24658 libboost-thread1.54.0              	       0        1        0        0        1
24659 libboost-thread1.55-dev            	       0        3        0        0        3
24660 libboost-thread1.55.0              	       0       30        0        0       30
24661 libboost-thread1.62-dev            	       0        3        0        0        3
24662 libboost-thread1.62.0              	       0       81        1        3       77
24663 libboost-thread1.67-dev            	       0        6        0        0        6
24664 libboost-thread1.67.0              	       0      195        1        2      192
24665 libboost-thread1.71-dev            	       0        1        0        0        1
24666 libboost-thread1.71.0              	       0        6        0        0        6
24667 libboost-thread1.71.0-dbgsym       	       0        1        0        1        0
24668 libboost-thread1.74-dev            	       0      128        0        0      128
24669 libboost-thread1.74.0-dbgsym       	       0        1        0        1        0
24670 libboost-thread1.81-dev            	       0        2        0        0        2
24671 libboost-thread1.81.0              	       0        3        0        0        3
24672 libboost-thread1.83-dev            	       0       15        0        0       15
24673 libboost-thread1.83.0              	       0      222        8       17      197
24674 libboost-timer-dev                 	       0       68        0        0       68
24675 libboost-timer1.49-dev             	       0        1        0        1        0
24676 libboost-timer1.49.0               	       0        2        0        0        2
24677 libboost-timer1.55-dev             	       0        2        0        0        2
24678 libboost-timer1.55.0               	       0        3        0        0        3
24679 libboost-timer1.62-dev             	       0        2        0        0        2
24680 libboost-timer1.62.0               	       0        7        0        0        7
24681 libboost-timer1.67-dev             	       0        5        0        0        5
24682 libboost-timer1.67.0               	       0       15        0        0       15
24683 libboost-timer1.74-dev             	       0       68        0        0       68
24684 libboost-timer1.74.0               	       0       68        0        0       68
24685 libboost-timer1.81-dev             	       0        2        0        0        2
24686 libboost-timer1.81.0               	       0        2        0        0        2
24687 libboost-timer1.83-dev             	       0       11        0        0       11
24688 libboost-timer1.83.0               	       0       12        0        0       12
24689 libboost-tools-dev                 	       0       67        0        0       67
24690 libboost-type-erasure-dev          	       0       62        0        0       62
24691 libboost-type-erasure1.62-dev      	       0        2        0        0        2
24692 libboost-type-erasure1.62.0        	       0        2        0        0        2
24693 libboost-type-erasure1.67-dev      	       0        4        0        0        4
24694 libboost-type-erasure1.67.0        	       0        7        0        0        7
24695 libboost-type-erasure1.74-dev      	       0       61        0        0       61
24696 libboost-type-erasure1.74.0        	       0       61        0        0       61
24697 libboost-type-erasure1.81-dev      	       0        2        0        0        2
24698 libboost-type-erasure1.81.0        	       0        2        0        0        2
24699 libboost-type-erasure1.83-dev      	       0       11        0        0       11
24700 libboost-type-erasure1.83.0        	       0       11        0        0       11
24701 libboost-url-dev                   	       0        6        0        0        6
24702 libboost-url1.83-dev               	       0       10        0        0       10
24703 libboost-url1.83.0                 	       0       10        0        0       10
24704 libboost-wave-dev                  	       0       66        0        0       66
24705 libboost-wave1.34.1                	       0        1        0        0        1
24706 libboost-wave1.49-dev              	       0        1        0        1        0
24707 libboost-wave1.49.0                	       0        2        0        0        2
24708 libboost-wave1.55-dev              	       0        2        0        0        2
24709 libboost-wave1.55.0                	       0        2        0        0        2
24710 libboost-wave1.62-dev              	       0        3        0        0        3
24711 libboost-wave1.62.0                	       0        4        0        0        4
24712 libboost-wave1.67-dev              	       0        4        0        0        4
24713 libboost-wave1.67.0                	       0        7        0        0        7
24714 libboost-wave1.74-dev              	       0       63        0        0       63
24715 libboost-wave1.74.0                	       0       63        0        0       63
24716 libboost-wave1.81-dev              	       0        2        0        0        2
24717 libboost-wave1.81.0                	       0        2        0        0        2
24718 libboost-wave1.83-dev              	       0       12        0        0       12
24719 libboost-wave1.83.0                	       0       12        0        0       12
24720 libboost1.46-doc                   	       0        1        0        1        0
24721 libboost1.49-dev                   	       0        1        0        1        0
24722 libboost1.49-doc                   	       0        1        0        1        0
24723 libboost1.55-dev                   	       0        5        0        5        0
24724 libboost1.55-tools-dev             	       0        2        0        2        0
24725 libboost1.62-dev                   	       0        6        0        6        0
24726 libboost1.62-tools-dev             	       0        2        0        2        0
24727 libboost1.67-dev                   	       0       15        1       14        0
24728 libboost1.67-doc                   	       0        1        0        1        0
24729 libboost1.67-tools-dev             	       0        6        0        6        0
24730 libboost1.71-dev                   	       0        1        0        1        0
24731 libboost1.71-doc                   	       0        1        0        1        0
24732 libboost1.74-all-dev               	       0        5        0        0        5
24733 libboost1.74-doc                   	       0        8        0        8        0
24734 libboost1.74-tools-dev             	       0       65        0       65        0
24735 libboost1.81-all-dev               	       0        2        0        0        2
24736 libboost1.81-dev                   	       0        3        0        3        0
24737 libboost1.81-doc                   	       0        1        0        1        0
24738 libboost1.81-tools-dev             	       0        3        0        3        0
24739 libboost1.83-all-dev               	       0        1        0        0        1
24740 libbos-ocaml                       	       0        1        0        1        0
24741 libbos-ocaml-dev                   	       0        1        0        1        0
24742 libbotan-1.10-0                    	       0        2        0        0        2
24743 libbotan-1.10-1                    	       0        7        0        0        7
24744 libbotan-2-15                      	       0        1        0        0        1
24745 libbotan-2-17                      	       0       46        2        1       43
24746 libbotan-2-18                      	       0        2        0        0        2
24747 libbotan-2-9                       	       0       44        0        0       44
24748 libbotan-2-dev                     	       0       10        0       10        0
24749 libbotan-2-doc                     	       0        4        0        0        4
24750 libbotan-kpxc-2                    	       0        1        0        1        0
24751 libbox2d-dev                       	       0        4        0        4        0
24752 libbox2d-doc                       	       0        1        0        0        1
24753 libbox2d2                          	       0     2200        0        0     2200
24754 libbox2d2.3.0                      	       0       20        0        0       20
24755 libboxfort-dev                     	       0        1        0        1        0
24756 libbpf-dev                         	       0       12        0       12        0
24757 libbpf-tools                       	       0        2        0        2        0
24758 libbpf0                            	       0     1048        0        0     1048
24759 libbpf4.19                         	       0        1        0        0        1
24760 libbpfcc                           	       0        8        0        0        8
24761 libbpfcc-dev                       	       0        1        0        1        0
24762 libbpfjit-dev                      	       0        1        0        1        0
24763 libbpfjit1                         	       0        1        0        0        1
24764 libbpg-bin                         	       0        1        0        1        0
24765 libbpp-core4                       	       0        1        0        0        1
24766 libbpp-phyl-omics3                 	       0        1        0        0        1
24767 libbpp-phyl12                      	       0        1        0        0        1
24768 libbpp-seq-omics3                  	       0        1        0        0        1
24769 libbpp-seq12                       	       0        1        0        0        1
24770 libbrahe-1.3-3                     	       0        2        0        0        2
24771 libbrahe-dev                       	       0        2        0        2        0
24772 libbraiding-dev                    	       0        8        0        8        0
24773 libbraiding0                       	       0        9        0        0        9
24774 libbrasero-media3-1                	       0      595        0        5      590
24775 libbrasero-media3-dev              	       0        1        0        1        0
24776 libbrial-dev                       	       0        8        0        8        0
24777 libbrial-groebner-dev              	       0        8        0        8        0
24778 libbrial-groebner3                 	       0       10        0        0       10
24779 libbrial3                          	       0       10        0        0       10
24780 libbrlapi-dev                      	       0        9        0        9        0
24781 libbrlapi0.5                       	       0        7        0        0        7
24782 libbrlapi0.6                       	       0      272        0        1      271
24783 libbrlapi0.7                       	       0        3        0        0        3
24784 libbrlapi0.8-dbgsym                	       0        1        0        1        0
24785 libbroadvoice1                     	       0        1        0        0        1
24786 libbrowser-open-perl               	       0        3        0        3        0
24787 libbs2b-dev                        	       0       18        0       18        0
24788 libbsapi                           	       0        1        0        1        0
24789 libbsc-dev                         	       0        1        0        1        0
24790 libbsc3                            	       0        1        0        0        1
24791 libbsd-arc4random-perl             	       0        1        0        0        1
24792 libbsd-resource-perl               	       0       88        0        0       88
24793 libbsf-java                        	       0      126        0        0      126
24794 libbsf-java-doc                    	       0        1        0        0        1
24795 libbsh-java                        	       0      639        0        0      639
24796 libbson-1.0-0                      	       0       60        0        0       60
24797 libbson-1.0-0t64                   	       0        6        0        0        6
24798 libbson-doc                        	       0        1        0        0        1
24799 libbson-perl                       	       0        3        0        3        0
24800 libbson-xs-perl                    	       0        1        0        0        1
24801 libbt-dev                          	       0        1        0        0        1
24802 libbt0                             	       0       18        0        0       18
24803 libbt0v5                           	       0        3        0        0        3
24804 libbtbb-dev                        	       0        3        0        3        0
24805 libbtbb1                           	       0        9        0        0        9
24806 libbtf1                            	       0       92        0        0       92
24807 libbtf1.1.0                        	       0        1        0        0        1
24808 libbtf1.2.0                        	       0        1        0        0        1
24809 libbtf2                            	       0        6        0        0        6
24810 libbtparse1                        	       0        8        0        0        8
24811 libbtparse2                        	       0      119        0        0      119
24812 libbtrfs-dev                       	       0        2        0        2        0
24813 libbtrfs0                          	       0       17        1        0       16
24814 libbtrfs0t64                       	       0        4        0        0        4
24815 libbtrfsutil-dev                   	       0        1        0        1        0
24816 libbtrfsutil1                      	       0        2        0        0        2
24817 libbtrfsutil1t64                   	       0        2        0        0        2
24818 libbudgie-appindexer0              	       0        5        1        0        4
24819 libbudgie-plugin0                  	       0       13        1        0       12
24820 libbudgie-private0                 	       0        7        1        0        6
24821 libbudgie-raven-plugin0            	       0        5        1        0        4
24822 libbudgietheme0                    	       0        7        1        0        6
24823 libbuild-helper-maven-plugin-java  	       0        2        0        0        2
24824 libbuilder-ruby1.9.1               	       0        1        0        0        1
24825 libbullet-dev                      	       0        7        0        7        0
24826 libbullet-extras-dev               	       0        1        0        1        0
24827 libbullet-extras3.06               	       0        1        0        0        1
24828 libbullet-extras3.24               	       0        1        0        0        1
24829 libbullet3.06                      	       0        4        0        0        4
24830 libbullet3.24                      	       0       16        0        0       16
24831 libbullet3.24t64                   	       0        1        0        0        1
24832 libbulletcollision2.82             	       0        1        0        0        1
24833 libbulletdynamics2.82              	       0        1        0        0        1
24834 libbulletml-dev                    	       0        1        0        1        0
24835 libbulletml0v5                     	       0       16        0        0       16
24836 libbulletsoftbody2.82              	       0        1        0        0        1
24837 libbunny-ruby1.9.1                 	       0        1        0        0        1
24838 libburn-dev                        	       0        3        0        3        0
24839 libburn4                           	       0     2069        0        0     2069
24840 libburn4t64                        	       0      155        0        0      155
24841 libburner-media3-1                 	       0       12        0        0       12
24842 libbusiness-creditcard-perl        	       0        1        0        1        0
24843 libbusiness-onlinepayment-authorizenet-perl	       0        1        0        1        0
24844 libbusiness-onlinepayment-payflowpro-perl	       0        1        0        1        0
24845 libbusiness-onlinepayment-perl     	       0        1        0        1        0
24846 libbyte-buddy-java                 	       0        8        0        0        8
24847 libbytelist-java                   	       0        6        0        0        6
24848 libbytesize-common                 	       0      251        0        0      251
24849 libbzip3-0                         	       0       17        0        0       17
24850 libbzip3-1                         	       0        1        0        0        1
24851 libbzip3-dev                       	       0        3        0        3        0
24852 libbzrtp-dev                       	       0        1        0        1        0
24853 libbzrtp0                          	       0       50        1        2       47
24854 libbzrtp1                          	       0        4        0        0        4
24855 libc++-11-dev                      	       0        4        0        3        1
24856 libc++-14-dev                      	       0        5        0        5        0
24857 libc++-14-dev-wasm32               	       0        4        0        4        0
24858 libc++-16-dev                      	       0        3        0        3        0
24859 libc++-19-dev                      	       0        2        1        1        0
24860 libc++-19-dev-wasm32               	       0        2        0        2        0
24861 libc++-7-dev                       	       0        1        0        1        0
24862 libc++-9-dev                       	       0        1        1        0        0
24863 libc++-dev                         	       0        6        0        0        6
24864 libc++-dev-wasm32                  	       0        4        0        0        4
24865 libc++1                            	       0       32        0        0       32
24866 libc++1-11                         	       0        8        0        7        1
24867 libc++1-14                         	       0       25        0       25        0
24868 libc++1-16t64                      	       0       19        0       19        0
24869 libc++1-19                         	       0       23        1       22        0
24870 libc++1-7                          	       0        4        0        4        0
24871 libc++1-9                          	       0        1        0        1        0
24872 libc++abi-11-dev                   	       0        4        0        3        1
24873 libc++abi-13-dev                   	       0        1        0        1        0
24874 libc++abi-14-dev-wasm32            	       0        4        0        4        0
24875 libc++abi-19-dev                   	       0        2        1        1        0
24876 libc++abi-19-dev-wasm32            	       0        2        0        2        0
24877 libc++abi-9-dev                    	       0        1        0        1        0
24878 libc++abi-dev                      	       0        1        0        0        1
24879 libc++abi1-11                      	       0        8        0        7        1
24880 libc++abi1-13                      	       0        1        0        1        0
24881 libc++abi1-14                      	       0       25        0       25        0
24882 libc++abi1-16t64                   	       0       19        0       19        0
24883 libc++abi1-19                      	       0       23        1       22        0
24884 libc++abi1-7                       	       0        4        0        4        0
24885 libc++abi1-9                       	       0        1        0        1        0
24886 libc-ares-dev                      	       0       15        0       15        0
24887 libc-bin-dbgsym                    	       0        1        0        1        0
24888 libc-client2007e                   	       0       97       16       21       60
24889 libc-client2007e-dev               	       0       18        0       18        0
24890 libc-icap-mod-squidclamav          	       0        1        0        1        0
24891 libc-icap-mod-virus-scan           	       0        4        0        0        4
24892 libc3p0-java                       	       0        8        0        0        8
24893 libc3p0-java-doc                   	       0        1        0        0        1
24894 libc6-amd64                        	       0       20        0        0       20
24895 libc6-amd64-cross                  	       0        3        0        0        3
24896 libc6-amd64-i386-cross             	       0        5        0        0        5
24897 libc6-amd64-x32-cross              	       0        6        0        0        6
24898 libc6-arm64-cross                  	       0       38        0        0       38
24899 libc6-armel-cross                  	       0       25        0        0       25
24900 libc6-armhf-cross                  	       0       31        0        0       31
24901 libc6-dev-amd64                    	       0        7        0        7        0
24902 libc6-dev-amd64-cross              	       0        1        0        1        0
24903 libc6-dev-amd64-x32-cross          	       0        5        0        5        0
24904 libc6-dev-arm64-cross              	       0       37        2       35        0
24905 libc6-dev-armhf-cross              	       0       27        1       26        0
24906 libc6-dev-hppa-cross               	       0        1        0        1        0
24907 libc6-dev-i386-amd64-cross         	       0        1        0        1        0
24908 libc6-dev-i386-cross               	       0       13        1       12        0
24909 libc6-dev-i386-x32-cross           	       0        5        0        5        0
24910 libc6-dev-m68k-cross               	       0        1        0        1        0
24911 libc6-dev-mips-cross               	       0        5        0        5        0
24912 libc6-dev-mips64-cross             	       0        1        0        1        0
24913 libc6-dev-mips64-mips-cross        	       0        2        0        2        0
24914 libc6-dev-mips64-mipsel-cross      	       0        1        0        1        0
24915 libc6-dev-mips64el-cross           	       0        1        0        1        0
24916 libc6-dev-mips64r6el-cross         	       0        1        0        1        0
24917 libc6-dev-mipsel-cross             	       0        5        0        5        0
24918 libc6-dev-mipsn32-mips-cross       	       0        2        0        2        0
24919 libc6-dev-mipsn32-mipsel-cross     	       0        1        0        1        0
24920 libc6-dev-powerpc-cross            	       0        3        0        3        0
24921 libc6-dev-powerpc-ppc64-cross      	       0        1        0        1        0
24922 libc6-dev-ppc64-cross              	       0        4        0        4        0
24923 libc6-dev-ppc64el-cross            	       0        1        0        1        0
24924 libc6-dev-riscv64-cross            	       0        7        1        6        0
24925 libc6-dev-s390x-cross              	       0        2        0        2        0
24926 libc6-dev-sparc64-cross            	       0        1        0        1        0
24927 libc6-dev-x32-cross                	       0        6        0        6        0
24928 libc6-hppa-cross                   	       0        3        0        0        3
24929 libc6-i386                         	       0      637        0        2      635
24930 libc6-i386-amd64-cross             	       0        2        0        0        2
24931 libc6-i386-cross                   	       0       17        0        0       17
24932 libc6-i386-x32-cross               	       0        5        0        0        5
24933 libc6-i686                         	       0        9        2        1        6
24934 libc6-m68k-cross                   	       0        1        0        0        1
24935 libc6-mips-cross                   	       0        5        0        0        5
24936 libc6-mips64-cross                 	       0        1        0        0        1
24937 libc6-mips64-mips-cross            	       0        2        0        0        2
24938 libc6-mips64-mipsel-cross          	       0        1        0        0        1
24939 libc6-mips64el-cross               	       0        1        0        0        1
24940 libc6-mips64r6el-cross             	       0        1        0        0        1
24941 libc6-mipsel-cross                 	       0        5        0        0        5
24942 libc6-mipsn32-mips-cross           	       0        2        0        0        2
24943 libc6-mipsn32-mipsel-cross         	       0        1        0        0        1
24944 libc6-powerpc-cross                	       0        5        0        0        5
24945 libc6-powerpc-ppc64-cross          	       0        1        0        0        1
24946 libc6-ppc64-cross                  	       0        6        0        0        6
24947 libc6-ppc64el-cross                	       0        1        0        0        1
24948 libc6-riscv64-cross                	       0       10        0        0       10
24949 libc6-s390x-cross                  	       0        4        0        0        4
24950 libc6-sparc64-cross                	       0        3        0        0        3
24951 libc6-x32                          	       0      199        0        0      199
24952 libc6-x32-cross                    	       0        7        0        0        7
24953 libc6-x32-i386-cross               	       0        4        0        0        4
24954 libc6.1-alpha-cross                	       0        4        0        0        4
24955 libc6.1-dev-alpha-cross            	       0        2        0        2        0
24956 libcaca0                           	       0     3543       30       64     3449
24957 libcacard-dev                      	       0        6        0        6        0
24958 libcacard0                         	       0      728       17       35      676
24959 libcache-fastmmap-perl             	       0        8        0        0        8
24960 libcache-lru-perl                  	       0        5        0        5        0
24961 libcache-memcached-fast-perl       	       0        2        1        1        0
24962 libcache-memcached-fast-safe-perl  	       0        2        1        1        0
24963 libcache-memcached-perl            	       0       17        2       15        0
24964 libcache-perl                      	       0       15        0       15        0
24965 libcache-simple-timedexpiry-perl   	       0        2        0        2        0
24966 libcaf-openmpi-3                   	       0       93        0        0       93
24967 libcaf-openmpi-3t64                	       0        8        0        0        8
24968 libcaffe-cpu1                      	       0        1        0        0        1
24969 libcairo-5c-dev                    	       0        1        0        0        1
24970 libcairo-5c0                       	       0        8        0        0        8
24971 libcairo-gobject-perl              	       0     2411        2        4     2405
24972 libcairo-ocaml                     	       0        1        0        1        0
24973 libcairo-perl                      	       0     2602        2        4     2596
24974 libcairo-ruby                      	       0        1        0        0        1
24975 libcairo-ruby1.8                   	       0        2        0        1        1
24976 libcairo1.10-cil                   	       0       60        0        0       60
24977 libcairo2-doc                      	       0       19        0        0       19
24978 libcairo2-ocaml                    	       0        6        0        6        0
24979 libcairo2-ocaml-dev                	       0        5        0        5        0
24980 libcairomm-1.0-1                   	       0       10        0        1        9
24981 libcairomm-1.0-dev                 	       0       52        1       51        0
24982 libcairomm-1.0-doc                 	       0        1        0        0        1
24983 libcairomm-1.16-1                  	       0      173        1        6      166
24984 libcairomm-1.16-dev                	       0        8        0        8        0
24985 libcairomm-1.16-doc                	       0        1        0        0        1
24986 libcaja-extension-dev              	       0        1        0        1        0
24987 libcal3d12                         	       0        2        0        2        0
24988 libcaldav                          	       0       33        0        0       33
24989 libcalendar-ocaml                  	       0        1        0        1        0
24990 libcalendar-ocaml-dev              	       0        1        0        1        0
24991 libcalendar-simple-perl            	       0       16        0       16        0
24992 libcalendaring                     	       0        1        0        0        1
24993 libcalendarsupport-data            	       0        7        0        0        7
24994 libcalendarsupport4                	       0        4        0        1        3
24995 libcallaudio-0-1                   	       0        5        2        1        2
24996 libcallback1                       	       0        2        0        0        2
24997 libcam-pdf-perl                    	       0        1        0        1        0
24998 libcamd2                           	       0     2596        1       14     2581
24999 libcamd2.2.0                       	       0        2        0        0        2
25000 libcamd2.3.1                       	       0       27        0        0       27
25001 libcamd3                           	       0      131        0        2      129
25002 libcamel-1.2-33                    	       0        6        0        0        6
25003 libcamel-1.2-43                    	       0        1        0        0        1
25004 libcamel-1.2-49                    	       0        8        0        0        8
25005 libcamel-1.2-54                    	       0        1        0        0        1
25006 libcamel-1.2-59                    	       0       15        0        0       15
25007 libcamel-1.2-62                    	       0       96        3       29       64
25008 libcamel-1.2-63                    	       0        5        0        1        4
25009 libcamel1.2-dev                    	       0        6        0        6        0
25010 libcamera-calibration-parsers-dev  	       0        1        0        1        0
25011 libcamera-calibration-parsers0d    	       0        1        0        0        1
25012 libcamera-doc                      	       0        1        0        0        1
25013 libcamera-info-manager-dev         	       0        1        0        1        0
25014 libcamera-info-manager0d           	       0        1        0        0        1
25015 libcamera-ipa                      	       0       19        0        0       19
25016 libcamera-v4l2                     	       0        8        0        0        8
25017 libcamera0.0.3                     	       0       24        1       10       13
25018 libcamera0.1                       	       0        1        0        0        1
25019 libcamera0.3                       	       0       10        1        3        6
25020 libcamera0.4                       	       0       11        0        3        8
25021 libcamitk4                         	       0        1        0        1        0
25022 libcamlp-streams-ocaml             	       0       13        0        3       10
25023 libcamlp-streams-ocaml-dev         	       0        1        0        1        0
25024 libcamlp4-ocaml-dev                	       0       16        0       16        0
25025 libcamomile-ocaml-data             	       0        5        0        0        5
25026 libcamomile-ocaml-dev              	       0        2        0        2        0
25027 libcamp0.8                         	       0        1        0        0        1
25028 libcanary-stability-perl           	       0        1        0        1        0
25029 libcanberra-doc                    	       0        3        0        0        3
25030 libcanberra-gstreamer              	       0        5        0        0        5
25031 libcanberra-gtk-common-dev         	       0       17        0       17        0
25032 libcanberra-gtk-dev                	       0        3        0        0        3
25033 libcanberra-gtk-module             	       0      167        0        4      163
25034 libcanberra-gtk0                   	       0      176        0        5      171
25035 libcanberra-gtk3-0t64              	       0       10        0        1        9
25036 libcanberra-gtk3-dev               	       0       14        0        0       14
25037 libcanberra0t64                    	       0       20        0        9       11
25038 libcanl-c2                         	       0        1        0        0        1
25039 libcanl-c4                         	       0        1        0        0        1
25040 libcanlock-dev                     	       0        1        0        1        0
25041 libcanlock2                        	       0       29        0        0       29
25042 libcanlock3                        	       0      991        1        3      987
25043 libcanna1g                         	       0      272        0        0      272
25044 libcantorlibs-data                 	       0       42        0        0       42
25045 libcantorlibs28                    	       0        8        0        0        8
25046 libcantorlibs28abi1                	       0       31        0        0       31
25047 libcantorlibs28abi1t64             	       0       11        0        0       11
25048 libcantorlibs28abi2                	       0        1        0        0        1
25049 libcap-dev                         	       0      113        1      112        0
25050 libcap-ng-utils                    	       0        5        0        5        0
25051 libcap1                            	       0       10        0        1        9
25052 libcapi20-3                        	       0      500        0        1      499
25053 libcapi20-3t64                     	       0       66        0        0       66
25054 libcapi20-dev                      	       0       13        0       13        0
25055 libcapnp-0.7.0                     	       0       12        0        1       11
25056 libcapnp-0.8.0                     	       0        1        0        0        1
25057 libcapnp-0.9.1                     	       0        1        0        0        1
25058 libcapnp-0.9.2                     	       0       28        0        0       28
25059 libcapnp-1.0.1                     	       0        1        0        0        1
25060 libcapnp-1.1.0                     	       0        1        0        0        1
25061 libcapnp-dev                       	       0        4        0        4        0
25062 libcapstone-dev                    	       0       17        1       16        0
25063 libcapstone3                       	       0       55        0        1       54
25064 libcapstone5                       	       0       22        1        0       21
25065 libcapsule-maven-nextflow-java     	       0        1        0        0        1
25066 libcaptcha-recaptcha-perl          	       0        2        0        2        0
25067 libcarddav                         	       0       33        0        0       33
25068 libcaribou-common                  	       0      310        0        0      310
25069 libcaribou-gtk-module              	       0       10        0        0       10
25070 libcaribou-gtk3-module             	       0       12        0        0       12
25071 libcaribou0                        	       0      309        0       14      295
25072 libcarp-always-perl                	       0       25        0       25        0
25073 libcarp-assert-more-perl           	       0       28        1       27        0
25074 libcarp-assert-perl                	       0       20        1       19        0
25075 libcarp-object-perl                	       0        1        0        1        0
25076 libcarrotsearch-hppc-java          	       0        1        0        0        1
25077 libcasa-casa2                      	       0        2        0        0        2
25078 libcasa-casa5                      	       0        5        0        0        5
25079 libcasa-casa7                      	       0        1        0        0        1
25080 libcasa-coordinates2               	       0        1        0        0        1
25081 libcasa-coordinates5               	       0        4        0        0        4
25082 libcasa-coordinates7               	       0        1        0        0        1
25083 libcasa-derivedmscal2              	       0        1        0        0        1
25084 libcasa-derivedmscal5              	       0        4        0        0        4
25085 libcasa-derivedmscal7              	       0        1        0        0        1
25086 libcasa-fits2                      	       0        1        0        0        1
25087 libcasa-fits5                      	       0        4        0        0        4
25088 libcasa-fits7                      	       0        1        0        0        1
25089 libcasa-images2                    	       0        1        0        0        1
25090 libcasa-images5                    	       0        4        0        0        4
25091 libcasa-images7                    	       0        1        0        0        1
25092 libcasa-lattices2                  	       0        1        0        0        1
25093 libcasa-lattices5                  	       0        4        0        0        4
25094 libcasa-lattices7                  	       0        1        0        0        1
25095 libcasa-meas2                      	       0        1        0        0        1
25096 libcasa-meas5                      	       0        4        0        0        4
25097 libcasa-meas7                      	       0        1        0        0        1
25098 libcasa-measures2                  	       0        1        0        0        1
25099 libcasa-measures5                  	       0        5        0        0        5
25100 libcasa-measures7                  	       0        1        0        0        1
25101 libcasa-mirlib2                    	       0        1        0        0        1
25102 libcasa-mirlib5                    	       0        4        0        0        4
25103 libcasa-mirlib7                    	       0        1        0        0        1
25104 libcasa-ms2                        	       0        1        0        0        1
25105 libcasa-ms5                        	       0        5        0        0        5
25106 libcasa-ms7                        	       0        1        0        0        1
25107 libcasa-msfits2                    	       0        1        0        0        1
25108 libcasa-msfits5                    	       0        1        0        0        1
25109 libcasa-python3-5                  	       0        4        0        0        4
25110 libcasa-python3-7                  	       0        1        0        0        1
25111 libcasa-scimath-f2                 	       0        1        0        0        1
25112 libcasa-scimath-f5                 	       0        5        0        0        5
25113 libcasa-scimath-f7                 	       0        1        0        0        1
25114 libcasa-scimath2                   	       0        1        0        0        1
25115 libcasa-scimath5                   	       0        5        0        0        5
25116 libcasa-scimath7                   	       0        1        0        0        1
25117 libcasa-tables2                    	       0        1        0        0        1
25118 libcasa-tables5                    	       0        5        0        0        5
25119 libcasa-tables7                    	       0        1        0        0        1
25120 libcassie-dev                      	       0        1        0        1        0
25121 libcassie-doc                      	       0        1        0        0        1
25122 libcassie1v5                       	       0        3        0        0        3
25123 libcastor-core-java                	       0        3        0        0        3
25124 libcastor-java-doc                 	       0        1        0        0        1
25125 libcastor-xml-java                 	       0        3        0        0        3
25126 libcatalyst-action-renderview-perl 	       0        2        1        1        0
25127 libcatalyst-authentication-credential-authen-simple-perl	       0        1        0        1        0
25128 libcatalyst-authentication-credential-http-perl	       0        1        1        0        0
25129 libcatalyst-authentication-store-dbix-class-perl	       0        1        0        1        0
25130 libcatalyst-component-instancepercontext-perl	       0        2        1        1        0
25131 libcatalyst-controller-formbuilder-perl	       0        1        0        1        0
25132 libcatalyst-controller-html-formfu-perl	       0        1        0        1        0
25133 libcatalyst-devel-perl             	       0        2        1        1        0
25134 libcatalyst-dispatchtype-regex-perl	       0        2        1        1        0
25135 libcatalyst-log-log4perl-perl      	       0        1        0        1        0
25136 libcatalyst-model-dbi-perl         	       0        1        0        1        0
25137 libcatalyst-model-dbic-schema-perl 	       0        2        1        1        0
25138 libcatalyst-modules-perl           	       0        1        0        0        1
25139 libcatalyst-perl                   	       0        3        1        2        0
25140 libcatalyst-plugin-authentication-perl	       0        2        1        1        0
25141 libcatalyst-plugin-authorization-acl-perl	       0        1        0        1        0
25142 libcatalyst-plugin-authorization-roles-perl	       0        1        0        1        0
25143 libcatalyst-plugin-cache-perl      	       0        1        0        1        0
25144 libcatalyst-plugin-cache-store-fastmmap-perl	       0        1        0        1        0
25145 libcatalyst-plugin-configloader-perl	       0        2        1        1        0
25146 libcatalyst-plugin-i18n-perl       	       0        1        0        1        0
25147 libcatalyst-plugin-log-dispatch-perl	       0        1        1        0        0
25148 libcatalyst-plugin-session-perl    	       0        2        1        1        0
25149 libcatalyst-plugin-session-state-cookie-perl	       0        2        1        1        0
25150 libcatalyst-plugin-session-store-cache-perl	       0        1        0        1        0
25151 libcatalyst-plugin-session-store-dbi-perl	       0        1        0        1        0
25152 libcatalyst-plugin-session-store-dbic-perl	       0        1        0        1        0
25153 libcatalyst-plugin-session-store-delegate-perl	       0        1        0        1        0
25154 libcatalyst-plugin-session-store-fastmmap-perl	       0        2        1        1        0
25155 libcatalyst-plugin-session-store-file-perl	       0        1        0        1        0
25156 libcatalyst-plugin-stacktrace-perl 	       0        2        1        1        0
25157 libcatalyst-plugin-static-simple-perl	       0        2        1        1        0
25158 libcatalyst-plugin-subrequest-perl 	       0        1        0        1        0
25159 libcatalyst-plugin-unicode-perl    	       0        1        0        1        0
25160 libcatalyst-view-email-perl        	       0        1        0        1        0
25161 libcatalyst-view-json-perl         	       0        1        0        1        0
25162 libcatalyst-view-mason-perl        	       0        1        0        1        0
25163 libcatalyst-view-petal-perl        	       0        1        1        0        0
25164 libcatalyst-view-tt-perl           	       0        1        0        1        0
25165 libcatalystx-component-traits-perl 	       0        2        1        1        0
25166 libcatmandu-marc-perl              	       0        1        0        1        0
25167 libcatmandu-perl                   	       0        2        0        2        0
25168 libcatmandu-store-elasticsearch-perl	       0        1        0        1        0
25169 libcattle-1.0-0                    	       0        2        0        0        2
25170 libcava-java                       	       0        1        0        0        1
25171 libcbf1                            	       0        4        0        0        4
25172 libcbor-dev                        	       0        3        0        3        0
25173 libcbor-xs-perl                    	       0        6        0        0        6
25174 libcbor0                           	       0      712        0        0      712
25175 libcbor0-dbgsym                    	       0        1        0        1        0
25176 libcc1-0                           	       0     2545        0        0     2545
25177 libcc1-0-dbgsym                    	       0        1        0        1        0
25178 libccd-dev                         	       0        1        0        1        0
25179 libccd2                            	       0       38        0        0       38
25180 libccfits-dev                      	       0        4        0        4        0
25181 libccfits-doc                      	       0        1        0        0        1
25182 libccfits0v5                       	       0        5        0        0        5
25183 libccgnu2-1.7-0                    	       0        2        0        0        2
25184 libccgnu2-1.8-0                    	       0        1        0        0        1
25185 libccgnu2-1.8-0v5                  	       0        2        0        0        2
25186 libccolamd2                        	       0     2596        1       14     2581
25187 libccolamd2.7.1                    	       0        2        0        0        2
25188 libccolamd2.8.0                    	       0       27        0        0       27
25189 libccolamd3                        	       0      131        0        2      129
25190 libccp4-data                       	       0        1        0        0        1
25191 libccp4-dev                        	       0        1        0        1        0
25192 libccp4c0                          	       0        1        0        0        1
25193 libccp4f0                          	       0        1        0        0        1
25194 libccrtp-dev                       	       0        3        0        3        0
25195 libccrtp-doc                       	       0        1        0        1        0
25196 libccrtp0                          	       0        1        0        0        1
25197 libccrtp1-1.7-0                    	       0        2        0        0        2
25198 libccrtp2                          	       0        1        0        0        1
25199 libccrtp2t64                       	       0        2        1        0        1
25200 libccrtp2v5                        	       0       17        1        0       16
25201 libcctz-dev                        	       0        1        0        1        0
25202 libcctz-doc                        	       0        1        0        0        1
25203 libcctz2                           	       0        1        0        0        1
25204 libcdaudio-dev                     	       0        3        0        3        0
25205 libcdaudio1                        	       0       48        0        0       48
25206 libcdb-dev                         	       0        1        0        1        0
25207 libcdb-file-perl                   	       0        2        0        0        2
25208 libcdb1                            	       0       17        1        0       16
25209 libcdd-dev                         	       0        9        0        9        0
25210 libcdd-doc                         	       0        9        0        0        9
25211 libcdd-tools                       	       0       10        0       10        0
25212 libcdd0d                           	       0       15        0        0       15
25213 libcdd0t64                         	       0        2        0        0        2
25214 libcddb-file-perl                  	       0        4        0        4        0
25215 libcddb-get-perl                   	       0       34        0       34        0
25216 libcddb-perl                       	       0       15        0       15        0
25217 libcddb2                           	       0     1859        1        7     1851
25218 libcddb2-dev                       	       0       15        0       15        0
25219 libcdi-api-java                    	       0      467        0        0      467
25220 libcdi-dev                         	       0        1        0        1        0
25221 libcdi0                            	       0        5        0        0        5
25222 libcdio++-dev                      	       0        1        0        0        1
25223 libcdio++1t64                      	       0        1        0        0        1
25224 libcdio-cdda-dev                   	       0       38        0       38        0
25225 libcdio-cdda0                      	       0        5        0        0        5
25226 libcdio-cdda1                      	       0      122        0        0      122
25227 libcdio-cdda2                      	       0     2035       35       64     1936
25228 libcdio-cdda2t64                   	       0      189        9       12      168
25229 libcdio-dev                        	       0       46        0       46        0
25230 libcdio-paranoia-dev               	       0       37        0       37        0
25231 libcdio-paranoia0                  	       0        5        0        0        5
25232 libcdio-paranoia1                  	       0      121        0        0      121
25233 libcdio-paranoia2                  	       0     2009       34       60     1915
25234 libcdio-paranoia2t64               	       0      189        9       12      168
25235 libcdio10                          	       0        9        0        0        9
25236 libcdio13                          	       0      150        0        0      150
25237 libcdio18                          	       0      182        0        1      181
25238 libcdio19                          	       0     2768       40       85     2643
25239 libcdio19t64                       	       0      229       10       15      204
25240 libcdio6                           	       0        2        0        0        2
25241 libcdio7                           	       0        3        0        0        3
25242 libcdk-java                        	       0        2        0        0        2
25243 libcdk-perl                        	       0        3        0        0        3
25244 libcdk5                            	       0        5        0        0        5
25245 libcdk5-dev                        	       0        5        1        4        0
25246 libcdk5-doc                        	       0        2        1        1        0
25247 libcdk5nc6                         	       0       66        0        0       66
25248 libcdk5t64                         	       0       13        0        0       13
25249 libcdparanoia-dev                  	       0        9        0        9        0
25250 libcdparanoia0                     	       0     3277        0        2     3275
25251 libcdr-0.0-0                       	       0        1        0        0        1
25252 libcdr-dev                         	       0        1        0        1        0
25253 libcdr-tools                       	       0        1        0        1        0
25254 libcds-healpix-java                	       0        2        0        0        2
25255 libcds-moc-java                    	       0        1        0        0        1
25256 libcds-savot-java                  	       0        1        0        0        1
25257 libcdt4                            	       0        7        0        0        7
25258 libcdt5                            	       0     1732        0        0     1732
25259 libcec-dev                         	       0        8        0        8        0
25260 libcec-platform1v5                 	       0        1        0        0        1
25261 libcec1                            	       0        1        0        0        1
25262 libcec2                            	       0        3        0        0        3
25263 libcec4                            	       0        8        0        0        8
25264 libcec6                            	       0      101        2       12       87
25265 libcegui-mk2-0.7.6                 	       0        1        0        0        1
25266 libcegui-mk2-0.8.7                 	       0        2        0        0        2
25267 libcegui-mk2-data                  	       0        2        0        0        2
25268 libcegui-mk2-dev                   	       0        2        0        2        0
25269 libcelestia1.7                     	       0        1        0        0        1
25270 libcelt0-0                         	       0        7        0        0        7
25271 libcephfs-dev                      	       0        2        0        2        0
25272 libcephfs1                         	       0        3        0        0        3
25273 libcephfs2                         	       0      287        0        0      287
25274 libcereal-dev                      	       0        5        0        1        4
25275 libceres-dev                       	       0        2        0        2        0
25276 libceres1                          	       0        3        0        0        3
25277 libceres3                          	       0        1        0        0        1
25278 libceres4t64                       	       0        1        0        0        1
25279 libcerf1                           	       0        7        0        0        7
25280 libcerf2                           	       0        2        0        0        2
25281 libcext-dev                        	       0        2        0        2        0
25282 libcext0                           	       0        3        0        0        3
25283 libcf0                             	       0        1        0        0        1
25284 libcfg-dev                         	       0       12        0       12        0
25285 libcfg4                            	       0        3        0        0        3
25286 libcfg6                            	       0       14        0        0       14
25287 libcfg7                            	       0        5        0        2        3
25288 libcfitsio-bin                     	       0       34        1       33        0
25289 libcfitsio-doc                     	       0       48        0        0       48
25290 libcfitsio10                       	       0      780        5       15      760
25291 libcfitsio10t64                    	       0      103        1        0      102
25292 libcfitsio2                        	       0        4        0        0        4
25293 libcfitsio3                        	       0        1        0        0        1
25294 libcfitsio5                        	       0        5        0        0        5
25295 libcfitsio7                        	       0       28        0        0       28
25296 libcfitsio8                        	       0        1        0        0        1
25297 libcfitsio9                        	       0      205        0        4      201
25298 libcg                              	       0       10        0        0       10
25299 libcg3-1                           	       0        6        0        0        6
25300 libcg3-dev                         	       0        1        0        1        0
25301 libcgal-demo                       	       0        2        0        0        2
25302 libcgal-dev                        	       0       19        0       19        0
25303 libcgal-ipelets                    	       0        1        0        1        0
25304 libcgal-qt5-dev                    	       0        2        0        2        0
25305 libcgal10                          	       0        1        0        0        1
25306 libcgal12                          	       0        4        0        0        4
25307 libcgal13                          	       0        9        0        0        9
25308 libcggl                            	       0       10        0        0       10
25309 libcgi-ajax-perl                   	       0        1        0        1        0
25310 libcgi-application-dispatch-perl   	       0        2        0        2        0
25311 libcgi-application-perl            	       0       10        0       10        0
25312 libcgi-compile-perl                	       0       16        2       14        0
25313 libcgi-compress-gzip-perl          	       0        1        0        1        0
25314 libcgi-emulate-psgi-perl           	       0       16        2       14        0
25315 libcgi-expand-perl                 	       0        2        0        2        0
25316 libcgi-formbuilder-perl            	       0        6        0        6        0
25317 libcgi-formbuilder-source-perl-perl	       0        1        0        1        0
25318 libcgi-psgi-perl                   	       0        1        0        1        0
25319 libcgi-session-driver-memcached-perl	       0        2        0        2        0
25320 libcgi-session-perl                	       0       11        1       10        0
25321 libcgi-simple-perl                 	       0        9        1        8        0
25322 libcgi-struct-xs-perl              	       0        3        0        0        3
25323 libcgic-dev                        	       0        1        0        1        0
25324 libcgic2                           	       0        1        0        0        1
25325 libcgicc-dev                       	       0        2        0        2        0
25326 libcgicc-doc                       	       0        1        0        1        0
25327 libcgicc3                          	       0        2        0        0        2
25328 libcgif0                           	       0       13        1        0       12
25329 libcglib-java                      	       0      284        0        0      284
25330 libcglib-nodep-java                	       0        2        0        0        2
25331 libcglib3-java                     	       0        4        0        0        4
25332 libcglm-dev                        	       0        1        0        1        0
25333 libcglm0                           	       0        1        0        0        1
25334 libcgmanager0                      	       0      133        4       24      105
25335 libcgns-dev                        	       0        2        0        2        0
25336 libcgns3.3                         	       0        1        0        0        1
25337 libcgns3.4                         	       0        4        0        0        4
25338 libcgraph5                         	       0        3        0        0        3
25339 libcgraph6                         	       0     1732        0        0     1732
25340 libcgroup-dev                      	       0        5        0        5        0
25341 libcgroup1                         	       0       17        0        0       17
25342 libcgroup2                         	       0       31        0        0       31
25343 libcgroup3                         	       0        6        0        0        6
25344 libchafa-dev                       	       0        2        0        2        0
25345 libchafa0                          	       0      384        0        0      384
25346 libchafa0t64                       	       0       29        0        0       29
25347 libchamplain-0.12-0                	       0      228        3       21      204
25348 libchamplain-0.12-dev              	       0        2        0        2        0
25349 libchamplain-gtk-0.12-0            	       0      227        3       21      203
25350 libchamplain-gtk-0.12-dev          	       0        2        0        0        2
25351 libchardet1                        	       0        6        0        0        6
25352 libchardet1t64                     	       0        3        0        0        3
25353 libcharls-dev                      	       0       16        0       16        0
25354 libcharls1                         	       0        7        0        0        7
25355 libcharls2                         	       0      533        0        5      528
25356 libchart-gnuplot-perl              	       0        1        0        1        0
25357 libchart-perl                      	       0        1        0        1        0
25358 libchatbot-eliza-perl              	       0        1        0        1        0
25359 libchealpix-dev                    	       0        3        0        3        0
25360 libchealpix0                       	       0        3        0        0        3
25361 libcheck-isa-perl                  	       0        2        0        2        0
25362 libchecker-framework-java          	       0        2        0        0        2
25363 libcheese-dev                      	       0        1        0        1        0
25364 libcheese-doc                      	       0        3        0        0        3
25365 libcheese-gtk-dev                  	       0        1        0        1        0
25366 libcheese-gtk25                    	       0      531        0        2      529
25367 libcheese8                         	       0      547        0        2      545
25368 libchemps2-3                       	       0        1        0        0        1
25369 libcherokee-base0                  	       0        2        0        0        2
25370 libcherokee-mod-libssl             	       0        2        0        0        2
25371 libcherokee-mod-mysql              	       0        2        0        0        2
25372 libcherokee-server0                	       0        2        0        0        2
25373 libcheshire-clojure                	       0        1        0        0        1
25374 libchewing3                        	       0        4        0        0        4
25375 libchewing3-data                   	       0        4        0        0        4
25376 libchi-perl                        	       0        6        0        6        0
25377 libchicken-dev                     	       0        7        0        7        0
25378 libchicken11                       	       0        6        0        0        6
25379 libchicken11t64                    	       0        1        0        0        1
25380 libchipcard-data                   	       0        5        0        0        5
25381 libchipcard-dev                    	       0        1        0        1        0
25382 libchipcard-libgwenhywfar60-plugins	       0        2        0        0        2
25383 libchipcard-tools                  	       0        2        0        2        0
25384 libchipcard6                       	       0        4        0        0        4
25385 libchipmunk-dev                    	       0        1        0        1        0
25386 libchipmunk7                       	       0       11        0        0       11
25387 libchm-bin                         	       0        7        0        7        0
25388 libchm-dev                         	       0        5        0        5        0
25389 libcholmod1.7.1                    	       0        2        0        0        2
25390 libcholmod2.1.2                    	       0       25        0        0       25
25391 libcholmod3                        	       0     2596        1       14     2581
25392 libcholmod4                        	       0       13        0        0       13
25393 libcholmod5                        	       0      122        0        2      120
25394 libchromaprint-dev                 	       0       20        0       20        0
25395 libchromaprint-tools               	       0       66        1       65        0
25396 libchromaprint0                    	       0       26        0        0       26
25397 libcib27                           	       0        2        0        2        0
25398 libcib4                            	       0        4        0        0        4
25399 libcifpp-data                      	       0        1        0        0        1
25400 libcifpp5                          	       0        1        0        0        1
25401 libciftools-java                   	       0        1        0        0        1
25402 libcilkrts5                        	       0      427        0        0      427
25403 libcilkrts5-dbg                    	       0        3        0        3        0
25404 libcimcclient0                     	       0        1        0        0        1
25405 libcinnamon-control-center-dev     	       0        1        0        1        0
25406 libcinnamon-desktop-dev            	       0        2        0        2        0
25407 libcinnamon-menu-3-dev             	       0        1        0        1        0
25408 libcitadel2                        	       0        1        0        0        1
25409 libcitadel3                        	       0        1        0        0        1
25410 libcitadel4                        	       0        4        0        0        4
25411 libcitygml-dev                     	       0        1        0        1        0
25412 libcitygml2                        	       0        1        0        0        1
25413 libcivetweb1                       	       0        4        1        1        2
25414 libcjose0                          	       0        1        0        0        1
25415 libcjs-dev                         	       0        1        0        1        0
25416 libcjson-dev                       	       0       10        0       10        0
25417 libck-connector-dev                	       0        1        0        1        0
25418 libckyapplet1                      	       0        6        0        0        6
25419 libclalsadrv-dev                   	       0        7        0        7        0
25420 libclalsadrv2                      	       0       22        0        0       22
25421 libclamav11t64                     	       0        1        0        0        1
25422 libclamav12                        	       0       13        2       10        1
25423 libclamav5                         	       0        1        0        0        1
25424 libclamav6                         	       0        3        0        0        3
25425 libclamav7                         	       0        6        0        0        6
25426 libclamav9                         	       0       67        3       17       47
25427 libclamunrar                       	       0       17        0        0       17
25428 libclamunrar11                     	       0       15        3       10        2
25429 libclamunrar12                     	       0        1        0        1        0
25430 libclamunrar6                      	       0        1        0        0        1
25431 libclamunrar9                      	       0        8        0        1        7
25432 libclan2c2a-mikmod                 	       0        1        0        0        1
25433 libclan2c2a-sound                  	       0        1        0        0        1
25434 libclanapp-1.0v5                   	       0        5        0        0        5
25435 libclang-11-dev                    	       0       10        0       10        0
25436 libclang-13-dev                    	       0        3        0        3        0
25437 libclang-14-dev                    	       0       40        2       38        0
25438 libclang-15-dev                    	       0        2        0        2        0
25439 libclang-16-dev                    	       0        8        0        8        0
25440 libclang-17-dev                    	       0        3        0        3        0
25441 libclang-18-dev                    	       0        1        0        1        0
25442 libclang-19-dev                    	       0        9        1        8        0
25443 libclang-20-dev                    	       0        1        0        1        0
25444 libclang-7-dev                     	       0        1        0        1        0
25445 libclang-9-dev                     	       0        1        0        1        0
25446 libclang-common-10-dev             	       0        5        0        5        0
25447 libclang-common-11-dev             	       0      117        0      116        1
25448 libclang-common-13-dev             	       0       28        0       28        0
25449 libclang-common-16-dev             	       0       58        0       58        0
25450 libclang-common-20-dev             	       0        2        0        2        0
25451 libclang-common-3.5-dev            	       0       10        0       10        0
25452 libclang-common-3.6-dev            	       0        1        0        1        0
25453 libclang-common-3.8-dev            	       0        5        0        5        0
25454 libclang-common-3.9-dev            	       0        2        0        2        0
25455 libclang-common-6.0-dev            	       0        7        0        7        0
25456 libclang-common-7-dev              	       0       18        0       18        0
25457 libclang-common-8-dev              	       0        2        0        2        0
25458 libclang-common-9-dev              	       0       33        0       33        0
25459 libclang-cpp-dev                   	       0        8        0        0        8
25460 libclang-cpp10                     	       0        5        0        5        0
25461 libclang-cpp11-dev                 	       0        2        0        2        0
25462 libclang-cpp13                     	       0       35        1       34        0
25463 libclang-cpp13-dev                 	       0        3        0        3        0
25464 libclang-cpp14-dev                 	       0        4        0        4        0
25465 libclang-cpp14t64                  	       0       14        0       14        0
25466 libclang-cpp15-dev                 	       0        3        0        3        0
25467 libclang-cpp15t64                  	       0       10        0       10        0
25468 libclang-cpp16                     	       0       29        1       28        0
25469 libclang-cpp16-dev                 	       0        3        0        3        0
25470 libclang-cpp16t64                  	       0       36        0       36        0
25471 libclang-cpp17                     	       0        1        0        1        0
25472 libclang-cpp17-dev                 	       0        3        0        3        0
25473 libclang-cpp18                     	       0       28        3       25        0
25474 libclang-cpp18-dev                 	       0        1        0        1        0
25475 libclang-cpp19-dev                 	       0        2        1        1        0
25476 libclang-cpp20                     	       0        2        0        1        1
25477 libclang-cpp9                      	       0       49        1       48        0
25478 libclang-dev                       	       0       59        0        0       59
25479 libclang-perl                      	       0        3        0        0        3
25480 libclang-rt-14-dev-wasm32          	       0        3        0        3        0
25481 libclang-rt-15-dev                 	       0        8        0        8        0
25482 libclang-rt-16-dev                 	       0       52        0       52        0
25483 libclang-rt-19-dev-wasm32          	       0        1        0        1        0
25484 libclang-rt-20-dev                 	       0        2        0        2        0
25485 libclang-rt-dev                    	       0        1        0        0        1
25486 libclang-rt-dev-wasm32             	       0        3        0        0        3
25487 libclang1                          	       0       10        0        0       10
25488 libclang1-10                       	       0        5        0        5        0
25489 libclang1-11                       	       0      158        3      154        1
25490 libclang1-13                       	       0       31        0       31        0
25491 libclang1-14t64                    	       0       11        0       11        0
25492 libclang1-15t64                    	       0       11        0       11        0
25493 libclang1-16                       	       0       25        0       25        0
25494 libclang1-16t64                    	       0       38        0       38        0
25495 libclang1-17                       	       0        1        0        1        0
25496 libclang1-20                       	       0        2        0        2        0
25497 libclang1-3.5                      	       0       12        0       12        0
25498 libclang1-3.8                      	       0        5        0        5        0
25499 libclang1-3.9                      	       0       11        0       11        0
25500 libclang1-6.0                      	       0       21        0       21        0
25501 libclang1-7                        	       0       32        0       32        0
25502 libclang1-8                        	       0        2        0        2        0
25503 libclang1-9                        	       0        4        0        4        0
25504 libclanlib-dev                     	       0        1        0        1        0
25505 libclanlib2c2a                     	       0        1        0        0        1
25506 libclansdl-1.0v5                   	       0        2        0        0        2
25507 libclass-accessor-grouped-perl     	       0        7        2        5        0
25508 libclass-accessor-lite-perl        	       0        6        0        6        0
25509 libclass-adapter-perl              	       0        3        0        3        0
25510 libclass-autouse-perl              	       0        2        0        2        0
25511 libclass-c3-adopt-next-perl        	       0        3        1        2        0
25512 libclass-c3-componentised-perl     	       0        7        2        5        0
25513 libclass-c3-xs-perl                	       0      652        0        0      652
25514 libclass-container-perl            	       0        3        0        3        0
25515 libclass-csv-perl                  	       0        1        0        1        0
25516 libclass-data-accessor-perl        	       0        3        0        3        0
25517 libclass-dbi-abstractsearch-perl   	       0       23        0       23        0
25518 libclass-dbi-mysql-perl            	       0       22        1       21        0
25519 libclass-dbi-perl                  	       0       29        1       28        0
25520 libclass-dbi-pg-perl               	       0        2        0        2        0
25521 libclass-dbi-plugin-perl           	       0        1        0        1        0
25522 libclass-dbi-sqlite-perl           	       0        3        0        3        0
25523 libclass-errorhandler-perl         	       0       14        0       14        0
25524 libclass-factory-util-perl         	       0       36        2       34        0
25525 libclass-inner-perl                	       0        1        0        1        0
25526 libclass-load-perl                 	       0      145        4      141        0
25527 libclass-load-xs-perl              	       0      107        0        0      107
25528 libclass-loader-dev                	       0        1        0        0        1
25529 libclass-loader1d                  	       0        1        0        0        1
25530 libclass-loader3d                  	       0        2        0        0        2
25531 libclass-makemethods-perl          	       0        7        1        6        0
25532 libclass-measure-perl              	       0        5        0        5        0
25533 libclass-methodmaker-perl          	       0       34        0        0       34
25534 libclass-mix-perl                  	       0       23        0       23        0
25535 libclass-returnvalue-perl          	       0        6        1        5        0
25536 libclass-std-fast-perl             	       0       11        0       11        0
25537 libclass-std-perl                  	       0       12        0       12        0
25538 libclass-throwable-perl            	       0        1        0        1        0
25539 libclass-tiny-chained-perl         	       0        2        1        1        0
25540 libclass-trigger-perl              	       0       32        1       31        0
25541 libclass-unload-perl               	       0        6        1        5        0
25542 libclass-virtual-perl              	       0        2        0        2        0
25543 libclass-xsaccessor-perl           	       0     1131        4        6     1121
25544 libclassmate-java                  	       0        2        0        0        2
25545 libclassworlds-java                	       0        5        0        0        5
25546 libclassworlds-java-doc            	       0        2        0        0        2
25547 libclassycle-java                  	       0        1        0        0        1
25548 libclaw-application1               	       0        1        0        0        1
25549 libclaw-application1v5             	       0        3        0        0        3
25550 libclaw-configuration-file1        	       0        1        0        0        1
25551 libclaw-configuration-file1v5      	       0        3        0        0        3
25552 libclaw-dev                        	       0        1        0        1        0
25553 libclaw-dynamic-library1           	       0        1        0        0        1
25554 libclaw-dynamic-library1v5         	       0        3        0        0        3
25555 libclaw-graphic1                   	       0        1        0        0        1
25556 libclaw-graphic1v5                 	       0        4        0        0        4
25557 libclaw-logger1                    	       0        1        0        0        1
25558 libclaw-logger1v5                  	       0        3        0        0        3
25559 libclaw-net1                       	       0        1        0        0        1
25560 libclaw-net1v5                     	       0        3        0        0        3
25561 libclaw-tween1                     	       0        1        0        0        1
25562 libclaw-tween1v5                   	       0        3        0        0        3
25563 libclblas-dev                      	       0        4        0        4        0
25564 libclblas-doc                      	       0        2        0        0        2
25565 libclblas2                         	       0        4        0        0        4
25566 libclblast-dev                     	       0        3        0        3        0
25567 libclblast1                        	       0        3        0        0        3
25568 libclc-16                          	       0        1        0        1        0
25569 libclc-16-dev                      	       0        2        0        2        0
25570 libclc-17                          	       0        1        0        1        0
25571 libclc-17-dev                      	       0        3        1        2        0
25572 libclc-18                          	       0        1        0        1        0
25573 libclc-18-dev                      	       0        1        0        1        0
25574 libclc-19                          	       0        3        0        3        0
25575 libclc-19-dev                      	       0        3        0        3        0
25576 libclc-amdgcn                      	       0       12        0       12        0
25577 libclc-dev                         	       0       15        0       15        0
25578 libclc-r600                        	       0       12        0       12        0
25579 libcld2-0                          	       0       15        0        1       14
25580 libcld2-dev                        	       0        4        0        4        0
25581 libclfft-dev                       	       0        3        0        3        0
25582 libclfft-doc                       	       0        1        0        0        1
25583 libclfft2                          	       0        5        0        0        5
25584 libcli-osprey-perl                 	       0        3        0        3        0
25585 libcli1.10                         	       0        5        0        0        5
25586 libcli1.10t64                      	       0        2        0        0        2
25587 libcli1.9                          	       0        4        0        0        4
25588 libcli11-dev                       	       0        1        0        0        1
25589 libclipboard-perl                  	       0        7        0        7        0
25590 libclipper-dev                     	       0        1        0        1        0
25591 libclipper2                        	       0        1        0        0        1
25592 libclippoly0                       	       0        1        0        0        1
25593 libclips                           	       0        5        0        0        5
25594 libcliquer-dev                     	       0        8        0        8        0
25595 libcliquer1                        	       0       14        0        0       14
25596 libclj-digest-clojure              	       0        1        0        0        1
25597 libclj-time-clojure                	       0        3        0        0        3
25598 libclj-yaml-clojure                	       0        1        0        0        1
25599 libcln-dev                         	       0        7        1        6        0
25600 libcln4                            	       0        1        0        0        1
25601 libcln5                            	       0        1        0        0        1
25602 libcln6                            	       0       39        0        1       38
25603 libclojure-java                    	       0       18        0        0       18
25604 libclojure-maven-plugin-java       	       0        1        0        0        1
25605 libclone-choose-perl               	       0      122        2      120        0
25606 libclone-perl                      	       0     3347        9       28     3310
25607 libclone-pp-perl                   	       0        1        0        1        0
25608 libcloog-isl4                      	       0      163        0        0      163
25609 libcloog-ppl-dev                   	       0        1        0        1        0
25610 libcloog-ppl1                      	       0        5        0        0        5
25611 libclosure-compiler-java           	       0        6        0        0        6
25612 libclosure-compiler-java-doc       	       0        1        0        0        1
25613 libcloudflare-ddns2                	       0        1        0        0        1
25614 libcloudproviders-doc              	       0        1        0        0        1
25615 libclout-clojure                   	       0        1        0        0        1
25616 libclsparse-dev                    	       0        1        0        1        0
25617 libclsparse1                       	       0        1        0        0        1
25618 libclthreads-dev                   	       0        5        0        5        0
25619 libclthreads2                      	       0       48        0        0       48
25620 libclthreads2t64                   	       0        2        0        0        2
25621 libclucene-contribs1               	       0       13        0        0       13
25622 libclucene-contribs1t64            	       0      190        3        4      183
25623 libclucene-core1                   	       0       16        0        0       16
25624 libclucene-core1t64                	       0      191        3        4      184
25625 libclucene-dev                     	       0        2        0        2        0
25626 libclucene0ldbl                    	       0        5        0        0        5
25627 libclustalo-dev                    	       0        1        0        1        0
25628 libclustalo-doc                    	       0        1        0        0        1
25629 libclutter-1.0-0                   	       0      823        3       26      794
25630 libclutter-1.0-common              	       0      784        0        6      778
25631 libclutter-1.0-dev                 	       0        6        0        6        0
25632 libclutter-1.0-doc                 	       0        3        0        0        3
25633 libclutter-gst-1.0-0               	       0        4        0        0        4
25634 libclutter-gst-2.0-0               	       0       10        0        0       10
25635 libclutter-gst-3.0-0               	       0      575        0        3      572
25636 libclutter-gst-3.0-dev             	       0        1        0        1        0
25637 libclutter-gst-3.0-doc             	       0        1        0        0        1
25638 libclutter-gtk-0.10-0              	       0        1        0        0        1
25639 libclutter-gtk-1.0-0               	       0      786        3       26      757
25640 libclutter-gtk-1.0-dev             	       0        6        0        6        0
25641 libclutter-gtk-1.0-doc             	       0        2        0        0        2
25642 libclutter-imcontext-0.1-0         	       0       70        0        0       70
25643 libcluttergesture-0.0.2-0          	       0        6        0        0        6
25644 libclxclient-dev                   	       0        4        0        4        0
25645 libclxclient3                      	       0       47        0        0       47
25646 libclxclient3t64                   	       0        2        0        0        2
25647 libcmap4                           	       0       11        0        4        7
25648 libcmark-dev                       	       0        4        0        4        0
25649 libcmark-gfm-dev                   	       0        2        0        2        0
25650 libcmark-gfm-extensions-dev        	       0        2        0        2        0
25651 libcmark-gfm-extensions0           	       0       48        0        0       48
25652 libcmark-gfm-extensions0.29.0.gfm.13	       0        1        0        0        1
25653 libcmark-gfm-extensions0.29.0.gfm.2	       0        2        0        0        2
25654 libcmark-gfm-extensions0.29.0.gfm.3	       0        4        0        0        4
25655 libcmark-gfm-extensions0.29.0.gfm.6	       0       24        0        0       24
25656 libcmark-gfm0                      	       0       48        0        0       48
25657 libcmark-gfm0.29.0.gfm.13          	       0        1        0        0        1
25658 libcmark-gfm0.29.0.gfm.2           	       0        2        0        0        2
25659 libcmark-gfm0.29.0.gfm.3           	       0        4        0        0        4
25660 libcmark-gfm0.29.0.gfm.6           	       0       24        0        0       24
25661 libcmark0                          	       0        4        0        0        4
25662 libcmark0.29.0                     	       0       18        0        0       18
25663 libcmdliner-ocaml-dev              	       0        1        0        1        0
25664 libcminpack-dev                    	       0        4        0        4        0
25665 libcminpack1                       	       0       19        0        0       19
25666 libcmis-0.2-0                      	       0        8        0        0        8
25667 libcmis-0.4-4                      	       0       14        0        0       14
25668 libcmis-0.5-5v5                    	       0      646        0        0      646
25669 libcmis-0.6-6                      	       0        5        0        0        5
25670 libcmis-0.6-6t64                   	       0      190        0        0      190
25671 libcmis-dev                        	       0        1        0        1        0
25672 libcmlxom-java                     	       0        2        0        0        2
25673 libcmocka-dev                      	       0       20        1       19        0
25674 libcmocka0                         	       0       21        0        0       21
25675 libcmocka0-dbgsym                  	       0        1        0        1        0
25676 libcmpicppimpl0                    	       0        1        0        0        1
25677 libcneartree-dev                   	       0        1        0        1        0
25678 libcneartree7                      	       0        4        0        0        4
25679 libcoarrays-openmpi-dev            	       0      101        0        0      101
25680 libcob4                            	       0        5        0        0        5
25681 libcob4-dev                        	       0       11        0       11        0
25682 libcob4t64                         	       0        6        0        0        6
25683 libcob5                            	       0        2        0        0        2
25684 libcob5-dev                        	       0        4        0        4        0
25685 libcob5t64                         	       0        2        0        0        2
25686 libcobertura-java                  	       0        1        0        0        1
25687 libcobra-java                      	       0        1        0        0        1
25688 libcoda-dev                        	       0        1        0        1        0
25689 libcoda15                          	       0        4        0        0        4
25690 libcoda16                          	       0        1        0        0        1
25691 libcode-tidyall-perl               	       0        1        0        1        0
25692 libcode-tidyall-plugin-clangformat-perl	       0        1        0        1        0
25693 libcodeblocks0                     	       0       62        0        2       60
25694 libcodeblocks0t64                  	       0        4        0        0        4
25695 libcodec2-0.4                      	       0        4        0        0        4
25696 libcodec2-0.8.1                    	       0      210        2        3      205
25697 libcodec2-1.1                      	       0        8        0        2        6
25698 libcodec2-dev                      	       0       23        0       23        0
25699 libcodec21                         	       0        1        0        0        1
25700 libcodecserver                     	       0        1        0        0        1
25701 libcodemodel-java                  	       0      336        0        0      336
25702 libcodenarc-groovy-java            	       0        1        0        0        1
25703 libcodesize-java                   	       0        4        0        0        4
25704 libcofoja-java                     	       0        1        0        0        1
25705 libcogl-common                     	       0      787        0        5      782
25706 libcogl-dev                        	       0        7        0        7        0
25707 libcogl-doc                        	       0        1        0        0        1
25708 libcogl-pango-dev                  	       0        6        0        6        0
25709 libcogl-pango0                     	       0        1        0        0        1
25710 libcogl-pango20                    	       0      826        3       26      797
25711 libcogl-path-dev                   	       0        7        0        0        7
25712 libcogl-path20                     	       0      826        3       26      797
25713 libcogl20                          	       0      828        3       26      799
25714 libcogl9                           	       0        1        0        0        1
25715 libcoin-dev                        	       0        7        0        7        0
25716 libcoin80                          	       0        1        0        0        1
25717 libcoin80c                         	       0      227        0        1      226
25718 libcoin80t64                       	       0       31        0        0       31
25719 libcoin80v5                        	       0        7        0        0        7
25720 libcolamd2                         	       0     2799        1       14     2784
25721 libcolamd2.7.1                     	       0        7        0        0        7
25722 libcolamd2.8.0                     	       0       30        0        0       30
25723 libcolamd3                         	       0      213        0        2      211
25724 libcollada-dom-dev                 	       0        2        0        2        0
25725 libcollada-dom2.4-dp-dev           	       0        1        0        0        1
25726 libcollada-dom2.4-dp0              	       0        1        0        0        1
25727 libcollada-dom2.5-dp0              	       0      164        0        0      164
25728 libcollada-parser-dev              	       0        1        0        1        0
25729 libcollada-parser1d                	       0        1        0        0        1
25730 libcollada-urdf-dev                	       0        1        0        1        0
25731 libcollada-urdf1d                  	       0        1        0        0        1
25732 libcollada2gltfconvert-dev         	       0        1        0        1        0
25733 libcollectdclient1                 	       0        6        0        0        6
25734 libcollection4                     	       0       13        1        6        6
25735 libcolor-ansi-util-perl            	       0        1        0        1        0
25736 libcolor-rgb-util-perl             	       0        1        0        1        0
25737 libcolorblind0                     	       0        3        0        0        3
25738 libcolord-gtk-dev                  	       0        2        0        0        2
25739 libcolord-gtk-headers              	       0        3        0        3        0
25740 libcolord-gtk1                     	       0      213        0        2      211
25741 libcolord-gtk1t64                  	       0       20        0        0       20
25742 libcolord-gtk4-1t64                	       0       19        0        0       19
25743 libcolord-gtk4-dev                 	       0        1        0        0        1
25744 libcolord1                         	       0       14        0        0       14
25745 libcolorhug2                       	       0     2856        0        0     2856
25746 libcolorpicker-java                	       0        5        0        0        5
25747 libcolpack-dev                     	       0        2        0        2        0
25748 libcolpack0t64                     	       0        1        0        0        1
25749 libcolpack0v5                      	       0        2        0        0        2
25750 libcolt-free-java                  	       0        1        0        0        1
25751 libcom-dev                         	       0        2        0        0        2
25752 libcom-err2t64                     	       0       20        0        1       19
25753 libcom3.17.6                       	       0        2        0        0        2
25754 libcombblas1.16.0                  	       0       56        0        0       56
25755 libcombblas2.0.0                   	       0        2        0        0        2
25756 libcombblas2.0.0t64                	       0        2        0        0        2
25757 libcomedi-dev                      	       0        1        0        1        0
25758 libcomedi0                         	       0       24        0       24        0
25759 libcomerr2                         	       0      744        0        0      744
25760 libcomidi-clojure                  	       0        1        0        0        1
25761 libcommon-sense-perl               	       0     1036        0        0     1036
25762 libcommoncpp2-dev                  	       0        1        0        1        0
25763 libcommons-beanutils-java          	       0       33        0        0       33
25764 libcommons-cli-java                	       0      509        0        0      509
25765 libcommons-codec-java              	       0      547        0        0      547
25766 libcommons-codec-java-doc          	       0        1        0        0        1
25767 libcommons-collections-java        	       0        1        0        0        1
25768 libcommons-collections3-java       	       0      801        0        0      801
25769 libcommons-collections3-java-doc   	       0        7        0        0        7
25770 libcommons-collections4-java       	       0      297        0        0      297
25771 libcommons-compress-java           	       0      497        0        0      497
25772 libcommons-configuration-java      	       0       13        0        0       13
25773 libcommons-configuration-java-doc  	       0        1        0        0        1
25774 libcommons-configuration2-java     	       0       20        0        0       20
25775 libcommons-csv-java                	       0       14        0        0       14
25776 libcommons-daemon-java             	       0       14        0        1       13
25777 libcommons-dbcp-java               	       0       23        0        0       23
25778 libcommons-dbcp-java-doc           	       0        1        0        0        1
25779 libcommons-dbcp2-java              	       0       19        0        0       19
25780 libcommons-digester-java           	       0       32        0        0       32
25781 libcommons-discovery-java          	       0        3        0        0        3
25782 libcommons-el-java                 	       0        2        0        0        2
25783 libcommons-exec-java               	       0       98        0        0       98
25784 libcommons-fileupload-java         	       0        7        0        0        7
25785 libcommons-httpclient-java         	       0       27        0        0       27
25786 libcommons-httpclient-java-doc     	       0        2        0        0        2
25787 libcommons-io-java                 	       0      921        0        0      921
25788 libcommons-io-java-doc             	       0       10        0        0       10
25789 libcommons-jexl-java               	       0        2        0        0        2
25790 libcommons-jexl2-java              	       0       13        0        0       13
25791 libcommons-jxpath-java             	       0        2        0        0        2
25792 libcommons-lang-java               	       0      115        0        0      115
25793 libcommons-lang-java-doc           	       0        3        0        0        3
25794 libcommons-lang3-java              	       0      715        0        0      715
25795 libcommons-lang3-java-doc          	       0        2        0        0        2
25796 libcommons-launcher-java           	       0        1        0        1        0
25797 libcommons-logging-java            	       0     1101        0        0     1101
25798 libcommons-logging-java-doc        	       0       10        0        0       10
25799 libcommons-math-java               	       0       35        0        0       35
25800 libcommons-math3-java              	       0      303        0        0      303
25801 libcommons-modeler-java            	       0        1        0        0        1
25802 libcommons-net-java                	       0      132        0        0      132
25803 libcommons-net-java-doc            	       0        4        0        0        4
25804 libcommons-net1-java               	       0        1        0        0        1
25805 libcommons-net2-java               	       0        1        0        0        1
25806 libcommons-parent-java             	       0     1193        0        0     1193
25807 libcommons-pool-java               	       0       24        0        0       24
25808 libcommons-pool-java-doc           	       0        1        0        0        1
25809 libcommons-pool2-java              	       0       22        0        0       22
25810 libcommons-text-java               	       0       51        0        0       51
25811 libcommons-validator-java          	       0       13        0        0       13
25812 libcommons-vfs-java                	       0        3        0        0        3
25813 libcompel1                         	       0       10        0        0       10
25814 libcompfaceg1                      	       0      489        7       35      447
25815 libcompfaceg1-dev                  	       0        6        0        6        0
25816 libcompizconfig0-trinity           	       0        2        0        2        0
25817 libcompojure-clojure               	       0        1        0        0        1
25818 libcompress-bzip2-perl             	       0       56        0        0       56
25819 libcompress-lz4-perl               	       0        7        0        0        7
25820 libcompress-lzf-java               	       0        5        0        0        5
25821 libcompress-raw-bzip2-perl         	       0       31        0        5       26
25822 libcompress-raw-lzma-perl          	       0       64        0        5       59
25823 libcompress-raw-zlib-perl          	       0       34        2        7       25
25824 libcompress-snappy-perl            	       0        7        0        0        7
25825 libcomps0                          	       0        3        0        0        3
25826 libconcord4                        	       0        1        0        0        1
25827 libconcord6                        	       0        2        0        0        2
25828 libconcurrent-java                 	       0        2        0        0        2
25829 libconfdb-dev                      	       0        1        0        1        0
25830 libconfdb4                         	       0        1        0        0        1
25831 libconfig++-dev                    	       0        5        0        0        5
25832 libconfig++11                      	       0       31        0        0       31
25833 libconfig++9                       	       0        1        0        0        1
25834 libconfig++9v5                     	       0      277        1        1      275
25835 libconfig-any-perl                 	       0       16        2       14        0
25836 libconfig-apacheformat-perl        	       0        1        0        1        0
25837 libconfig-auto-perl                	       0       39        2       37        0
25838 libconfig-autoconf-perl            	       0        1        0        1        0
25839 libconfig-crontab-perl             	       0        1        0        1        0
25840 libconfig-doc                      	       0       18        0        0       18
25841 libconfig-file-perl                	       0       35        2       33        0
25842 libconfig-find-perl                	       0        2        0        2        0
25843 libconfig-general-perl             	       0      102        3       99        0
25844 libconfig-gitlike-perl             	       0        3        0        3        0
25845 libconfig-grammar-perl             	       0       17        2       15        0
25846 libconfig-identity-perl            	       0        1        0        1        0
25847 libconfig-ini-perl                 	       0       11        0       11        0
25848 libconfig-ini-reader-ordered-perl  	       0        1        0        1        0
25849 libconfig-inihash-perl             	       0        1        0        1        0
25850 libconfig-model-approx-perl        	       0       19        0       19        0
25851 libconfig-model-backend-yaml-perl  	       0       20        0       20        0
25852 libconfig-model-cursesui-perl      	       0        1        0        1        0
25853 libconfig-model-dpkg-perl          	       0       18        0       18        0
25854 libconfig-model-lcdproc-perl       	       0       23        1       22        0
25855 libconfig-model-openssh-perl       	       0       19        0       19        0
25856 libconfig-model-perl               	       0       26        1       25        0
25857 libconfig-model-systemd-perl       	       0       19        0       19        0
25858 libconfig-model-tkui-perl          	       0       19        0       19        0
25859 libconfig-mvp-perl                 	       0        1        0        1        0
25860 libconfig-mvp-reader-ini-perl      	       0        1        0        1        0
25861 libconfig-onion-perl               	       0        2        0        2        0
25862 libconfig-std-perl                 	       0        1        0        1        0
25863 libconfig-yaml-perl                	       0        3        0        3        0
25864 libconfig11                        	       0       12        3        0        9
25865 libconfigreader-perl               	       0        1        0        1        0
25866 libconfigreader-simple-perl        	       0        3        0        3        0
25867 libconfuse-common                  	       0      291        0        0      291
25868 libconfuse-dev                     	       0       11        0       11        0
25869 libconfuse-doc                     	       0        8        0        0        8
25870 libconfuse0                        	       0        5        0        0        5
25871 libconfuse1                        	       0        7        0        0        7
25872 libconfuse2                        	       0      278       16       40      222
25873 libconsole                         	       0       10        0        0       10
25874 libconsole-bridge-dev              	       0        3        0        3        0
25875 libconsole-bridge0.4               	       0        2        0        0        2
25876 libconsole-bridge1.0               	       0        3        0        0        3
25877 libconsolekit1                     	       0        2        0        0        2
25878 libconstant-defer-perl             	       0       27        0       27        0
25879 libconstantine-java                	       0        2        0        0        2
25880 libcontext-preserve-perl           	       0        8        2        6        0
25881 libcontrolsfx-java                 	       0       20        0        0       20
25882 libconversant-disruptor-java       	       0        5        0        0        5
25883 libconvert-base32-perl             	       0        3        1        2        0
25884 libconvert-ber-perl                	       0        1        0        1        0
25885 libconvert-binary-c-perl           	       0        4        0        4        0
25886 libconvert-color-perl              	       0       45        0       45        0
25887 libconvert-pem-perl                	       0        1        0        1        0
25888 libconvert-scalar-perl             	       0        5        0        0        5
25889 libconvert-tnef-perl               	       0       28        2       26        0
25890 libconvert-uulib-perl              	       0       29        0        0       29
25891 libcookie-baker-perl               	       0       17        2       15        0
25892 libcookie-baker-xs-perl            	       0       12        1        1       10
25893 libcoq-core-ocaml                  	       0        3        0        3        0
25894 libcoq-stdlib                      	       0        3        0        3        0
25895 libcore-async-clojure              	       0        1        0        0        1
25896 libcore-cache-clojure              	       0        1        0        0        1
25897 libcore-memoize-clojure            	       0        1        0        0        1
25898 libcore-specs-alpha-clojure        	       0       18        0        0       18
25899 libcork16                          	       0        4        1        3        0
25900 libcorkipset1                      	       0        4        1        3        0
25901 libcoroipcc-dev                    	       0        1        0        1        0
25902 libcoroipcc4                       	       0        6        0        0        6
25903 libcoroipcs-dev                    	       0        1        0        1        0
25904 libcoroipcs4                       	       0        1        0        0        1
25905 libcorosync-common-dev             	       0       12        0       12        0
25906 libcorosync-common4                	       0       23        0        4       19
25907 libcorosync-dev                    	       0        1        0        0        1
25908 libcortado-java                    	       0       19        0        0       19
25909 libcos4-2                          	       0        2        0        0        2
25910 libcotp12                          	       0       13        0        0       13
25911 libcotp3                           	       0        4        0        0        4
25912 libcourier-unicode1                	       0        2        0        0        2
25913 libcourier-unicode4                	       0       30        4        3       23
25914 libcoverart-dev                    	       0        2        0        2        0
25915 libcoverart1                       	       0        7        0        0        7
25916 libcoverartcc1v5                   	       0        7        0        0        7
25917 libcpan-changes-perl               	       0       92        2       90        0
25918 libcpan-common-index-perl          	       0        4        0        4        0
25919 libcpan-distnameinfo-perl          	       0       42        0       42        0
25920 libcpan-meta-check-perl            	       0       41        0       41        0
25921 libcpan-meta-requirements-perl     	       0        5        0        5        0
25922 libcpan-meta-yaml-perl             	       0        3        0        3        0
25923 libcpan-mini-perl                  	       0        1        0        1        0
25924 libcpan-sqlite-perl                	       0        1        0        1        0
25925 libcpandb-perl                     	       0        1        0        1        0
25926 libcpanplus-dist-build-perl        	       0        3        0        3        0
25927 libcpath-clojure                   	       0        1        0        0        1
25928 libcpdb-dev                        	       0        1        0        1        0
25929 libcpdb-frontend-dev               	       0        1        0        1        0
25930 libcpdb-frontend2t64               	       0       52        0        0       52
25931 libcpdb-libs-common1               	       0        4        0        0        4
25932 libcpdb2t64                        	       0       53        0        0       53
25933 libcpg-dev                         	       0       12        0       12        0
25934 libcpg4                            	       0       21        0        2       19
25935 libcpgplot0                        	       0        6        0        0        6
25936 libcpl-dev                         	       0        2        0        2        0
25937 libcplcore26                       	       0        3        0        0        3
25938 libcpldfs26                        	       0        3        0        0        3
25939 libcpldrs26                        	       0        3        0        0        3
25940 libcplui26                         	       0        3        0        0        3
25941 libcpp-common0d                    	       0        4        0        0        4
25942 libcpp-hocon-dev                   	       0        1        0        1        0
25943 libcpp-hocon0.1.6                  	       0        1        0        0        1
25944 libcpp-hocon0.1.7                  	       0       10        0        0       10
25945 libcpp-hocon0.3.0                  	       0        4        0        0        4
25946 libcpp-httplib-dev                 	       0        1        0        1        0
25947 libcpp-httplib0.11                 	       0       26        1        1       24
25948 libcpp-httplib0.13                 	       0        2        0        0        2
25949 libcpp-httplib0.14t64              	       0        1        0        1        0
25950 libcpp-httplib0.16                 	       0        1        0        0        1
25951 libcppad-dev                       	       0        2        0        0        2
25952 libcppad-lib1456.0                 	       0        1        0        0        1
25953 libcppad-lib340.3                  	       0        1        0        0        1
25954 libcppgenerate-dev                 	       0        1        0        1        0
25955 libcppgenerate-dev-dbgsym          	       0        1        0        1        0
25956 libcppnetlib-doc                   	       0        1        0        1        0
25957 libcpprest-dev                     	       0        5        0        5        0
25958 libcpprest2.10                     	       0       28        0        1       27
25959 libcpprest2.9                      	       0        1        0        0        1
25960 libcpprspserver3                   	       0        2        0        0        2
25961 libcpptest-dev                     	       0        1        0        1        0
25962 libcpptest1                        	       0        1        0        0        1
25963 libcppunit-1.13-0v5                	       0        2        0        0        2
25964 libcppunit-1.14-0                  	       0       13        0        0       13
25965 libcppunit-1.15-0                  	       0       67        0        0       67
25966 libcppunit-dev                     	       0       69        1       68        0
25967 libcppunit-doc                     	       0        4        0        4        0
25968 libcpputest-dev                    	       0        9        0        9        0
25969 libcpu-features-dev                	       0        1        0        1        0
25970 libcpufreq-dev                     	       0        4        0        4        0
25971 libcpufreq0                        	       0      320        9       19      292
25972 libcpuid-dev                       	       0        2        0        2        0
25973 libcpuid15                         	       0        6        0        0        6
25974 libcpuid16                         	       0       50        0        0       50
25975 libcpuid17                         	       0        3        0        0        3
25976 libcpuinfo-dev                     	       0        1        0        1        0
25977 libcpuinfo0                        	       0      148        1        0      147
25978 libcpupower-dev                    	       0        2        0        2        0
25979 libcpuset-dev                      	       0        2        0        2        0
25980 libcpuset1                         	       0        2        0        0        2
25981 libcql-parser-perl                 	       0        3        0        3        0
25982 libcqrlib2                         	       0        4        0        0        4
25983 libcr-dev                          	       0        2        0        2        0
25984 libcr0                             	       0        7        0        0        7
25985 libcreaterepo-c0                   	       0        2        0        0        2
25986 libcreg-utils                      	       0        2        0        2        0
25987 libcreg1                           	       0       15        0        0       15
25988 libcriterion-dev                   	       0        1        0        1        0
25989 libcriterion3                      	       0        2        0        0        2
25990 libcriticism-perl                  	       0        1        0        1        0
25991 libcriu2                           	       0        1        0        0        1
25992 libcrmcluster29                    	       0        2        0        2        0
25993 libcrmcluster4                     	       0        4        0        0        4
25994 libcrmcommon3                      	       0        4        0        0        4
25995 libcrmcommon34                     	       0        2        0        2        0
25996 libcrmservice28                    	       0        2        0        2        0
25997 libcrmservice3                     	       0        4        0        0        4
25998 libcroco-tools                     	       0        1        0        1        0
25999 libcroco3                          	       0      465        2        2      461
26000 libcroco3-dev                      	       0        2        0        2        0
26001 libcrossguid-dev                   	       0        1        0        1        0
26002 libcrossguid0                      	       0       92        2       10       80
26003 libcrypt-blowfish-perl             	       0       11        0        0       11
26004 libcrypt-cast5-perl                	       0        2        0        0        2
26005 libcrypt-cbc-perl                  	       0       10        2        8        0
26006 libcrypt-ciphersaber-perl          	       0        1        0        1        0
26007 libcrypt-cracklib-perl             	       0        2        0        0        2
26008 libcrypt-des-ede3-perl             	       0        1        0        1        0
26009 libcrypt-des-perl                  	       0       15        0        0       15
26010 libcrypt-dh-gmp-perl               	       0        5        0        0        5
26011 libcrypt-dh-perl                   	       0        3        0        3        0
26012 libcrypt-dsa-perl                  	       0        1        0        1        0
26013 libcrypt-ecb-perl                  	       0        1        0        1        0
26014 libcrypt-eksblowfish-perl          	       0       22        3        1       18
26015 libcrypt-format-perl               	       0        1        0        1        0
26016 libcrypt-gcrypt-perl               	       0        1        0        0        1
26017 libcrypt-generatepassword-perl     	       0        1        0        1        0
26018 libcrypt-gpg-perl                  	       0        2        0        2        0
26019 libcrypt-hcesha-perl               	       0        2        0        2        0
26020 libcrypt-jwt-perl                  	       0        4        0        4        0
26021 libcrypt-mysql-perl                	       0        4        0        0        4
26022 libcrypt-openssl-bignum-perl       	       0      162       18       51       93
26023 libcrypt-openssl-dsa-perl          	       0        8        0        0        8
26024 libcrypt-openssl-pkcs10-perl       	       0        2        0        0        2
26025 libcrypt-openssl-random-perl       	       0      154        0        0      154
26026 libcrypt-openssl-rsa-perl          	       0      161       18       51       92
26027 libcrypt-openssl-x509-perl         	       0       12        0        0       12
26028 libcrypt-passwdmd5-perl            	       0       40        2       38        0
26029 libcrypt-pbkdf2-perl               	       0        8        0        8        0
26030 libcrypt-random-source-perl        	       0        3        1        2        0
26031 libcrypt-rijndael-perl             	       0       43        0        0       43
26032 libcrypt-rsa-parse-perl            	       0        1        0        1        0
26033 libcrypt-saltedhash-perl           	       0        1        0        1        0
26034 libcrypt-simple-perl               	       0        1        0        1        0
26035 libcrypt-smbhash-perl              	       0       13        1       12        0
26036 libcrypt-smime-perl                	       0        3        0        0        3
26037 libcrypt-ssleay-perl               	       0      195        0        0      195
26038 libcrypt-twofish-perl              	       0        2        0        0        2
26039 libcrypt-u2f-server-perl           	       0        1        0        0        1
26040 libcrypt-unixcrypt-perl            	       0        1        0        1        0
26041 libcrypt-unixcrypt-xs-perl         	       0        4        0        0        4
26042 libcrypt-urandom-perl              	       0       12        0       10        2
26043 libcrypt-util-perl                 	       0        2        1        1        0
26044 libcrypt-x509-perl                 	       0        2        0        2        0
26045 libcrypt2                          	       0        2        0        0        2
26046 libcryptgps-ocaml-dev              	       0        2        0        2        0
26047 libcrypto++-dev                    	       0       23        0       23        0
26048 libcrypto++-doc                    	       0        2        0        0        2
26049 libcrypto++-utils                  	       0        5        0        5        0
26050 libcrypto++6                       	       0       29        0        0       29
26051 libcrypto++6-dbg                   	       0        1        0        1        0
26052 libcrypto++8                       	       0       92        3        7       82
26053 libcrypto++8t64                    	       0       19        0        3       16
26054 libcrypto++9                       	       0        8        0        1        7
26055 libcrypto-equality-clojure         	       0        2        0        0        2
26056 libcrypto-random-clojure           	       0        2        0        0        2
26057 libcryptokit-ocaml                 	       0        2        0        1        1
26058 libcryptokit-ocaml-dev             	       0        2        0        2        0
26059 libcryptominisat5-5.11             	       0        1        0        0        1
26060 libcryptsetup-dev                  	       0        4        0        4        0
26061 libcryptsetup4                     	       0      115        0        0      115
26062 libcryptui-dev                     	       0        1        0        1        0
26063 libcryptui-doc                     	       0        1        0        0        1
26064 libcryptui0a                       	       0       66        0        0       66
26065 libcryptx-perl                     	       0       87        2        6       79
26066 libcrystalhd-dev                   	       0       11        0       11        0
26067 libcrystalhd3                      	       0      516        2        2      512
26068 libcsdr0                           	       0        1        0        0        1
26069 libcsfml-audio2.5                  	       0        4        0        0        4
26070 libcsfml-audio2.6                  	       0        1        0        0        1
26071 libcsfml-dev                       	       0        5        0        5        0
26072 libcsfml-doc                       	       0        1        0        0        1
26073 libcsfml-graphics2.5               	       0        4        0        0        4
26074 libcsfml-graphics2.6               	       0        1        0        0        1
26075 libcsfml-network2.5                	       0        4        0        0        4
26076 libcsfml-network2.6                	       0        1        0        0        1
26077 libcsfml-system2.5                 	       0        4        0        0        4
26078 libcsfml-system2.6                 	       0        1        0        0        1
26079 libcsfml-window2.5                 	       0        4        0        0        4
26080 libcsfml-window2.6                 	       0        1        0        0        1
26081 libcsiro0                          	       0        1        0        0        1
26082 libcsirocsa0                       	       0        9        0        0        9
26083 libcsironn0                        	       0        9        0        0        9
26084 libcsmith0                         	       0        3        0        0        3
26085 libcsnd6-6.0v5                     	       0        7        0        0        7
26086 libcsnd6-java                      	       0        2        0        1        1
26087 libcsound64-6.0                    	       0       34        0        2       32
26088 libcsound64-dev                    	       0        1        0        1        0
26089 libcsparse2.2.3                    	       0        1        0        0        1
26090 libcsparse3.1.2                    	       0        1        0        0        1
26091 libcss-dom-perl                    	       0        3        0        3        0
26092 libcss-parser-pp0v5                	       0        4        0        0        4
26093 libcss-parser0                     	       0        5        0        0        5
26094 libcss-perl                        	       0        3        0        3        0
26095 libcss-squish-perl                 	       0        1        0        1        0
26096 libcsv-java                        	       0        9        0        0        9
26097 libcsv-ocaml-dev                   	       0        1        0        1        0
26098 libcsv3                            	       0       20        0        0       20
26099 libcsxcad0                         	       0        6        0        0        6
26100 libct3                             	       0        1        0        0        1
26101 libct4                             	       0       64        0        1       63
26102 libctemplate-dev                   	       0        3        0        3        0
26103 libctemplate2                      	       0        3        0        0        3
26104 libctemplate3                      	       0        7        0        0        7
26105 libctemplate3t64                   	       0        1        0        0        1
26106 libctf-nobfd0                      	       0     2521        0        0     2521
26107 libctf0                            	       0     2521        0        0     2521
26108 libctl7                            	       0        6        0        0        6
26109 libctl7t64                         	       0        2        0        0        2
26110 libctpl-data                       	       0       59        0        0       59
26111 libctpl-dev                        	       0        1        0        1        0
26112 libctpl2                           	       0       67        0        0       67
26113 libctpl2t64                        	       0        2        0        0        2
26114 libctpp2-2v5                       	       0        1        0        0        1
26115 libctpp2-dev                       	       0        1        0        1        0
26116 libctypes-ocaml                    	       0        3        0        2        1
26117 libctypes-ocaml-dev                	       0        3        0        3        0
26118 libcub-dev                         	       0       23        0        0       23
26119 libcubeb-dev                       	       0        1        0        1        0
26120 libcubeb-doc                       	       0        1        0        0        1
26121 libcubeb0                          	       0       13        0        0       13
26122 libcublas-11-7                     	       0        1        0        0        1
26123 libcublas-12-4                     	       0        2        0        0        2
26124 libcublas-12-6                     	       0        3        0        0        3
26125 libcublas-12-8                     	       0        1        0        0        1
26126 libcublas-dev-11-7                 	       0        1        0        1        0
26127 libcublas-dev-12-4                 	       0        2        0        2        0
26128 libcublas-dev-12-6                 	       0        3        1        2        0
26129 libcublas-dev-12-8                 	       0        1        0        1        0
26130 libcublas11                        	       0       18        0        0       18
26131 libcublas12                        	       0        3        0        0        3
26132 libcublas4                         	       0        1        0        0        1
26133 libcublas5.5                       	       0        1        0        0        1
26134 libcublas6.0                       	       0        1        0        0        1
26135 libcublas6.5                       	       0        1        0        0        1
26136 libcublas8.0                       	       0        1        0        0        1
26137 libcublas9.2                       	       0        2        0        0        2
26138 libcublaslt11                      	       0       18        0        0       18
26139 libcublaslt12                      	       0        3        0        0        3
26140 libcucul0                          	       0        1        0        0        1
26141 libcucumber-tagexpressions-perl    	       0        1        0        1        0
26142 libcuda1                           	       0      177        3        7      167
26143 libcuda1-i386                      	       0        3        0        0        3
26144 libcudadebugger1                   	       0        9        0        0        9
26145 libcudart-old-versions             	       0        1        0        0        1
26146 libcudart11.0                      	       0       18        0        0       18
26147 libcudart12                        	       0        3        0        0        3
26148 libcudart4                         	       0        2        0        0        2
26149 libcudart5.5                       	       0        1        0        0        1
26150 libcudart6.0                       	       0        1        0        0        1
26151 libcudart6.5                       	       0        1        0        0        1
26152 libcudart8.0                       	       0        1        0        0        1
26153 libcudart9.2                       	       0        2        0        0        2
26154 libcudnn8                          	       0        2        0        0        2
26155 libcudnn8-dev                      	       0        2        0        2        0
26156 libcudnn8-samples                  	       0        1        0        1        0
26157 libcue-dev                         	       0        2        0        2        0
26158 libcue1                            	       0       16        0        0       16
26159 libcue2                            	       0      744        1        1      742
26160 libcufft-11-7                      	       0        1        0        0        1
26161 libcufft-12-4                      	       0        2        0        0        2
26162 libcufft-12-6                      	       0        3        0        0        3
26163 libcufft-12-8                      	       0        1        0        0        1
26164 libcufft-dev-11-7                  	       0        1        0        1        0
26165 libcufft-dev-12-4                  	       0        2        0        2        0
26166 libcufft-dev-12-6                  	       0        3        1        2        0
26167 libcufft-dev-12-8                  	       0        1        0        1        0
26168 libcufft10                         	       0       19        0        0       19
26169 libcufft11                         	       0        3        0        0        3
26170 libcufft4                          	       0        1        0        0        1
26171 libcufft5.5                        	       0        1        0        0        1
26172 libcufft6.0                        	       0        1        0        0        1
26173 libcufft6.5                        	       0        1        0        0        1
26174 libcufft8.0                        	       0        1        0        0        1
26175 libcufft9.2                        	       0        1        0        0        1
26176 libcufftw10                        	       0       18        0        0       18
26177 libcufftw11                        	       0        3        0        0        3
26178 libcufftw5.5                       	       0        1        0        0        1
26179 libcufftw6.0                       	       0        1        0        0        1
26180 libcufftw6.5                       	       0        1        0        0        1
26181 libcufftw8.0                       	       0        1        0        0        1
26182 libcufftw9.2                       	       0        1        0        0        1
26183 libcufile-11-7                     	       0        1        0        0        1
26184 libcufile-12-4                     	       0        2        0        0        2
26185 libcufile-12-6                     	       0        3        0        0        3
26186 libcufile-12-8                     	       0        1        0        0        1
26187 libcufile-dev-11-7                 	       0        1        0        1        0
26188 libcufile-dev-12-4                 	       0        2        0        2        0
26189 libcufile-dev-12-6                 	       0        3        1        2        0
26190 libcufile-dev-12-8                 	       0        1        0        1        0
26191 libcuinj64-11.2                    	       0        4        0        0        4
26192 libcuinj64-11.8                    	       0       14        0        0       14
26193 libcuinj64-12.2                    	       0        2        0        0        2
26194 libcuneiform-dev                   	       0        2        0        2        0
26195 libcuneiform0                      	       0       20        0        0       20
26196 libcunit1                          	       0       10        0        1        9
26197 libcunit1-dev                      	       0        9        0        9        0
26198 libcunit1-doc                      	       0        1        0        1        0
26199 libcunit1-ncurses                  	       0        2        0        0        2
26200 libcunit1-ncurses-dev              	       0        2        0        2        0
26201 libcups2-dev                       	       0       66        0       66        0
26202 libcupscgi1                        	       0       75        0        0       75
26203 libcupsdriver1                     	       0        2        0        0        2
26204 libcupsfilters-dev                 	       0       54        0       54        0
26205 libcupsfilters2                    	       0        8        0        0        8
26206 libcupsfilters2-common             	       0        8        0        0        8
26207 libcupsimage2                      	       0      803        0        1      802
26208 libcupsimage2-dev                  	       0       66        0       66        0
26209 libcupsimage2t64                   	       0       44        0        0       44
26210 libcupsmime1                       	       0       76        0        1       75
26211 libcupsppdc1                       	       0       76        0        0       76
26212 libcupt-common                     	       0        6        0        0        6
26213 libcupt3-0                         	       0        2        0        2        0
26214 libcupt3-0-downloadmethod-curl     	       0        1        0        1        0
26215 libcupt4-2                         	       0        3        0        3        0
26216 libcupt4-2-downloadmethod-curl     	       0        2        0        2        0
26217 libcupt4-2-downloadmethod-wget     	       0        2        0        2        0
26218 libcupt4-2t64                      	       0        3        0        3        0
26219 libcupti11.2                       	       0        5        0        0        5
26220 libcupti11.8                       	       0       16        0        0       16
26221 libcupti12                         	       0        5        0        0        5
26222 libcupti6.0                        	       0        1        0        0        1
26223 libcupti6.5                        	       0        1        0        0        1
26224 libcupti7.5                        	       0        1        0        0        1
26225 libcurand-11-7                     	       0        1        0        0        1
26226 libcurand-12-4                     	       0        2        0        0        2
26227 libcurand-12-6                     	       0        3        0        0        3
26228 libcurand-12-8                     	       0        1        0        0        1
26229 libcurand-dev-11-7                 	       0        1        0        1        0
26230 libcurand-dev-12-4                 	       0        2        0        2        0
26231 libcurand-dev-12-6                 	       0        3        1        2        0
26232 libcurand-dev-12-8                 	       0        1        0        1        0
26233 libcurand10                        	       0       21        0        0       21
26234 libcurand4                         	       0        1        0        0        1
26235 libcurand5.5                       	       0        1        0        0        1
26236 libcurand6.0                       	       0        1        0        0        1
26237 libcurand6.5                       	       0        1        0        0        1
26238 libcurand8.0                       	       0        1        0        0        1
26239 libcurand9.2                       	       0        1        0        0        1
26240 libcurl-ocaml                      	       0        1        0        1        0
26241 libcurl3                           	       0      124        0        1      123
26242 libcurl3-nss                       	       0      313        0        0      313
26243 libcurl4-doc                       	       0       21        0        0       21
26244 libcurl4-nss-dev                   	       0       10        0       10        0
26245 libcurlpp-dev                      	       0        4        0        4        0
26246 libcurlpp0                         	       0        4        0        0        4
26247 libcurlpp0t64                      	       0        2        0        0        2
26248 libcurry-perl                      	       0        3        0        3        0
26249 libcurses-ocaml                    	       0        1        0        1        0
26250 libcurses-perl                     	       0      230        0        0      230
26251 libcurvesapi-java                  	       0      295        0        0      295
26252 libcusolver-11-7                   	       0        1        0        0        1
26253 libcusolver-12-4                   	       0        2        0        0        2
26254 libcusolver-12-6                   	       0        3        0        0        3
26255 libcusolver-12-8                   	       0        1        0        0        1
26256 libcusolver-dev-11-7               	       0        1        0        1        0
26257 libcusolver-dev-12-4               	       0        2        0        2        0
26258 libcusolver-dev-12-6               	       0        3        1        2        0
26259 libcusolver-dev-12-8               	       0        1        0        1        0
26260 libcusolver11                      	       0       21        0        0       21
26261 libcusolver8.0                     	       0        1        0        0        1
26262 libcusolver9.2                     	       0        1        0        0        1
26263 libcusolvermg11                    	       0       21        0        0       21
26264 libcusparse-11-7                   	       0        1        0        0        1
26265 libcusparse-12-4                   	       0        2        0        0        2
26266 libcusparse-12-6                   	       0        3        0        0        3
26267 libcusparse-12-8                   	       0        1        0        0        1
26268 libcusparse-dev-11-7               	       0        1        0        1        0
26269 libcusparse-dev-12-4               	       0        2        0        2        0
26270 libcusparse-dev-12-6               	       0        3        1        2        0
26271 libcusparse-dev-12-8               	       0        1        0        1        0
26272 libcusparse11                      	       0       18        0        0       18
26273 libcusparse12                      	       0        4        0        0        4
26274 libcusparse4                       	       0        1        0        0        1
26275 libcusparse5.5                     	       0        1        0        0        1
26276 libcusparse6.0                     	       0        1        0        0        1
26277 libcusparse8.0                     	       0        1        0        0        1
26278 libcusparse9.2                     	       0        1        0        0        1
26279 libcutl-1.10                       	       0        1        0        0        1
26280 libcutlass-dev                     	       0        1        0        1        0
26281 libcv-bridge2d                     	       0        1        0        0        1
26282 libcv-dev                          	       0        1        0        1        0
26283 libcvaux-dev                       	       0        1        0        1        0
26284 libcvc4-7                          	       0        2        0        0        2
26285 libcvc4parser7                     	       0        2        0        0        2
26286 libcvc5-1                          	       0        1        0        0        1
26287 libcvc5parser1                     	       0        1        0        0        1
26288 libcvd-dev                         	       0        1        0        1        0
26289 libcvd-tools                       	       0        2        1        1        0
26290 libcvd2                            	       0        2        0        0        2
26291 libcvector2                        	       0        4        0        0        4
26292 libcvm1                            	       0        2        0        0        2
26293 libcvs-perl                        	       0        1        0        1        0
26294 libcw6                             	       0        7        0        3        4
26295 libcw7                             	       0       11        0        1       10
26296 libcw8                             	       0        3        0        1        2
26297 libcwidget-doc                     	       0        5        0        0        5
26298 libcwidget3                        	       0       56        0        0       56
26299 libcwidget3v5                      	       0      173        0        0      173
26300 libcwidget4                        	       0      948        3       18      927
26301 libcwidget4-dbgsym                 	       0        1        0        1        0
26302 libcwiid-dev                       	       0        9        0        9        0
26303 libcwiid1                          	       0       80        2        0       78
26304 libcwiid1t64                       	       0        3        0        0        3
26305 libcxl1                            	       0        1        0        0        1
26306 libcxsparse2.2.3                   	       0        2        0        0        2
26307 libcxsparse3                       	       0      101        0        0      101
26308 libcxsparse3.1.2                   	       0        1        0        0        1
26309 libcxsparse4                       	       0       10        0        0       10
26310 libcxx-serial1                     	       0        5        0        0        5
26311 libcxxopts-dev                     	       0        1        0        1        0
26312 libcxxtools-dev                    	       0        3        0        3        0
26313 libcxxtools10                      	       0        1        0        0        1
26314 libcxxtools8                       	       0        1        0        0        1
26315 libcxxtools9                       	       0        1        0        0        1
26316 libcxxtools9v5                     	       0        3        0        0        3
26317 libcypher-parser8                  	       0        1        0        0        1
26318 libcyrus-imap-perl                 	       0        3        0        3        0
26319 libczmq-dev                        	       0        2        0        2        0
26320 libczmq4                           	       0        5        0        0        5
26321 libczmq4-dbgsym                    	       0        1        0        1        0
26322 libd3dadapter9-mesa                	       0       12        0        0       12
26323 libdaemon-control-perl             	       0        1        0        1        0
26324 libdaemon-dev                      	       0        4        0        4        0
26325 libdaemon-doc                      	       0        1        0        0        1
26326 libdaemon-generic-perl             	       0        1        0        1        0
26327 libdancer-perl                     	       0        1        0        1        0
26328 libdancer-plugin-dbic-perl         	       0        1        0        1        0
26329 libdancer-xml0                     	       0        1        0        0        1
26330 libdancer2-perl                    	       0        3        0        3        0
26331 libdanga-socket-perl               	       0        1        0        1        0
26332 libdap-bin                         	       0        1        0        1        0
26333 libdap-dev                         	       0       16        0       16        0
26334 libdap11                           	       0        5        0        0        5
26335 libdap23                           	       0       14        0        0       14
26336 libdap25                           	       0       55        0        0       55
26337 libdap27                           	       0      159        0        2      157
26338 libdap27t64                        	       0        1        0        0        1
26339 libdapclient3                      	       0        4        0        0        4
26340 libdapclient6t64                   	       0        1        0        0        1
26341 libdapclient6v5                    	       0      192        0        2      190
26342 libdapserver7                      	       0        1        0        0        1
26343 libdapserver7t64                   	       0        1        0        0        1
26344 libdapserver7v5                    	       0       80        0        0       80
26345 libdaq0                            	       0        1        0        0        1
26346 libdaq2                            	       0        8        1        1        6
26347 libdar64-5000                      	       0        2        0        0        2
26348 libdar64-6000                      	       0       16        0        0       16
26349 libdar64-6000t64                   	       0        2        0        0        2
26350 libdata-alias-perl                 	       0        1        0        0        1
26351 libdata-amf-perl                   	       0        5        0        5        0
26352 libdata-binary-perl                	       0        1        0        1        0
26353 libdata-bitmask-perl               	       0        3        0        3        0
26354 libdata-buffer-perl                	       0        1        0        1        0
26355 libdata-csv-clojure                	       0        1        0        0        1
26356 libdata-dump-streamer-perl         	       0        1        0        0        1
26357 libdata-dumper-compact-perl        	       0        1        0        1        0
26358 libdata-dumper-concise-perl        	       0       16        2       14        0
26359 libdata-dumper-simple-perl         	       0        5        0        5        0
26360 libdata-entropy-perl               	       0       13        1       12        0
26361 libdata-float-perl                 	       0       13        1       12        0
26362 libdata-format-html-perl           	       0        1        0        1        0
26363 libdata-generators-clojure         	       0        1        0        0        1
26364 libdata-guid-perl                  	       0        4        1        3        0
26365 libdata-hexdump-perl               	       0        1        0        1        0
26366 libdata-ical-perl                  	       0        5        1        4        0
26367 libdata-ieee754-perl               	       0        5        0        5        0
26368 libdata-integer-perl               	       0        3        0        3        0
26369 libdata-json-clojure               	       0        1        0        0        1
26370 libdata-messagepack-perl           	       0      616        0        0      616
26371 libdata-objectdriver-perl          	       0        2        0        2        0
26372 libdata-password-perl              	       0        8        0        8        0
26373 libdata-peek-perl                  	       0        3        0        0        3
26374 libdata-perl-perl                  	       0      108        2      106        0
26375 libdata-printer-perl               	       0        8        0        8        0
26376 libdata-priority-map-clojure       	       0        1        0        0        1
26377 libdata-random-perl                	       0        5        0        5        0
26378 libdata-record-perl                	       0        5        1        4        0
26379 libdata-rmap-perl                  	       0        1        0        1        0
26380 libdata-section-simple-perl        	       0        2        0        2        0
26381 libdata-serializer-perl            	       0        8        0        8        0
26382 libdata-stag-perl                  	       0        4        0        4        0
26383 libdata-stream-bulk-perl           	       0        2        0        2        0
26384 libdata-streamdeserializer-perl    	       0        2        0        0        2
26385 libdata-streamserializer-perl      	       0        2        0        0        2
26386 libdata-structure-util-perl        	       0        1        0        0        1
26387 libdata-table-perl                 	       0        1        0        1        0
26388 libdata-tablereader-perl           	       0        1        0        1        0
26389 libdata-treedumper-oo-perl         	       0        1        0        1        0
26390 libdata-treedumper-perl            	       0        2        0        2        0
26391 libdata-treedumper-renderer-gtk-perl	       0        1        0        1        0
26392 libdata-url-java                   	       0       24        0        0       24
26393 libdata-util-perl                  	       0        2        0        2        0
26394 libdata-uuid-libuuid-perl          	       0        1        0        0        1
26395 libdata-uuid-perl                  	       0        4        0        0        4
26396 libdata-visitor-perl               	       0        7        1        6        0
26397 libdata-visitor-perl-build-deps    	       0        1        0        0        1
26398 libdatachannel0.21                 	       0        2        0        0        2
26399 libdataobjects-postgres-ruby1.9.1  	       0        1        0        0        1
26400 libdataobjects-ruby1.9.1           	       0        1        0        0        1
26401 libdataobjects-sqlite3-ruby1.9.1   	       0        1        0        0        1
26402 libdataquay-dev                    	       0        1        0        1        0
26403 libdataquay0                       	       0        2        0        0        2
26404 libdate-calc-xs-perl               	       0      427        1        3      423
26405 libdate-extract-perl               	       0        1        0        1        0
26406 libdate-iso8601-perl               	       0        1        0        1        0
26407 libdate-pcalc-perl                 	       0        1        0        0        1
26408 libdate-simple-perl                	       0      119        0        0      119
26409 libdatetime-astro-sunrise-perl     	       0        3        2        1        0
26410 libdatetime-event-ical-perl        	       0        6        0        6        0
26411 libdatetime-event-recurrence-perl  	       0        6        0        6        0
26412 libdatetime-event-sunrise-perl     	       0        3        0        3        0
26413 libdatetime-format-dateparse-perl  	       0        7        0        7        0
26414 libdatetime-format-duration-perl   	       0        1        0        1        0
26415 libdatetime-format-flexible-perl   	       0       16        0       16        0
26416 libdatetime-format-http-perl       	       0        2        0        2        0
26417 libdatetime-format-human-duration-perl	       0        1        0        1        0
26418 libdatetime-format-ical-perl       	       0        6        0        6        0
26419 libdatetime-format-mysql-perl      	       0        8        1        7        0
26420 libdatetime-format-natural-perl    	       0       15        0       15        0
26421 libdatetime-format-pg-perl         	       0        2        0        2        0
26422 libdatetime-format-sqlite-perl     	       0       17        1       16        0
26423 libdatetime-format-w3cdtf-perl     	       0       26        0       26        0
26424 libdatetime-hires-perl             	       0       10        0       10        0
26425 libdatetime-perl                   	       0      380        3        2      375
26426 libdatetime-set-perl               	       0        9        0        9        0
26427 libdatetimex-easy-perl             	       0        4        0        4        0
26428 libdatovka8                        	       0        1        0        0        1
26429 libdatrie-doc                      	       0        3        0        0        3
26430 libdav1d1                          	       0        8        0        0        8
26431 libdav1d5                          	       0       37        2       19       16
26432 libdavix0v5                        	       0        1        0        0        1
26433 libdavs2-16                        	       0       74       11       47       16
26434 libdavs2-dev                       	       0        2        0        2        0
26435 libdazzle-1.0-0                    	       0      120        2        4      114
26436 libdazzle-1.0-dev                  	       0        2        0        2        0
26437 libdazzle-common                   	       0       78        0        0       78
26438 libdazzle-doc                      	       0        1        0        0        1
26439 libdazzle-tools                    	       0        1        0        1        0
26440 libdb++-dev                        	       0        7        0        0        7
26441 libdb-dev                          	       0       66        0        0       66
26442 libdb-file-lock-perl               	       0        3        0        3        0
26443 libdb-java                         	       0        7        0        0        7
26444 libdb-je-java                      	       0       16        0        0       16
26445 libdb-sql-dev                      	       0        1        0        0        1
26446 libdb1-compat                      	       0        2        0        2        0
26447 libdb3                             	       0        1        0        0        1
26448 libdb4.2                           	       0        3        0        0        3
26449 libdb4.3                           	       0        3        0        1        2
26450 libdb4.4                           	       0        2        0        0        2
26451 libdb4.5                           	       0        6        0        0        6
26452 libdb4.6                           	       0       23        0        0       23
26453 libdb4.7                           	       0       17        0        0       17
26454 libdb4.7-java                      	       0        1        0        0        1
26455 libdb4.8                           	       0       30        0        1       29
26456 libdb4.8++                         	       0        5        0        0        5
26457 libdb4o-cil-dev                    	       0        2        0        2        0
26458 libdb4o8.0-cil                     	       0        4        0        4        0
26459 libdb5.1                           	       0       57        0        2       55
26460 libdb5.1++                         	       0        1        0        0        1
26461 libdb5.1-java                      	       0        2        0        0        2
26462 libdb5.1-java-jni                  	       0        6        0        0        6
26463 libdb5.1-sql                       	       0        1        0        0        1
26464 libdb5.3++                         	       0       52        1        1       50
26465 libdb5.3++-dev                     	       0       10        0       10        0
26466 libdb5.3++t64                      	       0        2        0        0        2
26467 libdb5.3-dbg                       	       0        1        0        1        0
26468 libdb5.3-dev                       	       0       79        3       76        0
26469 libdb5.3-java                      	       0       12        0        0       12
26470 libdb5.3-java-dev                  	       0        1        0        0        1
26471 libdb5.3-java-jni                  	       0       12        0        0       12
26472 libdb5.3-sql                       	       0        5        0        0        5
26473 libdb5.3-sql-dev                   	       0        2        0        2        0
26474 libdb5.3-stl                       	       0        2        0        0        2
26475 libdb5.3-stl-dev                   	       0        2        0        2        0
26476 libdb5.3-tcl                       	       0        2        0        0        2
26477 libdballe8                         	       0        1        0        0        1
26478 libdbd-ldap-perl                   	       0        1        0        1        0
26479 libdbd-mariadb-perl                	       0      177        0        0      177
26480 libdbd-mock-perl                   	       0        2        0        2        0
26481 libdbd-mysql                       	       0        8        1        1        6
26482 libdbd-mysql-perl                  	       0      265        6        9      250
26483 libdbd-mysql-ruby1.9.1             	       0        1        0        0        1
26484 libdbd-odbc-perl                   	       0        3        0        0        3
26485 libdbd-pg-perl                     	       0      128        2        1      125
26486 libdbd-pg-ruby1.9.1                	       0        2        0        0        2
26487 libdbd-pgsql                       	       0        9        0        1        8
26488 libdbd-sqlite                      	       0        1        0        0        1
26489 libdbd-sqlite2-perl                	       0        1        0        0        1
26490 libdbd-sqlite3                     	       0        8        0        2        6
26491 libdbd-sqlite3-perl                	       0      224        1        1      222
26492 libdbd-sqlite3-ruby1.9.1           	       0        1        0        0        1
26493 libdbd-sybase-perl                 	       0        3        0        0        3
26494 libdbd-xbase-perl                  	       0        6        0        6        0
26495 libdbi-dev                         	       0        8        0        8        0
26496 libdbi-ruby1.9.1                   	       0        1        0        0        1
26497 libdbi0                            	       0        3        0        3        0
26498 libdbi1                            	       0      280       16       27      237
26499 libdbi1t64                         	       0       18        0        2       16
26500 libdbicx-sugar-perl                	       0        1        0        1        0
26501 libdbix-class-cursor-cached-perl   	       0        2        1        1        0
26502 libdbix-class-dynamicdefault-perl  	       0        2        0        2        0
26503 libdbix-class-perl                 	       0        7        2        5        0
26504 libdbix-class-schema-loader-perl   	       0        4        1        3        0
26505 libdbix-class-schema-populatemore-perl	       0        2        0        2        0
26506 libdbix-class-timestamp-perl       	       0        2        0        2        0
26507 libdbix-class-uuidcolumns-perl     	       0        3        0        3        0
26508 libdbix-connector-perl             	       0        5        0        5        0
26509 libdbix-contextualfetch-perl       	       0       29        1       28        0
26510 libdbix-dbschema-perl              	       0        2        0        2        0
26511 libdbix-multistatementdo-perl      	       0        1        0        1        0
26512 libdbix-runsql-perl                	       0        2        0        2        0
26513 libdbix-safe-perl                  	       0        1        0        1        0
26514 libdbix-searchbuilder-perl         	       0        2        0        2        0
26515 libdbix-simple-perl                	       0       55        0       55        0
26516 libdbus-1-3-dbgsym                 	       0        1        0        1        0
26517 libdbus-1-tqt-dev                  	       0        2        0        2        0
26518 libdbus-c++-1-0v5                  	       0       28        0        2       26
26519 libdbus-c++-bin                    	       0        4        0        4        0
26520 libdbus-c++-dev                    	       0        4        0        4        0
26521 libdbus-c++-doc                    	       0        1        0        0        1
26522 libdbus-cpp-dev                    	       0        1        0        1        0
26523 libdbus-cpp5                       	       0        2        0        0        2
26524 libdbus-glib-1-doc                 	       0        4        0        0        4
26525 libdbus-glib1.0-cil                	       0        1        0        0        1
26526 libdbus-glib2.0-cil                	       0       16        0        0       16
26527 libdbus-java                       	       0        2        0        0        2
26528 libdbus-tqt-1-1c2                  	       0        4        0        0        4
26529 libdbus1.0-cil                     	       0        1        0        0        1
26530 libdbus2.0-cil                     	       0       17        0        0       17
26531 libdbusextended-qt5-1              	       0        2        0        0        2
26532 libdbusextended-qt5-dev            	       0        1        0        1        0
26533 libdbuskit0                        	       0        3        0        3        0
26534 libdbusmenu-glib-dev               	       0       22        1       21        0
26535 libdbusmenu-glib3                  	       0        1        0        0        1
26536 libdbusmenu-gtk-dev                	       0        1        0        1        0
26537 libdbusmenu-gtk-doc                	       0        1        0        0        1
26538 libdbusmenu-gtk3                   	       0        1        0        0        1
26539 libdbusmenu-gtk3-dev               	       0        7        1        6        0
26540 libdbusmenu-lxqt0                  	       0        6        0        4        2
26541 libdbusmenu-qt2                    	       0       48        1        0       47
26542 libdbusmenu-qt5-dev                	       0        8        0        8        0
26543 libdbusmenu-qt5-doc                	       0        2        0        0        2
26544 libdbustest1                       	       0        2        0        0        2
26545 libdc1394-13                       	       0        3        0        0        3
26546 libdc1394-22                       	       0      313        0        0      313
26547 libdc1394-22-dev                   	       0        6        0        3        3
26548 libdc1394-25                       	       0     2991       24       52     2915
26549 libdc1394-utils                    	       0        2        0        2        0
26550 libdca-dev                         	       0       26        0       26        0
26551 libdca-utils                       	       0        2        0        2        0
26552 libdca0                            	       0     3138        4       14     3120
26553 libdcmtk14                         	       0       19        0        0       19
26554 libdcmtk15                         	       0       54        1        0       53
26555 libdcmtk16                         	       0        1        0        0        1
26556 libdcmtk17                         	       0      137        0        2      135
26557 libdcmtk17t64                      	       0        4        0        0        4
26558 libdcmtk18                         	       0       11        0        0       11
26559 libdcmtk19                         	       0        1        0        0        1
26560 libdcmtk2                          	       0        5        0        0        5
26561 libdcmtk2-dev                      	       0        1        0        1        0
26562 libdcmtk8                          	       0        3        0        0        3
26563 libdconf-dev                       	       0        2        0        2        0
26564 libdconf-doc                       	       0        2        0        0        2
26565 libdconf0                          	       0       12        0        0       12
26566 libdconf1-dbgsym                   	       0        1        0        1        0
26567 libdd-plist-java                   	       0       50        0        0       50
26568 libddccontrol0                     	       0       18        1       13        4
26569 libddcutil3                        	       0       13        0        5        8
26570 libdds0                            	       0        9        0        0        9
26571 libddsc0debian                     	       0        1        0        0        1
26572 libde265-examples                  	       0        2        0        2        0
26573 libdebconf-kde0                    	       0        1        0        0        1
26574 libdebconf-kde1                    	       0      547        0        0      547
26575 libdebconfclient0                  	       0     4160        0        0     4160
26576 libdebian-copyright-perl           	       0        4        0        4        0
26577 libdebian-dpkgcross-perl           	       0       27        2       25        0
26578 libdebian-installer-extra4         	       0       17        0        0       17
26579 libdebian-installer4               	       0       27        0        0       27
26580 libdebug-client-perl               	       0        1        0        1        0
26581 libdebug-trace-perl                	       0        1        0        1        0
26582 libdebug0                          	       0        2        0        0        2
26583 libdebuginfod-common               	       0      915        0        0      915
26584 libdebuginfod-dev                  	       0        1        0        1        0
26585 libdebuginfod1t64                  	       0       98        0        0       98
26586 libdecaf0                          	       0       33        1        0       32
26587 libdecor-0-plugin-1-cairo          	       0     2374        0        0     2374
26588 libdecor-0-plugin-1-gtk            	       0      106        0        0      106
26589 libdecoration0-trinity             	       0        3        0        0        3
26590 libdee-dev                         	       0        1        0        1        0
26591 libdelimmatch-perl                 	       0        1        0        1        0
26592 libdeprecated-ruby1.9.1            	       0        1        0        0        1
26593 libdesktop-notify-perl             	       0        3        2        1        0
26594 libdevel-argnames-perl             	       0       14        1       13        0
26595 libdevel-callchecker-perl          	       0     1217        2        0     1215
26596 libdevel-caller-perl               	       0      582        0        0      582
26597 libdevel-confess-perl              	       0        4        0        4        0
26598 libdevel-cycle-perl                	       0        3        0        3        0
26599 libdevel-declare-perl              	       0        4        0        0        4
26600 libdevel-dprof-perl                	       0        7        0        7        0
26601 libdevel-dumpvar-perl              	       0        1        0        1        0
26602 libdevel-gdb-perl                  	       0        1        0        1        0
26603 libdevel-hide-perl                 	       0        6        1        5        0
26604 libdevel-lexalias-perl             	       0      581        0        0      581
26605 libdevel-mat-dumper-perl           	       0       18        0        0       18
26606 libdevel-nytprof-perl              	       0        3        0        3        0
26607 libdevel-overloadinfo-perl         	       0      105        3      102        0
26608 libdevel-partialdump-perl          	       0      101        3       98        0
26609 libdevel-patchperl-perl            	       0        5        0        5        0
26610 libdevel-profile-perl              	       0        5        0        5        0
26611 libdevel-ptkdb-perl                	       0        1        0        1        0
26612 libdevel-refactor-perl             	       0        1        0        1        0
26613 libdevel-repl-perl                 	       0        1        0        1        0
26614 libdevel-size-perl                 	       0      621        0        0      621
26615 libdevel-stacktrace-ashtml-perl    	       0       18        1       17        0
26616 libdevel-symdump-perl              	       0       47        0       47        0
26617 libdevel-trace-perl                	       0        1        0        1        0
26618 libdevhelp-3-0                     	       0        1        0        0        1
26619 libdevhelp-3-2                     	       0        3        0        0        3
26620 libdevhelp-3-6                     	       0       59        0        0       59
26621 libdeviceinfo0                     	       0        1        0        0        1
26622 libdevil-dev                       	       0        6        0        6        0
26623 libdevil1c2                        	       0       20        0       20        0
26624 libdevmapper-event1.02.1           	       0     1296        0        4     1292
26625 libdex-1-1                         	       0        2        0        0        2
26626 libdexx-java                       	       0        2        0        0        2
26627 libdframeworkdbus2                 	       0       12        0        2       10
26628 libdfu1                            	       0        1        0        0        1
26629 libdhash1                          	       0       13        1        9        3
26630 libdiagnostic-msgs-dev             	       0        1        0        1        0
26631 libdialog15                        	       0      121        0        1      120
26632 libdico2                           	       0        2        0        1        1
26633 libdico2t64                        	       0        2        0        1        1
26634 libdicomscope-jni                  	       0        9        0        0        9
26635 libdictzip-java                    	       0        5        0        0        5
26636 libdieharder3                      	       0        9        0        0        9
26637 libdifflcs-ruby1.9.1               	       0        1        0        0        1
26638 libdiffutils-java                  	       0        2        0        0        2
26639 libdigest-bcrypt-perl              	       0        2        0        2        0
26640 libdigest-crc-perl                 	       0        9        0        0        9
26641 libdigest-jhash-perl               	       0        7        0        0        7
26642 libdigest-md2-perl                 	       0        1        0        0        1
26643 libdigest-md4-perl                 	       0       17        0        0       17
26644 libdigest-md5-file-perl            	       0       25        0       25        0
26645 libdigest-murmurhash3-pureperl-perl	       0        1        0        1        0
26646 libdigest-sha-perl                 	       0       10        0       10        0
26647 libdigest-sha3-perl                	       0       13        0       13        0
26648 libdigest-whirlpool-perl           	       0        1        0        1        0
26649 libdigidocpp-common                	       0        2        0        0        2
26650 libdigidocpp-tools                 	       0        2        0        2        0
26651 libdigidocpp1                      	       0        2        0        0        2
26652 libdigiham0                        	       0        1        0        0        1
26653 libdime-dev                        	       0        3        0        3        0
26654 libdime1                           	       0        5        0        0        5
26655 libdirac-decoder0                  	       0       18        0        1       17
26656 libdirac-dev                       	       0        5        0        5        0
26657 libdirac-doc                       	       0        1        0        0        1
26658 libdirac-encoder0                  	       0       43        0        1       42
26659 libdirectfb-0.9-25                 	       0        1        0        1        0
26660 libdirectfb-1.0-0                  	       0        4        0        0        4
26661 libdirectfb-1.2-0                  	       0        1        0        0        1
26662 libdirectfb-1.2-9                  	       0      118        0        0      118
26663 libdirectfb-1.7-7                  	       0     2576        0        4     2572
26664 libdirectfb-1.7-7t64               	       0      146        0        1      145
26665 libdirectfb-bin                    	       0        4        0        4        0
26666 libdirectfb-dev                    	       0       17        1       16        0
26667 libdirectfb-extra                  	       0       31        0        0       31
26668 libdirectory-scratch-perl          	       0        1        0        1        0
26669 libdirectory-scratch-structured-perl	       0        1        0        1        0
26670 libdirgra-java                     	       0        4        0        0        4
26671 libdirgra-java-doc                 	       0        1        0        0        1
26672 libdisasm0                         	       0       37        0        0       37
26673 libdiscid-dev                      	       0        3        0        3        0
26674 libdiscid0                         	       0      533        0        4      529
26675 libdiscover-dev                    	       0        1        0        1        0
26676 libdiscover2                       	       0     3980        0        0     3980
26677 libdislocker0.7                    	       0       27        0        0       27
26678 libdislocker0.7t64                 	       0        2        0        0        2
26679 libdisorder0                       	       0        1        0        0        1
26680 libdispatch-class-perl             	       0        2        0        2        0
26681 libdispatch1                       	       0        1        0        0        1
26682 libdisplay-info1                   	       0        6        0        0        6
26683 libdisruptor-java                  	       0        6        0        0        6
26684 libdistorm3-3                      	       0        7        0        0        7
26685 libdivsufsort-dev                  	       0        2        0        2        0
26686 libdivsufsort3                     	       0        5        0        0        5
26687 libdjinterop0                      	       0        4        0        0        4
26688 libdjvulibre-text                  	       0     3391        0        0     3391
26689 libdjvulibre15                     	       0        1        0        0        1
26690 libdjvulibre21                     	       0     3387        4       18     3365
26691 libdleyna-connector-dbus-1.0-1     	       0      104        0        0      104
26692 libdleyna-core-1.0-3               	       0       31        0        0       31
26693 libdleyna-core-1.0-5               	       0       76        0        0       76
26694 libdleyna-core-1.0-6               	       0        1        0        0        1
26695 libdlib-data                       	       0        8        0        0        8
26696 libdlib-dev                        	       0        2        0        2        0
26697 libdlib19                          	       0        3        0        0        3
26698 libdlib19.1                        	       0        5        0        4        1
26699 libdlm3                            	       0        7        0        2        5
26700 libdlmcontrol3                     	       0        1        0        0        1
26701 libdlrestrictions-dev              	       0        1        0        1        0
26702 libdlrestrictions1                 	       0       70        1        1       68
26703 libdlt-dev                         	       0        1        0        1        0
26704 libdlt2                            	       0       27        6        6       15
26705 libdm0                             	       0        1        0        0        1
26706 libdmalloc-dev                     	       0        2        0        2        0
26707 libdmalloc5                        	       0        2        0        0        2
26708 libdmapsharing-3.0-2               	       0      448        0        7      441
26709 libdmapsharing-4.0-3               	       0        2        0        0        2
26710 libdmapsharing-4.0-3t64            	       0       32        0        0       32
26711 libdmlc0                           	       0        1        0        0        1
26712 libdmr0.1                          	       0        5        0        0        5
26713 libdmraid1.0.0.rc16                	       0       33        0        0       33
26714 libdmrconf0                        	       0        4        0        0        4
26715 libdmrconf0.10                     	       0        3        0        0        3
26716 libdmtx-dev                        	       0        3        0        3        0
26717 libdmtx-utils                      	       0        1        0        0        1
26718 libdmtx0a                          	       0       10        0        1        9
26719 libdmx1                            	       0       56        0        0       56
26720 libdnet                            	       0        8        0        0        8
26721 libdnet-dev                        	       0        2        0        2        0
26722 libdnf2                            	       0        3        0        0        3
26723 libdnf2-common                     	       0        3        0        0        3
26724 libdnlib2.1-cil                    	       0       20        0       20        0
26725 libdnnl2                           	       0       13        0        0       13
26726 libdnnl3                           	       0       38        0        0       38
26727 libdnnl3.6                         	       0       15        0        0       15
26728 libdns-export100                   	       0      219        0        0      219
26729 libdns-export1100                  	       0        1        0        0        1
26730 libdns-export1104                  	       0      526        0        1      525
26731 libdns-export1109                  	       0        1        0        1        0
26732 libdns-export162                   	       0      479        0        1      478
26733 libdns-export169                   	       0        1        0        0        1
26734 libdns-export190                   	       0        1        0        0        1
26735 libdns-ruby                        	       0        1        0        0        1
26736 libdns100                          	       0       83        0        0       83
26737 libdns1104                         	       0      304        0        0      304
26738 libdns1109                         	       0        1        0        0        1
26739 libdns1110                         	       0       59        0        0       59
26740 libdns162                          	       0      190        0        0      190
26741 libdns22                           	       0        4        0        0        4
26742 libdns69                           	       0       18        0        0       18
26743 libdns81                           	       0        2        0        0        2
26744 libdns88                           	       0       21        0        0       21
26745 libdnsjava-java                    	       0        3        0        0        3
26746 libdnssec2                         	       0        1        0        0        1
26747 libdnssec6                         	       0        2        0        0        2
26748 libdnssec7                         	       0        1        0        0        1
26749 libdnssec8                         	       0        4        0        0        4
26750 libdnssec9                         	       0       12        3        4        5
26751 libdnssec9t64                      	       0        2        0        2        0
26752 libdnswire-dev                     	       0        1        0        1        0
26753 libdnswire1t64                     	       0        1        0        0        1
26754 libdockapp-dev                     	       0        7        0        7        0
26755 libdockapp2                        	       0        2        0        0        2
26756 libdockapp3                        	       0       31        3        8       20
26757 libdocopt0                         	       0       11        0        0       11
26758 libdogleg-dev                      	       0        1        0        1        0
26759 libdogleg2                         	       0        1        0        0        1
26760 libdokujclient-java                	       0        3        0        0        3
26761 libdolfinx-dev                     	       0        1        0        1        0
26762 libdolfinx-real-dev                	       0        1        0        0        1
26763 libdolfinx-real0.5                 	       0        1        0        0        1
26764 libdom4j-java                      	       0      477        0        0      477
26765 libdom4j-java-doc                  	       0        1        0        0        1
26766 libdomain-publicsuffix-perl        	       0        8        0        8        0
26767 libdoodle1                         	       0        1        0        0        1
26768 libdotconf-dev                     	       0        1        0        1        0
26769 libdotconf1.0                      	       0        4        0        0        4
26770 libdouble-conversion-dev           	       0       29        0       29        0
26771 libdouble-conversion1              	       0      228        2        1      225
26772 libdovi3                           	       0        3        0        0        3
26773 libdoxia-core-java                 	       0       17        0        0       17
26774 libdoxia-java                      	       0       12        0        0       12
26775 libdoxia-java-doc                  	       0        1        0        0        1
26776 libdoxia-sitetools-java            	       0       10        0        0       10
26777 libdoxygen-filter-perl             	       0        1        0        1        0
26778 libdpdk-dev                        	       0        2        0        2        0
26779 libdpkg-parse-perl                 	       0        6        0        6        0
26780 libdqlite0                         	       0       10        2        6        2
26781 libdraco-dev                       	       0        8        0        8        0
26782 libdraco1                          	       0        1        0        0        1
26783 libdraco1a                         	       0        1        0        0        1
26784 libdraco3                          	       0        2        0        0        2
26785 libdraco4                          	       0        1        0        0        1
26786 libdraco7                          	       0       55        1        0       54
26787 libdraco8                          	       0       10        0        0       10
26788 libdrilbo-common                   	       0        5        0        0        5
26789 libdrilbo-dev                      	       0        1        0        1        0
26790 libdrm-amdgpu-amdgpu1              	       0       18        4       10        4
26791 libdrm-amdgpu-common               	       0       18        0        0       18
26792 libdrm-amdgpu-dev                  	       0        7        0        7        0
26793 libdrm-amdgpu-radeon1              	       0       12        3        6        3
26794 libdrm-amdgpu-static               	       0        1        0        0        1
26795 libdrm-amdgpu-utils                	       0        1        0        1        0
26796 libdrm-common                      	       0     3643        0        0     3643
26797 libdrm-etnaviv1                    	       0        5        0        0        5
26798 libdrm-exynos1                     	       0        1        0        0        1
26799 libdrm-freedreno1                  	       0        3        0        0        3
26800 libdrm-nouveau1                    	       0        1        0        0        1
26801 libdrm-nouveau1a                   	       0       13        0        0       13
26802 libdrm-omap1                       	       0        1        0        0        1
26803 libdrm-tegra0                      	       0        3        0        0        3
26804 libdrm2-amdgpu                     	       0       18        4       14        0
26805 libdrmaa1.0-java                   	       0        1        0        0        1
26806 libdropwizard-metrics-java         	       0        4        0        0        4
26807 libdrpm0                           	       0        2        0        0        2
26808 libdrumstick-alsa1                 	       0        7        0        0        7
26809 libdrumstick-alsa2                 	       0       24        0        0       24
26810 libdrumstick-alsa2t64              	       0        6        0        0        6
26811 libdrumstick-file1                 	       0        3        0        0        3
26812 libdrumstick-file2                 	       0        8        0        0        8
26813 libdrumstick-file2t64              	       0        1        0        0        1
26814 libdrumstick-plugins               	       0        9        0        0        9
26815 libdrumstick-rt-backends           	       0       25        0        0       25
26816 libdrumstick-rt1                   	       0        5        0        0        5
26817 libdrumstick-rt2                   	       0       19        0        0       19
26818 libdrumstick-rt2t64                	       0        6        0        0        6
26819 libdrumstick-widgets2              	       0       19        0        0       19
26820 libdrumstick-widgets2t64           	       0        6        0        0        6
26821 libdsdcc1                          	       0        4        0        0        4
26822 libdsdcc1t64                       	       0        2        0        0        2
26823 libdsdp-5.8gf                      	       0        9        0        0        9
26824 libdsfmt-19937-1                   	       0       17        0        0       17
26825 libdsfmt-216091-1                  	       0        1        0        0        1
26826 libdshconfig1                      	       0        5        0        0        5
26827 libdsk-utils                       	       0        4        0        4        0
26828 libdsk4                            	       0        8        0        0        8
26829 libdsk4-dev                        	       0        1        0        1        0
26830 libdsm3                            	       0       11        0        0       11
26831 libdsocksd0                        	       0        4        0        0        4
26832 libdsocksd0t64                     	       0        2        0        0        2
26833 libdspam7                          	       0        1        0        0        1
26834 libdspam7-drv-mysql                	       0        1        0        0        1
26835 libdspy-1-1                        	       0        1        0        0        1
26836 libdssialsacompat-dev              	       0        1        0        1        0
26837 libdssialsacompat0                 	       0        1        0        0        1
26838 libdtd-parser-java                 	       0      339        0        0      339
26839 libdtdinst-java                    	       0        1        0        0        1
26840 libdtkcommon                       	       0       14        0        0       14
26841 libdtkcore2                        	       0        4        0        0        4
26842 libdtkcore5                        	       0       16        1        9        6
26843 libdtkgui5                         	       0       16        1        9        6
26844 libdtkwidget2                      	       0        4        0        0        4
26845 libdtkwidget5                      	       0       16        0        2       14
26846 libdtkwm2                          	       0        2        0        0        2
26847 libdtkwm5                          	       0        5        0        0        5
26848 libdts-dev                         	       0        5        0        5        0
26849 libdublintraceroute0               	       0        4        0        0        4
26850 libdujour-version-check-clojure    	       0        1        0        0        1
26851 libduktape202                      	       0        1        0        0        1
26852 libduktape203                      	       0       50        0        0       50
26853 libduktape205                      	       0      182        1        0      181
26854 libduktape206                      	       0        5        0        0        5
26855 libdumb1                           	       0       85        0        0       85
26856 libdumb1-dbgsym                    	       0        1        0        1        0
26857 libdumb1-dev                       	       0        8        0        8        0
26858 libdumb1t64                        	       0        5        0        0        5
26859 libdumbnet-dev                     	       0        2        0        2        0
26860 libdumbnet1                        	       0       60        1        1       58
26861 libdune-common-dev                 	       0        3        1        2        0
26862 libdune-grid-glue-dev              	       0        1        0        0        1
26863 libdune-uggrid-dev                 	       0        3        0        3        0
26864 libdv-bin                          	       0       28        1       27        0
26865 libdv4                             	       0     2965        3        8     2954
26866 libdv4-dev                         	       0       11        0       11        0
26867 libdv4t64                          	       0      224        1        2      221
26868 libdvbcsa-dev                      	       0        7        0        7        0
26869 libdvbcsa1                         	       0       16        2        5        9
26870 libdvbpsi-dev                      	       0       13        0       13        0
26871 libdvbpsi10                        	       0     1638        4       14     1620
26872 libdvbpsi4                         	       0        1        0        0        1
26873 libdvbpsi6                         	       0        3        0        0        3
26874 libdvbpsi7                         	       0        6        0        0        6
26875 libdvbpsi9                         	       0       26        0        1       25
26876 libdvbv5-0                         	       0       34        0        0       34
26877 libdvbv5-0t64                      	       0        4        0        0        4
26878 libdvbv5-dev                       	       0        2        0        2        0
26879 libdvbv5-doc                       	       0        2        0        0        2
26880 libdvdcss                          	       0        1        0        1        0
26881 libdvdcss2                         	       0      232        0        4      228
26882 libdvdnav-dev                      	       0       40        0       40        0
26883 libdvdnav-doc                      	       0        5        0        0        5
26884 libdvdnav4                         	       0     3181       37      112     3032
26885 libdvdread-dev                     	       0       45        0       45        0
26886 libdvdread3                        	       0        1        0        0        1
26887 libdvdread4                        	       0      304        0        2      302
26888 libdvdread7                        	       0        2        0        0        2
26889 libdvdread8                        	       0     2727       24       88     2615
26890 libdvdread8t64                     	       0      241       14       34      193
26891 libdvilib2-16                      	       0        1        0        0        1
26892 libdwarf++0                        	       0        6        0        0        6
26893 libdwarf-dev                       	       0        8        0        8        0
26894 libdwarf1                          	       0       17        0        0       17
26895 libdx4                             	       0        7        0        7        0
26896 libdx4-dev                         	       0        1        0        1        0
26897 libdx4t64                          	       0        1        1        0        0
26898 libdxflib-2.2.0.0                  	       0        1        0        0        1
26899 libdxflib-dev                      	       0        4        0        4        0
26900 libdxflib3                         	       0       22        0        0       22
26901 libdxfrw-bin                       	       0        1        0        1        0
26902 libdxfrw-dev                       	       0        1        0        1        0
26903 libdxfrw0                          	       0        1        0        0        1
26904 libdynamic-reconfigure-config-init-mutex-dev	       0        1        0        1        0
26905 libdynamic-reconfigure-config-init-mutex0d	       0        2        0        0        2
26906 libdynamite0                       	       0        6        0        0        6
26907 libdynapath-clojure                	       0        1        0        0        1
26908 libdynarmic6                       	       0        4        0        0        4
26909 libdynarmic6.7                     	       0        1        0        0        1
26910 libe-book-0.0-0                    	       0        1        0        0        1
26911 libeac3                            	       0       46        4       16       26
26912 libeantic-dev                      	       0        1        0        1        0
26913 libeantic1                         	       0       10        0        0       10
26914 libeantic3                         	       0        2        0        0        2
26915 libear                             	       0       12        0        0       12
26916 libeasybind-java                   	       0        1        0        0        1
26917 libeasyloggingpp-dev               	       0        1        0        1        0
26918 libeasymock-java                   	       0       10        0        0       10
26919 libeasymock-java-doc               	       0        1        0        0        1
26920 libeatmydata1                      	       0      107        1        0      106
26921 libeb16                            	       0       30        1        2       27
26922 libeb16t64                         	       0        4        0        0        4
26923 libebackend-1.2-10                 	       0       93        3       29       61
26924 libebackend-1.2-2                  	       0        4        0        0        4
26925 libebackend-1.2-6                  	       0        1        0        0        1
26926 libebackend-1.2-7                  	       0        8        0        0        8
26927 libebackend1.2-dev                 	       0        4        0        4        0
26928 libebml-dev                        	       0       14        0       14        0
26929 libebml0                           	       0        3        0        0        3
26930 libebml3                           	       0        5        0        0        5
26931 libebml4                           	       0       13        0        1       12
26932 libebml4v5                         	       0      175        0        0      175
26933 libebml5                           	       0     1509        5       16     1488
26934 libebook-1.2-13                    	       0        2        0        0        2
26935 libebook-1.2-14                    	       0        3        0        0        3
26936 libebook-1.2-16                    	       0       12        0        0       12
26937 libebook-1.2-19                    	       0       14        0        1       13
26938 libebook-1.2-20                    	       0       64        3       28       33
26939 libebook-contacts-1.2-0            	       0        3        0        0        3
26940 libebook-contacts-1.2-2            	       0       35        0        1       34
26941 libebook-contacts-1.2-3            	       0       76        3       28       45
26942 libebook-contacts1.2-dev           	       0        4        0        4        0
26943 libebook-tools-perl                	       0        3        0        3        0
26944 libebook1.2-dev                    	       0        4        0        4        0
26945 libebur128-1                       	       0      405        2        9      394
26946 libebur128-dev                     	       0        6        0        6        0
26947 libec-dev                          	       0        9        0        9        0
26948 libec10                            	       0       10        0        0       10
26949 libec4                             	       0        1        0        0        1
26950 libecal-1.2-11                     	       0        5        0        0        5
26951 libecal-1.2-16                     	       0        6        0        0        6
26952 libecal-1.2-19                     	       0       28        0        1       27
26953 libecal-2.0-1                      	       0       62        3       28       31
26954 libecap2                           	       0        2        0        0        2
26955 libecap3                           	       0       50        9       29       12
26956 libecasoundc-dev                   	       0        1        0        1        0
26957 libecasoundc1v5                    	       0        9        0        0        9
26958 libeccodes-data                    	       0       12        0        0       12
26959 libeccodes-dev                     	       0        4        0        4        0
26960 libeccodes-tools                   	       0        3        0        3        0
26961 libeccodes0                        	       0       12        0        0       12
26962 libecholib1.3                      	       0        2        0        0        2
26963 libecholib1.3t64                   	       0        2        0        0        2
26964 libechonest2.1                     	       0        1        0        0        1
26965 libecj-java                        	       0       47        0        0       47
26966 libecj-java-gcj                    	       0        5        0        5        0
26967 libeckit-dev                       	       0        1        0        1        0
26968 libeckit-utils                     	       0        1        0        1        0
26969 libeckit0d                         	       0        6        0        0        6
26970 libecl-dev                         	       0       18        0       18        0
26971 libecl21.2                         	       0       18        0        0       18
26972 libecl21.2t64                      	       0        1        0        0        1
26973 libecl24.5t64                      	       0        1        0        0        1
26974 libeclipse-aether-java             	       0        2        0        0        2
26975 libeclipse-cdt-java                	       0        1        0        0        1
26976 libeclipse-core-commands-java      	       0        5        1        4        0
26977 libeclipse-core-net-java           	       0        1        0        1        0
26978 libeclipse-core-runtime-java       	       0        5        1        4        0
26979 libeclipse-e4-ui-ide-java          	       0        1        0        1        0
26980 libeclipse-jdt-annotation-java     	       0       58        2       56        0
26981 libeclipse-jdt-core-compiler-batch-java	       0        8        0        8        0
26982 libeclipse-jface-java              	       0        5        1        4        0
26983 libeclipse-osgi-java               	       0        5        1        4        0
26984 libeclipse-swtchart-java           	       0        4        0        0        4
26985 libeclipse-ui-forms-java           	       0        5        1        4        0
26986 libeclipse-ui-ide-application-java 	       0        1        0        1        0
26987 libeclipse-ui-ide-java             	       0        1        0        1        0
26988 libeclipse-ui-java                 	       0        1        0        1        0
26989 libeclipse-ui-navigator-java       	       0        1        0        1        0
26990 libeclipse-ui-views-java           	       0        1        0        1        0
26991 libeclipselink-java                	       0        3        0        0        3
26992 libeclipselink-java-doc            	       0        1        0        0        1
26993 libecm-dev                         	       0       11        0        0       11
26994 libecm1                            	       0       18        0        0       18
26995 libecm1-dev                        	       0       14        0        0       14
26996 libecm1-dev-common                 	       0       14        0       14        0
26997 libecore-con1t64                   	       0        4        0        1        3
26998 libecore-fb1                       	       0       41        0        0       41
26999 libecpg-compat3                    	       0        8        0        0        8
27000 libecpg6                           	       0        9        0        0        9
27001 libectrans-0                       	       0        1        0        0        1
27002 libedac1                           	       0       30        0        0       30
27003 libedata-book-1.2-20               	       0        3        0        0        3
27004 libedata-book-1.2-25               	       0       26        0        1       25
27005 libedata-book-1.2-26               	       0       64        3       28       33
27006 libedata-book1.2-dev               	       0        4        0        4        0
27007 libedata-cal-1.2-15                	       0        3        0        0        3
27008 libedata-cal-1.2-23                	       0        5        0        0        5
27009 libedata-cal-1.2-28                	       0        6        0        0        6
27010 libedata-cal-1.2-29                	       0        9        0        1        8
27011 libedata-cal-2.0-1                 	       0       56        3       28       25
27012 libedataserver-1.2-16              	       0        8        0        0        8
27013 libedataserver-1.2-17              	       0        1        0        0        1
27014 libedataserver-1.2-18              	       0        8        0        0        8
27015 libedataserver-1.2-21              	       0        1        0        0        1
27016 libedataserver-1.2-22              	       0       15        0        0       15
27017 libedataserver-1.2-23              	       0       24        0        1       23
27018 libedataserver-1.2-24              	       0        1        0        0        1
27019 libedataserver-1.2-25              	       0       79        3       28       48
27020 libedataserver-1.2-26              	       0        5        0        1        4
27021 libedataserver1.2-dev              	       0        5        0        5        0
27022 libedataserverui-1.2-1             	       0        6        0        0        6
27023 libedataserverui-1.2-2             	       0       65        3       29       33
27024 libedataserverui-1.2-3             	       0        1        0        0        1
27025 libedataserverui-3.0-1             	       0        2        0        0        2
27026 libedataserverui4-1.0-0t64         	       0        8        0        0        8
27027 libedbus1                          	       0        1        0        0        1
27028 libedf1                            	       0        2        0        0        2
27029 libedfmt0                          	       0        1        0        0        1
27030 libedgetpu-dev                     	       0        1        0        1        0
27031 libedgetpu1-max                    	       0        1        0        1        0
27032 libeditline-dev                    	       0        1        0        1        0
27033 libeditline0                       	       0        4        0        0        4
27034 libeditorconfig-dev                	       0        1        0        1        0
27035 libedlib-dev                       	       0        1        0        1        0
27036 libedlib0                          	       0        1        0        0        1
27037 libedlib1                          	       0        1        0        0        1
27038 libeduvpn-common                   	       0        3        0        0        3
27039 libee0                             	       0        7        0        0        7
27040 libeel2-data                       	       0        1        0        0        1
27041 libeet-bin                         	       0        1        0        1        0
27042 libefiboot-dev                     	       0        1        0        1        0
27043 libefiboot1t64                     	       0      267        0        0      267
27044 libefisec1                         	       0        1        0        0        1
27045 libefisec1t64                      	       0        2        0        0        2
27046 libefivar-dev                      	       0        1        0        1        0
27047 libefivar0                         	       0        8        0        0        8
27048 libefivar1t64                      	       0      267        0        0      267
27049 libeggdbus-1-0                     	       0        4        0        0        4
27050 libegl-nvidia-legacy-390xx0        	       0       13        1        2       10
27051 libegl-nvidia-tesla-450-0          	       0        2        0        0        2
27052 libegl-nvidia-tesla-470-0          	       0       14        3        6        5
27053 libegl1-amdgpu-mesa                	       0        8        2        5        1
27054 libegl1-amdgpu-mesa-dev            	       0        1        0        1        0
27055 libegl1-amdgpu-mesa-drivers        	       0        8        0        0        8
27056 libegl1-glvnd-nvidia               	       0        2        0        0        2
27057 libegl1-mesa                       	       0      464        0        0      464
27058 libegl1-mesa-drivers               	       0       39        0        0       39
27059 libegl1-nvidia                     	       0        3        0        0        3
27060 libegl1-nvidia-legacy-340xx        	       0        8        0        1        7
27061 libegl1-nvidia-legacy-390xx        	       0        2        0        0        2
27062 libehcache-java                    	       0      743        0        0      743
27063 libei1                             	       0       15        0        0       15
27064 libeidviewer0                      	       0        8        0        0        8
27065 libeigen-stl-containers-dev        	       0        1        0        1        0
27066 libeigen2-dev                      	       0        2        0        2        0
27067 libeigen3-dev                      	       0       86        2       84        0
27068 libeigen3-doc                      	       0        3        0        0        3
27069 libeina-bin                        	       0        1        0        1        0
27070 libeina1                           	       0        5        0        0        5
27071 libeina1t64                        	       0        4        0        1        3
27072 libeinfo-dev                       	       0        1        0        1        0
27073 libeis-dev                         	       0        1        0        1        0
27074 libeiskaltdcpp2.4                  	       0        8        1        0        7
27075 libel-api-java                     	       0      811        0        0      811
27076 libelemental0                      	       0        7        0        0        7
27077 libelementary2                     	       0        1        0        0        1
27078 libelf++0                          	       0        6        0        0        6
27079 libelfg0                           	       0       37        0        0       37
27080 libelfin-dev                       	       0        1        0        0        1
27081 libelfsh0                          	       0        1        0        0        1
27082 libelk0                            	       0        1        0        0        1
27083 libell-dev                         	       0        2        1        1        0
27084 libell0                            	       0       61        2       19       40
27085 libelocation1                      	       0        2        0        0        2
27086 libelogind-compat                  	       0     2869        0        0     2869
27087 libelogind-dev-doc                 	       0        3        0        0        3
27088 libelogind0-dbgsym                 	       0        1        0        1        0
27089 libelpa15                          	       0        1        0        0        1
27090 libemail-abstract-perl             	       0       78        2       76        0
27091 libemail-address-list-perl         	       0        1        0        1        0
27092 libemail-address-perl              	       0       52        4       48        0
27093 libemail-address-xs-perl           	       0      668       16       40      612
27094 libemail-date-perl                 	       0        4        0        4        0
27095 libemail-find-perl                 	       0       24        2       22        0
27096 libemail-messageid-perl            	       0       79        6       73        0
27097 libemail-mime-contenttype-perl     	       0       79        6       73        0
27098 libemail-mime-createhtml-perl      	       0        1        0        1        0
27099 libemail-mime-encodings-perl       	       0       81        6       75        0
27100 libemail-mime-modifier-perl        	       0        1        0        0        1
27101 libemail-mime-perl                 	       0       79        6       73        0
27102 libemail-outlook-message-perl      	       0        9        0        9        0
27103 libemail-received-perl             	       0        1        0        1        0
27104 libemail-reply-perl                	       0        1        0        1        0
27105 libemail-send-io-perl              	       0        1        0        1        0
27106 libemail-send-perl                 	       0        1        0        1        0
27107 libemail-sender-perl               	       0       75        2       73        0
27108 libemail-simple-perl               	       0       88        7       81        0
27109 libemail-stuffer-perl              	       0        2        1        1        0
27110 libembree-doc                      	       0        1        0        0        1
27111 libembree3-3                       	       0      117        0        1      116
27112 libembree4-4                       	       0       12        0        0       12
27113 libembryo0                         	       0        1        0        0        1
27114 libemeraldengine0                  	       0       11        0       11        0
27115 libemf-dev                         	       0        3        0        3        0
27116 libemf-doc                         	       0        1        0        0        1
27117 libemf1                            	       0      269        0        0      269
27118 libemf2svg-dev                     	       0        1        0        1        0
27119 libemf2svg1                        	       0        2        0        0        2
27120 libemma-java                       	       0        1        0        0        1
27121 libemos-bin                        	       0        1        0        1        0
27122 libemos-data                       	       0        3        0        0        3
27123 libemos-dev                        	       0        2        0        2        0
27124 libemos0d                          	       0        2        0        0        2
27125 libenca-dev                        	       0       14        0       14        0
27126 libenca0                           	       0      775        5        8      762
27127 libenchant-2-dev                   	       0       21        0       21        0
27128 libenchant-2-voikko                	       0        9        1        0        8
27129 libenchant-dev                     	       0        7        0        7        0
27130 libenchant-voikko                  	       0        6        0        0        6
27131 libenchant1c2a                     	       0      323        1        2      320
27132 libencode-detect-perl              	       0       47        2        6       39
27133 libencode-eucjpascii-perl          	       0      101        0        0      101
27134 libencode-eucjpms-perl             	       0      117        0        0      117
27135 libencode-hanextra-perl            	       0      133        0        0      133
27136 libencode-imaputf7-perl            	       0       11        0       11        0
27137 libencode-jis2k-perl               	       0      117        0        0      117
27138 libencode-perl                     	       0      259       17       19      223
27139 libenet-dev                        	       0       14        0       14        0
27140 libenet-doc                        	       0        9        0        0        9
27141 libenet1a                          	       0        1        0        0        1
27142 libenet7                           	       0      107        0        2      105
27143 libenet7-dbgsym                    	       0        1        0        1        0
27144 libengine-gost-openssl             	       0       17        0        0       17
27145 libengine-gost-openssl1.1          	       0       21        0        0       21
27146 libengine-pkcs11-openssl           	       0       18        0        2       16
27147 libengine-tpm2-tss-openssl         	       0        1        0        0        1
27148 libenv-path-perl                   	       0        2        0        2        0
27149 libenv-sanctify-perl               	       0       10        0       10        0
27150 libepc-1.0-3                       	       0        4        0        0        4
27151 libepc-common                      	       0        7        0        0        7
27152 libepc-ui-1.0-3                    	       0        3        0        0        3
27153 libepr-api-dev                     	       0        3        0        3        0
27154 libepr-api2                        	       0        3        0        0        3
27155 libepsilon-dev                     	       0       14        0       14        0
27156 libepsilon0                        	       0        1        0        0        1
27157 libepsilon1                        	       0      187        0        2      185
27158 libept1.4.12                       	       0       73        0        0       73
27159 libept1.5.0                        	       0      197        0        0      197
27160 libept1.6.0t64                     	       0      132        0        3      129
27161 libepub-dev                        	       0        2        0        2        0
27162 libepub0                           	       0      849        0        2      847
27163 libepubgen-0.0-0                   	       0        2        0        0        2
27164 libepubgen-dev                     	       0        1        0        1        0
27165 libequeue-ocaml                    	       0        1        0        0        1
27166 libequinox-common-java             	       0        5        1        4        0
27167 libequinox-jsp-jasper-java         	       0        1        0        1        0
27168 libequinox-osgi-java               	       0       25        0        2       23
27169 libequinox-p2-artifact-repository-java	       0        1        0        1        0
27170 libequinox-p2-core-java            	       0        1        0        1        0
27171 libequinox-p2-engine-java          	       0        1        0        1        0
27172 libequinox-p2-jarprocessor-java    	       0        1        0        1        0
27173 libequinox-p2-metadata-java        	       0        1        0        1        0
27174 libequinox-p2-metadata-repository-java	       0        1        0        1        0
27175 libequinox-p2-repository-java      	       0        1        0        1        0
27176 libequinox-security-java           	       0        1        0        1        0
27177 liberasurecode-dev                 	       0        1        0        1        0
27178 liberasurecode1                    	       0        1        0        0        1
27179 liberfa-dev                        	       0        5        0        5        0
27180 liberfa1                           	       0       46        0        0       46
27181 liberis-1.3-14                     	       0        1        0        0        1
27182 liberror-prone-java                	       0      235        0        0      235
27183 liberty-jessie-archive-keyring     	       0        1        0        0        1
27184 liberubis-ruby1.9.1                	       0        1        0        0        1
27185 libescapevelocity-java             	       0        2        0        0        2
27186 libescpr1                          	       0        1        0        0        1
27187 libesd0                            	       0       29        0        1       28
27188 libesd0-dev                        	       0        7        0        7        0
27189 libesedb-utils                     	       0        2        0        2        0
27190 libesedb1                          	       0       16        0        0       16
27191 libesmtp-dev                       	       0        2        0        2        0
27192 libesmtp6                          	       0       49        0       20       29
27193 libesnacc-dev                      	       0        1        0        1        0
27194 libesnacc180                       	       0        1        0        0        1
27195 libespeak-dev                      	       0        1        0        1        0
27196 libespeak-ng-dev                   	       0        1        0        1        0
27197 libespeak-ng-libespeak-dev         	       0        1        0        1        0
27198 libespeak1                         	       0     1072        0        1     1071
27199 libestools-dev                     	       0        6        1        5        0
27200 libestools2.1                      	       0        7        0        0        7
27201 libestools2.4                      	       0       17        0        0       17
27202 libestools2.5                      	       0       95        0        1       94
27203 libestraier-dev                    	       0        1        0        1        0
27204 libestraier8                       	       0        5        0        0        5
27205 libetonyek-0.0-0                   	       0        1        0        0        1
27206 libetonyek-dev                     	       0        2        0        2        0
27207 libetonyek-tools                   	       0        3        0        3        0
27208 libetpan-dev                       	       0       11        0       11        0
27209 libetpan-doc                       	       0        2        0        2        0
27210 libetpan15                         	       0        1        0        0        1
27211 libetpan17                         	       0        7        0        1        6
27212 libetpan20                         	       0      166        5       27      134
27213 libetpan20t64                      	       0       15        1        3       11
27214 libetrace0                         	       0        1        0        0        1
27215 libetsf-io-doc                     	       0        1        0        0        1
27216 libeuclid-java                     	       0        2        0        0        2
27217 libeudev1-dbgsym                   	       0        2        0        2        0
27218 libev-perl                         	       0      267        2        1      264
27219 libev4t64                          	       0       59        5        4       50
27220 libeval-context-perl               	       0        2        0        2        0
27221 libeval0                           	       0        3        0        0        3
27222 libevas-loaders                    	       0       39        0        0       39
27223 libevas1-engines-drm               	       0       37        0        0       37
27224 libevas1-engines-fb                	       0       33        0        0       33
27225 libevd-0.2-0                       	       0        1        0        0        1
27226 libevd-0.2-0t64                    	       0        1        1        0        0
27227 libevdev-tools                     	       0        9        0        9        0
27228 libevdi0                           	       0        7        0        0        7
27229 libevdi1                           	       0        1        0        0        1
27230 libevdocument3-4t64                	       0       71        0        1       70
27231 libevemu1                          	       0        2        0        0        2
27232 libevemu3                          	       0       84        0        0       84
27233 libevemu3t64                       	       0       19        0        0       19
27234 libevent-1.4-2                     	       0       19        0        0       19
27235 libevent-2.0-5                     	       0      201        0        2      199
27236 libevent-2.1-6                     	       0      268        3        5      260
27237 libevent-2.1.8                     	       0        1        0        1        0
27238 libevent-core-1.4-2                	       0        2        0        0        2
27239 libevent-core-2.0-5                	       0       17        0        0       17
27240 libevent-core-2.1-6                	       0      149        0        0      149
27241 libevent-core2                     	       0        1        0        0        1
27242 libevent-execflow-perl             	       0        1        0        1        0
27243 libevent-extra-1.4-2               	       0        2        0        0        2
27244 libevent-extra-2.0-5               	       0       13        0        0       13
27245 libevent-extra-2.1-6               	       0       13        0        0       13
27246 libevent-extra-2.1-7               	       0      162        3        4      155
27247 libevent-extra-2.1-7t64            	       0       22        0        1       21
27248 libevent-extra2                    	       0        1        0        0        1
27249 libevent-openssl-2.0-5             	       0       13        0        0       13
27250 libevent-openssl-2.1-6             	       0       13        0        0       13
27251 libevent-openssl-2.1-7             	       0      162        3        4      155
27252 libevent-openssl-2.1-7t64          	       0       22        0        1       21
27253 libevent-pthreads-2.0-5            	       0       15        0        0       15
27254 libevent-pthreads-2.1-6            	       0      148        1        1      146
27255 libevent-pthreads-2.1-7            	       0      567       11       24      532
27256 libevent-pthreads-2.1-7t64         	       0       53        1        6       46
27257 libevent-pthreads0                 	       0        1        0        0        1
27258 libevent-rpc-perl                  	       0        3        0        3        0
27259 libevent1                          	       0        6        0        0        6
27260 libevent2                          	       0        1        0        0        1
27261 libeventviews-data                 	       0        7        0        0        7
27262 libeventviews4                     	       0        2        0        0        2
27263 libevocosm-4.0-4                   	       0        1        0        0        1
27264 libevocosm-dev                     	       0        1        0        1        0
27265 libevs-dev                         	       0        1        0        1        0
27266 libevs4                            	       0        1        0        0        1
27267 libevt-utils                       	       0        2        0        2        0
27268 libevt1                            	       0       16        0        0       16
27269 libevtlog0                         	       0        5        0        1        4
27270 libevtx-utils                      	       0        2        0        2        0
27271 libevtx1                           	       0       16        0        0       16
27272 libevview3-3                       	       0     1089        8       13     1068
27273 libevview3-3t64                    	       0       71        0        1       70
27274 libewf-dev                         	       0        1        0        1        0
27275 libewf1                            	       0        2        0        0        2
27276 libewf2                            	       0      179        0        0      179
27277 libex-monkeypatched-perl           	       0        2        0        2        0
27278 libexactimage-perl                 	       0        2        0        0        2
27279 libexadrums2                       	       0        1        0        0        1
27280 libexcalibur-logger-java           	       0        2        0        0        2
27281 libexcalibur-logkit-java           	       0       25        0        0       25
27282 libexcel-template-perl             	       0        1        0        1        0
27283 libexcel-writer-xlsx-perl          	       0        3        0        3        0
27284 libexec-maven-plugin-java          	       0       16        0        0       16
27285 libexecline2.5                     	       0        2        0        0        2
27286 libexecline2.7                     	       0        4        0        0        4
27287 libexecline2.9                     	       0       10        0        1        9
27288 libexecs0                          	       0      541        0        0      541
27289 libexecs1                          	       0       57        0        0       57
27290 libexempi-dev                      	       0        3        0        3        0
27291 libexempi3                         	       0       60        0        0       60
27292 libexif-doc                        	       0       38        0        0       38
27293 libexif-gtk-dev                    	       0        1        0        1        0
27294 libexif-gtk3-5                     	       0        3        0        0        3
27295 libexif-gtk5                       	       0       48        0        0       48
27296 libexif-ruby1.9.1                  	       0        1        0        0        1
27297 libexiv2-12                        	       0       16        0        0       16
27298 libexiv2-13                        	       0       26        0        1       25
27299 libexiv2-14                        	       0      295        0        1      294
27300 libexiv2-28                        	       0      130        7       25       98
27301 libexiv2-9                         	       0        7        0        0        7
27302 libexiv2-data                      	       0      130        0        0      130
27303 libexiv2-doc                       	       0        1        0        1        0
27304 libexo-0.3-0                       	       0        3        0        0        3
27305 libexo-1-0                         	       0      110        0        0      110
27306 libexo-1-dev                       	       0        1        0        1        0
27307 libexo-2-0-dbgsym                  	       0        1        0        1        0
27308 libexo-2-dev                       	       0        5        0        5        0
27309 libexo-common                      	       0     1945        0        0     1945
27310 libexo-helpers                     	       0      116        0        0      116
27311 libexodusii5                       	       0        1        0        0        1
27312 libexosip2-11                      	       0        3        0        3        0
27313 libexosip2-4                       	       0        1        0        1        0
27314 libexosip2-7                       	       0        2        0        2        0
27315 libexosip2-dev                     	       0        1        0        1        0
27316 libexpect-perl                     	       0       26        0       26        0
27317 libexpect-simple-perl              	       0        2        0        2        0
27318 libexperimental-perl               	       0        1        0        1        0
27319 libexplain-dev                     	       0        1        0        1        0
27320 libexplain30                       	       0        1        0        0        1
27321 libexplain51                       	       0        6        0        0        6
27322 libexport-attrs-perl               	       0        1        0        1        0
27323 libexporter-easy-perl              	       0        2        0        2        0
27324 libexporter-lite-perl              	       0       71        3       68        0
27325 libexporter-tidy-perl              	       0        2        0        2        0
27326 libextlib-ruby1.9.1                	       0        2        0        0        2
27327 libextractor-dev                   	       0        4        0        4        0
27328 libextractor-plugin-archive        	       0       13        0        0       13
27329 libextractor-plugin-av             	       0        1        0        0        1
27330 libextractor-plugin-exiv2          	       0       13        0        0       13
27331 libextractor-plugin-flac           	       0       13        0        0       13
27332 libextractor-plugin-gif            	       0       13        0        0       13
27333 libextractor-plugin-gstreamer      	       0       14        0        0       14
27334 libextractor-plugin-html           	       0       13        0        0       13
27335 libextractor-plugin-jpeg           	       0       13        0        0       13
27336 libextractor-plugin-midi           	       0       13        0        0       13
27337 libextractor-plugin-mpeg           	       0       13        0        0       13
27338 libextractor-plugin-ogg            	       0       13        0        0       13
27339 libextractor-plugin-ole2           	       0       13        0        0       13
27340 libextractor-plugin-pdf            	       0       13        0        0       13
27341 libextractor-plugin-rpm            	       0       13        0        0       13
27342 libextractor-plugin-thumbnailgtk   	       0       14        0        0       14
27343 libextractor-plugin-tiff           	       0       14        0        0       14
27344 libextractor-plugins-all           	       0       13        0        0       13
27345 libextractor-plugins-misc          	       0       27        0        0       27
27346 libextractor3                      	       0       38        0        1       37
27347 libexttextcat-data                 	       0     2954        0        0     2954
27348 libexttextcat-dev                  	       0        1        0        1        0
27349 libexttextcat0                     	       0        1        0        0        1
27350 libextutils-autoinstall-perl       	       0        3        1        2        0
27351 libextutils-config-perl            	       0        3        0        3        0
27352 libextutils-f77-perl               	       0        1        0        1        0
27353 libextutils-helpers-perl           	       0        3        0        3        0
27354 libextutils-installpaths-perl      	       0        3        0        3        0
27355 libeztrace0                        	       0        1        0        0        1
27356 libf2c2                            	       0       16        0        0       16
27357 libf2c2-dev                        	       0       15        0       15        0
27358 libf2fs-dev                        	       0        1        0        1        0
27359 libf2fs-format-dev                 	       0        1        0        1        0
27360 libf2fs-format4                    	       0       13        0        0       13
27361 libf2fs0                           	       0        3        0        0        3
27362 libf2fs5                           	       0       13        0        0       13
27363 libf2j-java                        	       0        1        0        0        1
27364 libf95getdata7                     	       0        1        0        0        1
27365 libfaac-dev                        	       0       14        0       14        0
27366 libfaac0                           	       0      131        0        2      129
27367 libfaad-dev                        	       0       41        0       41        0
27368 libfaad-ocaml                      	       0        1        0        1        0
27369 libfaad-ocaml-dev                  	       0        1        0        1        0
27370 libfaad0                           	       0        1        0        0        1
27371 libfaad2                           	       0     3340       34       65     3241
27372 libfabric1                         	       0      339        0        6      333
27373 libfacets-ruby1.9.1                	       0        1        0        0        1
27374 libfacter3.10.0                    	       0        1        0        0        1
27375 libfacter3.11.0                    	       0       10        0        0       10
27376 libfacter3.14.12                   	       0        3        0        0        3
27377 libfaifa0                          	       0        3        0        0        3
27378 libfakechroot                      	       0       77        0        0       77
27379 libfakefs-ruby1.9.1                	       0        1        0        0        1
27380 libfakekey-dev                     	       0        1        0        1        0
27381 libfakeroot                        	       0     2226        0        0     2226
27382 libfaketime                        	       0       32        0        0       32
27383 libfalabaac2                       	       0        1        0        0        1
27384 libfalcosecurity0                  	       0        1        0        0        1
27385 libfam-dev                         	       0        1        0        1        0
27386 libfame-0.9                        	       0        1        0        0        1
27387 libfame-0.9-1                      	       0        4        0        0        4
27388 libfann-dev                        	       0        4        0        4        0
27389 libfann2                           	       0        8        0        0        8
27390 libfarstream-0.1-0                 	       0        4        0        1        3
27391 libfarstream-0.2-5                 	       0      458       14       26      418
27392 libfarstream-0.2-dev               	       0        2        0        2        0
27393 libfastahack0                      	       0        1        0        0        1
27394 libfastinfoset-java                	       0      337        0        0      337
27395 libfastjet-dev                     	       0        1        0        1        0
27396 libfastjet-fortran-dev             	       0        1        0        0        1
27397 libfastjet-fortran0                	       0        1        0        0        1
27398 libfastjet0v5                      	       0        1        0        0        1
27399 libfastjettools-dev                	       0        1        0        0        1
27400 libfastjettools0                   	       0        1        0        0        1
27401 libfastlz                          	       0        1        0        1        0
27402 libfasttext0                       	       0        2        0        0        2
27403 libfastutil-java                   	       0       10        0        0       10
27404 libfathom1                         	       0        3        0        0        3
27405 libfaudio-dev                      	       0        3        0        3        0
27406 libfaudio0                         	       0      116        0        0      116
27407 libfaust2                          	       0       21        0        0       21
27408 libfaust2t64                       	       0        6        0        0        6
27409 libfbclient2                       	       0      770        3        2      765
27410 libfbembed2.5                      	       0       16        0        0       16
27411 libfcft3                           	       0        1        0        1        0
27412 libfcft4                           	       0       41        2        5       34
27413 libfcft4t64                        	       0       11        0        1       10
27414 libfcgi-async-perl                 	       0        1        0        1        0
27415 libfcgi-dev                        	       0        5        0        5        0
27416 libfcgi-perl                       	       0     1230        2        1     1227
27417 libfcgi-procmanager-perl           	       0       17        1       16        0
27418 libfcgi-ruby1.9.1                  	       0        1        0        0        1
27419 libfcgi0ldbl                       	       0      925        5       12      908
27420 libfcgi0t64                        	       0       85        0        0       85
27421 libfcitx-config4                   	       0       28        1        9       18
27422 libfcitx-core0                     	       0       25        1        9       15
27423 libfcitx-gclient1                  	       0       27        0       11       16
27424 libfcitx-qt5-1                     	       0       25        0        0       25
27425 libfcitx-qt5-data                  	       0       25        0        0       25
27426 libfcitx-utils0                    	       0       29        1       12       16
27427 libfcitx5-qt-data                  	       0       26        0        0       26
27428 libfcitx5-qt1                      	       0       24        1        6       17
27429 libfcitx5-qt6-1                    	       0       17        0        0       17
27430 libfcitx5-qt6-dev                  	       0        1        0        1        0
27431 libfcitx5config-dev                	       0        2        0        2        0
27432 libfcitx5config6                   	       0       25        1       14       10
27433 libfcitx5core-dev                  	       0        2        0        2        0
27434 libfcitx5core7                     	       0       23        1       14        8
27435 libfcitx5gclient1                  	       0        5        0        1        4
27436 libfcitx5gclient2                  	       0       19        1       10        8
27437 libfcitx5utils-dev                 	       0        2        0        2        0
27438 libfcitx5utils2                    	       0       27        1       14       12
27439 libfckit0d                         	       0        1        0        0        1
27440 libfcl0.7                          	       0        1        0        0        1
27441 libfcml0                           	       0        2        0        0        2
27442 libfcml0t64                        	       0        1        0        0        1
27443 libfdb5-0d                         	       0        1        0        0        1
27444 libfdisk-dev                       	       0        3        0        3        0
27445 libfdisk1-dbgsym                   	       0        1        0        1        0
27446 libfdk-aac-dev                     	       0       22        0       22        0
27447 libfdk-aac0                        	       0       10        0        0       10
27448 libfdk-aac1                        	       0       30        0        0       30
27449 libfdk-aac2                        	       0      128       12       48       68
27450 libfdkaac-ocaml                    	       0        1        0        1        0
27451 libfdkaac-ocaml-dev                	       0        1        0        1        0
27452 libfdkaac-ocaml-dynlink            	       0        1        0        1        0
27453 libfdt-dev                         	       0       22        0       22        0
27454 libfec-dev                         	       0        1        0        1        0
27455 libfec0                            	       0        8        0        0        8
27456 libfeed-find-perl                  	       0       12        0       12        0
27457 libfeedback-0.0-0                  	       0        9        1        2        6
27458 libfelix-bundlerepository-java     	       0        9        0        0        9
27459 libfelix-framework-java            	       0       66        0        0       66
27460 libfelix-framework-java-doc        	       0        6        0        0        6
27461 libfelix-gogo-command-java         	       0        5        0        0        5
27462 libfelix-gogo-runtime-java         	       0       57        0        0       57
27463 libfelix-gogo-shell-java           	       0        5        0        0        5
27464 libfelix-main-java                 	       0       20        0        0       20
27465 libfelix-main-java-doc             	       0        6        0        0        6
27466 libfelix-osgi-obr-java             	       0       52        0        0       52
27467 libfelix-resolver-java             	       0       54        0        0       54
27468 libfelix-shell-java                	       0        6        0        0        6
27469 libfelix-utils-java                	       0        8        0        0        8
27470 libfetk1.9                         	       0        4        0        0        4
27471 libffado-dev                       	       0        2        0        2        0
27472 libffcall-dev                      	       0        2        0        2        0
27473 libffcall1                         	       0        8        0        0        8
27474 libffcall1-dev                     	       0        1        0        0        1
27475 libffcall1b                        	       0       43        0        1       42
27476 libffi-checklib-perl               	       0        3        0        3        0
27477 libffi-platypus-perl               	       0        2        0        2        0
27478 libffi-ruby1.9.1                   	       0        1        0        0        1
27479 libffi5                            	       0       38        0        0       38
27480 libffi6                            	       0     1152        5       18     1129
27481 libffi7-dbgsym                     	       0        1        0        1        0
27482 libffindex0                        	       0        3        0        0        3
27483 libffmpeg-nvenc-dev                	       0       17        0       17        0
27484 libffmpeg-ocaml                    	       0        2        0        2        0
27485 libffmpegthumbnailer-dev           	       0        9        0        9        0
27486 libffmpegthumbnailer4              	       0        4        0        0        4
27487 libffmpegthumbnailer4v5            	       0      954        5       22      927
27488 libffms2-4                         	       0       33        0        0       33
27489 libffms2-5                         	       0       85        0        0       85
27490 libffms2-dev                       	       0        3        0        3        0
27491 libfftw3-3                         	       0        8        0        0        8
27492 libfftw3-doc                       	       0        8        0        0        8
27493 libfftw3-double3                   	       0     3510       75      164     3271
27494 libfftw3-long3                     	       0      228        0        0      228
27495 libfftw3-mpi-dev                   	       0        3        0        3        0
27496 libfftw3-mpi3                      	       0       59        0        0       59
27497 libfftw3-quad3                     	       0      182        0        0      182
27498 libfftw3-single3                   	       0     3360        5       19     3336
27499 libfgetdata6                       	       0        1        0        0        1
27500 libfiat-0                          	       0        1        0        0        1
27501 libfido2-1                         	       0     3649        0        2     3647
27502 libfido2-dev                       	       0        8        0        8        0
27503 libfido2-doc                       	       0        2        0        0        2
27504 libfifechan-dev                    	       0        1        0        0        1
27505 libfifechan0.1.3                   	       0        1        0        0        1
27506 libfifechan0.1.5                   	       0        6        0        0        6
27507 libfifechan0.1.5t64                	       0        2        0        0        2
27508 libfile-bom-perl                   	       0        2        1        1        0
27509 libfile-changenotify-perl          	       0        2        1        1        0
27510 libfile-chmod-perl                 	       0       17        0       17        0
27511 libfile-configdir-perl             	       0        5        1        4        0
27512 libfile-copy-link-perl             	       0        4        0        4        0
27513 libfile-fcntllock-perl             	       0     2636        0        0     2636
27514 libfile-find-object-perl           	       0        1        0        1        0
27515 libfile-find-rule-perl-perl        	       0        3        0        3        0
27516 libfile-find-rule-vcs-perl         	       0        1        0        1        0
27517 libfile-find-wanted-perl           	       0        3        0        3        0
27518 libfile-flat-perl                  	       0        2        0        2        0
27519 libfile-flock-perl                 	       0        1        0        1        0
27520 libfile-fnmatch-perl               	       0      219        0        0      219
27521 libfile-grep-perl                  	       0        1        0        1        0
27522 libfile-inplace-perl               	       0        2        0        2        0
27523 libfile-keepass-perl               	       0       11        0       11        0
27524 libfile-lchown-perl                	       0        2        0        0        2
27525 libfile-libmagic-perl              	       0       18        0        0       18
27526 libfile-map-perl                   	       0        2        0        0        2
27527 libfile-mmagic-perl                	       0        5        0        5        0
27528 libfile-modified-perl              	       0        3        1        2        0
27529 libfile-ncopy-perl                 	       0       24        5       19        0
27530 libfile-next-perl                  	       0       31        1       30        0
27531 libfile-nfslock-perl               	       0       17        0       17        0
27532 libfile-path-expand-perl           	       0        2        0        2        0
27533 libfile-pid-perl                   	       0        2        0        2        0
27534 libfile-policy-perl                	       0        1        0        1        0
27535 libfile-pushd-perl                 	       0       61        2       59        0
27536 libfile-readbackwards-perl         	       0      110        4      106        0
27537 libfile-rsync-perl                 	       0        2        0        2        0
27538 libfile-rsyncp-perl                	       0        1        0        0        1
27539 libfile-scan-perl                  	       0        1        0        1        0
27540 libfile-searchpath-perl            	       0        2        0        2        0
27541 libfile-share-perl                 	       0        7        0        7        0
27542 libfile-sharedir-install-perl      	       0        2        0        2        0
27543 libfile-slurp-tiny-perl            	       0        9        0        9        0
27544 libfile-slurp-unicode-perl         	       0        4        0        4        0
27545 libfile-sort-perl                  	       0        3        0        3        0
27546 libfile-spec-native-perl           	       0        1        0        1        0
27547 libfile-tail-perl                  	       0       23        0       23        0
27548 libfile-treecreate-perl            	       0        1        0        1        0
27549 libfile-type-perl                  	       0        4        0        4        0
27550 libfile-userconfig-perl            	       0        1        0        1        0
27551 libfile-xdg-perl                   	       0        1        0        1        0
27552 libfile-zglob-perl                 	       0        1        0        1        0
27553 libfileclasses1                    	       0        7        0        0        7
27554 libfilehandle-fmode-perl           	       0        1        0        0        1
27555 libfilehandle-unget-perl           	       0       17        0       17        0
27556 libfilesys-df-perl                 	       0       86        0        6       80
27557 libfilesys-diskspace-perl          	       0        2        0        2        0
27558 libfilesys-notify-simple-perl      	       0       18        1       17        0
27559 libfilesys-smbclient-perl          	       0        1        0        0        1
27560 libfilesystem-ruby1.9.1            	       0        1        0        1        0
27561 libfileutils-ocaml-dev             	       0        1        0        1        0
27562 libfilezilla-common                	       0      222        0        0      222
27563 libfilezilla-dev                   	       0        3        0        0        3
27564 libfilezilla0                      	       0       19        0        0       19
27565 libfilezilla11                     	       0       60        0        1       59
27566 libfilezilla22                     	       0        2        0        0        2
27567 libfilezilla23                     	       0        1        0        0        1
27568 libfilezilla24                     	       0        2        0        0        2
27569 libfilezilla25                     	       0        1        0        0        1
27570 libfilezilla30                     	       0        1        0        0        1
27571 libfilezilla32                     	       0        1        0        0        1
27572 libfilezilla34                     	       0      197        2        2      193
27573 libfilezilla40                     	       0        3        0        0        3
27574 libfilezilla41                     	       0        5        0        0        5
27575 libfilezilla42                     	       0        1        0        0        1
27576 libfilezilla42t64                  	       0        1        0        0        1
27577 libfilezilla43t64                  	       0        1        0        0        1
27578 libfilezilla45                     	       0        6        0        0        6
27579 libfilezilla46                     	       0       11        0        0       11
27580 libfilter-signatures-perl          	       0        2        1        1        0
27581 libfinance-quotehist-perl          	       0        1        0        1        0
27582 libfinance-yahooquote-perl         	       0        2        0        2        0
27583 libfindbin-libs-perl               	       0        4        0        4        0
27584 libfindbugs-annotations-java       	       0        3        0        0        3
27585 libfindbugs-ant-java               	       0        3        0        0        3
27586 libfindbugs-java                   	       0       50        0        0       50
27587 libfindlib-ocaml                   	       0       43        0       37        6
27588 libfindlib-ocaml-dev               	       0       38        0       38        0
27589 libfirefox-marionette-perl         	       0        1        0        1        0
27590 libfishsound1                      	       0       27        0        0       27
27591 libfishsound1-dev                  	       0        1        0        1        0
27592 libfits-java                       	       0        2        0        0        2
27593 libfiu0                            	       0        1        0        1        0
27594 libfixbuf-tools                    	       0        1        0        1        0
27595 libfixbuf9t64                      	       0        1        0        0        1
27596 libfixposix-dev                    	       0        6        0        6        0
27597 libfixposix3                       	       0        5        0        0        5
27598 libfixposix4t64                    	       0        1        0        0        1
27599 libfizmo-common                    	       0        5        0        0        5
27600 libfizmo-dev                       	       0        1        0        1        0
27601 libfko0                            	       0        1        0        0        1
27602 libfko3                            	       0        2        0        0        2
27603 libfl2                             	       0     1409        1        7     1401
27604 libflac++-dev                      	       0       13        0       13        0
27605 libflac++10                        	       0      510        2        3      505
27606 libflac++11                        	       0        6        0        0        6
27607 libflac++5                         	       0        1        0        0        1
27608 libflac++6                         	       0        6        0        0        6
27609 libflac++6v5                       	       0      245        0        0      245
27610 libflac-doc                        	       0        2        0        0        2
27611 libflac-ocaml                      	       0        2        0        2        0
27612 libflac-ocaml-dev                  	       0        2        0        2        0
27613 libflac7                           	       0        3        0        0        3
27614 libflake-dev                       	       0        2        0        2        0
27615 libflam3-0                         	       0        2        0        0        2
27616 libflamingo-java                   	       0        3        0        0        3
27617 libflang-17-dev                    	       0        1        0        1        0
27618 libflang-19-dev                    	       0        1        0        1        0
27619 libflann-dev                       	       0        1        0        1        0
27620 libflann1.9                        	       0        6        0        0        6
27621 libflash-swfplayer                 	       0        2        0        2        0
27622 libflash0c2                        	       0        2        0        0        2
27623 libflashrom-dev                    	       0        2        0        2        0
27624 libflashsupport                    	       0        1        0        0        1
27625 libflatpak-dev                     	       0        3        0        3        0
27626 libflatpak-doc                     	       0        1        0        0        1
27627 libflatzebra-0.1-2                 	       0        1        0        0        1
27628 libflatzebra-0.1-2v5               	       0       11        0        0       11
27629 libflatzebra-0.2                   	       0        1        0        0        1
27630 libflatzebra-dev                   	       0        1        0        1        0
27631 libflexdock-java                   	       0       16        0        0       16
27632 libflexmock-ruby1.9.1              	       0        2        0        0        2
27633 libflext-pd0                       	       0        4        0        0        4
27634 libflickcurl-dev                   	       0        1        0        1        0
27635 libflickcurl0                      	       0        8        0        0        8
27636 libflickr-api-perl                 	       0        1        0        1        0
27637 libflickr-upload-perl              	       0        1        0        1        0
27638 libflickrnet2.2-cil                	       0        1        0        1        0
27639 libflif0                           	       0        1        0        0        1
27640 libflightcrew0v5                   	       0        4        0        0        4
27641 libflint-2.5.2                     	       0        3        0        0        3
27642 libflint-2.6.3                     	       0        1        0        0        1
27643 libflint-2.8.5                     	       0        1        0        0        1
27644 libflint-arb-dev                   	       0       10        0       10        0
27645 libflint-arb2                      	       0       13        0        0       13
27646 libflint-dev                       	       0       11        0       11        0
27647 libflint17                         	       0       14        0        0       14
27648 libflint19                         	       0        2        0        0        2
27649 libflorence-1.0-1                  	       0        7        0        0        7
27650 libflowcanvas5v5                   	       0        2        0        0        2
27651 libfltk-cairo1.3                   	       0       47        0        0       47
27652 libfltk-cairo1.3t64                	       0        4        0        0        4
27653 libfltk-forms1.3                   	       0       51        0        0       51
27654 libfltk-forms1.3t64                	       0        4        0        0        4
27655 libfltk-gl1.3                      	       0      139        0        0      139
27656 libfltk-gl1.3t64                   	       0       10        0        0       10
27657 libfltk-images1.3                  	       0      286        3        5      278
27658 libfltk-images1.3t64               	       0       25        0        0       25
27659 libfltk1.1                         	       0      224        1        1      222
27660 libfltk1.1-dev                     	       0        2        0        2        0
27661 libfltk1.3                         	       0      509        4       10      495
27662 libfltk1.3-compat-headers          	       0        5        0        5        0
27663 libfltk1.3-dev                     	       0       50        0       50        0
27664 libfltk1.3t64                      	       0       61        0        0       61
27665 libfluidsynth-dev                  	       0       46        0       46        0
27666 libfluidsynth1                     	       0      236        0        0      236
27667 libfluidsynth2                     	       0      511        4        4      503
27668 libfluidsynth3                     	       0     2417       18       21     2378
27669 libflute-java                      	       0      747        0        0      747
27670 libflute-java-doc                  	       0        1        0        0        1
27671 libflxmlrpc-dev                    	       0        3        0        3        0
27672 libflxmlrpc1                       	       0        8        0        0        8
27673 libfm-dbg                          	       0        1        0        1        0
27674 libfm-dev                          	       0        4        0        4        0
27675 libfm-doc                          	       0        2        0        0        2
27676 libfm-extra-dev                    	       0        4        0        4        0
27677 libfm-extra4t64                    	       0       30        1        3       26
27678 libfm-gtk-data                     	       0      337        0        0      337
27679 libfm-gtk-dbg                      	       0        1        0        1        0
27680 libfm-gtk-dev                      	       0        4        0        0        4
27681 libfm-gtk3-4                       	       0        2        0        0        2
27682 libfm-gtk3-4t64                    	       0       19        1        3       15
27683 libfm-modules-dbg                  	       0        1        0        1        0
27684 libfm-qt13                         	       0        4        1        2        1
27685 libfm-qt14                         	       0        4        1        2        1
27686 libfm-qt3                          	       0        2        0        0        2
27687 libfm-qt6                          	       0       12        0        0       12
27688 libfm-qt6-15                       	       0        6        0        4        2
27689 libfm-tools                        	       0       11        0       11        0
27690 libfm-tools-dbgsym                 	       0        1        0        1        0
27691 libfm3                             	       0        1        0        0        1
27692 libfm4t64                          	       0       20        1        3       16
27693 libfml0                            	       0        1        0        0        1
27694 libfmt-dev                         	       0       66        0       66        0
27695 libfmt-doc                         	       0        1        0        0        1
27696 libfmt-ocaml                       	       0        1        0        1        0
27697 libfmt-ocaml-dev                   	       0        1        0        1        0
27698 libfmt10                           	       0       77        5        4       68
27699 libfmt7                            	       0       64        0        4       60
27700 libfmt8                            	       0        5        0        0        5
27701 libfnlib0                          	       0        1        0        0        1
27702 libfolia14                         	       0        4        0        0        4
27703 libfolia9                          	       0        1        0        0        1
27704 libfolks-eds25                     	       0       21        0        0       21
27705 libfolks-eds26                     	       0      109        0        1      108
27706 libfolks-telepathy25               	       0        8        0        0        8
27707 libfolks-telepathy26               	       0        2        0        0        2
27708 libfolks25                         	       0       24        0        0       24
27709 libfolks26                         	       0      112        1        1      110
27710 libfollowupreminder4               	       0        2        0        1        1
27711 libfoma0                           	       0        3        0        0        3
27712 libfont-freetype-perl              	       0       27        0        0       27
27713 libfontawesomefx-java              	       0       63        0        0       63
27714 libfontbox-java                    	       0      418        0        0      418
27715 libfontbox-java-doc                	       0        3        0        0        3
27716 libfontbox2-java                   	       0      141        0        0      141
27717 libfontchooser-java                	       0        5        0        0        5
27718 libfontconfig-doc                  	       0        2        0        0        2
27719 libfontconfig1-dev                 	       0      452        1       58      393
27720 libfontembed-dev                   	       0        3        0        3        0
27721 libfontembed1                      	       0     2642        0        0     2642
27722 libfontembed1t64                   	       0      177        0        0      177
27723 libfontforge1                      	       0        1        0        0        1
27724 libfontforge2                      	       0        8        0        0        8
27725 libfontforge4                      	       0      106        0        0      106
27726 libfontforge4-dbgsym               	       0        1        0        1        0
27727 libfonts-java                      	       0      744        0        0      744
27728 libfonts-java-doc                  	       0        6        0        0        6
27729 libfop-java                        	       0      102        0        0      102
27730 libforge-dev                       	       0        1        0        1        0
27731 libforge1                          	       0        1        0        0        1
27732 libforks-perl                      	       0        4        0        0        4
27733 libforms-bin                       	       0        4        0        4        0
27734 libforms-doc                       	       0        4        0        0        4
27735 libforms2                          	       0       99        0        0       99
27736 libforms2t64                       	       0        6        0        0        6
27737 libformsgl-dev                     	       0        1        0        1        0
27738 libformsgl2                        	       0        1        0        0        1
27739 libformula-java                    	       0      745        0        0      745
27740 libformula-java-doc                	       0        6        0        0        6
27741 libfortune-perl                    	       0        3        0        3        0
27742 libfosfat0                         	       0        2        0        0        2
27743 libfosgra0                         	       0        2        0        0        2
27744 libfox-1.6-0                       	       0       81        0        4       77
27745 libfox-1.6-dev                     	       0        4        0        4        0
27746 libfox-1.6-doc                     	       0        2        0        0        2
27747 libfparser-dev                     	       0        1        0        0        1
27748 libfparser4                        	       0        7        0        0        7
27749 libfpath-ocaml                     	       0        1        0        1        0
27750 libfpath-ocaml-dev                 	       0        1        0        1        0
27751 libfpdf-tpl-php                    	       0        1        1        0        0
27752 libfpdi-php                        	       0        1        1        0        0
27753 libfpga0                           	       0        5        0        0        5
27754 libfplll-dev                       	       0        9        0        9        0
27755 libfplll5                          	       0        1        0        0        1
27756 libfplll8                          	       0       10        0        0       10
27757 libfplll8-data                     	       0       10        0        0       10
27758 libfprint-2-doc                    	       0        1        0        0        1
27759 libfprint-dev                      	       0        1        0        1        0
27760 libfprint0                         	       0        5        0        5        0
27761 libfpx1                            	       0        2        0        0        2
27762 libfpzip1                          	       0        1        0        0        1
27763 libfreebob0                        	       0        1        0        0        1
27764 libfreebsd-glue-0                  	       0        4        0        0        4
27765 libfreecad-python2-0.18            	       0        2        0        2        0
27766 libfreecad-python3-0.19            	       0       21        0       21        0
27767 libfreecad-python3-0.20            	       0       80        1       79        0
27768 libfreecell-solver0                	       0       90        1        5       84
27769 libfreecontact0v5                  	       0        1        0        0        1
27770 libfreefare-bin                    	       0        1        0        1        0
27771 libfreefare0                       	       0        1        0        0        1
27772 libfreefem++                       	       0        1        0        1        0
27773 libfreefem-dev                     	       0        2        0        0        2
27774 libfreefem0                        	       0        4        0        0        4
27775 libfreehand-0.0-0                  	       0        1        0        0        1
27776 libfreehdl0                        	       0        3        0        3        0
27777 libfreehdl0-dev                    	       0        3        0        3        0
27778 libfreehep-export-java             	       0       11        0        0       11
27779 libfreehep-graphics2d-java         	       0       78        0        0       78
27780 libfreehep-graphicsbase-java       	       0       36        0        0       36
27781 libfreehep-graphicsio-emf-java     	       0       43        0        0       43
27782 libfreehep-graphicsio-java         	       0       76        0        0       76
27783 libfreehep-graphicsio-pdf-java     	       0       34        0        0       34
27784 libfreehep-graphicsio-ps-java      	       0       32        0        0       32
27785 libfreehep-graphicsio-svg-java     	       0       69        0        0       69
27786 libfreehep-graphicsio-swf-java     	       0        1        0        0        1
27787 libfreehep-graphicsio-tests-java   	       0       10        0        0       10
27788 libfreehep-io-java                 	       0       78        0        0       78
27789 libfreehep-swing-java              	       0       40        0        0       40
27790 libfreehep-util-java               	       0       45        0        0       45
27791 libfreehep-xml-java                	       0       37        0        0       37
27792 libfreeimage-dev                   	       0       36        0       36        0
27793 libfreeimage3                      	       0      273        1        5      267
27794 libfreeimageplus-dev               	       0        1        0        1        0
27795 libfreeimageplus3                  	       0        2        0        0        2
27796 libfreeipmi16                      	       0       12        0        0       12
27797 libfreeipmi17                      	       0      101        4        7       90
27798 libfreemarker-java                 	       0        9        0        0        9
27799 libfreenect-bin                    	       0        1        0        1        0
27800 libfreenect-demos                  	       0        1        0        0        1
27801 libfreenect-dev                    	       0        1        0        1        0
27802 libfreenect-doc                    	       0        1        0        0        1
27803 libfreenect0.5                     	       0        6        0        6        0
27804 libfreeradius-client2              	       0        6        0        0        6
27805 libfreeradius-dev                  	       0        1        0        1        0
27806 libfreeradius3                     	       0       33        3       30        0
27807 libfreerdp-cache1.1                	       0       54        0        0       54
27808 libfreerdp-client1.1               	       0       46        0        0       46
27809 libfreerdp-client2-2               	       0      534       10       19      505
27810 libfreerdp-client2-2t64            	       0       10        0        0       10
27811 libfreerdp-client3-3               	       0       49        2        1       46
27812 libfreerdp-codec1.1                	       0       55        0        0       55
27813 libfreerdp-common1.1.0             	       0       58        0        0       58
27814 libfreerdp-core1.1                 	       0       55        0        0       55
27815 libfreerdp-crypto1.1               	       0       56        0        0       56
27816 libfreerdp-dev                     	       0        2        0        2        0
27817 libfreerdp-gdi1.1                  	       0       53        0        0       53
27818 libfreerdp-locale1.1               	       0       55        0        0       55
27819 libfreerdp-plugins-standard        	       0       27        0        0       27
27820 libfreerdp-primitives1.1           	       0       55        0        0       55
27821 libfreerdp-rail1.1                 	       0       45        0        0       45
27822 libfreerdp-server-proxy3-3         	       0        1        0        0        1
27823 libfreerdp-server2-2               	       0      311        1        1      309
27824 libfreerdp-server2-2t64            	       0        3        0        0        3
27825 libfreerdp-server3-3               	       0       19        0        0       19
27826 libfreerdp-shadow-subsystem2-2     	       0       19        1        1       17
27827 libfreerdp-shadow-subsystem3-3     	       0        1        0        0        1
27828 libfreerdp-shadow2-2               	       0       19        1        1       17
27829 libfreerdp-shadow3-3               	       0        1        0        0        1
27830 libfreerdp-utils1.1                	       0       60        0        0       60
27831 libfreerdp0                        	       0        1        0        0        1
27832 libfreerdp2-2                      	       0      684       10       20      654
27833 libfreerdp2-2t64                   	       0       15        0        0       15
27834 libfreerdp3-3                      	       0       57        2        1       54
27835 libfreesrp0                        	       0       40        0        2       38
27836 libfreeswitch1                     	       0        1        0        0        1
27837 libfreetype6-dbgsym                	       0        1        0        1        0
27838 libfreetype6-dev                   	       0      449        2       63      384
27839 libfreexl1                         	       0      665        3       10      652
27840 libfrei0r-ocaml                    	       0        1        0        0        1
27841 libfrei0r-ocaml-dev                	       0        1        0        1        0
27842 libfrobby-dev                      	       0        1        0        1        0
27843 libfrobby0                         	       0        1        0        0        1
27844 libfrog1                           	       0        1        0        0        1
27845 libfrog2                           	       0        3        0        0        3
27846 libfrontier-rpc-perl               	       0        3        0        3        0
27847 libfs6                             	       0      191        0        0      191
27848 libfsapfs-utils                    	       0        2        0        2        0
27849 libfsapfs1                         	       0       16        0        0       16
27850 libfsext-utils                     	       0        1        0        1        0
27851 libfsext1                          	       0       14        0        0       14
27852 libfsharp-core4.3-cil              	       0        3        0        3        0
27853 libfsharp-core4.5-cil              	       0        5        0        5        0
27854 libfsharp-core5.0-cil              	       0        5        0        5        0
27855 libfsharp-data-typeproviders4.4-cil	       0        1        0        1        0
27856 libfshfs-utils                     	       0        2        1        1        0
27857 libfshfs1                          	       0       14        0        0       14
27858 libfshfs1t64                       	       0        1        0        0        1
27859 libfskit                           	       0        1        0        1        0
27860 libfskit-fuse                      	       0        1        0        1        0
27861 libfsntfs-dbg                      	       0        1        0        1        0
27862 libfsntfs-dev                      	       0        4        0        4        0
27863 libfsntfs-utils                    	       0       21        1       20        0
27864 libfsntfs1                         	       0       35        0        0       35
27865 libfsntfs1t64                      	       0        2        0        0        2
27866 libfso-glib2                       	       0        2        1        0        1
27867 libfsobasics3                      	       0        2        1        0        1
27868 libfsoframework3                   	       0        2        1        0        1
27869 libfsoresource3                    	       0        2        0        0        2
27870 libfsplib0                         	       0      141        0        0      141
27871 libfsplib0t64                      	       0       13        0        1       12
27872 libfssm-ruby1.9.1                  	       0        1        0        0        1
27873 libfst22                           	       0        3        0        0        3
27874 libfstrcmp-dev                     	       0        1        0        1        0
27875 libfstrcmp0                        	       0       90        2       10       78
27876 libfstrm-dev                       	       0        3        0        3        0
27877 libfstrm0                          	       0     3810       39      105     3666
27878 libfsverity-dev                    	       0        3        0        3        0
27879 libfsverity0                       	       0      178        0        0      178
27880 libfswatch11                       	       0        1        0        0        1
27881 libfsxfs-dev                       	       0        1        0        1        0
27882 libfsxfs-utils                     	       0        2        0        2        0
27883 libfsxfs1                          	       0       16        0        0       16
27884 libftdi1                           	       0      162        1        0      161
27885 libftdi1-dev                       	       0       15        0       15        0
27886 libftdi1-doc                       	       0       13        0        0       13
27887 libftdipp1-3                       	       0        6        0        0        6
27888 libftdipp1-dev                     	       0        1        0        0        1
27889 libftgl-dev                        	       0       13        0       13        0
27890 libftgl2                           	       0       90        0        1       89
27891 libftl0                            	       0        6        0        0        6
27892 libftp-dev                         	       0        1        0        1        0
27893 libftp4                            	       0      988        0        0      988
27894 libfunction-parameters-perl        	       0        2        0        0        2
27895 libfungw-dev                       	       0        1        0        1        0
27896 libfungw1                          	       0       13        0        0       13
27897 libfuntools1                       	       0       12        0        0       12
27898 libfuntools1t64                    	       0        2        0        0        2
27899 libfuse-dev                        	       0       52        0       52        0
27900 libfuse-perl                       	       0       19        0        0       19
27901 libfuse3-dev                       	       0       16        0       16        0
27902 libfusioninventory-agent-task-deploy-perl	       0        1        0        0        1
27903 libfusioninventory-agent-task-esx-perl	       0        1        0        0        1
27904 libfusioninventory-agent-task-netinventory-perl	       0        1        0        0        1
27905 libfusioninventory-agent-task-network-perl	       0        1        0        0        1
27906 libfusioninventory-agent-task-snmpquery-perl	       0        1        0        0        1
27907 libfuture-asyncawait-perl          	       0      115        0        0      115
27908 libfuture-io-perl                  	       0        1        0        1        0
27909 libfuture-xs-perl                  	       0        8        0        0        8
27910 libfuturesql6-0                    	       0       11        0        0       11
27911 libfuzzer-19-dev                   	       0        1        0        1        0
27912 libfuzzylite6.0                    	       0        3        0        0        3
27913 libfvde-utils                      	       0        1        0        1        0
27914 libfvde1                           	       0       15        0        0       15
27915 libfwnt1                           	       0       14        0        0       14
27916 libfwsi1                           	       0       14        0        0       14
27917 libfwup1                           	       0        2        0        0        2
27918 libfwupd1                          	       0        5        0        0        5
27919 libfwupdplugin7                    	       0        1        1        0        0
27920 libfyba0                           	       0      592        3       10      579
27921 libfyba0t64                        	       0       60        0        0       60
27922 libg15-1                           	       0       11        3        0        8
27923 libg15-dev                         	       0        2        0        2        0
27924 libg15daemon-client-dev            	       0        2        0        2        0
27925 libg15daemon-client1               	       0        9        0        0        9
27926 libg15render-dev                   	       0        2        0        2        0
27927 libg15render1                      	       0       11        3        0        8
27928 libg20                             	       0        2        0        0        2
27929 libg2c-dev                         	       0        2        0        2        0
27930 libg2c0d                           	       0        7        0        0        7
27931 libg3d-doc                         	       0        1        0        0        1
27932 libg3d-plugin-gdkpixbuf            	       0        3        0        0        3
27933 libg3d-plugins                     	       0        9        0        0        9
27934 libg3d0                            	       0       10        0        0       10
27935 libg810-led0                       	       0        5        0        0        5
27936 libga-dev                          	       0        2        0        2        0
27937 libga2                             	       0        2        0        0        2
27938 libgadap-dev                       	       0        1        0        1        0
27939 libgadu-dev                        	       0        3        0        3        0
27940 libgadu3                           	       0      444       13       16      415
27941 libgadu3t64                        	       0       31        0        3       28
27942 libgail-3-dev                      	       0        2        0        2        0
27943 libgail-3-doc                      	       0        1        0        0        1
27944 libgail-dev                        	       0        8        0        8        0
27945 libgail-doc                        	       0        1        0        0        1
27946 libgail17                          	       0        1        0        0        1
27947 libgail18t64                       	       0      206        3        8      195
27948 libgalago3                         	       0        2        0        0        2
27949 libgama2                           	       0        1        0        0        1
27950 libgambit4                         	       0        2        0        0        2
27951 libgambit4-dev                     	       0        4        0        4        0
27952 libgambit4t64                      	       0        2        0        0        2
27953 libgamemode0                       	       0       79        2        0       77
27954 libgamemodeauto0                   	       0       64        1        0       63
27955 libgamin-dev                       	       0        5        0        5        0
27956 libgamin0                          	       0       64        5        6       53
27957 libgaminggear-common               	       0        1        0        1        0
27958 libgaminggear0                     	       0        1        0        1        0
27959 libgammu-i18n                      	       0       13        0        0       13
27960 libgammu0                          	       0        1        0        0        1
27961 libgammu7                          	       0        1        0        0        1
27962 libgammu8                          	       0       11        0        0       11
27963 libgammu8t64                       	       0        2        0        0        2
27964 libganglia1                        	       0        8        2        5        1
27965 libganv-1-1v5                      	       0       11        0        0       11
27966 libganv-dev                        	       0        1        0        1        0
27967 libganymed-ssh2-java               	       0        3        0        0        3
27968 libgap-dev                         	       0       12        1       11        0
27969 libgap8                            	       0       10        0        0       10
27970 libgap9                            	       0        2        0        0        2
27971 libgarcon-1-0-dbgsym               	       0        1        0        1        0
27972 libgarcon-1-0-dev                  	       0        5        0        0        5
27973 libgarcon-1-dev                    	       0        9        0        9        0
27974 libgarcon-common                   	       0     1829        0        0     1829
27975 libgarcon-gtk3-1-0-dbgsym          	       0        1        0        1        0
27976 libgarcon-gtk3-1-dev               	       0        5        0        5        0
27977 libgarmin-dev                      	       0        1        0        1        0
27978 libgarmin0                         	       0       14        0        0       14
27979 libgatbcore3                       	       0        2        0        0        2
27980 libgatk-native-bindings-java       	       0        5        0        0        5
27981 libgatos0                          	       0        1        0        0        1
27982 libgauche-0.97-0                   	       0        1        0        0        1
27983 libgav1-bin                        	       0        2        0        2        0
27984 libgav1-dev                        	       0        1        0        1        0
27985 libgaviotatb1                      	       0       13        0        0       13
27986 libgavl-dev                        	       0        1        0        1        0
27987 libgavl-doc                        	       0        1        0        0        1
27988 libgavl1                           	       0       78        0        0       78
27989 libgavl2                           	       0      192        0        0      192
27990 libgbinder                         	       0        7        0        0        7
27991 libgbm-amdgpu-dev                  	       0        1        0        1        0
27992 libgbm1-amdgpu                     	       0        8        2        5        1
27993 libgbtools0                        	       0        2        0        0        2
27994 libgc1                             	       0     2585       17       22     2546
27995 libgc1c2                           	       0      345        0        0      345
27996 libgcab-dev                        	       0        1        0        1        0
27997 libgcab-doc                        	       0        1        0        0        1
27998 libgcab-tests                      	       0        1        0        0        1
27999 libgcc-10-dev                      	       0      987        0        0      987
28000 libgcc-10-dev-alpha-cross          	       0        1        0        0        1
28001 libgcc-10-dev-arm64-cross          	       0        8        0        0        8
28002 libgcc-10-dev-armel-cross          	       0        6        0        0        6
28003 libgcc-10-dev-armhf-cross          	       0        8        0        0        8
28004 libgcc-10-dev-hppa-cross           	       0        1        0        0        1
28005 libgcc-10-dev-i386-cross           	       0        3        0        0        3
28006 libgcc-10-dev-mips-cross           	       0        1        0        0        1
28007 libgcc-10-dev-mipsel-cross         	       0        1        0        0        1
28008 libgcc-10-dev-powerpc-cross        	       0        1        0        0        1
28009 libgcc-10-dev-ppc64-cross          	       0        2        0        0        2
28010 libgcc-10-dev-riscv64-cross        	       0        3        0        0        3
28011 libgcc-10-dev-s390x-cross          	       0        1        0        0        1
28012 libgcc-10-dev-sparc64-cross        	       0        1        0        0        1
28013 libgcc-11-dev                      	       0       98        0        0       98
28014 libgcc-11-dev-armel-cross          	       0        1        0        0        1
28015 libgcc-11-dev-armhf-cross          	       0        1        0        0        1
28016 libgcc-11-dev-i386-cross           	       0        1        0        0        1
28017 libgcc-12-dev                      	       0     1830        0        0     1830
28018 libgcc-12-dev-alpha-cross          	       0        1        0        0        1
28019 libgcc-12-dev-arm64-cross          	       0       19        0        0       19
28020 libgcc-12-dev-armel-cross          	       0       13        0        0       13
28021 libgcc-12-dev-armhf-cross          	       0       13        0        0       13
28022 libgcc-12-dev-hppa-cross           	       0        1        0        0        1
28023 libgcc-12-dev-i386-cross           	       0        4        0        0        4
28024 libgcc-12-dev-m68k-cross           	       0        1        0        0        1
28025 libgcc-12-dev-mips-cross           	       0        4        0        0        4
28026 libgcc-12-dev-mips64-cross         	       0        1        0        0        1
28027 libgcc-12-dev-mips64r6el-cross     	       0        1        0        0        1
28028 libgcc-12-dev-mipsel-cross         	       0        3        0        0        3
28029 libgcc-12-dev-powerpc-cross        	       0        2        0        0        2
28030 libgcc-12-dev-ppc64-cross          	       0        1        0        0        1
28031 libgcc-12-dev-riscv64-cross        	       0        6        0        0        6
28032 libgcc-12-dev-s390x-cross          	       0        2        0        0        2
28033 libgcc-12-dev-sparc64-cross        	       0        1        0        0        1
28034 libgcc-12-dev-x32-cross            	       0        4        0        0        4
28035 libgcc-13-dev                      	       0      152        0        0      152
28036 libgcc-13-dev-arm64-cross          	       0        2        0        0        2
28037 libgcc-13-dev-armhf-cross          	       0        1        0        0        1
28038 libgcc-13-dev-ppc64el-cross        	       0        1        0        0        1
28039 libgcc-14-dev                      	       0      203        0        0      203
28040 libgcc-14-dev-alpha-cross          	       0        1        0        0        1
28041 libgcc-14-dev-arm64-cross          	       0        5        0        0        5
28042 libgcc-14-dev-armel-cross          	       0        1        0        0        1
28043 libgcc-14-dev-armhf-cross          	       0        4        0        0        4
28044 libgcc-14-dev-hppa-cross           	       0        1        0        0        1
28045 libgcc-14-dev-i386-cross           	       0        2        0        0        2
28046 libgcc-14-dev-powerpc-cross        	       0        2        0        0        2
28047 libgcc-14-dev-ppc64-cross          	       0        3        0        0        3
28048 libgcc-14-dev-ppc64el-cross        	       0        1        0        0        1
28049 libgcc-14-dev-riscv64-cross        	       0        2        0        0        2
28050 libgcc-14-dev-s390x-cross          	       0        1        0        0        1
28051 libgcc-14-dev-sparc64-cross        	       0        1        0        0        1
28052 libgcc-15-dev                      	       0        2        0        0        2
28053 libgcc-4.7-dev                     	       0        7        0        0        7
28054 libgcc-4.8-dev                     	       0       64        0        0       64
28055 libgcc-4.9-dev                     	       0      145        0        0      145
28056 libgcc-5-dev                       	       0        7        0        0        7
28057 libgcc-6-dev                       	       0      381        0        0      381
28058 libgcc-6-dev-arm64-cross           	       0        2        0        0        2
28059 libgcc-6-dev-armhf-cross           	       0        1        0        0        1
28060 libgcc-7-dev                       	       0        8        0        0        8
28061 libgcc-8-dev                       	       0      171        0        0      171
28062 libgcc-8-dev-arm64-cross           	       0        7        0        0        7
28063 libgcc-8-dev-armel-cross           	       0        3        0        0        3
28064 libgcc-8-dev-armhf-cross           	       0        4        0        0        4
28065 libgcc-8-dev-i386-cross            	       0        4        0        0        4
28066 libgcc-8-dev-mips-cross            	       0        1        0        0        1
28067 libgcc-8-dev-mips64el-cross        	       0        1        0        0        1
28068 libgcc-8-dev-mipsel-cross          	       0        1        0        0        1
28069 libgcc-8-dev-x32-cross             	       0        2        0        0        2
28070 libgcc-9-dev                       	       0       36        0        0       36
28071 libgcc-9-dev-arm64-cross           	       0        1        0        0        1
28072 libgcc-9-dev-armel-cross           	       0        1        0        0        1
28073 libgcc-9-dev-armhf-cross           	       0        2        0        0        2
28074 libgcc-s1-alpha-cross              	       0        3        0        0        3
28075 libgcc-s1-arm64-cross              	       0       33        0        0       33
28076 libgcc-s1-armel-cross              	       0       21        0        0       21
28077 libgcc-s1-armhf-cross              	       0       28        0        0       28
28078 libgcc-s1-i386-cross               	       0       13        0        0       13
28079 libgcc-s1-mips-cross               	       0        4        0        0        4
28080 libgcc-s1-mips64-cross             	       0        1        0        0        1
28081 libgcc-s1-mips64r6el-cross         	       0        1        0        0        1
28082 libgcc-s1-mipsel-cross             	       0        4        0        0        4
28083 libgcc-s1-powerpc-cross            	       0        5        0        0        5
28084 libgcc-s1-ppc64-cross              	       0        6        0        0        6
28085 libgcc-s1-ppc64el-cross            	       0        1        0        0        1
28086 libgcc-s1-riscv64-cross            	       0       10        0        0       10
28087 libgcc-s1-s390x-cross              	       0        4        0        0        4
28088 libgcc-s1-sparc64-cross            	       0        3        0        0        3
28089 libgcc-s1-x32-cross                	       0        5        0        0        5
28090 libgcc-s2-m68k-cross               	       0        1        0        0        1
28091 libgcc-s4-hppa-cross               	       0        3        0        0        3
28092 libgcc1                            	       0     1122        0        2     1120
28093 libgcc1-arm64-cross                	       0        5        0        0        5
28094 libgcc1-armel-cross                	       0        3        0        0        3
28095 libgcc1-armhf-cross                	       0        2        0        0        2
28096 libgcc1-dbg                        	       0        9        0        9        0
28097 libgcc1-i386-cross                 	       0        2        0        0        2
28098 libgcc1-mips-cross                 	       0        1        0        0        1
28099 libgcc1-mips64el-cross             	       0        1        0        0        1
28100 libgcc1-mipsel-cross               	       0        1        0        0        1
28101 libgcc1-x32-cross                  	       0        1        0        0        1
28102 libgccjit-10-dev                   	       0        2        0        0        2
28103 libgccjit-11-dev                   	       0        4        0        0        4
28104 libgccjit-11-doc                   	       0        1        0        0        1
28105 libgccjit-12-dev                   	       0       16        0        0       16
28106 libgccjit-13-doc                   	       0        1        0        0        1
28107 libgccjit-9-dev                    	       0        1        0        0        1
28108 libgccjit0                         	       0      364       20       62      282
28109 libgcin                            	       0        4        1        0        3
28110 libgcj-bc                          	       0       54        0        0       54
28111 libgcj-common                      	       0       65        0       65        0
28112 libgcj-doc                         	       0        1        0        0        1
28113 libgcj10                           	       0        1        0        0        1
28114 libgcj10-awt                       	       0        1        0        0        1
28115 libgcj12                           	       0        4        0        0        4
28116 libgcj13                           	       0        4        0        0        4
28117 libgcj13-awt                       	       0        2        0        0        2
28118 libgcj14                           	       0        1        0        0        1
28119 libgcj15                           	       0        9        0        0        9
28120 libgcj15-awt                       	       0        3        0        0        3
28121 libgcj15-dbg                       	       0        1        0        0        1
28122 libgcj15-dev                       	       0        1        0        1        0
28123 libgcj17                           	       0       60        0        0       60
28124 libgcj17-awt                       	       0        6        0        0        6
28125 libgcj17-dev                       	       0        2        0        2        0
28126 libgcj6                            	       0        1        0        0        1
28127 libgcj7-1                          	       0        1        0        0        1
28128 libgcj7-1-awt                      	       0        1        0        0        1
28129 libgcj7-jar                        	       0        1        0        0        1
28130 libgcj8-1                          	       0        1        0        0        1
28131 libgcj8-1-awt                      	       0        1        0        0        1
28132 libgcj8-jar                        	       0        1        0        0        1
28133 libgcj9-0                          	       0        1        0        0        1
28134 libgcj9-0-awt                      	       0        1        0        0        1
28135 libgcj9-jar                        	       0        1        0        0        1
28136 libgck-1-dev                       	       0       12        0       12        0
28137 libgck-1-doc                       	       0        1        0        0        1
28138 libgck-2-doc                       	       0        1        0        0        1
28139 libgclib2                          	       0        1        0        0        1
28140 libgclib3                          	       0        2        0        0        2
28141 libgcobol-15-dev                   	       0        1        0        0        1
28142 libgcobol4                         	       0        1        0        0        1
28143 libgconf-2-4                       	       0      480        5       16      459
28144 libgconf2-4                        	       0       10        0        0       10
28145 libgconf2-dev                      	       0       20        0       20        0
28146 libgconf2-doc                      	       0       20        0        0       20
28147 libgconf2.0-cil                    	       0       18        0        0       18
28148 libgconfmm-2.6-1c2                 	       0        1        0        0        1
28149 libgconfmm-2.6-1v5                 	       0        5        0        0        5
28150 libgconfmm-2.6-dev                 	       0        1        0        1        0
28151 libgconfmm-2.6-doc                 	       0        1        0        0        1
28152 libgcr-3-1                         	       0        1        0        0        1
28153 libgcr-3-common                    	       0      111        0        0      111
28154 libgcr-3-dev                       	       0       12        0       12        0
28155 libgcr-3-doc                       	       0        1        0        0        1
28156 libgcr0                            	       0        3        0        0        3
28157 libgcr410                          	       0        1        0        1        0
28158 libgcroots-dev                     	       0        1        0        1        0
28159 libgcrypt-bin                      	       0        1        0        1        0
28160 libgcrypt-mingw-w64-dev            	       0        2        0        2        0
28161 libgcrypt11                        	       0       83        0        0       83
28162 libgcrypt11-dev                    	       0       17        0        0       17
28163 libgcrypt20-doc                    	       0        6        0        0        6
28164 libgctp-2.0.0                      	       0        3        0        0        3
28165 libgctp-dev                        	       0        2        0        2        0
28166 libgctp0d                          	       0        1        0        0        1
28167 libgcu0v5                          	       0        8        1        7        0
28168 libgd-barcode-perl                 	       0        5        1        4        0
28169 libgd-securityimage-perl           	       0        1        0        1        0
28170 libgd-svg-perl                     	       0        1        0        1        0
28171 libgd-text-perl                    	       0       24        1       23        0
28172 libgd-tools                        	       0       12        1       11        0
28173 libgd1-noxpm                       	       0        1        0        0        1
28174 libgd2-noxpm                       	       0        2        0        0        2
28175 libgd2-xpm                         	       0       18        0        0       18
28176 libgd2-xpm-dev                     	       0        1        0        0        1
28177 libgda-5.0-4                       	       0       52        1        5       46
28178 libgda-5.0-4t64                    	       0        2        0        0        2
28179 libgda-5.0-bin                     	       0        1        0        1        0
28180 libgda-5.0-common                  	       0       54        1       13       40
28181 libgda-5.0-doc                     	       0        1        0        0        1
28182 libgda-5.0-mysql                   	       0        1        0        0        1
28183 libgda-5.0-postgres                	       0        2        0        0        2
28184 libgda2-3                          	       0        2        0        2        0
28185 libgda2-bin                        	       0        1        0        1        0
28186 libgda2-common                     	       0        2        0        0        2
28187 libgda2-dev                        	       0        1        0        1        0
28188 libgda2-doc                        	       0        1        0        0        1
28189 libgda3-3                          	       0        2        0        0        2
28190 libgda3-bin                        	       0        2        0        2        0
28191 libgda3-common                     	       0        2        0        0        2
28192 libgdal-doc                        	       0        3        0        0        3
28193 libgdal-grass                      	       0       12        0        3        9
28194 libgdal1h                          	       0        1        0        0        1
28195 libgdal20                          	       0       62        0        0       62
28196 libgdal26                          	       0        1        0        0        1
28197 libgdal27                          	       0        2        0        0        2
28198 libgdal28                          	       0      122        0        2      120
28199 libgdal29                          	       0        1        0        0        1
28200 libgdal30                          	       0        6        0        0        6
28201 libgdal31                          	       0        3        0        0        3
28202 libgdal32                          	       0      462        3        7      452
28203 libgdal33                          	       0       10        0        1        9
28204 libgdal34                          	       0        8        0        0        8
28205 libgdal34t64                       	       0        2        0        0        2
28206 libgdal35                          	       0       36        0        0       36
28207 libgdal36                          	       0       25        0        0       25
28208 libgdamm-5.0-13                    	       0        1        0        0        1
28209 libgdata-common                    	       0     1661        0        0     1661
28210 libgdata-dev                       	       0        5        0        5        0
28211 libgdata-doc                       	       0        1        0        0        1
28212 libgdata-google1.2-1               	       0        3        0        0        3
28213 libgdata1.2-1                      	       0        3        0        0        3
28214 libgdata1.4-cil                    	       0        1        0        1        0
28215 libgdata1.8-cil                    	       0        1        0        1        0
28216 libgdata13                         	       0        7        0        0        7
28217 libgdata19                         	       0        8        0        0        8
28218 libgdata2.1-cil                    	       0        8        0        8        0
28219 libgdata22                         	       0     1654        4       32     1618
28220 libgdata7                          	       0        1        0        0        1
28221 libgdbm-compat-dev                 	       0       12        0       12        0
28222 libgdbm-compat4                    	       0     3639        0        0     3639
28223 libgdbm-compat4t64                 	       0      283        0        0      283
28224 libgdbm-dev                        	       0       88        2       86        0
28225 libgdbm3                           	       0      728        0        0      728
28226 libgdbm5                           	       0        1        0        0        1
28227 libgdbm6                           	       0     3640       16       59     3565
28228 libgdbm6t64                        	       0      284        5        3      276
28229 libgdbussyncevo0                   	       0        2        0        0        2
28230 libgdchart-gd2-noxpm               	       0        2        0        0        2
28231 libgdcm-cil                        	       0        1        0        0        1
28232 libgdcm-java                       	       0        1        0        0        1
28233 libgdcm-tools                      	       0        4        0        4        0
28234 libgdcm2.6                         	       0        2        0        0        2
28235 libgdcm2.6-dbg                     	       0        1        0        1        0
28236 libgdcm2.8                         	       0       34        0        0       34
28237 libgdcm3.0                         	       0      408        0        3      405
28238 libgdcm3.0t64                      	       0       45        0        0       45
28239 libgdf0                            	       0        2        0        0        2
28240 libgdict-1.0-10                    	       0        6        0        0        6
28241 libgdict-1.0-6                     	       0        6        0        0        6
28242 libgdict-common                    	       0       10        0        0       10
28243 libgdk-pixbuf-xlib-2.0-0           	       0     1217        2        7     1208
28244 libgdk-pixbuf-xlib-2.0-dev         	       0      125        0      125        0
28245 libgdk-pixbuf2                     	       0        1        0        1        0
28246 libgdk-pixbuf2-ruby                	       0        1        0        0        1
28247 libgdk-pixbuf2-ruby1.8             	       0        2        0        1        1
28248 libgdk-pixbuf2.0-0                 	       0     1569        0        2     1567
28249 libgdk-pixbuf2.0-dev               	       0      157        0       38      119
28250 libgdk-pixbuf2.0-doc               	       0        7        0        0        7
28251 libgdk3.0-cil                      	       0       60        0        0       60
28252 libgdk3.0-cil-dev                  	       0       16        0       16        0
28253 libgdl-1-common                    	       0        1        0        0        1
28254 libgdl-3-5                         	       0      159        0        1      158
28255 libgdl-3-common                    	       0      160        0        0      160
28256 libgdl-3-dev                       	       0        1        0        1        0
28257 libgdm-dev                         	       0        3        0        3        0
28258 libgdome2-0                        	       0       27        1        5       21
28259 libgdome2-cpp-smart0c2a            	       0        1        0        0        1
28260 libgdome2-cpp-smart0v5             	       0        9        0        0        9
28261 libgdraw4                          	       0        1        0        0        1
28262 libgdraw5                          	       0        7        0        0        7
28263 libgdsii0                          	       0        6        0        0        6
28264 libgdu-gtk0                        	       0        4        0        0        4
28265 libgdu0                            	       0        6        0        0        6
28266 libgearman-client-async-perl       	       0        1        0        1        0
28267 libgearman-client-perl             	       0        1        0        1        0
28268 libgearman8                        	       0        1        0        0        1
28269 libgecode41v5                      	       0        1        0        0        1
28270 libgecode49                        	       0        1        0        0        1
28271 libgecode49t64                     	       0        1        0        0        1
28272 libgecodeflatzinc41v5              	       0        1        0        0        1
28273 libgecodeflatzinc49                	       0        1        0        0        1
28274 libgecodeflatzinc49t64             	       0        1        0        0        1
28275 libgecodegist41v5                  	       0        1        0        0        1
28276 libgecodegist49                    	       0        1        0        0        1
28277 libgecodegist49t64                 	       0        1        0        0        1
28278 libgeda-common                     	       0       13        0        0       13
28279 libgeda-dev                        	       0        1        0        1        0
28280 libgeda42                          	       0       12        0        0       12
28281 libgedit-amtk-5-0                  	       0       31        0        0       31
28282 libgedit-amtk-5-common             	       0       31        0        0       31
28283 libgedit-gfls-1-0                  	       0        4        0        0        4
28284 libgedit-gfls-common               	       0        4        0        0        4
28285 libgedit-gtksourceview-300-0       	       0       28        0        0       28
28286 libgedit-gtksourceview-300-3       	       0        4        0        0        4
28287 libgedit-gtksourceview-300-common  	       0       31        0        0       31
28288 libgedit-tepl-6-2                  	       0        4        0        0        4
28289 libgee-0.8-dev                     	       0        8        0        8        0
28290 libgee2                            	       0        9        0        0        9
28291 libgegl-0.0-0                      	       0        1        0        1        0
28292 libgegl-0.0-doc                    	       0        1        0        0        1
28293 libgegl-0.2-0                      	       0       20        0        0       20
28294 libgegl-0.3-0                      	       0       73        0        0       73
28295 libgegl-0.4-0                      	       0     2527        1       16     2510
28296 libgegl-0.4-0t64                   	       0      107        0        2      105
28297 libgegl-common                     	       0     2641        0        7     2634
28298 libgegl-dev                        	       0       22        0       22        0
28299 libgegl-doc                        	       0        1        0        0        1
28300 libgeier0                          	       0        1        0        1        0
28301 libgemrb                           	       0        1        0        1        0
28302 libgen-ocaml                       	       0        1        0        1        0
28303 libgen-ocaml-dev                   	       0        1        0        1        0
28304 libgenders0                        	       0        6        0        0        6
28305 libgenht1-dev                      	       0        1        0        1        0
28306 libgensio4                         	       0        3        0        2        1
28307 libgeo-calc-perl                   	       0        1        0        1        0
28308 libgeo-coder-osm-perl              	       0        2        0        2        0
28309 libgeo-constants-perl              	       0        1        0        1        0
28310 libgeo-coordinates-transform-perl  	       0        3        0        3        0
28311 libgeo-distance-perl               	       0        3        0        3        0
28312 libgeo-ellipsoids-perl             	       0        1        0        1        0
28313 libgeo-functions-perl              	       0        1        0        1        0
28314 libgeo-google-mapobject-perl       	       0        1        0        1        0
28315 libgeo-googleearth-pluggable-perl  	       0        3        0        3        0
28316 libgeo-gpx-perl                    	       0        3        0        3        0
28317 libgeo-inverse-perl                	       0        1        0        1        0
28318 libgeo-ip-perl                     	       0        9        0        1        8
28319 libgeo-ipfree-perl                 	       0        3        1        2        0
28320 libgeo-metar-perl                  	       0        4        1        3        0
28321 libgeo-osm-tiles-perl              	       0        2        0        2        0
28322 libgeo-shapelib-perl               	       0        1        0        0        1
28323 libgeoclue-2-dev                   	       0        2        0        2        0
28324 libgeoclue-doc                     	       0        1        0        0        1
28325 libgeoclue0                        	       0       14        0        0       14
28326 libgeocode-glib-dev                	       0        2        0        2        0
28327 libgeocode-glib0                   	       0      166        3       29      134
28328 libgeocoding8                      	       0        2        0        0        2
28329 libgeographic-dev                  	       0        2        0        2        0
28330 libgeographic19                    	       0        2        0        0        2
28331 libgeographiclib23                 	       0        3        0        0        3
28332 libgeographiclib26                 	       0        1        0        0        1
28333 libgeography-countries-perl        	       0        1        0        1        0
28334 libgeoip-dev                       	       0       14        0       14        0
28335 libgeoip1                          	       0     1775       16       37     1722
28336 libgeoip1t64                       	       0       82        1        4       77
28337 libgeoip2-perl                     	       0        4        0        4        0
28338 libgeometric-shapes-dev            	       0        1        0        1        0
28339 libgeometric-shapes5d              	       0        1        0        0        1
28340 libgeometry-msgs-dev               	       0        2        0        2        0
28341 libgeomview-1.9.4                  	       0        1        0        0        1
28342 libgeomview-1.9.5                  	       0       17        0        0       17
28343 libgeomview-1.9.5t64               	       0        3        0        0        3
28344 libgeomview-dev                    	       0        1        0        1        0
28345 libgeos-3.2.0                      	       0        1        0        0        1
28346 libgeos-3.3.3                      	       0        2        0        0        2
28347 libgeos-3.4.2                      	       0        4        0        0        4
28348 libgeos-3.5.1                      	       0       13        0        0       13
28349 libgeos-3.7.1                      	       0       40        0        0       40
28350 libgeos-3.9.0                      	       0      124        0        2      122
28351 libgeos-3.9.1                      	       0        1        0        0        1
28352 libgeos-c1                         	       0        1        0        0        1
28353 libgeos-c1t64                      	       0       64        0        0       64
28354 libgeos-c1v5                       	       0      609        3       10      596
28355 libgeos-doc                        	       0        2        0        0        2
28356 libgeos3.10.1                      	       0        2        0        0        2
28357 libgeos3.10.2                      	       0        3        0        0        3
28358 libgeos3.11.0                      	       0        2        0        0        2
28359 libgeos3.11.1                      	       0      474        3        8      463
28360 libgeos3.12.0                      	       0        6        0        0        6
28361 libgeos3.12.1                      	       0        5        0        0        5
28362 libgeos3.12.1t64                   	       0        3        0        0        3
28363 libgeos3.12.2                      	       0       12        0        0       12
28364 libgeos3.13.0                      	       0       53        0        0       53
28365 libgeos3.13.1                      	       0        1        0        0        1
28366 libgeotiff-epsg                    	       0        2        0        0        2
28367 libgeotiff2                        	       0       67        0        0       67
28368 libgeotiff5                        	       0      624        3       10      611
28369 libgeotranz3.7                     	       0        5        0        0        5
28370 libgepub-0.6-0                     	       0        7        0        1        6
28371 libgepub-0.7-0                     	       0       29        0        0       29
28372 libgepub0                          	       0        4        0        0        4
28373 libgeronimo-annotation-1.3-spec-java	       0      467        0        0      467
28374 libgeronimo-ejb-3.0-spec-java      	       0        1        0        0        1
28375 libgeronimo-ejb-3.2-spec-java      	       0        9        0        0        9
28376 libgeronimo-interceptor-3.0-spec-java	       0      468        0        0      468
28377 libgeronimo-j2ee-connector-1.5-spec-java	       0        9        0        0        9
28378 libgeronimo-jacc-1.1-spec-java     	       0        1        0        0        1
28379 libgeronimo-jms-1.1-spec-java      	       0       19        0        0       19
28380 libgeronimo-jpa-2.0-spec-java      	       0        5        0        0        5
28381 libgeronimo-jta-1.1-spec-java      	       0        5        0        0        5
28382 libgeronimo-jta-1.2-spec-java      	       0        8        0        0        8
28383 libgeronimo-osgi-support-java      	       0        8        0        0        8
28384 libgeronimo-osgi-support-java-doc  	       0        1        0        0        1
28385 libgeronimo-stax-1.2-spec-java     	       0        1        0        0        1
28386 libgeronimo-validation-1.0-spec-java	       0       71        0        0       71
28387 libgeronimo-validation-1.0-spec-java-doc	       0        1        0        0        1
28388 libgeronimo-validation-1.1-spec-java	       0       56        0        0       56
28389 libges-1.0-0                       	       0      426        1        2      423
28390 libgetdata++7                      	       0        3        0        0        3
28391 libgetdata-dev                     	       0        1        0        1        0
28392 libgetdata-perl                    	       0        1        0        0        1
28393 libgetdata-tools                   	       0        1        0        1        0
28394 libgetdata8                        	       0        3        0        0        3
28395 libgetdns1                         	       0        1        0        0        1
28396 libgetdns10                        	       0        5        0        0        5
28397 libgetdns10t64                     	       0        1        0        0        1
28398 libgetopt-argvfile-perl            	       0       25        0       25        0
28399 libgetopt-euclid-perl              	       0        6        0        6        0
28400 libgetopt-java                     	       0       11        0        0       11
28401 libgetopt-mixed-perl               	       0        3        0        3        0
28402 libgetopt-simple-perl              	       0       10        0       10        0
28403 libgetopt-tabular-perl             	       0        6        0        6        0
28404 libgettext-activerecord-ruby1.9.1  	       0        1        0        0        1
28405 libgettext-commons-java            	       0       77        0        0       77
28406 libgettext-maven-plugin-java       	       0        1        0        0        1
28407 libgettext-ocaml                   	       0        2        0        2        0
28408 libgettext-ocaml-dev               	       0        1        0        1        0
28409 libgettext-ruby1.8                 	       0        1        0        0        1
28410 libgettext-ruby1.9.1               	       0        1        0        0        1
28411 libgettextpo0                      	       0      235        0        0      235
28412 libgexiv2-0                        	       0        1        0        0        1
28413 libgexiv2-1                        	       0        4        0        0        4
28414 libgexiv2-dev                      	       0        5        0        5        0
28415 libgf-complete1                    	       0        1        0        0        1
28416 libgf2x-dev                        	       0        9        0        9        0
28417 libgf2x1                           	       0        4        0        0        4
28418 libgf2x3                           	       0       27        0        0       27
28419 libgfapi0                          	       0      703        1        3      699
28420 libgfbgraph-0.2-0                  	       0       43        0        0       43
28421 libgfchangelog0                    	       0       12        0        0       12
28422 libgfdb0                           	       0        1        0        0        1
28423 libgflags-dev                      	       0       21        0       21        0
28424 libgflags2.2                       	       0       43        0        2       41
28425 libgfortran-10-dev                 	       0      173        0        0      173
28426 libgfortran-11-dev                 	       0       14        0        0       14
28427 libgfortran-12-dev                 	       0      249        0        0      249
28428 libgfortran-12-dev-x32-cross       	       0        1        0        0        1
28429 libgfortran-13-dev                 	       0       25        0        0       25
28430 libgfortran-14-dev                 	       0       32        0        0       32
28431 libgfortran-15-dev                 	       0        1        0        0        1
28432 libgfortran-4.7-dev                	       0        1        0        0        1
28433 libgfortran-4.8-dev                	       0        1        0        0        1
28434 libgfortran-4.9-dev                	       0       10        0        0       10
28435 libgfortran-5-dev                  	       0        1        0        0        1
28436 libgfortran-6-dev                  	       0       32        0        0       32
28437 libgfortran-8-dev                  	       0       31        0        0       31
28438 libgfortran-9-dev                  	       0        6        0        0        6
28439 libgfortran3                       	       0      189        0        0      189
28440 libgfortran3-dbg                   	       0        6        0        5        1
28441 libgfortran4                       	       0        4        0        0        4
28442 libgfortran5-dbg                   	       0        1        0        1        0
28443 libgfortran5-dbgsym                	       0        1        0        1        0
28444 libgfortran5-x32-cross             	       0        1        0        0        1
28445 libgfrpc0                          	       0      703        1        3      699
28446 libgfs-1.3-2                       	       0        2        0        0        2
28447 libgfsgl0                          	       0        1        0        0        1
28448 libgfshare2                        	       0        2        0        0        2
28449 libgfxdr0                          	       0      703        1        3      699
28450 libgg2                             	       0        1        0        0        1
28451 libggadget-1.0-0b                  	       0        1        0        0        1
28452 libggadget-qt-1.0-0b               	       0        1        0        0        1
28453 libggi-target-x                    	       0        3        0        3        0
28454 libggi2                            	       0        3        0        0        3
28455 libggiwmh0                         	       0        1        0        0        1
28456 libggiwmh0-target-x                	       0        1        0        1        0
28457 libggz-gtk1                        	       0        1        0        0        1
28458 libggz2                            	       0        2        0        1        1
28459 libggzcore9                        	       0        2        0        0        2
28460 libggzdmod++1                      	       0        1        0        0        1
28461 libggzdmod6                        	       0        1        0        1        0
28462 libggzmod4                         	       0        2        0        0        2
28463 libghc-adjunctions-dev             	       0        5        0        5        0
28464 libghc-adjunctions-doc             	       0        2        0        2        0
28465 libghc-adjunctions-prof            	       0        3        0        0        3
28466 libghc-aeson-pretty-dev            	       0        2        0        2        0
28467 libghc-aeson-prof                  	       0        2        0        0        2
28468 libghc-ansi-terminal-prof          	       0        4        0        0        4
28469 libghc-ansi-wl-pprint-dev          	       0        3        0        3        0
28470 libghc-ansi-wl-pprint-prof         	       0        1        0        0        1
28471 libghc-appar-dev                   	       0        3        1        2        0
28472 libghc-asn1-encoding-dev           	       0        3        0        3        0
28473 libghc-asn1-encoding-prof          	       0        1        0        0        1
28474 libghc-asn1-parse-dev              	       0        3        0        3        0
28475 libghc-asn1-parse-prof             	       0        1        0        0        1
28476 libghc-asn1-types-dev              	       0        3        0        3        0
28477 libghc-asn1-types-prof             	       0        1        0        0        1
28478 libghc-assoc-prof                  	       0        1        0        0        1
28479 libghc-async-doc                   	       0        1        0        1        0
28480 libghc-async-prof                  	       0        6        0        0        6
28481 libghc-attoparsec-doc              	       0        2        0        2        0
28482 libghc-attoparsec-prof             	       0        7        0        0        7
28483 libghc-auto-update-dev             	       0        3        0        3        0
28484 libghc-auto-update-prof            	       0        1        0        0        1
28485 libghc-base-compat-batteries-prof  	       0        1        0        0        1
28486 libghc-base-compat-doc             	       0        1        0        1        0
28487 libghc-base-compat-prof            	       0        4        0        0        4
28488 libghc-base-orphans-prof           	       0        4        0        0        4
28489 libghc-base16-bytestring-dev       	       0        4        1        3        0
28490 libghc-base16-bytestring-prof      	       0        1        0        0        1
28491 libghc-base64-bytestring-dev       	       0        3        0        3        0
28492 libghc-base64-bytestring-prof      	       0        1        0        0        1
28493 libghc-base64-dev                  	       0        1        0        1        0
28494 libghc-base64-doc                  	       0        1        0        1        0
28495 libghc-basement-dev                	       0        3        1        2        0
28496 libghc-bifunctors-doc              	       0        2        0        2        0
28497 libghc-bifunctors-prof             	       0        4        0        0        4
28498 libghc-binary-orphans-dev          	       0        2        0        2        0
28499 libghc-binary-orphans-prof         	       0        1        0        0        1
28500 libghc-blaze-builder-prof          	       0        7        0        0        7
28501 libghc-blaze-html-doc              	       0        1        0        1        0
28502 libghc-blaze-html-prof             	       0        7        0        0        7
28503 libghc-blaze-markup-doc            	       0        1        0        1        0
28504 libghc-blaze-markup-prof           	       0        7        0        0        7
28505 libghc-bmp-dev                     	       0        1        0        1        0
28506 libghc-bmp-prof                    	       0        1        0        0        1
28507 libghc-bsb-http-chunked-dev        	       0        2        0        2        0
28508 libghc-byte-order-dev              	       0        1        0        1        0
28509 libghc-byte-order-prof             	       0        1        0        0        1
28510 libghc-byteable-dev                	       0        1        0        1        0
28511 libghc-byteable-prof               	       0        1        0        0        1
28512 libghc-byteorder-dev               	       0        3        1        2        0
28513 libghc-bytes-dev                   	       0        2        0        2        0
28514 libghc-bytes-prof                  	       0        1        0        0        1
28515 libghc-bytestring-conversion-dev   	       0        1        0        1        0
28516 libghc-bytestring-conversion-prof  	       0        1        0        0        1
28517 libghc-bytestring-to-vector-dev    	       0        1        0        1        0
28518 libghc-bytestring-to-vector-prof   	       0        1        0        0        1
28519 libghc-bzlib-dev                   	       0        2        0        2        0
28520 libghc-bzlib-prof                  	       0        1        0        0        1
28521 libghc-cairo-dev                   	       0        5        0        5        0
28522 libghc-cairo-doc                   	       0        2        0        2        0
28523 libghc-cairo-prof                  	       0        3        0        0        3
28524 libghc-call-stack-dev              	       0        7        0        7        0
28525 libghc-call-stack-doc              	       0        1        0        1        0
28526 libghc-call-stack-prof             	       0        3        0        0        3
28527 libghc-case-insensitive-doc        	       0        1        0        1        0
28528 libghc-case-insensitive-prof       	       0        2        0        0        2
28529 libghc-cereal-dev                  	       0        8        1        7        0
28530 libghc-cereal-prof                 	       0        3        0        0        3
28531 libghc-charsetdetect-ae-dev        	       0        1        0        1        0
28532 libghc-charsetdetect-ae-prof       	       0        1        0        0        1
28533 libghc-citeproc-dev                	       0        2        0        2        0
28534 libghc-code-page-doc               	       0        1        0        1        0
28535 libghc-colour-prof                 	       0        4        0        0        4
28536 libghc-commonmark-dev              	       0        2        0        2        0
28537 libghc-commonmark-extensions-dev   	       0        2        0        2        0
28538 libghc-commonmark-pandoc-dev       	       0        2        0        2        0
28539 libghc-comonad-doc                 	       0        2        0        2        0
28540 libghc-comonad-prof                	       0        4        0        0        4
28541 libghc-conduit-dev                 	       0       12        0       12        0
28542 libghc-conduit-doc                 	       0        1        0        1        0
28543 libghc-conduit-extra-dev           	       0       10        0       10        0
28544 libghc-conduit-extra-prof          	       0        6        0        0        6
28545 libghc-conduit-prof                	       0        6        0        0        6
28546 libghc-config-ini-dev              	       0        1        1        0        0
28547 libghc-config-ini-doc              	       0        1        0        1        0
28548 libghc-config-ini-prof             	       0        1        0        0        1
28549 libghc-configurator-dev            	       0        1        0        1        0
28550 libghc-configurator-prof           	       0        1        0        0        1
28551 libghc-connection-dev              	       0        3        0        3        0
28552 libghc-connection-prof             	       0        1        0        0        1
28553 libghc-constraints-dev             	       0        2        0        2        0
28554 libghc-contravariant-doc           	       0        2        0        2        0
28555 libghc-contravariant-prof          	       0        4        0        0        4
28556 libghc-convertible-dev             	       0        2        0        2        0
28557 libghc-convertible-doc             	       0        1        0        1        0
28558 libghc-convertible-prof            	       0        1        0        0        1
28559 libghc-cookie-dev                  	       0        4        1        3        0
28560 libghc-cookie-prof                 	       0        1        0        0        1
28561 libghc-cpu-dev                     	       0        1        0        1        0
28562 libghc-cpu-prof                    	       0        1        0        0        1
28563 libghc-crypto-api-dev              	       0        1        1        0        0
28564 libghc-cryptohash-dev              	       0        1        0        1        0
28565 libghc-cryptohash-prof             	       0        1        0        0        1
28566 libghc-cryptonite-dev              	       0        3        0        3        0
28567 libghc-cryptonite-prof             	       0        1        0        0        1
28568 libghc-css-text-dev                	       0        2        0        2        0
28569 libghc-css-text-prof               	       0        2        0        0        2
28570 libghc-csv-dev                     	       0        2        1        1        0
28571 libghc-csv-doc                     	       0        1        0        1        0
28572 libghc-csv-prof                    	       0        2        0        0        2
28573 libghc-data-default-class-prof     	       0        9        0        0        9
28574 libghc-data-default-dev            	       0       12        1       11        0
28575 libghc-data-default-doc            	       0        5        0        5        0
28576 libghc-data-default-instances-containers-dev	       0       12        1       11        0
28577 libghc-data-default-instances-containers-doc	       0        1        0        1        0
28578 libghc-data-default-instances-containers-prof	       0        3        0        0        3
28579 libghc-data-default-instances-dlist-dev	       0       12        1       11        0
28580 libghc-data-default-instances-dlist-doc	       0        1        0        1        0
28581 libghc-data-default-instances-dlist-prof	       0        3        0        0        3
28582 libghc-data-default-instances-old-locale-dev	       0       12        1       11        0
28583 libghc-data-default-instances-old-locale-doc	       0        1        0        1        0
28584 libghc-data-default-instances-old-locale-prof	       0        3        0        0        3
28585 libghc-data-default-prof           	       0        2        0        0        2
28586 libghc-data-fix-prof               	       0        1        0        0        1
28587 libghc-dbus-dev                    	       0        1        0        1        0
28588 libghc-dbus-doc                    	       0        1        0        1        0
28589 libghc-dbus-prof                   	       0        1        0        0        1
28590 libghc-diff-dev                    	       0        1        1        0        0
28591 libghc-digest-dev                  	       0        3        1        2        0
28592 libghc-distributive-doc            	       0        2        0        2        0
28593 libghc-distributive-prof           	       0        4        0        0        4
28594 libghc-dlist-doc                   	       0        2        0        2        0
28595 libghc-dlist-prof                  	       0        3        0        0        3
28596 libghc-doclayout-dev               	       0        2        0        2        0
28597 libghc-doctemplates-dev            	       0        2        0        2        0
28598 libghc-doctest-dev                 	       0        1        0        1        0
28599 libghc-doctest-prof                	       0        1        0        0        1
28600 libghc-double-conversion-dev       	       0        2        0        2        0
28601 libghc-double-conversion-prof      	       0        1        0        0        1
28602 libghc-dynamic-state-dev           	       0        2        0        2        0
28603 libghc-dynamic-state-prof          	       0        1        0        0        1
28604 libghc-dyre-dev                    	       0        1        0        1        0
28605 libghc-dyre-doc                    	       0        1        0        1        0
28606 libghc-dyre-prof                   	       0        1        0        0        1
28607 libghc-easy-file-dev               	       0        3        0        3        0
28608 libghc-easy-file-prof              	       0        1        0        0        1
28609 libghc-either-dev                  	       0        1        1        0        0
28610 libghc-either-prof                 	       0        1        0        0        1
28611 libghc-emojis-dev                  	       0        4        1        3        0
28612 libghc-emojis-doc                  	       0        1        0        1        0
28613 libghc-emojis-prof                 	       0        2        0        0        2
28614 libghc-enclosed-exceptions-dev     	       0        3        0        3        0
28615 libghc-enclosed-exceptions-prof    	       0        1        0        0        1
28616 libghc-entropy-dev                 	       0        3        1        2        0
28617 libghc-errors-dev                  	       0        1        0        1        0
28618 libghc-errors-prof                 	       0        1        0        0        1
28619 libghc-exceptions-dev              	       0        8        0        8        0
28620 libghc-exceptions-doc              	       0        1        0        1        0
28621 libghc-exceptions-prof             	       0        5        0        0        5
28622 libghc-executable-path-dev         	       0        1        0        1        0
28623 libghc-executable-path-prof        	       0        1        0        0        1
28624 libghc-extensible-exceptions-doc   	       0        2        0        2        0
28625 libghc-extensible-exceptions-prof  	       0        2        0        0        2
28626 libghc-fast-logger-dev             	       0        3        0        3        0
28627 libghc-fast-logger-prof            	       0        1        0        0        1
28628 libghc-fgl-dev                     	       0        1        0        1        0
28629 libghc-fgl-doc                     	       0        1        0        1        0
28630 libghc-file-embed-dev              	       0        3        1        2        0
28631 libghc-filestore-dev               	       0        1        1        0        0
28632 libghc-filestore-doc               	       0        1        0        1        0
28633 libghc-fingertree-dev              	       0        2        0        2        0
28634 libghc-fingertree-prof             	       0        1        0        0        1
28635 libghc-fixed-dev                   	       0        1        0        1        0
28636 libghc-fixed-doc                   	       0        1        0        1        0
28637 libghc-flexible-defaults-dev       	       0        1        0        1        0
28638 libghc-flexible-defaults-prof      	       0        1        0        0        1
28639 libghc-floatinghex-dev             	       0        1        0        1        0
28640 libghc-floatinghex-doc             	       0        1        0        0        1
28641 libghc-floatinghex-prof            	       0        1        0        0        1
28642 libghc-fmlist-dev                  	       0        2        1        1        0
28643 libghc-foldable1-classes-compat-dev	       0        1        1        0        0
28644 libghc-foldable1-classes-compat-prof	       0        1        0        0        1
28645 libghc-free-dev                    	       0        5        0        5        0
28646 libghc-free-doc                    	       0        2        0        2        0
28647 libghc-free-prof                   	       0        3        0        0        3
28648 libghc-fsnotify-dev                	       0        3        0        3        0
28649 libghc-fsnotify-prof               	       0        1        0        0        1
28650 libghc-generic-deriving-dev        	       0        2        1        1        0
28651 libghc-ghc-paths-prof              	       0        1        0        0        1
28652 libghc-gi-atk-dev                  	       0        2        0        2        0
28653 libghc-gi-atk-doc                  	       0        2        0        2        0
28654 libghc-gi-atk-prof                 	       0        2        0        0        2
28655 libghc-gi-cairo-dev                	       0        3        0        3        0
28656 libghc-gi-cairo-doc                	       0        2        0        2        0
28657 libghc-gi-cairo-prof               	       0        2        0        0        2
28658 libghc-gi-dbusmenu-dev             	       0        2        0        2        0
28659 libghc-gi-dbusmenu-doc             	       0        2        0        2        0
28660 libghc-gi-dbusmenu-prof            	       0        2        0        0        2
28661 libghc-gi-dbusmenugtk3-dev         	       0        2        0        2        0
28662 libghc-gi-dbusmenugtk3-doc         	       0        2        0        2        0
28663 libghc-gi-dbusmenugtk3-prof        	       0        2        0        0        2
28664 libghc-gi-gdk-dev                  	       0        3        0        3        0
28665 libghc-gi-gdk-doc                  	       0        2        0        2        0
28666 libghc-gi-gdk-prof                 	       0        2        0        0        2
28667 libghc-gi-gdkpixbuf-dev            	       0        4        0        4        0
28668 libghc-gi-gdkpixbuf-doc            	       0        3        0        3        0
28669 libghc-gi-gdkpixbuf-prof           	       0        3        0        0        3
28670 libghc-gi-gdkx11-dev               	       0        1        0        1        0
28671 libghc-gi-gio-dev                  	       0        4        0        4        0
28672 libghc-gi-gio-doc                  	       0        3        0        3        0
28673 libghc-gi-gio-prof                 	       0        3        0        0        3
28674 libghc-gi-glib-dev                 	       0        4        0        4        0
28675 libghc-gi-glib-doc                 	       0        3        0        3        0
28676 libghc-gi-glib-prof                	       0        3        0        0        3
28677 libghc-gi-gmodule-dev              	       0        1        0        1        0
28678 libghc-gi-gmodule-prof             	       0        1        0        0        1
28679 libghc-gi-gobject-dev              	       0        4        0        4        0
28680 libghc-gi-gobject-doc              	       0        3        0        3        0
28681 libghc-gi-gobject-prof             	       0        3        0        0        3
28682 libghc-gi-gtk-dev                  	       0        2        0        2        0
28683 libghc-gi-gtk-doc                  	       0        2        0        2        0
28684 libghc-gi-gtk-prof                 	       0        2        0        0        2
28685 libghc-gi-harfbuzz-dev             	       0        3        0        3        0
28686 libghc-gi-harfbuzz-doc             	       0        2        0        2        0
28687 libghc-gi-harfbuzz-prof            	       0        2        0        0        2
28688 libghc-gi-pango-dev                	       0        3        0        3        0
28689 libghc-gi-pango-doc                	       0        2        0        2        0
28690 libghc-gi-pango-prof               	       0        2        0        0        2
28691 libghc-gi-xlib-dev                 	       0        2        0        2        0
28692 libghc-gio-dev                     	       0        6        0        6        0
28693 libghc-gio-doc                     	       0        2        0        2        0
28694 libghc-gio-prof                    	       0        3        0        0        3
28695 libghc-gitit-data                  	       0        2        0        0        2
28696 libghc-glib-dev                    	       0        6        0        6        0
28697 libghc-glib-doc                    	       0        2        0        2        0
28698 libghc-glib-prof                   	       0        3        0        0        3
28699 libghc-glob-dev                    	       0        2        0        2        0
28700 libghc-gluraw-dev                  	       0        1        0        1        0
28701 libghc-gluraw-doc                  	       0        1        0        0        1
28702 libghc-glut-dev                    	       0        1        0        1        0
28703 libghc-glut-doc                    	       0        1        0        0        1
28704 libghc-gtk-dev                     	       0        1        0        1        0
28705 libghc-gtk-prof                    	       0        1        0        0        1
28706 libghc-gtk3-dev                    	       0        4        0        4        0
28707 libghc-gtk3-doc                    	       0        2        0        2        0
28708 libghc-gtk3-prof                   	       0        2        0        0        2
28709 libghc-haddock-library-dev         	       0        2        0        2        0
28710 libghc-hakyll-dev                  	       0        2        0        2        0
28711 libghc-half-dev                    	       0        1        0        1        0
28712 libghc-half-doc                    	       0        1        0        1        0
28713 libghc-hashable-doc                	       0        4        0        4        0
28714 libghc-hashable-prof               	       0        8        0        0        8
28715 libghc-hashtables-dev              	       0        4        0        4        0
28716 libghc-haskell-gi-base-dev         	       0        5        0        5        0
28717 libghc-haskell-gi-base-doc         	       0        3        0        3        0
28718 libghc-haskell-gi-base-prof        	       0        3        0        0        3
28719 libghc-haskell-gi-dev              	       0        5        0        5        0
28720 libghc-haskell-gi-doc              	       0        2        0        2        0
28721 libghc-haskell-gi-prof             	       0        3        0        0        3
28722 libghc-haskell-lexer-dev           	       0        8        1        7        0
28723 libghc-haskell-lexer-doc           	       0        1        0        1        0
28724 libghc-haskell-lexer-prof          	       0        3        0        0        3
28725 libghc-haskell-src-dev             	       0        1        0        1        0
28726 libghc-haskell-src-doc             	       0        1        0        1        0
28727 libghc-hclip-dev                   	       0        2        0        2        0
28728 libghc-hclip-prof                  	       0        1        0        0        1
28729 libghc-hdbc-dev                    	       0        2        0        2        0
28730 libghc-hdbc-doc                    	       0        1        0        1        0
28731 libghc-hdbc-prof                   	       0        1        0        0        1
28732 libghc-hdbc-session-dev            	       0        1        0        1        0
28733 libghc-hdbc-session-prof           	       0        1        0        0        1
28734 libghc-hinotify-dev                	       0        3        0        3        0
28735 libghc-hinotify-prof               	       0        1        0        0        1
28736 libghc-hint-prof                   	       0        1        0        0        1
28737 libghc-hit-dev                     	       0        1        0        1        0
28738 libghc-hit-prof                    	       0        1        0        0        1
28739 libghc-hjsmin-dev                  	       0        1        0        1        0
28740 libghc-hostname-dev                	       0        1        0        1        0
28741 libghc-hostname-prof               	       0        1        0        0        1
28742 libghc-hourglass-dev               	       0        3        0        3        0
28743 libghc-hourglass-prof              	       0        1        0        0        1
28744 libghc-hslogger-dev                	       0        4        1        3        0
28745 libghc-hslogger-doc                	       0        1        0        1        0
28746 libghc-hslogger-prof               	       0        1        0        0        1
28747 libghc-hslua-aeson-dev             	       0        2        0        2        0
28748 libghc-hslua-classes-dev           	       0        2        0        2        0
28749 libghc-hslua-core-dev              	       0        2        0        2        0
28750 libghc-hslua-dev                   	       0        2        0        2        0
28751 libghc-hslua-marshalling-dev       	       0        2        0        2        0
28752 libghc-hslua-module-path-dev       	       0        2        0        2        0
28753 libghc-hslua-module-system-dev     	       0        2        0        2        0
28754 libghc-hslua-module-text-dev       	       0        2        0        2        0
28755 libghc-hslua-module-version-dev    	       0        2        0        2        0
28756 libghc-hslua-objectorientation-dev 	       0        2        0        2        0
28757 libghc-hslua-packaging-dev         	       0        2        0        2        0
28758 libghc-hsyaml-dev                  	       0        2        0        2        0
28759 libghc-html-dev                    	       0        2        1        1        0
28760 libghc-html-doc                    	       0        1        0        1        0
28761 libghc-http-api-data-dev           	       0        1        0        1        0
28762 libghc-http-api-data-prof          	       0        1        0        0        1
28763 libghc-http-client-dev             	       0        3        0        3        0
28764 libghc-http-client-prof            	       0        1        0        0        1
28765 libghc-http-client-tls-dev         	       0        3        0        3        0
28766 libghc-http-client-tls-prof        	       0        1        0        0        1
28767 libghc-http-conduit-dev            	       0        2        0        2        0
28768 libghc-http-date-dev               	       0        2        0        2        0
28769 libghc-http-dev                    	       0        1        0        1        0
28770 libghc-http-doc                    	       0        1        0        0        1
28771 libghc-http-link-header-dev        	       0        1        0        1        0
28772 libghc-http-link-header-prof       	       0        1        0        0        1
28773 libghc-http-types-dev              	       0        3        0        3        0
28774 libghc-http-types-prof             	       0        1        0        0        1
28775 libghc-http2-dev                   	       0        2        0        2        0
28776 libghc-hunit-dev                   	       0        4        0        4        0
28777 libghc-hunit-doc                   	       0        1        0        0        1
28778 libghc-hunit-prof                  	       0        1        0        0        1
28779 libghc-iconv-dev                   	       0        1        0        1        0
28780 libghc-iconv-prof                  	       0        1        0        0        1
28781 libghc-idna-dev                    	       0        1        0        1        0
28782 libghc-idna-doc                    	       0        1        0        1        0
28783 libghc-indexed-traversable-doc     	       0        1        0        1        0
28784 libghc-indexed-traversable-instances-prof	       0        1        0        0        1
28785 libghc-indexed-traversable-prof    	       0        1        0        0        1
28786 libghc-ini-dev                     	       0        1        1        0        0
28787 libghc-ini-prof                    	       0        1        0        0        1
28788 libghc-integer-logarithms-prof     	       0        7        0        0        7
28789 libghc-invariant-dev               	       0        3        0        3        0
28790 libghc-invariant-doc               	       0        1        0        1        0
28791 libghc-invariant-prof              	       0        2        0        0        2
28792 libghc-io-storage-dev              	       0        1        0        1        0
28793 libghc-io-storage-prof             	       0        1        0        0        1
28794 libghc-iproute-dev                 	       0        3        1        2        0
28795 libghc-ipynb-dev                   	       0        2        0        2        0
28796 libghc-iwlib-dev                   	       0        2        0        2        0
28797 libghc-iwlib-prof                  	       0        1        0        0        1
28798 libghc-jira-wiki-markup-dev        	       0        2        0        2        0
28799 libghc-json-dev                    	       0        1        1        0        0
28800 libghc-json-doc                    	       0        1        0        1        0
28801 libghc-juicypixels-dev             	       0        3        1        2        0
28802 libghc-kan-extensions-dev          	       0        4        0        4        0
28803 libghc-kan-extensions-doc          	       0        1        0        1        0
28804 libghc-kan-extensions-prof         	       0        3        0        0        3
28805 libghc-language-javascript-dev     	       0        1        0        1        0
28806 libghc-lens-dev                    	       0        4        0        4        0
28807 libghc-lens-doc                    	       0        1        0        1        0
28808 libghc-lens-prof                   	       0        3        0        0        3
28809 libghc-libyaml-dev                 	       0        4        0        4        0
28810 libghc-lifted-async-dev            	       0        2        0        2        0
28811 libghc-lifted-base-dev             	       0        3        0        3        0
28812 libghc-lifted-base-prof            	       0        1        0        0        1
28813 libghc-linear-dev                  	       0        2        0        2        0
28814 libghc-linear-doc                  	       0        1        0        1        0
28815 libghc-linear-prof                 	       0        1        0        0        1
28816 libghc-listlike-dev                	       0        2        1        1        0
28817 libghc-lpeg-dev                    	       0        2        0        2        0
28818 libghc-lrucache-dev                	       0        2        0        2        0
28819 libghc-lua-dev                     	       0        2        0        2        0
28820 libghc-markdown-dev                	       0        1        0        1        0
28821 libghc-markdown-doc                	       0        1        0        1        0
28822 libghc-markdown-prof               	       0        1        0        0        1
28823 libghc-markdown-unlit-dev          	       0        1        0        1        0
28824 libghc-markdown-unlit-doc          	       0        1        0        1        0
28825 libghc-markdown-unlit-prof         	       0        1        0        0        1
28826 libghc-megaparsec-dev              	       0        1        1        0        0
28827 libghc-megaparsec-prof             	       0        1        0        0        1
28828 libghc-memory-dev                  	       0        4        1        3        0
28829 libghc-memory-prof                 	       0        1        0        0        1
28830 libghc-microlens-dev               	       0        3        1        2        0
28831 libghc-microlens-ghc-dev           	       0        2        0        2        0
28832 libghc-microlens-ghc-prof          	       0        1        0        0        1
28833 libghc-microlens-mtl-dev           	       0        2        0        2        0
28834 libghc-microlens-mtl-prof          	       0        1        0        0        1
28835 libghc-microlens-platform-dev      	       0        2        0        2        0
28836 libghc-microlens-platform-prof     	       0        1        0        0        1
28837 libghc-microlens-prof              	       0        1        0        0        1
28838 libghc-microlens-th-dev            	       0        2        0        2        0
28839 libghc-microlens-th-prof           	       0        1        0        0        1
28840 libghc-mime-types-dev              	       0        4        1        3        0
28841 libghc-mime-types-prof             	       0        1        0        0        1
28842 libghc-missingh-dev                	       0        1        1        0        0
28843 libghc-mmorph-dev                  	       0        1        0        1        0
28844 libghc-mmorph-prof                 	       0        1        0        0        1
28845 libghc-monad-control-prof          	       0        1        0        0        1
28846 libghc-monad-logger-dev            	       0        1        0        1        0
28847 libghc-monad-logger-prof           	       0        1        0        0        1
28848 libghc-monad-loops-dev             	       0        1        0        1        0
28849 libghc-monad-loops-prof            	       0        1        0        0        1
28850 libghc-monadrandom-dev             	       0        4        0        4        0
28851 libghc-monadrandom-prof            	       0        4        0        0        4
28852 libghc-mono-traversable-dev        	       0       11        0       11        0
28853 libghc-mono-traversable-prof       	       0        5        0        0        5
28854 libghc-mtl-dev                     	       0        2        0        2        0
28855 libghc-mtl-doc                     	       0        1        0        1        0
28856 libghc-mtl-prof                    	       0        1        0        0        1
28857 libghc-multimap-dev                	       0        1        1        0        0
28858 libghc-multimap-prof               	       0        1        0        0        1
28859 libghc-names-th-dev                	       0        1        0        1        0
28860 libghc-names-th-prof               	       0        1        0        0        1
28861 libghc-network-bsd-dev             	       0        4        1        3        0
28862 libghc-network-bsd-prof            	       0        1        0        0        1
28863 libghc-network-byte-order-dev      	       0        2        0        2        0
28864 libghc-network-doc                 	       0        2        0        2        0
28865 libghc-network-info-dev            	       0        1        1        0        0
28866 libghc-network-info-doc            	       0        1        0        1        0
28867 libghc-network-prof                	       0        7        0        0        7
28868 libghc-network-uri-doc             	       0        1        0        1        0
28869 libghc-network-uri-prof            	       0        2        0        0        2
28870 libghc-numtype-dev                 	       0        1        0        1        0
28871 libghc-numtype-prof                	       0        1        0        0        1
28872 libghc-objectname-dev              	       0        2        0        2        0
28873 libghc-objectname-doc              	       0        2        0        0        2
28874 libghc-objectname-prof             	       0        1        0        0        1
28875 libghc-old-locale-dev              	       0       16        1       15        0
28876 libghc-old-locale-doc              	       0        3        0        3        0
28877 libghc-old-locale-prof             	       0        4        0        0        4
28878 libghc-old-time-dev                	       0       10        1        9        0
28879 libghc-old-time-doc                	       0        2        0        2        0
28880 libghc-old-time-prof               	       0        1        0        0        1
28881 libghc-onetuple-doc                	       0        1        0        0        1
28882 libghc-onetuple-prof               	       0        1        0        0        1
28883 libghc-only-dev                    	       0        2        1        1        0
28884 libghc-oo-prototypes-dev           	       0        2        0        2        0
28885 libghc-oo-prototypes-prof          	       0        1        0        0        1
28886 libghc-opengl-dev                  	       0        1        0        1        0
28887 libghc-opengl-doc                  	       0        1        0        0        1
28888 libghc-openglraw-dev               	       0        1        0        1        0
28889 libghc-openglraw-doc               	       0        1        0        0        1
28890 libghc-optparse-applicative-dev    	       0        2        0        2        0
28891 libghc-os-string-prof              	       0        1        0        0        1
28892 libghc-pandoc-citeproc-data        	       0        8        0        0        8
28893 libghc-pandoc-dev                  	       0        2        0        2        0
28894 libghc-pandoc-lua-marshal-dev      	       0        2        0        2        0
28895 libghc-pandoc-sidenote-dev         	       0        1        1        0        0
28896 libghc-pandoc-sidenote-prof        	       0        1        0        0        1
28897 libghc-pandoc-types-dev            	       0        3        1        2        0
28898 libghc-pandoc-types-prof           	       0        1        0        0        1
28899 libghc-pango-dev                   	       0        5        0        5        0
28900 libghc-pango-doc                   	       0        2        0        2        0
28901 libghc-pango-prof                  	       0        3        0        0        3
28902 libghc-parallel-doc                	       0        2        0        2        0
28903 libghc-parallel-prof               	       0        3        0        0        3
28904 libghc-parsec3-dev                 	       0        1        0        1        0
28905 libghc-parsec3-prof                	       0        1        0        0        1
28906 libghc-parser-combinators-dev      	       0        1        1        0        0
28907 libghc-parser-combinators-prof     	       0        1        0        0        1
28908 libghc-path-pieces-dev             	       0        1        0        1        0
28909 libghc-path-pieces-prof            	       0        1        0        0        1
28910 libghc-patience-dev                	       0        1        0        1        0
28911 libghc-patience-prof               	       0        1        0        0        1
28912 libghc-pem-dev                     	       0        3        0        3        0
28913 libghc-pem-prof                    	       0        1        0        0        1
28914 libghc-persistable-record-dev      	       0        1        0        1        0
28915 libghc-persistable-record-prof     	       0        1        0        0        1
28916 libghc-persistable-types-hdbc-pg-dev	       0        1        0        1        0
28917 libghc-persistable-types-hdbc-pg-prof	       0        1        0        0        1
28918 libghc-persistent-dev              	       0        1        0        1        0
28919 libghc-persistent-postgresql-dev   	       0        1        0        1        0
28920 libghc-persistent-postgresql-prof  	       0        1        0        0        1
28921 libghc-persistent-prof             	       0        1        0        0        1
28922 libghc-persistent-sqlite-dev       	       0        1        0        1        0
28923 libghc-persistent-sqlite-prof      	       0        1        0        0        1
28924 libghc-pointedlist-dev             	       0        2        0        2        0
28925 libghc-pointedlist-prof            	       0        1        0        0        1
28926 libghc-postgresql-libpq-dev        	       0        2        0        2        0
28927 libghc-postgresql-libpq-prof       	       0        1        0        0        1
28928 libghc-postgresql-simple-dev       	       0        2        0        2        0
28929 libghc-postgresql-simple-prof      	       0        1        0        0        1
28930 libghc-prelude-extras-dev          	       0        1        0        1        0
28931 libghc-prelude-extras-prof         	       0        1        0        0        1
28932 libghc-pretty-show-dev             	       0        8        1        7        0
28933 libghc-pretty-show-doc             	       0        1        0        1        0
28934 libghc-pretty-show-prof            	       0        3        0        0        3
28935 libghc-primitive-doc               	       0        3        0        3        0
28936 libghc-primitive-prof              	       0       12        0        0       12
28937 libghc-primitive-unaligned-dev     	       0        1        0        1        0
28938 libghc-primitive-unaligned-prof    	       0        1        0        0        1
28939 libghc-process-extras-dev          	       0        2        1        1        0
28940 libghc-profunctors-dev             	       0        6        1        5        0
28941 libghc-profunctors-doc             	       0        2        0        2        0
28942 libghc-profunctors-prof            	       0        4        0        0        4
28943 libghc-psqueues-dev                	       0        2        0        2        0
28944 libghc-punycode-dev                	       0        1        0        1        0
28945 libghc-puremd5-dev                 	       0        1        1        0        0
28946 libghc-quickcheck2-doc             	       0        1        0        0        1
28947 libghc-quickcheck2-prof            	       0        2        0        0        2
28948 libghc-random-prof                 	       0       14        0        0       14
28949 libghc-random-shuffle-dev          	       0        4        0        4        0
28950 libghc-random-shuffle-prof         	       0        4        0        0        4
28951 libghc-reflection-dev              	       0        4        0        4        0
28952 libghc-reflection-doc              	       0        1        0        1        0
28953 libghc-reflection-prof             	       0        3        0        0        3
28954 libghc-regex-base-doc              	       0        2        0        2        0
28955 libghc-regex-base-prof             	       0        5        0        0        5
28956 libghc-regex-compat-dev            	       0        2        1        1        0
28957 libghc-regex-compat-doc            	       0        1        0        1        0
28958 libghc-regex-pcre-dev              	       0        1        1        0        0
28959 libghc-regex-pcre-doc              	       0        1        0        1        0
28960 libghc-regex-pcre-prof             	       0        1        0        0        1
28961 libghc-regex-posix-doc             	       0        1        0        1        0
28962 libghc-regex-posix-prof            	       0        1        0        0        1
28963 libghc-regex-tdfa-prof             	       0        4        0        0        4
28964 libghc-relational-query-dev        	       0        1        0        1        0
28965 libghc-relational-query-hdbc-dev   	       0        1        0        1        0
28966 libghc-relational-query-hdbc-prof  	       0        1        0        0        1
28967 libghc-relational-query-prof       	       0        1        0        0        1
28968 libghc-relational-schemas-dev      	       0        1        0        1        0
28969 libghc-relational-schemas-prof     	       0        1        0        0        1
28970 libghc-resolv-dev                  	       0        1        0        1        0
28971 libghc-resource-pool-dev           	       0        1        0        1        0
28972 libghc-resource-pool-prof          	       0        1        0        0        1
28973 libghc-resourcet-dev               	       0       13        1       12        0
28974 libghc-resourcet-doc               	       0        1        0        1        0
28975 libghc-resourcet-prof              	       0        7        0        0        7
28976 libghc-safe-dev                    	       0        9        1        8        0
28977 libghc-safe-prof                   	       0        5        0        0        5
28978 libghc-sandi-dev                   	       0        2        0        2        0
28979 libghc-scientific-doc              	       0        2        0        2        0
28980 libghc-scientific-prof             	       0        8        0        0        8
28981 libghc-sdl-dev                     	       0        2        0        2        0
28982 libghc-sdl-doc                     	       0        1        0        0        1
28983 libghc-sdl-gfx-dev                 	       0        1        0        1        0
28984 libghc-sdl-gfx-doc                 	       0        1        0        0        1
28985 libghc-sdl-gfx-prof                	       0        1        0        0        1
28986 libghc-sdl-image-dev               	       0        2        0        2        0
28987 libghc-sdl-image-doc               	       0        1        0        0        1
28988 libghc-sdl-image-prof              	       0        1        0        0        1
28989 libghc-sdl-mixer-dev               	       0        2        0        2        0
28990 libghc-sdl-mixer-doc               	       0        1        0        0        1
28991 libghc-sdl-mixer-prof              	       0        1        0        0        1
28992 libghc-sdl-prof                    	       0        1        0        0        1
28993 libghc-sdl-ttf-dev                 	       0        1        0        1        0
28994 libghc-sdl-ttf-doc                 	       0        1        0        0        1
28995 libghc-sdl-ttf-prof                	       0        1        0        0        1
28996 libghc-sdl2-dev                    	       0        2        0        2        0
28997 libghc-sdl2-doc                    	       0        1        0        1        0
28998 libghc-sdl2-prof                   	       0        1        0        0        1
28999 libghc-sdl2-ttf-dev                	       0        2        0        2        0
29000 libghc-sdl2-ttf-doc                	       0        1        0        1        0
29001 libghc-sdl2-ttf-prof               	       0        1        0        0        1
29002 libghc-semialign-doc               	       0        1        0        1        0
29003 libghc-semialign-prof              	       0        1        0        0        1
29004 libghc-semigroupoids-doc           	       0        3        0        3        0
29005 libghc-semigroupoids-prof          	       0        4        0        0        4
29006 libghc-semigroups-dev              	       0        9        0        9        0
29007 libghc-semigroups-doc              	       0        1        0        1        0
29008 libghc-semigroups-prof             	       0        3        0        0        3
29009 libghc-sendfile-dev                	       0        1        1        0        0
29010 libghc-setlocale-doc               	       0        2        0        2        0
29011 libghc-setlocale-prof              	       0        2        0        0        2
29012 libghc-sha-dev                     	       0        5        1        4        0
29013 libghc-shelly-dev                  	       0        2        0        2        0
29014 libghc-silently-dev                	       0        1        0        1        0
29015 libghc-silently-prof               	       0        1        0        0        1
29016 libghc-simple-sendfile-dev         	       0        2        0        2        0
29017 libghc-skylighting-core-dev        	       0        2        0        2        0
29018 libghc-skylighting-dev             	       0        2        0        2        0
29019 libghc-soap-dev                    	       0        1        0        1        0
29020 libghc-soap-prof                   	       0        1        0        0        1
29021 libghc-soap-tls-dev                	       0        1        0        1        0
29022 libghc-soap-tls-prof               	       0        1        0        0        1
29023 libghc-socks-dev                   	       0        4        1        3        0
29024 libghc-socks-prof                  	       0        1        0        0        1
29025 libghc-split-doc                   	       0        1        0        1        0
29026 libghc-split-prof                  	       0        7        0        0        7
29027 libghc-splitmix-prof               	       0        9        0        0        9
29028 libghc-sql-words-dev               	       0        1        0        1        0
29029 libghc-sql-words-prof              	       0        1        0        0        1
29030 libghc-statevar-doc                	       0        3        0        0        3
29031 libghc-statevar-prof               	       0        4        0        0        4
29032 libghc-status-notifier-item-dev    	       0        1        0        1        0
29033 libghc-status-notifier-item-prof   	       0        1        0        0        1
29034 libghc-stm-chans-dev               	       0        1        0        1        0
29035 libghc-stm-chans-prof              	       0        1        0        0        1
29036 libghc-stm-dev                     	       0        1        0        1        0
29037 libghc-stm-prof                    	       0        1        0        0        1
29038 libghc-streaming-commons-dev       	       0       10        0       10        0
29039 libghc-streaming-commons-prof      	       0        6        0        0        6
29040 libghc-strict-prof                 	       0        2        0        0        2
29041 libghc-stringprep-dev              	       0        1        0        1        0
29042 libghc-syb-dev                     	       0        5        1        4        0
29043 libghc-syb-doc                     	       0        1        0        1        0
29044 libghc-syb-prof                    	       0        2        0        0        2
29045 libghc-system-fileio-dev           	       0        1        0        1        0
29046 libghc-system-fileio-prof          	       0        1        0        0        1
29047 libghc-system-filepath-dev         	       0        2        1        1        0
29048 libghc-system-filepath-prof        	       0        1        0        0        1
29049 libghc-tabular-dev                 	       0        1        1        0        0
29050 libghc-tabular-doc                 	       0        1        0        1        0
29051 libghc-tagged-doc                  	       0        2        0        2        0
29052 libghc-tagged-prof                 	       0        4        0        0        4
29053 libghc-tagsoup-dev                 	       0        5        1        4        0
29054 libghc-tagsoup-prof                	       0        1        0        0        1
29055 libghc-test-framework-dev          	       0        1        0        1        0
29056 libghc-test-framework-prof         	       0        1        0        0        1
29057 libghc-test-framework-quickcheck2-dev	       0        1        0        1        0
29058 libghc-test-framework-quickcheck2-prof	       0        1        0        0        1
29059 libghc-texmath-dev                 	       0        2        0        2        0
29060 libghc-text-conversions-dev        	       0        2        0        2        0
29061 libghc-text-dev                    	       0        1        0        1        0
29062 libghc-text-icu-dev                	       0        2        0        2        0
29063 libghc-text-icu-prof               	       0        1        0        0        1
29064 libghc-text-postgresql-dev         	       0        1        0        1        0
29065 libghc-text-postgresql-prof        	       0        1        0        0        1
29066 libghc-text-prof                   	       0        1        0        0        1
29067 libghc-text-short-doc              	       0        1        0        1        0
29068 libghc-text-short-prof             	       0        1        0        0        1
29069 libghc-tf-random-dev               	       0        1        0        1        0
29070 libghc-tf-random-prof              	       0        1        0        0        1
29071 libghc-th-abstraction-prof         	       0        3        0        0        3
29072 libghc-th-compat-doc               	       0        1        0        1        0
29073 libghc-th-compat-prof              	       0        2        0        0        2
29074 libghc-th-data-compat-dev          	       0        1        0        1        0
29075 libghc-th-data-compat-prof         	       0        1        0        0        1
29076 libghc-th-extras-dev               	       0        1        0        1        0
29077 libghc-th-extras-prof              	       0        1        0        0        1
29078 libghc-th-lift-dev                 	       0        4        1        3        0
29079 libghc-th-lift-instances-dev       	       0        3        1        2        0
29080 libghc-th-lift-prof                	       0        1        0        0        1
29081 libghc-th-reify-compat-dev         	       0        1        0        1        0
29082 libghc-th-reify-compat-prof        	       0        1        0        0        1
29083 libghc-these-prof                  	       0        1        0        0        1
29084 libghc-threads-dev                 	       0        1        1        0        0
29085 libghc-time-compat-prof            	       0        1        0        0        1
29086 libghc-time-locale-compat-dev      	       0        4        1        3        0
29087 libghc-time-locale-compat-prof     	       0        1        0        0        1
29088 libghc-time-manager-dev            	       0        2        0        2        0
29089 libghc-tls-dev                     	       0        3        0        3        0
29090 libghc-tls-prof                    	       0        1        0        0        1
29091 libghc-transformers-base-doc       	       0        2        0        2        0
29092 libghc-transformers-base-prof      	       0        4        0        0        4
29093 libghc-transformers-compat-prof    	       0        8        0        0        8
29094 libghc-type-equality-dev           	       0        4        0        4        0
29095 libghc-type-equality-prof          	       0        2        0        0        2
29096 libghc-typed-process-dev           	       0        9        0        9        0
29097 libghc-typed-process-prof          	       0        5        0        0        5
29098 libghc-typst-symbols-dev           	       0        1        1        0        0
29099 libghc-unexceptionalio-dev         	       0        1        0        1        0
29100 libghc-unexceptionalio-prof        	       0        1        0        0        1
29101 libghc-unicode-collation-dev       	       0        2        0        2        0
29102 libghc-unicode-data-dev            	       0        2        0        2        0
29103 libghc-unicode-transforms-dev      	       0        2        0        2        0
29104 libghc-uniplate-dev                	       0        2        0        2        0
29105 libghc-unix-compat-dev             	       0        4        0        4        0
29106 libghc-unix-compat-prof            	       0        1        0        0        1
29107 libghc-unix-time-dev               	       0        3        0        3        0
29108 libghc-unix-time-prof              	       0        1        0        0        1
29109 libghc-unixutils-dev               	       0        1        1        0        0
29110 libghc-unixutils-doc               	       0        1        0        0        1
29111 libghc-unliftio-core-dev           	       0       12        1       11        0
29112 libghc-unliftio-core-doc           	       0        1        0        1        0
29113 libghc-unliftio-core-prof          	       0        6        0        0        6
29114 libghc-unliftio-dev                	       0        2        0        2        0
29115 libghc-unordered-containers-doc    	       0        3        0        3        0
29116 libghc-unordered-containers-prof   	       0        8        0        0        8
29117 libghc-url-dev                     	       0        1        1        0        0
29118 libghc-utf8-string-prof            	       0        6        0        0        6
29119 libghc-uuid-types-prof             	       0        2        0        0        2
29120 libghc-vault-dev                   	       0        2        0        2        0
29121 libghc-vector-algorithms-dev       	       0       11        0       11        0
29122 libghc-vector-algorithms-prof      	       0        5        0        0        5
29123 libghc-vector-doc                  	       0        3        0        3        0
29124 libghc-vector-prof                 	       0        8        0        0        8
29125 libghc-vector-stream-prof          	       0        1        0        0        1
29126 libghc-void-dev                    	       0        6        1        5        0
29127 libghc-void-prof                   	       0        3        0        0        3
29128 libghc-vty-crossplatform-dev       	       0        1        0        1        0
29129 libghc-vty-dev                     	       0        2        0        2        0
29130 libghc-vty-prof                    	       0        1        0        0        1
29131 libghc-vty-unix-dev                	       0        1        0        1        0
29132 libghc-wai-app-static-dev          	       0        2        0        2        0
29133 libghc-wai-dev                     	       0        2        0        2        0
29134 libghc-wai-extra-dev               	       0        2        0        2        0
29135 libghc-wai-logger-dev              	       0        2        0        2        0
29136 libghc-warp-dev                    	       0        2        0        2        0
29137 libghc-web-routes-dev              	       0        1        0        1        0
29138 libghc-web-routes-prof             	       0        1        0        0        1
29139 libghc-web-routes-th-dev           	       0        1        0        1        0
29140 libghc-web-routes-th-prof          	       0        1        0        0        1
29141 libghc-witherable-prof             	       0        1        0        0        1
29142 libghc-word-trie-dev               	       0        1        0        1        0
29143 libghc-word-trie-prof              	       0        1        0        0        1
29144 libghc-word8-dev                   	       0        2        0        2        0
29145 libghc-x11-doc                     	       0       16        0        3       13
29146 libghc-x11-prof                    	       0        2        0        0        2
29147 libghc-x11-xft-doc                 	       0        6        0        3        3
29148 libghc-x11-xft-prof                	       0        1        0        0        1
29149 libghc-x509-dev                    	       0        3        0        3        0
29150 libghc-x509-prof                   	       0        1        0        0        1
29151 libghc-x509-store-dev              	       0        3        0        3        0
29152 libghc-x509-store-prof             	       0        1        0        0        1
29153 libghc-x509-system-dev             	       0        3        0        3        0
29154 libghc-x509-system-prof            	       0        1        0        0        1
29155 libghc-x509-validation-dev         	       0        3        0        3        0
29156 libghc-x509-validation-prof        	       0        1        0        0        1
29157 libghc-xdg-basedir-dev             	       0        8        1        7        0
29158 libghc-xdg-basedir-doc             	       0        1        0        1        0
29159 libghc-xdg-basedir-prof            	       0        5        0        0        5
29160 libghc-xdg-desktop-entry-dev       	       0        1        1        0        0
29161 libghc-xdg-desktop-entry-doc       	       0        1        0        1        0
29162 libghc-xdg-desktop-entry-prof      	       0        1        0        0        1
29163 libghc-xml-conduit-dev             	       0       10        0       10        0
29164 libghc-xml-conduit-prof            	       0        6        0        0        6
29165 libghc-xml-conduit-writer-dev      	       0        1        0        1        0
29166 libghc-xml-conduit-writer-prof     	       0        1        0        0        1
29167 libghc-xml-dev                     	       0        4        1        3        0
29168 libghc-xml-prof                    	       0        1        0        0        1
29169 libghc-xml-types-dev               	       0       11        1       10        0
29170 libghc-xml-types-prof              	       0        7        0        0        7
29171 libghc-xmlhtml-dev                 	       0        1        1        0        0
29172 libghc-xmlhtml-doc                 	       0        1        0        1        0
29173 libghc-xmlhtml-prof                	       0        1        0        0        1
29174 libghc-xmonad-contrib-prof         	       0        1        0        0        1
29175 libghc-xmonad-prof                 	       0        2        0        0        2
29176 libghc-xss-sanitize-dev            	       0        1        0        1        0
29177 libghc-xss-sanitize-prof           	       0        1        0        0        1
29178 libghc-yaml-dev                    	       0        4        0        4        0
29179 libghc-yi-core-dev                 	       0        1        0        1        0
29180 libghc-yi-dev                      	       0        1        0        1        0
29181 libghc-yi-frontend-vty-dev         	       0        1        0        1        0
29182 libghc-yi-keymap-emacs-dev         	       0        1        0        1        0
29183 libghc-yi-language-dev             	       0        2        0        2        0
29184 libghc-yi-language-prof            	       0        1        0        0        1
29185 libghc-yi-misc-modes-dev           	       0        1        0        1        0
29186 libghc-yi-mode-haskell-dev         	       0        1        0        1        0
29187 libghc-yi-prof                     	       0        1        0        0        1
29188 libghc-yi-rope-dev                 	       0        2        0        2        0
29189 libghc-yi-rope-prof                	       0        1        0        0        1
29190 libghc-zip-archive-dev             	       0        3        1        2        0
29191 libghc-zlib-bindings-dev           	       0        1        0        1        0
29192 libghc-zlib-bindings-prof          	       0        1        0        0        1
29193 libghc-zlib-doc                    	       0        1        0        1        0
29194 libghc-zlib-prof                   	       0        7        0        0        7
29195 libghdl-3-0-0                      	       0        1        0        0        1
29196 libghemical-data                   	       0        3        0        0        3
29197 libghemical5v5                     	       0        3        0        0        3
29198 libghmm1                           	       0        1        0        0        1
29199 libgiac-dev                        	       0        8        0        8        0
29200 libgiac0                           	       0       11        0        0       11
29201 libgiac0t64                        	       0        3        0        0        3
29202 libgif4                            	       0       45        0        2       43
29203 libgif7                            	       0     2891       43      131     2717
29204 libgiftiio-dev                     	       0        1        0        1        0
29205 libgiftiio0                        	       0        1        0        0        1
29206 libgig-dev                         	       0        4        0        4        0
29207 libgig10                           	       0       43        0        0       43
29208 libgig10t64                        	       0        3        0        0        3
29209 libgig6v5                          	       0        1        0        0        1
29210 libgig9                            	       0       11        0        0       11
29211 libgii1                            	       0        4        0        4        0
29212 libgii1-target-x                   	       0        4        0        4        0
29213 libgimp-3.0-0                      	       0       39        0        1       38
29214 libgimp2.0                         	       0     2601        1       16     2584
29215 libgimp2.0-dev                     	       0       20        0       20        0
29216 libgimp2.0-doc                     	       0        4        0        0        4
29217 libgimp2.0t64                      	       0       85        0        1       84
29218 libginac1.3c2a                     	       0        1        0        0        1
29219 libginac1.4                        	       0        1        0        0        1
29220 libginac1.5                        	       0        1        0        0        1
29221 libginac11                         	       0        3        0        0        3
29222 libginac13                         	       0        2        0        0        2
29223 libgio-cil                         	       0        1        0        1        0
29224 libgio-qt-dev                      	       0        1        0        1        0
29225 libgio-qt0                         	       0        5        0        0        5
29226 libgio2.0-cil-dev                  	       0        1        1        0        0
29227 libgio3.0-cil                      	       0       61        0        0       61
29228 libgio3.0-cil-dev                  	       0       17        1       16        0
29229 libgirara-dev                      	       0        1        0        1        0
29230 libgirara-gtk2-0                   	       0        1        0        0        1
29231 libgirara-gtk3-1                   	       0        1        0        0        1
29232 libgirara-gtk3-2                   	       0        3        0        0        3
29233 libgirara-gtk3-3                   	       0      112        1        7      104
29234 libgirara-gtk3-3t64                	       0        1        0        0        1
29235 libgirara-gtk3-4                   	       0       13        0        0       13
29236 libgirepository-1.0-dev            	       0        9        0        9        0
29237 libgirepository-2.0-0              	       0       85        0        0       85
29238 libgirepository1.0-0               	       0        2        0        0        2
29239 libgirepository1.0-doc             	       0        3        0        0        3
29240 libgis-distance-perl               	       0        5        0        5        0
29241 libgit-repository-perl             	       0        5        0        5        0
29242 libgit-repository-plugin-log-perl  	       0        4        0        4        0
29243 libgit-version-compare-perl        	       0        6        0        6        0
29244 libgit2-1.1                        	       0      180        1        9      170
29245 libgit2-1.3                        	       0        2        0        0        2
29246 libgit2-1.5                        	       0      644        0        6      638
29247 libgit2-1.7                        	       0       30        0        0       30
29248 libgit2-1.8                        	       0       55        0        0       55
29249 libgit2-21                         	       0        2        0        0        2
29250 libgit2-24                         	       0       23        0        0       23
29251 libgit2-27                         	       0       43        1        0       42
29252 libgit2-28                         	       0        1        0        0        1
29253 libgit2-fixtures                   	       0        1        0        1        0
29254 libgit2-glib-1.0-0                 	       0      158        0        1      157
29255 libgit2-glib-1.0-doc               	       0        2        0        0        2
29256 libgivaro-dev                      	       0       12        0       12        0
29257 libgivaro-doc                      	       0        1        0        0        1
29258 libgivaro9                         	       0       12        0        0       12
29259 libgiza0                           	       0        6        0        0        6
29260 libgjs0c                           	       0        1        0        1        0
29261 libgjs0e                           	       0       15        0       15        0
29262 libgkarrays1                       	       0        1        0        0        1
29263 libgkeyfile1.0-cil                 	       0        8        0        0        8
29264 libgkl-java                        	       0        5        0        0        5
29265 libgkl-jni                         	       0        5        0        5        0
29266 libgksu1.2-0                       	       0        1        0        1        0
29267 libgksu2-0                         	       0      197        4      193        0
29268 libgksu2-dev                       	       0        1        0        1        0
29269 libgksuui1.0-1                     	       0        1        0        0        1
29270 libgl-gst                          	       0        2        0        2        0
29271 libgl-image-display0               	       0        1        0        0        1
29272 libgl1-amber-dri                   	       0        1        0        0        1
29273 libgl1-amdgpu-mesa-dev             	       0        1        0        0        1
29274 libgl1-amdgpu-mesa-dri             	       0        9        3        5        1
29275 libgl1-amdgpu-mesa-glx             	       0        9        2        5        2
29276 libgl1-glvnd-nvidia-glx            	       0        2        0        0        2
29277 libgl1-mesa-dev                    	       0      489        0        0      489
29278 libgl1-mesa-dri-experimental       	       0        1        0        0        1
29279 libgl1-mesa-glx                    	       0      631        0        0      631
29280 libgl1-mesa-swx11                  	       0        1        0        0        1
29281 libgl1-nvidia-glvnd-glx            	       0      167        0        0      167
29282 libgl1-nvidia-glx                  	       0        3        0        0        3
29283 libgl1-nvidia-glx-i386             	       0        1        0        0        1
29284 libgl1-nvidia-legacy-304xx-glx     	       0        1        0        0        1
29285 libgl1-nvidia-legacy-340xx-glx     	       0        8        0        4        4
29286 libgl1-nvidia-legacy-390xx-glvnd-glx	       0       11        0        0       11
29287 libgl1-nvidia-legacy-390xx-glx     	       0        2        0        0        2
29288 libgl1-nvidia-tesla-450-glvnd-glx  	       0        2        0        0        2
29289 libgl1-nvidia-tesla-470-glvnd-glx  	       0       15        0        0       15
29290 libgl2ps-dev                       	       0       19        1       18        0
29291 libgl2ps-doc                       	       0        1        0        0        1
29292 libgl2ps0                          	       0        5        0        0        5
29293 libgl2ps1                          	       0       15        0        0       15
29294 libgl2ps1.4                        	       0      288        0        0      288
29295 libgl4es-dev                       	       0        1        0        1        0
29296 libgl4es0                          	       0        2        0        0        2
29297 libglade-gnome0                    	       0        1        0        0        1
29298 libglade0                          	       0        1        0        0        1
29299 libglade2-0                        	       0      481        1        0      480
29300 libglade2-dev                      	       0       23        0       23        0
29301 libglade2.0-cil                    	       0       40        0        0       40
29302 libglade2.0-cil-dev                	       0       10        0       10        0
29303 libglademm-2.4-1c2a                	       0        1        0        0        1
29304 libglademm-2.4-1v5                 	       0       43        0        0       43
29305 libglademm-2.4-dev                 	       0        2        0        2        0
29306 libglademm-2.4-doc                 	       0        2        0        2        0
29307 libgladeui-1-7                     	       0        1        0        1        0
29308 libgladeui-2-13                    	       0       36        0        0       36
29309 libgladeui-2-13t64                 	       0        7        0        0        7
29310 libgladeui-2-6                     	       0        5        0        0        5
29311 libgladeui-common                  	       0       48        0        0       48
29312 libgladeui-dev                     	       0        3        0        3        0
29313 libgladeui-doc                     	       0        1        0        0        1
29314 libglapi-amdgpu-mesa               	       0        9        2        5        2
29315 libglazedlists-java                	       0       25        0        0       25
29316 libglbinding-dev                   	       0        2        0        2        0
29317 libglbinding2                      	       0        2        0        0        2
29318 libglbsp-dev                       	       0        2        0        2        0
29319 libglbsp3                          	       0        5        0        0        5
29320 libglc-dev                         	       0        3        0        3        0
29321 libglc0                            	       0       50        0        0       50
29322 libgle3                            	       0      172        0        0      172
29323 libgle3-dev                        	       0        1        0        1        0
29324 libglee0d1                         	       0        4        0        0        4
29325 libgles-nvidia-legacy-390xx1       	       0       13        0        0       13
29326 libgles-nvidia-legacy-390xx2       	       0       13        0        0       13
29327 libgles-nvidia-tesla-450-1         	       0        2        0        0        2
29328 libgles-nvidia-tesla-450-2         	       0        2        0        0        2
29329 libgles-nvidia-tesla-470-1         	       0       12        0        0       12
29330 libgles-nvidia-tesla-470-2         	       0       12        0        0       12
29331 libgles-nvidia1                    	       0      154        0        0      154
29332 libgles-nvidia2                    	       0      155        0        0      155
29333 libgles1                           	       0      785        0        0      785
29334 libgles1-amdgpu-mesa               	       0        1        0        0        1
29335 libgles1-glvnd-nvidia              	       0        2        0        0        2
29336 libgles1-mesa                      	       0       21        0        0       21
29337 libgles1-nvidia                    	       0        2        0        0        2
29338 libgles1-nvidia-legacy-340xx       	       0        7        0        0        7
29339 libgles2-amdgpu-mesa               	       0        1        0        0        1
29340 libgles2-glvnd-nvidia              	       0        1        0        0        1
29341 libgles2-mesa                      	       0      108        0        0      108
29342 libgles2-mesa-dev                  	       0       69        1       15       53
29343 libgles2-nvidia                    	       0        2        0        0        2
29344 libgles2-nvidia-legacy-340xx       	       0        7        0        0        7
29345 libglew-dev                        	       0       99        0       99        0
29346 libglew1.10                        	       0       29        0        0       29
29347 libglew1.13                        	       0        2        0        0        2
29348 libglew1.5                         	       0        2        0        0        2
29349 libglew1.6                         	       0        2        0        0        2
29350 libglew1.7                         	       0        8        0        0        8
29351 libglew2.0                         	       0       98        0        0       98
29352 libglew2.1                         	       0      373        1        3      369
29353 libglew2.2                         	       0      494        2       12      480
29354 libglewmx-dev                      	       0        2        0        2        0
29355 libglewmx1.10                      	       0        4        0        0        4
29356 libglewmx1.13                      	       0       54        0        0       54
29357 libglewmx1.5                       	       0        2        0        0        2
29358 libglewmx1.7                       	       0        2        0        0        2
29359 libglfw3                           	       0      133        0        0      133
29360 libglfw3-doc                       	       0        4        0        0        4
29361 libglfw3-wayland                   	       0        4        0        0        4
29362 libglgrib-egl0                     	       0        1        0        0        1
29363 libgli-dev                         	       0        2        0        2        0
29364 libgli-doc                         	       0        1        0        0        1
29365 libglib-perl                       	       0     2609        6       11     2592
29366 libglib-testing-0-0                	       0        1        0        0        1
29367 libglib-testing-0-dev              	       0        1        0        1        0
29368 libglib-testing-doc                	       0        1        0        0        1
29369 libglib1.2                         	       0        2        0        0        2
29370 libglib1.2-dev                     	       0        3        0        3        0
29371 libglib1.2ldbl                     	       0        5        0        1        4
29372 libglib2-ruby                      	       0        1        0        0        1
29373 libglib2-ruby1.8                   	       0        2        0        1        1
29374 libglib2.0-0-dbgsym                	       0        1        0        1        0
29375 libglib2.0-bin-dbgsym              	       0        1        0        1        0
29376 libglib2.0-cil                     	       0       99        0        1       98
29377 libglib2.0-dev-bin-dbgsym          	       0        1        0        1        0
29378 libglib2.0-doc                     	       0      102        0        0      102
29379 libglib3.0-cil                     	       0       63        0        0       63
29380 libglib3.0-cil-dev                 	       0       18        0       18        0
29381 libglibd-2.0-0                     	       0        4        0        0        4
29382 libglibd-2.0-dev                   	       0        1        0        0        1
29383 libglibmm-2.4-1c2a                 	       0       10        0        1        9
29384 libglibmm-2.4-dev                  	       0       68        1       67        0
29385 libglibmm-2.4-doc                  	       0       12        0       12        0
29386 libglibmm-2.68-1                   	       0      113        3       23       87
29387 libglibmm-2.68-1t64                	       0      172        3       15      154
29388 libglibmm-2.68-doc                 	       0        2        0        2        0
29389 libglibutil                        	       0        7        0        0        7
29390 libglide3                          	       0        6        0        6        0
29391 libglide3-dev                      	       0        2        0        2        0
29392 libglm-dev                         	       0       37        0       37        0
29393 libglm-doc                         	       0        2        0        0        2
29394 libglobjects-dev                   	       0        1        0        1        0
29395 libglobjects1                      	       0        1        0        0        1
29396 libglobus-authz-callout-error0     	       0        2        0        0        2
29397 libglobus-authz0                   	       0        2        0        0        2
29398 libglobus-callout-dev              	       0        1        0        1        0
29399 libglobus-callout-doc              	       0        1        0        0        1
29400 libglobus-callout0                 	       0        4        0        0        4
29401 libglobus-common-dev               	       0        3        0        3        0
29402 libglobus-common-doc               	       0        1        0        0        1
29403 libglobus-common0                  	       0        5        0        5        0
29404 libglobus-ftp-client-dev           	       0        1        0        1        0
29405 libglobus-ftp-client-doc           	       0        1        0        0        1
29406 libglobus-ftp-client2              	       0        3        0        0        3
29407 libglobus-ftp-control-dev          	       0        1        0        1        0
29408 libglobus-ftp-control-doc          	       0        1        0        0        1
29409 libglobus-ftp-control1             	       0        3        0        0        3
29410 libglobus-gass-copy2               	       0        2        0        0        2
29411 libglobus-gass-server-ez-dev       	       0        1        0        1        0
29412 libglobus-gass-server-ez2          	       0        1        0        0        1
29413 libglobus-gass-transfer-dev        	       0        1        0        1        0
29414 libglobus-gass-transfer-doc        	       0        1        0        0        1
29415 libglobus-gass-transfer2           	       0        3        0        0        3
29416 libglobus-gfork0                   	       0        2        0        0        2
29417 libglobus-gram-client-dev          	       0        1        0        1        0
29418 libglobus-gram-client-doc          	       0        1        0        0        1
29419 libglobus-gram-client3             	       0        1        0        0        1
29420 libglobus-gram-protocol-dev        	       0        1        0        1        0
29421 libglobus-gram-protocol-doc        	       0        1        0        0        1
29422 libglobus-gram-protocol3           	       0        1        0        1        0
29423 libglobus-gridftp-server-control0  	       0        1        0        0        1
29424 libglobus-gridftp-server6          	       0        1        0        0        1
29425 libglobus-gsi-callback-dev         	       0        3        0        3        0
29426 libglobus-gsi-callback-doc         	       0        1        0        0        1
29427 libglobus-gsi-callback0            	       0        5        0        0        5
29428 libglobus-gsi-cert-utils-dev       	       0        3        0        3        0
29429 libglobus-gsi-cert-utils-doc       	       0        1        0        0        1
29430 libglobus-gsi-cert-utils0          	       0        5        0        0        5
29431 libglobus-gsi-credential-dev       	       0        3        0        3        0
29432 libglobus-gsi-credential-doc       	       0        1        0        0        1
29433 libglobus-gsi-credential1          	       0        5        0        0        5
29434 libglobus-gsi-openssl-error-dev    	       0        3        0        3        0
29435 libglobus-gsi-openssl-error-doc    	       0        1        0        0        1
29436 libglobus-gsi-openssl-error0       	       0        5        0        0        5
29437 libglobus-gsi-proxy-core-dev       	       0        3        0        3        0
29438 libglobus-gsi-proxy-core-doc       	       0        1        0        0        1
29439 libglobus-gsi-proxy-core0          	       0        5        0        0        5
29440 libglobus-gsi-proxy-ssl-dev        	       0        3        0        3        0
29441 libglobus-gsi-proxy-ssl-doc        	       0        1        0        0        1
29442 libglobus-gsi-proxy-ssl1           	       0        5        0        0        5
29443 libglobus-gsi-sysconfig-dev        	       0        3        0        3        0
29444 libglobus-gsi-sysconfig-doc        	       0        1        0        0        1
29445 libglobus-gsi-sysconfig1           	       0        5        0        0        5
29446 libglobus-gss-assist-dev           	       0        1        0        1        0
29447 libglobus-gss-assist-doc           	       0        1        0        0        1
29448 libglobus-gss-assist3              	       0        4        0        0        4
29449 libglobus-gssapi-error-dev         	       0        1        0        1        0
29450 libglobus-gssapi-error-doc         	       0        1        0        0        1
29451 libglobus-gssapi-error2            	       0        3        0        0        3
29452 libglobus-gssapi-gsi-dev           	       0        3        0        3        0
29453 libglobus-gssapi-gsi-doc           	       0        1        0        0        1
29454 libglobus-gssapi-gsi4              	       0        5        0        0        5
29455 libglobus-io-dev                   	       0        1        0        1        0
29456 libglobus-io3                      	       0        3        0        0        3
29457 libglobus-openssl-module-dev       	       0        3        0        3        0
29458 libglobus-openssl-module-doc       	       0        1        0        0        1
29459 libglobus-openssl-module0          	       0        5        0        0        5
29460 libglobus-rsl-dev                  	       0        1        0        1        0
29461 libglobus-rsl-doc                  	       0        1        0        0        1
29462 libglobus-rsl2                     	       0        1        0        0        1
29463 libglobus-usage0                   	       0        2        0        0        2
29464 libglobus-xio-dev                  	       0        1        0        1        0
29465 libglobus-xio-doc                  	       0        1        0        0        1
29466 libglobus-xio-gsi-driver           	       0        3        0        0        3
29467 libglobus-xio-gsi-driver-dev       	       0        1        0        1        0
29468 libglobus-xio-gsi-driver-doc       	       0        1        0        0        1
29469 libglobus-xio-gsi-driver0          	       0        2        0        0        2
29470 libglobus-xio-pipe-driver          	       0        2        0        0        2
29471 libglobus-xio-pipe-driver0         	       0        2        0        0        2
29472 libglobus-xio-popen-driver         	       0        3        0        0        3
29473 libglobus-xio-popen-driver-dev     	       0        1        0        1        0
29474 libglobus-xio-popen-driver0        	       0        2        0        0        2
29475 libglobus-xio0                     	       0        3        0        0        3
29476 libgloo0                           	       0       13        0        0       13
29477 libgloox-dev                       	       0        4        0        4        0
29478 libgloox12                         	       0        1        0        0        1
29479 libgloox14                         	       0        1        0        0        1
29480 libgloox15                         	       0        4        0        0        4
29481 libgloox17                         	       0        4        0        0        4
29482 libgloox18                         	       0       54        0        1       53
29483 libglpk-dev                        	       0       17        0       17        0
29484 libglpk-java                       	       0        1        0        0        1
29485 libglpk0                           	       0        1        0        0        1
29486 libglpk40                          	       0      125        0        0      125
29487 libgltf-0.0-0                      	       0       14        0        0       14
29488 libgltf-0.0-0v5                    	       0       74        0        0       74
29489 libgltf-0.1-1                      	       0        2        0        0        2
29490 libgluegen2-jni                    	       0       20        1       19        0
29491 libgluegen2-rt-java                	       0       20        0        0       20
29492 libgluezilla                       	       0        1        0        0        1
29493 libglusterd0                       	       0       11        0        0       11
29494 libglusterfs-dev                   	       0        7        0        7        0
29495 libglusterfs0                      	       0      703        1        3      699
29496 libglut3                           	       0        1        0        0        1
29497 libglut3.12                        	       0      435        0        0      435
29498 libglvnd-dev                       	       0      635        2      110      523
29499 libglvnd0-nvidia                   	       0        2        0        0        2
29500 libglw1-mesa                       	       0        8        0        0        8
29501 libglw1-mesa-dev                   	       0        5        0        5        0
29502 libglw1t64-mesa                    	       0        1        0        0        1
29503 libglx-nvidia-legacy-390xx0        	       0       13        1        4        8
29504 libglx-nvidia-tesla-450-0          	       0        2        0        1        1
29505 libglx-nvidia-tesla-470-0          	       0       16        3        7        6
29506 libglx0-glvnd-nvidia               	       0        2        0        0        2
29507 libglyr-dev                        	       0        1        0        1        0
29508 libglyr-doc                        	       0        1        0        0        1
29509 libglyr1                           	       0      101        0        1      100
29510 libgm2-0                           	       0        1        0        0        1
29511 libgm2-12-dev                      	       0        1        0        0        1
29512 libgm2-13-dev                      	       0        2        0        0        2
29513 libgm2-14-dev                      	       0        3        0        0        3
29514 libgm2-17                          	       0        1        0        0        1
29515 libgm2-18                          	       0        2        0        0        2
29516 libgm2-18-dbgsym                   	       0        1        0        1        0
29517 libgm2-19                          	       0        3        0        0        3
29518 libgm2-9-dev                       	       0        1        0        0        1
29519 libgmbal-commons-java              	       0        3        0        0        3
29520 libgmbal-java                      	       0        3        0        0        3
29521 libgmbal-pfl-java                  	       0        3        0        0        3
29522 libgme-dev                         	       0       23        0       23        0
29523 libgmerlin-avdec-dev               	       0        1        0        1        0
29524 libgmerlin-avdec1                  	       0       11        0        0       11
29525 libgmerlin-avdec2                  	       0       12        0        0       12
29526 libgmerlin-common                  	       0       12        0        0       12
29527 libgmerlin-dev                     	       0        1        0        1        0
29528 libgmerlin0                        	       0        5        0        0        5
29529 libgmerlin2                        	       0        8        0        0        8
29530 libgmerlin2t64                     	       0        1        0        0        1
29531 libgmetrics-groovy-java            	       0        1        0        0        1
29532 libgmic1                           	       0      179        0        0      179
29533 libgmime-2.0-2                     	       0        1        0        0        1
29534 libgmime-2.4-2                     	       0        3        0        0        3
29535 libgmime-2.6-0                     	       0      127        0        1      126
29536 libgmime-2.6-dev                   	       0       11        0       11        0
29537 libgmime-2.6-doc                   	       0        1        0        0        1
29538 libgmime-3.0-0                     	       0      109        8       12       89
29539 libgmime-3.0-0t64                  	       0       16        2        1       13
29540 libgmime-3.0-dev                   	       0        6        0        6        0
29541 libgmime-3.0-doc                   	       0        1        0        0        1
29542 libgmime2.6-cil                    	       0        7        0        0        7
29543 libgmime2.6-cil-dev                	       0        1        0        1        0
29544 libgmlib1                          	       0       19        0        0       19
29545 libgmm++-dev                       	       0        1        0        0        1
29546 libgmm-dev                         	       0        1        0        1        0
29547 libgmobile-common                  	       0        3        0        3        0
29548 libgmobile0                        	       0        3        1        0        2
29549 libgmp-ocaml                       	       0        1        0        1        0
29550 libgmp-ocaml-dev                   	       0        1        0        1        0
29551 libgmp3-dev                        	       0       34        0        0       34
29552 libgmp3c2                          	       0       10        0        0       10
29553 libgmpxx4ldbl                      	       0      585        1        0      584
29554 libgmsh4                           	       0        3        0        0        3
29555 libgmsh4.13                        	       0        1        0        0        1
29556 libgmsh4.8                         	       0        7        0        0        7
29557 libgmt-dev                         	       0        1        0        1        0
29558 libgmt6                            	       0        6        0        0        6
29559 libgmt6t64                         	       0        3        0        0        3
29560 libgmtk1                           	       0       19        0        0       19
29561 libgmtk1-data                      	       0       21        0        0       21
29562 libgmyth0                          	       0        1        0        0        1
29563 libgnat-10                         	       0       13        0        0       13
29564 libgnat-11                         	       0        2        0        0        2
29565 libgnat-12                         	       0       48        0        0       48
29566 libgnat-12-i386-cross              	       0        1        0        0        1
29567 libgnat-13                         	       0        5        0        0        5
29568 libgnat-13-dbgsym                  	       0        1        0        1        0
29569 libgnat-14                         	       0        2        0        0        2
29570 libgnat-15                         	       0        1        0        0        1
29571 libgnat-4.6                        	       0        1        0        0        1
29572 libgnat-6                          	       0        4        0        0        4
29573 libgnat-8                          	       0        3        0        0        3
29574 libgnat-9                          	       0        1        0        0        1
29575 libgnat-util10                     	       0        8        0        0        8
29576 libgnatcoll-db-bin                 	       0        1        0        1        0
29577 libgnatcoll-db-doc                 	       0        1        0        0        1
29578 libgnatcoll-doc                    	       0        3        0        0        3
29579 libgnatcoll-gtk1.7                 	       0        1        0        0        1
29580 libgnatcoll-iconv1.7               	       0        1        0        0        1
29581 libgnatcoll-iconv18                	       0        1        0        0        1
29582 libgnatcoll-iconv20                	       0        1        0        0        1
29583 libgnatcoll-postgres1              	       0        1        0        0        1
29584 libgnatcoll-postgres3-dev          	       0        1        0        0        1
29585 libgnatcoll-python1.7              	       0        1        0        0        1
29586 libgnatcoll-python17               	       0        1        0        0        1
29587 libgnatcoll-readline1.7            	       0        1        0        0        1
29588 libgnatcoll-sql1                   	       0        1        0        0        1
29589 libgnatcoll-sql3                   	       0        1        0        0        1
29590 libgnatcoll-sql5-dev               	       0        1        0        0        1
29591 libgnatcoll-sqlite-bin             	       0        2        0        2        0
29592 libgnatcoll-sqlite1.7              	       0        1        0        0        1
29593 libgnatcoll-sqlite18               	       0        1        0        0        1
29594 libgnatcoll-sqlite20               	       0        1        0        0        1
29595 libgnatcoll-xref18                 	       0        1        0        0        1
29596 libgnatcoll-xref20                 	       0        1        0        0        1
29597 libgnatcoll1.7                     	       0        1        0        0        1
29598 libgnatcoll17                      	       0        1        0        0        1
29599 libgnatcoll21                      	       0        4        0        0        4
29600 libgnatcoll21-dev                  	       0        1        0        0        1
29601 libgnatprj10                       	       0        7        0        0        7
29602 libgnatprj10-dev                   	       0        1        0        0        1
29603 libgnatprj4.6                      	       0        1        0        0        1
29604 libgnatprj6                        	       0        2        0        0        2
29605 libgnatprj8                        	       0        1        0        0        1
29606 libgnatvsn4.6                      	       0        1        0        0        1
29607 libgnatvsn6                        	       0        2        0        0        2
29608 libgnatvsn8                        	       0        1        0        0        1
29609 libgnet-dev                        	       0        1        0        1        0
29610 libgnet2.0-0                       	       0        3        0        0        3
29611 libgnokii6                         	       0        8        0        0        8
29612 libgnokii7                         	       0        1        0        0        1
29613 libgnome-2-0                       	       0      153        0        0      153
29614 libgnome-autoar-common             	       0       18        0        0       18
29615 libgnome-bg-4-1                    	       0        1        0        0        1
29616 libgnome-bg-4-2t64                 	       0       19        0        5       14
29617 libgnome-bluetooth-3.0-dev         	       0        1        0        0        1
29618 libgnome-bluetooth-dev             	       0        2        0        2        0
29619 libgnome-bluetooth10               	       0        6        0        0        6
29620 libgnome-bluetooth11               	       0        1        0        0        1
29621 libgnome-bluetooth13               	       0      117        2        6      109
29622 libgnome-bluetooth7                	       0        1        0        0        1
29623 libgnome-desktop-2-17              	       0        5        0        0        5
29624 libgnome-desktop-3-10              	       0        3        0        0        3
29625 libgnome-desktop-3-12              	       0       55        0        0       55
29626 libgnome-desktop-3-17              	       0       44        0        1       43
29627 libgnome-desktop-3-18              	       0        1        0        0        1
29628 libgnome-desktop-3-2               	       0        1        0        0        1
29629 libgnome-desktop-3-20t64           	       0       81        0        7       74
29630 libgnome-desktop-3-7               	       0        2        0        2        0
29631 libgnome-desktop-4-1               	       0        1        0        0        1
29632 libgnome-desktop-4-2t64            	       0       35        0        5       30
29633 libgnome-device-manager0           	       0        1        0        0        1
29634 libgnome-games-support-1-2         	       0       12        0        0       12
29635 libgnome-games-support-1-dev       	       0        1        0        1        0
29636 libgnome-games-support-2-4         	       0       22        0        0       22
29637 libgnome-games-support-common      	       0      413        0        0      413
29638 libgnome-games-support-common2     	       0       22        0        0       22
29639 libgnome-keyring-common            	       0      242        0        0      242
29640 libgnome-keyring-dev               	       0        8        0        8        0
29641 libgnome-keyring0                  	       0      239        1        4      234
29642 libgnome-keyring1.0-cil            	       0        2        0        2        0
29643 libgnome-mag2                      	       0        2        0        2        0
29644 libgnome-media-profiles-3.0-0      	       0        1        0        0        1
29645 libgnome-menu-3-dev                	       0        5        0        5        0
29646 libgnome-menu2                     	       0        5        0        0        5
29647 libgnome-panel3                    	       0        6        0        0        6
29648 libgnome-rr-4-1                    	       0        1        0        0        1
29649 libgnome-rr-4-2t64                 	       0       17        0        0       17
29650 libgnome-speech7                   	       0        1        0        1        0
29651 libgnome-todo                      	       0       11        0        0       11
29652 libgnome-vfs2.0-cil                	       0        4        0        0        4
29653 libgnome-vfsmm-2.6-1c2a            	       0        1        0        0        1
29654 libgnome2-0                        	       0       42        0        0       42
29655 libgnome2-canvas-perl              	       0        4        0        4        0
29656 libgnome2-common                   	       0      157        0        1      156
29657 libgnome2-dev                      	       0        3        0        3        0
29658 libgnome2-doc                      	       0        1        0        0        1
29659 libgnome2-gconf-perl               	       0        2        0        0        2
29660 libgnome2-perl                     	       0        3        0        0        3
29661 libgnome2-vfs-perl                 	       0        3        0        0        3
29662 libgnome2-wnck-perl                	       0        1        0        0        1
29663 libgnome2.24-cil                   	       0        4        0        0        4
29664 libgnome32                         	       0        1        0        0        1
29665 libgnomecanvas2-0                  	       0      229        1        2      226
29666 libgnomecanvas2-common             	       0      237        0        0      237
29667 libgnomecanvas2-dev                	       0        7        0        7        0
29668 libgnomecanvas2-doc                	       0        2        0        0        2
29669 libgnomecanvasmm-2.6-1c2a          	       0        1        0        0        1
29670 libgnomecanvasmm-2.6-1v5           	       0        7        0        0        7
29671 libgnomecanvasmm-2.6-dev           	       0        1        0        1        0
29672 libgnomecanvasmm-2.6-doc           	       0        2        0        0        2
29673 libgnomecups1.0-1                  	       0        4        0        0        4
29674 libgnomedb2-common                 	       0        1        0        0        1
29675 libgnomedb2-doc                    	       0        1        0        0        1
29676 libgnomedesktop2.20-cil            	       0        1        0        0        1
29677 libgnomekbd-dev                    	       0        2        0        2        0
29678 libgnomekbd7                       	       0        7        0        0        7
29679 libgnomeprint2.2-0                 	       0        4        0        4        0
29680 libgnomeprint2.2-data              	       0        4        0        0        4
29681 libgnomeprint2.2-doc               	       0        1        0        0        1
29682 libgnomeprintui2.2-0               	       0        4        0        0        4
29683 libgnomeprintui2.2-common          	       0        4        0        0        4
29684 libgnomescan0                      	       0        1        0        0        1
29685 libgnomesupport0                   	       0        1        0        0        1
29686 libgnomeui-0                       	       0       94        0        0       94
29687 libgnomeui-common                  	       0      108        0        1      107
29688 libgnomeui-dev                     	       0        3        0        3        0
29689 libgnomeui-doc                     	       0        1        0        0        1
29690 libgnomeui32                       	       0        1        0        0        1
29691 libgnomevfs2-0                     	       0      160        0        0      160
29692 libgnomevfs2-bin                   	       0        5        0        5        0
29693 libgnomevfs2-common                	       0      163        0        1      162
29694 libgnomevfs2-dev                   	       0        3        0        3        0
29695 libgnomevfs2-extra                 	       0      153        0        1      152
29696 libgnorba27                        	       0        1        0        0        1
29697 libgnorbagtk0                      	       0        1        0        0        1
29698 libgnt-dev                         	       0        1        0        1        0
29699 libgnt0                            	       0       10        1        0        9
29700 libgnu-regexp-java                 	       0        1        0        0        1
29701 libgnuastro-dev                    	       0        2        0        2        0
29702 libgnuastro12                      	       0        1        0        0        1
29703 libgnuastro17                      	       0        2        0        0        2
29704 libgnuastro20t64                   	       0        1        0        0        1
29705 libgnucap-dev                      	       0        1        0        0        1
29706 libgnucap0                         	       0       25        0        0       25
29707 libgnudatalanguage0                	       0        2        0        2        0
29708 libgnuift0c2a                      	       0        5        0        0        5
29709 libgnuinet-java                    	       0        9        0        0        9
29710 libgnujaf-java                     	       0        6        0        0        6
29711 libgnujaf-java-doc                 	       0        1        0        0        1
29712 libgnumail-java                    	       0        9        0        0        9
29713 libgnumail-java-doc                	       0        1        0        0        1
29714 libgnunet0.17                      	       0        1        0        1        0
29715 libgnunet0.19                      	       0        6        0        6        0
29716 libgnunet0.20t64                   	       0        4        1        3        0
29717 libgnunetgtk3                      	       0        7        0        0        7
29718 libgnupdf0                         	       0        1        0        1        0
29719 libgnupg-interface-perl            	       0       20        1       19        0
29720 libgnupg-perl                      	       0        1        0        1        0
29721 libgnuplot-ocaml-dev               	       0        1        0        1        0
29722 libgnuradio-air-modes1             	       0        3        0        0        3
29723 libgnuradio-air-modes1t64          	       0        2        0        0        2
29724 libgnuradio-analog3.10.10          	       0        1        0        0        1
29725 libgnuradio-analog3.10.11          	       0        7        0        0        7
29726 libgnuradio-analog3.10.5           	       0       30        0        2       28
29727 libgnuradio-analog3.10.9t64        	       0        1        0        0        1
29728 libgnuradio-analog3.7.10           	       0        1        0        0        1
29729 libgnuradio-analog3.7.13           	       0        2        0        0        2
29730 libgnuradio-analog3.8.2            	       0        6        0        0        6
29731 libgnuradio-atsc3.7.13             	       0        2        0        0        2
29732 libgnuradio-audio3.10.10           	       0        1        0        0        1
29733 libgnuradio-audio3.10.11           	       0        7        0        0        7
29734 libgnuradio-audio3.10.5            	       0       32        0        2       30
29735 libgnuradio-audio3.10.9t64         	       0        1        0        0        1
29736 libgnuradio-audio3.7.13            	       0        2        0        0        2
29737 libgnuradio-audio3.8.2             	       0        5        0        0        5
29738 libgnuradio-blocks3.10.10          	       0        1        0        0        1
29739 libgnuradio-blocks3.10.11          	       0        7        0        0        7
29740 libgnuradio-blocks3.10.5           	       0       32        0        2       30
29741 libgnuradio-blocks3.10.9t64        	       0        1        0        0        1
29742 libgnuradio-blocks3.7.10           	       0        1        0        0        1
29743 libgnuradio-blocks3.7.13           	       0        3        0        0        3
29744 libgnuradio-blocks3.8.2            	       0        6        0        0        6
29745 libgnuradio-channels3.10.10        	       0        1        0        0        1
29746 libgnuradio-channels3.10.11        	       0        6        0        0        6
29747 libgnuradio-channels3.10.5         	       0       30        0        0       30
29748 libgnuradio-channels3.10.9t64      	       0        1        0        0        1
29749 libgnuradio-channels3.7.13         	       0        2        0        0        2
29750 libgnuradio-channels3.8.2          	       0        4        0        0        4
29751 libgnuradio-comedi3.7.13           	       0        3        0        0        3
29752 libgnuradio-dab3.8.0               	       0        1        0        0        1
29753 libgnuradio-digital3.10.10         	       0        1        0        0        1
29754 libgnuradio-digital3.10.11         	       0        7        0        0        7
29755 libgnuradio-digital3.10.5          	       0       30        0        2       28
29756 libgnuradio-digital3.10.9t64       	       0        1        0        0        1
29757 libgnuradio-digital3.7.13          	       0        2        0        0        2
29758 libgnuradio-digital3.8.2           	       0        6        0        0        6
29759 libgnuradio-dtv3.10.10             	       0        1        0        0        1
29760 libgnuradio-dtv3.10.11             	       0        6        0        0        6
29761 libgnuradio-dtv3.10.5              	       0       30        0        0       30
29762 libgnuradio-dtv3.7.13              	       0        2        0        0        2
29763 libgnuradio-dtv3.8.2               	       0        3        0        0        3
29764 libgnuradio-fcd3.7.13              	       0        2        0        2        0
29765 libgnuradio-fcdproplus3.7.11       	       0        1        0        0        1
29766 libgnuradio-fcdproplus3.8.0        	       0        4        0        0        4
29767 libgnuradio-fec3.10.10             	       0        1        0        0        1
29768 libgnuradio-fec3.10.11             	       0        6        0        0        6
29769 libgnuradio-fec3.10.5              	       0       30        0        0       30
29770 libgnuradio-fec3.7.13              	       0        2        0        0        2
29771 libgnuradio-fec3.8.2               	       0        3        0        0        3
29772 libgnuradio-fft3.10.10             	       0        1        0        0        1
29773 libgnuradio-fft3.10.11             	       0        7        0        0        7
29774 libgnuradio-fft3.10.5              	       0       31        0        2       29
29775 libgnuradio-fft3.10.9t64           	       0        1        0        0        1
29776 libgnuradio-fft3.7.10              	       0        1        0        0        1
29777 libgnuradio-fft3.7.13              	       0        3        0        0        3
29778 libgnuradio-fft3.8.2               	       0        7        0        0        7
29779 libgnuradio-filter3.10.10          	       0        1        0        0        1
29780 libgnuradio-filter3.10.11          	       0        7        0        0        7
29781 libgnuradio-filter3.10.5           	       0       31        0        2       29
29782 libgnuradio-filter3.10.9t64        	       0        1        0        0        1
29783 libgnuradio-filter3.7.10           	       0        1        0        0        1
29784 libgnuradio-filter3.7.13           	       0        3        0        0        3
29785 libgnuradio-filter3.8.2            	       0        7        0        0        7
29786 libgnuradio-fosphor3.7.12          	       0        1        0        0        1
29787 libgnuradio-fosphor3.8.0           	       0        3        0        0        3
29788 libgnuradio-fosphor3.9.0           	       0       23        0        0       23
29789 libgnuradio-funcube3.10.0          	       0       34        0        2       32
29790 libgnuradio-hpsdr1.0.0             	       0        4        0        0        4
29791 libgnuradio-hpsdr1.2.1             	       0        1        0        0        1
29792 libgnuradio-iio1                   	       0        1        0        0        1
29793 libgnuradio-iio3.10.10             	       0        1        0        0        1
29794 libgnuradio-iio3.10.11             	       0        6        0        0        6
29795 libgnuradio-iio3.10.5              	       0       31        0        0       31
29796 libgnuradio-iio3.10.9t64           	       0        1        0        0        1
29797 libgnuradio-iqbalance3.7.11        	       0        1        0        0        1
29798 libgnuradio-iqbalance3.8.0         	       0        4        0        0        4
29799 libgnuradio-iqbalance3.9.0         	       0       34        0        2       32
29800 libgnuradio-limesdr3.0.1           	       0        5        0        0        5
29801 libgnuradio-limesdr3.0.2           	       0        2        0        0        2
29802 libgnuradio-network3.10.10         	       0        1        0        0        1
29803 libgnuradio-network3.10.11         	       0        7        0        0        7
29804 libgnuradio-network3.10.5          	       0       30        0        2       28
29805 libgnuradio-network3.10.9t64       	       0        1        0        0        1
29806 libgnuradio-noaa3.7.13             	       0        2        0        0        2
29807 libgnuradio-osmosdr0.1.4           	       0        1        0        0        1
29808 libgnuradio-osmosdr0.2.0           	       0       33        0        2       31
29809 libgnuradio-osmosdr0.2.0t64        	       0        5        0        0        5
29810 libgnuradio-pager3.7.13            	       0        2        0        0        2
29811 libgnuradio-pdu3.10.10             	       0        1        0        0        1
29812 libgnuradio-pdu3.10.11             	       0        6        0        0        6
29813 libgnuradio-pdu3.10.5              	       0       30        0        0       30
29814 libgnuradio-pdu3.10.9t64           	       0        1        0        0        1
29815 libgnuradio-pmt3.10.10             	       0        1        0        0        1
29816 libgnuradio-pmt3.10.11             	       0        7        0        0        7
29817 libgnuradio-pmt3.10.5              	       0       34        0        2       32
29818 libgnuradio-pmt3.10.9t64           	       0        1        0        0        1
29819 libgnuradio-pmt3.7.10              	       0        2        0        0        2
29820 libgnuradio-pmt3.7.13              	       0        4        0        0        4
29821 libgnuradio-pmt3.8.2               	       0       11        0        0       11
29822 libgnuradio-qtgui3.10.10           	       0        1        0        0        1
29823 libgnuradio-qtgui3.10.11           	       0        6        0        0        6
29824 libgnuradio-qtgui3.10.5            	       0       30        0        0       30
29825 libgnuradio-qtgui3.10.9t64         	       0        1        0        0        1
29826 libgnuradio-qtgui3.7.13            	       0        3        0        0        3
29827 libgnuradio-qtgui3.8.2             	       0        4        0        0        4
29828 libgnuradio-rds1                   	       0        2        0        0        2
29829 libgnuradio-runtime3.10.10         	       0        1        0        0        1
29830 libgnuradio-runtime3.10.11         	       0        7        0        0        7
29831 libgnuradio-runtime3.10.5          	       0       33        0        2       31
29832 libgnuradio-runtime3.10.9t64       	       0        1        0        0        1
29833 libgnuradio-runtime3.7.10          	       0        2        0        0        2
29834 libgnuradio-runtime3.7.13          	       0        4        0        0        4
29835 libgnuradio-runtime3.8.2           	       0       11        0        0       11
29836 libgnuradio-satellites4.4.0        	       0        2        0        0        2
29837 libgnuradio-satellites5.5.0        	       0        2        0        0        2
29838 libgnuradio-soapy3.10.10           	       0        1        0        0        1
29839 libgnuradio-soapy3.10.11           	       0        6        0        0        6
29840 libgnuradio-soapy3.10.5            	       0       30        0        0       30
29841 libgnuradio-soapy3.10.9t64         	       0        1        0        0        1
29842 libgnuradio-trellis3.10.10         	       0        1        0        0        1
29843 libgnuradio-trellis3.10.11         	       0        6        0        0        6
29844 libgnuradio-trellis3.10.5          	       0       30        0        0       30
29845 libgnuradio-trellis3.10.9t64       	       0        1        0        0        1
29846 libgnuradio-trellis3.7.13          	       0        2        0        0        2
29847 libgnuradio-trellis3.8.2           	       0        4        0        0        4
29848 libgnuradio-uhd3.10.10             	       0        1        0        0        1
29849 libgnuradio-uhd3.10.11             	       0        7        0        0        7
29850 libgnuradio-uhd3.10.5              	       0       32        0        2       30
29851 libgnuradio-uhd3.10.9t64           	       0        1        0        0        1
29852 libgnuradio-uhd3.7.10              	       0        1        0        0        1
29853 libgnuradio-uhd3.7.13              	       0        2        0        0        2
29854 libgnuradio-uhd3.8.2               	       0        4        0        0        4
29855 libgnuradio-video-sdl3.10.10       	       0        1        0        0        1
29856 libgnuradio-video-sdl3.10.11       	       0        6        0        0        6
29857 libgnuradio-video-sdl3.10.5        	       0       30        0        0       30
29858 libgnuradio-video-sdl3.10.9t64     	       0        1        0        0        1
29859 libgnuradio-video-sdl3.7.13        	       0        2        0        0        2
29860 libgnuradio-video-sdl3.8.2         	       0        5        0        0        5
29861 libgnuradio-vocoder3.10.10         	       0        1        0        0        1
29862 libgnuradio-vocoder3.10.11         	       0        6        0        0        6
29863 libgnuradio-vocoder3.10.5          	       0       30        0        0       30
29864 libgnuradio-vocoder3.10.9t64       	       0        1        0        0        1
29865 libgnuradio-vocoder3.7.13          	       0        2        0        0        2
29866 libgnuradio-vocoder3.8.2           	       0        4        0        0        4
29867 libgnuradio-wavelet3.10.10         	       0        1        0        0        1
29868 libgnuradio-wavelet3.10.11         	       0        6        0        0        6
29869 libgnuradio-wavelet3.10.5          	       0       30        0        0       30
29870 libgnuradio-wavelet3.7.13          	       0        2        0        0        2
29871 libgnuradio-wavelet3.8.2           	       0        3        0        0        3
29872 libgnuradio-wxgui3.7.13            	       0        2        0        0        2
29873 libgnuradio-zeromq3.10.10          	       0        1        0        0        1
29874 libgnuradio-zeromq3.10.11          	       0        6        0        0        6
29875 libgnuradio-zeromq3.10.5           	       0       30        0        0       30
29876 libgnuradio-zeromq3.10.9t64        	       0        1        0        0        1
29877 libgnuradio-zeromq3.7.13           	       0        2        0        0        2
29878 libgnuradio-zeromq3.8.2            	       0        4        0        0        4
29879 libgnustep-base-dev                	       0        6        0        6        0
29880 libgnustep-base1.14                	       0        1        0        1        0
29881 libgnustep-base1.22                	       0        1        0        0        1
29882 libgnustep-base1.24                	       0       23        0        0       23
29883 libgnustep-base1.26                	       0       30        0        0       30
29884 libgnustep-base1.27                	       0      125        0        0      125
29885 libgnustep-base1.28                	       0      555        0        2      553
29886 libgnustep-base1.29                	       0       11        0        0       11
29887 libgnustep-base1.30                	       0       45        0        0       45
29888 libgnustep-base1.31                	       0        4        0        0        4
29889 libgnustep-gui-dev                 	       0        2        0        2        0
29890 libgnustep-gui0.12                 	       0        1        0        0        1
29891 libgnustep-gui0.20                 	       0        1        0        0        1
29892 libgnustep-gui0.24                 	       0        1        0        0        1
29893 libgnustep-gui0.25                 	       0        3        0        0        3
29894 libgnustep-gui0.27                 	       0        1        0        0        1
29895 libgnustep-gui0.28                 	       0        3        0        0        3
29896 libgnustep-gui0.29                 	       0       21        0        2       19
29897 libgnustep-gui0.30                 	       0        1        0        0        1
29898 libgnutls-deb0-28                  	       0      186        1        3      182
29899 libgnutls-openssl27                	       0      565        9       39      517
29900 libgnutls-openssl27t64             	       0       62        3        5       54
29901 libgnutls13                        	       0        4        0        0        4
29902 libgnutls26                        	       0       80        0        1       79
29903 libgnutls28                        	       0        1        0        0        1
29904 libgnutlsxx27                      	       0        5        0        0        5
29905 libgnutlsxx28                      	       0       67        0        0       67
29906 libgnutlsxx30                      	       0      145        0        0      145
29907 libgo-10-dev                       	       0        2        0        0        2
29908 libgo-11-dev                       	       0        1        0        0        1
29909 libgo-11-dev-i386-cross            	       0        1        0        0        1
29910 libgo-12-dev                       	       0        6        0        0        6
29911 libgo-13-dev                       	       0        1        0        0        1
29912 libgo-14-dev                       	       0        3        0        0        3
29913 libgo13                            	       0        1        0        0        1
29914 libgo16                            	       0        2        0        0        2
29915 libgo19                            	       0        1        0        0        1
29916 libgo19-i386-cross                 	       0        1        0        0        1
29917 libgo21                            	       0        8        0        0        8
29918 libgo22                            	       0        2        0        0        2
29919 libgo23                            	       0        3        0        0        3
29920 libgo9                             	       0        1        0        0        1
29921 libgoa-1.0-doc                     	       0        1        0        0        1
29922 libgoffice-0-6-common              	       0        2        0        0        2
29923 libgoffice-0-8-common              	       0        1        0        1        0
29924 libgoffice-0-common                	       0        2        0        0        2
29925 libgoffice-0.10-10-common          	       0      187        0        2      185
29926 libgoffice-0.10-dev                	       0        2        0        2        0
29927 libgoffice-0.10-doc                	       0        1        0        0        1
29928 libgoffice-0.8-8                   	       0        8        0        8        0
29929 libgoffice-0.8-8-common            	       0       10        0       10        0
29930 libgoffice-0.8-dev                 	       0        1        0        1        0
29931 libgoffice-1-common                	       0        2        0        0        2
29932 libgom-1.0-0                       	       0      389        0        6      383
29933 libgom-1.0-0t64                    	       0       29        1        0       28
29934 libgom-1.0-common                  	       0       12        0        0       12
29935 libgomp-plugin-amdgcn1             	       0        1        0        0        1
29936 libgomp-plugin-nvptx1              	       0        1        0        0        1
29937 libgomp1-alpha-cross               	       0        3        0        0        3
29938 libgomp1-arm64-cross               	       0       38        0        0       38
29939 libgomp1-armel-cross               	       0       23        0        0       23
29940 libgomp1-armhf-cross               	       0       30        0        0       30
29941 libgomp1-dbg                       	       0        1        0        1        0
29942 libgomp1-hppa-cross                	       0        3        0        0        3
29943 libgomp1-i386-cross                	       0       13        0        0       13
29944 libgomp1-m68k-cross                	       0        1        0        0        1
29945 libgomp1-mips-cross                	       0        5        0        0        5
29946 libgomp1-mips64-cross              	       0        1        0        0        1
29947 libgomp1-mips64el-cross            	       0        1        0        0        1
29948 libgomp1-mips64r6el-cross          	       0        1        0        0        1
29949 libgomp1-mipsel-cross              	       0        5        0        0        5
29950 libgomp1-powerpc-cross             	       0        5        0        0        5
29951 libgomp1-ppc64-cross               	       0        6        0        0        6
29952 libgomp1-ppc64el-cross             	       0        1        0        0        1
29953 libgomp1-riscv64-cross             	       0       10        0        0       10
29954 libgomp1-s390x-cross               	       0        4        0        0        4
29955 libgomp1-sparc64-cross             	       0        3        0        0        3
29956 libgomp1-x32-cross                 	       0        6        0        0        6
29957 libgoo-canvas-perl                 	       0        3        0        0        3
29958 libgoocanvas-2.0-9                 	       0      165        0        1      164
29959 libgoocanvas-2.0-common            	       0      165        0        1      164
29960 libgoocanvas-2.0-dev               	       0        2        0        2        0
29961 libgoocanvas-common                	       0       14        0        0       14
29962 libgoocanvas-ruby                  	       0        1        0        0        1
29963 libgoocanvas-ruby1.8               	       0        1        0        1        0
29964 libgoocanvas2-cairotypes-perl      	       0       15        0        0       15
29965 libgoocanvas2-perl                 	       0       64        1       63        0
29966 libgoocanvas3                      	       0       14        0        0       14
29967 libgoogle-api-client-java          	       0        4        0        0        4
29968 libgoogle-api-services-drive-java  	       0        2        0        0        2
29969 libgoogle-api-services-sheets-java 	       0        2        0        0        2
29970 libgoogle-auth-java                	       0        2        0        0        2
29971 libgoogle-auto-common-java         	       0        2        0        0        2
29972 libgoogle-auto-service-java        	       0        2        0        0        2
29973 libgoogle-auto-value-java          	       0        2        0        0        2
29974 libgoogle-flogger-java             	       0        2        0        0        2
29975 libgoogle-glog-dev                 	       0       21        0       21        0
29976 libgoogle-glog0v5                  	       0       10        0        1        9
29977 libgoogle-glog0v6                  	       0       30        0        1       29
29978 libgoogle-glog0v6t64               	       0        4        0        0        4
29979 libgoogle-gson-java                	       0      182        0        0      182
29980 libgoogle-http-client-java         	       0        4        0        0        4
29981 libgoogle-oauth-client-java        	       0        4        0        0        4
29982 libgoogle-perftools-dev            	       0       10        0       10        0
29983 libgoogle-perftools4               	       0       37        2        3       32
29984 libgoogle-perftools4t64            	       0        5        0        0        5
29985 libgooglepinyin0                   	       0        6        1        0        5
29986 libgorm1                           	       0        1        0        0        1
29987 libgossip-java                     	       0        1        0        0        1
29988 libgoto-file-perl                  	       0        1        0        1        0
29989 libgovirt-common                   	       0      105        0        0      105
29990 libgovirt2                         	       0      105        0        0      105
29991 libgp11-0                          	       0        3        0        0        3
29992 libgpac-dev                        	       0        1        0        1        0
29993 libgpac10                          	       0       28        0        0       28
29994 libgpac11                          	       0        4        0        0        4
29995 libgpac12                          	       0        7        0        0        7
29996 libgpac12t64                       	       0        6        0        0        6
29997 libgpac3                           	       0        1        0        0        1
29998 libgpac4                           	       0       10        0        0       10
29999 libgpars-groovy-java               	       0       73        0        0       73
30000 libgpars-groovy-java-doc           	       0        1        0        0        1
30001 libgpaste-2                        	       0        4        1        3        0
30002 libgpaste-2-common                 	       0        4        0        2        2
30003 libgpaste13                        	       0        1        0        0        1
30004 libgpds0                           	       0        2        0        0        2
30005 libgpewidget-data                  	       0        1        0        0        1
30006 libgpewidget1                      	       0        1        0        0        1
30007 libgpg-error-l10n                  	       0      910       15       66      829
30008 libgpg-error-mingw-w64-dev         	       0        3        0        3        0
30009 libgpg-error0-dbgsym               	       0        1        0        1        0
30010 libgpgme++2                        	       0        4        0        1        3
30011 libgpgme++2v5                      	       0       53        0        0       53
30012 libgpgmepp-doc                     	       0        2        0        0        2
30013 libgphobos-10-dev                  	       0        3        0        0        3
30014 libgphobos-10-dev-arm64-cross      	       0        1        0        0        1
30015 libgphobos-11-dev                  	       0        1        0        0        1
30016 libgphobos-12-dev                  	       0       12        0        0       12
30017 libgphobos-13-dev                  	       0        2        0        0        2
30018 libgphobos-14-dev                  	       0        1        0        0        1
30019 libgphobos-dev                     	       0       14        0        0       14
30020 libgphobos1                        	       0        7        0        0        7
30021 libgphobos1-arm64-cross            	       0        1        0        0        1
30022 libgphobos2                        	       0        8        0        0        8
30023 libgphobos3                        	       0       24        0        0       24
30024 libgphobos4                        	       0        3        0        0        3
30025 libgphobos4-dbgsym                 	       0        1        0        1        0
30026 libgphobos5                        	       0        3        0        0        3
30027 libgphobos76                       	       0        4        0        0        4
30028 libgphoto2-2                       	       0       15        1       14        0
30029 libgphoto2-2-dev                   	       0        1        0        0        1
30030 libgphoto2-dev-doc                 	       0        2        0        2        0
30031 libgphoto2-l10n                    	       0     3097        0        1     3096
30032 libgphoto2-port0                   	       0       17        0        0       17
30033 libgphoto2-port10                  	       0       48        0        0       48
30034 libgpiod-dev                       	       0        5        0        5        0
30035 libgpiod2                          	       0       35        0        0       35
30036 libgpiod2t64                       	       0        1        0        0        1
30037 libgpiod3                          	       0        2        0        0        2
30038 libgpm-dev                         	       0       28        0       28        0
30039 libgpod-cil                        	       0        1        0        1        0
30040 libgpod-cil-dev                    	       0        1        0        1        0
30041 libgpod-dev                        	       0        6        0        6        0
30042 libgpod-doc                        	       0        2        0        0        2
30043 libgpod3-nogtk                     	       0        1        0        0        1
30044 libgpod4                           	       0      557        5       16      536
30045 libgpod4-nogtk                     	       0        3        0        0        3
30046 libgpod4t64                        	       0       50        0        3       47
30047 libgportugol0                      	       0        1        0        0        1
30048 libgpr18                           	       0        1        0        0        1
30049 libgprofng0                        	       0     2070        0        0     2070
30050 libgps-dev                         	       0       14        0       14        0
30051 libgps-point-perl                  	       0        1        0        1        0
30052 libgps20                           	       0        1        0        0        1
30053 libgps21                           	       0       10        0        0       10
30054 libgps22                           	       0       17        0        0       17
30055 libgps23                           	       0       32        0        0       32
30056 libgps26                           	       0        1        0        0        1
30057 libgps30                           	       0        6        0        2        4
30058 libgps30t64                        	       0       43        0        1       42
30059 libgpuarray-dev                    	       0        3        0        3        0
30060 libgpuarray3                       	       0        4        0        0        4
30061 libgr-framework0                   	       0        1        0        0        1
30062 libgradle-android-plugin-java      	       0        2        0        0        2
30063 libgradle-android-plugin-java-doc  	       0        1        0        0        1
30064 libgradle-core-java                	       0       50        0        0       50
30065 libgradle-jflex-plugin-java        	       0        1        0        0        1
30066 libgradle-plugins-java             	       0       50        2       48        0
30067 libgranite-7-7                     	       0        6        0        0        6
30068 libgranite-7-common                	       0        6        0        0        6
30069 libgranite-common                  	       0       50        0        0       50
30070 libgranite-dev                     	       0        3        0        3        0
30071 libgranite5                        	       0        4        0        0        4
30072 libgranite6                        	       0       45        0        0       45
30073 libgrantlee-core0                  	       0        4        1        3        0
30074 libgrantlee-gui0                   	       0        4        0        1        3
30075 libgrantlee-textdocument5          	       0       41        1        0       40
30076 libgrantlee5-dev                   	       0        6        0        6        0
30077 libgrantleetheme-data              	       0        9        0        0        9
30078 libgrantleetheme-plugins           	       0        9        0        0        9
30079 libgraph-easy-as-svg-perl          	       0        2        0        2        0
30080 libgraph-easy-perl                 	       0        2        0        2        0
30081 libgraph-readwrite-perl            	       0        2        0        2        0
30082 libgraph-writer-graphviz-perl      	       0        1        0        1        0
30083 libgraph4                          	       0        6        0        0        6
30084 libgraphblas-dev                   	       0       21        0       21        0
30085 libgraphblas2                      	       0        2        0        0        2
30086 libgraphblas3                      	       0        7        0        0        7
30087 libgraphblas7                      	       0       21        0        0       21
30088 libgraphene-doc                    	       0        1        0        0        1
30089 libgraphics-colornames-perl        	       0        7        0        7        0
30090 libgraphics-colorobject-perl       	       0        3        0        3        0
30091 libgraphics-colorutils-perl        	       0        2        1        1        0
30092 libgraphics-magick-perl            	       0       36        0        0       36
30093 libgraphics-ocaml                  	       0        1        0        1        0
30094 libgraphics-ocaml-dev              	       0        1        0        1        0
30095 libgraphics-tiff-perl              	       0       43        0        0       43
30096 libgraphics-toolkit-color-perl     	       0        1        0        1        0
30097 libgraphicsmagick++-q16-12         	       0      319        0        1      318
30098 libgraphicsmagick++-q16-12t64      	       0       21        0        0       21
30099 libgraphicsmagick++1               	       0        1        0        0        1
30100 libgraphicsmagick++1-dev           	       0       16        1       15        0
30101 libgraphicsmagick++3               	       0        5        0        0        5
30102 libgraphicsmagick-q16-3t64         	       0       49        1       48        0
30103 libgraphicsmagick1                 	       0        2        0        2        0
30104 libgraphicsmagick1-dev             	       0       20        1       19        0
30105 libgraphicsmagick3                 	       0       13        0       13        0
30106 libgraphite2-2.0.0                 	       0        2        0        0        2
30107 libgraphite2-doc                   	       0        1        0        0        1
30108 libgraphite2-utils                 	       0        6        0        6        0
30109 libgraphite3                       	       0        4        0        0        4
30110 libgraphviz-perl                   	       0       15        1       14        0
30111 libgravatar-data                   	       0        7        0        0        7
30112 libgravatar-url-perl               	       0        5        0        5        0
30113 libgretl1                          	       0        5        0        0        5
30114 libgretl1t64                       	       0        1        0        0        1
30115 libgrib2c-dev                      	       0        1        0        1        0
30116 libgrib2c0d                        	       0        3        0        0        3
30117 libgridsite1.7                     	       0        1        0        0        1
30118 libgridsite2                       	       0        1        0        0        1
30119 libgridsite6                       	       0        1        0        0        1
30120 libgrilo-0.1-0                     	       0        3        0        0        3
30121 libgrilo-0.2-1                     	       0        7        0        0        7
30122 libgrilo-0.3-0                     	       0      480        0        5      475
30123 libgringotts2                      	       0       21        0        1       20
30124 libgrits4                          	       0        1        0        1        0
30125 libgrits5                          	       0        2        0        0        2
30126 libgrok-dev                        	       0        1        0        1        0
30127 libgrok1t64                        	       0        1        0        0        1
30128 libgrokj2k1                        	       0        2        0        0        2
30129 libgrokj2k1-dev                    	       0        1        0        1        0
30130 libgromacs5                        	       0        1        0        0        1
30131 libgroove4                         	       0        2        0        0        2
30132 libgroovefingerprinter4            	       0        2        0        0        2
30133 libgrooveloudness4                 	       0        2        0        0        2
30134 libgrooveplayer4                   	       0        2        0        0        2
30135 libgroup-service1                  	       0        9        0        0        9
30136 libgroup-service1t64               	       0        3        0        0        3
30137 libgroupsock1                      	       0       24        0        1       23
30138 libgroupsock30                     	       0       54        0        1       53
30139 libgroupsock4                      	       0        1        0        0        1
30140 libgroupsock8                      	       0      183        0        0      183
30141 libgrpc++-dev                      	       0        5        0        5        0
30142 libgrpc++1                         	       0        8        0        0        8
30143 libgrpc++1.51                      	       0       72        0        1       71
30144 libgrpc++1.51t64                   	       0       14        0        0       14
30145 libgrpc-java                       	       0        4        0        0        4
30146 libgrpc10                          	       0        4        0        0        4
30147 libgrpc29                          	       0       90        0        1       89
30148 libgrpc29t64                       	       0       14        0        0       14
30149 libgrpc6                           	       0        4        0        0        4
30150 libgs-common                       	       0     2724        0        0     2724
30151 libgs-dev                          	       0        7        0        7        0
30152 libgs10                            	       0     2714        2        8     2704
30153 libgs10-common                     	       0     2718        0        0     2718
30154 libgs9                             	       0      831        0        3      828
30155 libgs9-common                      	       0      926        0        0      926
30156 libgs9-dbgsym                      	       0        1        0        1        0
30157 libgsasl-dev                       	       0        6        0        6        0
30158 libgsasl18                         	       0     1444        7       19     1418
30159 libgsasl18-dbgsym                  	       0        1        0        1        0
30160 libgsasl7                          	       0      513        0        1      512
30161 libgsasl7-dev                      	       0        1        0        0        1
30162 libgsecuredelete0                  	       0        3        0        0        3
30163 libgsettings-qt1                   	       0      541        1        9      531
30164 libgsf-1-114                       	       0      701        2        5      694
30165 libgsf-1-common                    	       0      707        0        2      705
30166 libgsf-1-dev                       	       0       15        0       15        0
30167 libgsf-gnome-1-114                 	       0        2        0        0        2
30168 libgsl-dbg                         	       0        1        0        1        0
30169 libgsl-dev                         	       0       41        2       39        0
30170 libgsl0ldbl                        	       0        4        0        0        4
30171 libgsl2                            	       0       25        0        0       25
30172 libgsl23                           	       0       39        0        0       39
30173 libgsl25                           	       0      192        0        1      191
30174 libgsl27                           	       0      735        2        8      725
30175 libgsl28                           	       0       78        0        0       78
30176 libgslcblas0                       	       0     1047        2        9     1036
30177 libgsm-tools                       	       0        1        0        1        0
30178 libgsm1-dev                        	       0       86        0       86        0
30179 libgsmme1c2a                       	       0        1        0        0        1
30180 libgsmme1v5                        	       0        2        0        0        2
30181 libgsmsd8                          	       0        4        0        0        4
30182 libgsmsd8t64                       	       0        1        0        0        1
30183 libgsoap-2.8.104                   	       0        9        0        0        9
30184 libgsoap-2.8.117                   	       0        2        0        0        2
30185 libgsoap-2.8.124                   	       0       18        0        0       18
30186 libgsoap-2.8.132t64                	       0        5        0        0        5
30187 libgsoap-2.8.135                   	       0        4        0        0        4
30188 libgsoap-2.8.75                    	       0        4        0        0        4
30189 libgsoap-2.8.91                    	       0        1        0        0        1
30190 libgsoap-dev                       	       0        3        0        3        0
30191 libgsoap-kopano-2.8.109            	       0        1        1        0        0
30192 libgsoap10                         	       0        5        0        0        5
30193 libgsoap2                          	       0        1        0        0        1
30194 libgsoap5                          	       0        8        0        0        8
30195 libgsoap7                          	       0        1        0        0        1
30196 libgsoap9                          	       0        1        0        0        1
30197 libgsound-dev                      	       0        2        0        2        0
30198 libgsound0t64                      	       0       47        1        2       44
30199 libgspell-1-1                      	       0       81        0        1       80
30200 libgspell-1-3                      	       0      136        2        6      128
30201 libgspell-1-common                 	       0     2263        0        5     2258
30202 libgspell-1-dev                    	       0        8        0        8        0
30203 libgspell-1-doc                    	       0        1        0        0        1
30204 libgss-dev                         	       0        3        0        3        0
30205 libgss3                            	       0        3        0        0        3
30206 libgssapi-perl                     	       0       62        0        0       62
30207 libgssapi2-heimdal                 	       0        1        0        0        1
30208 libgssapi3-heimdal                 	       0       42        1        1       40
30209 libgssapi3t64-heimdal              	       0        2        0        0        2
30210 libgssdp-1.0-2                     	       0        1        0        0        1
30211 libgssdp-1.0-3                     	       0      199        0        1      198
30212 libgssdp-1.0-dev                   	       0        1        0        1        0
30213 libgssdp-1.2-0                     	       0      519        0        3      516
30214 libgssdp-1.6-0                     	       0     2409        1        7     2401
30215 libgssdp-1.6-dev                   	       0        4        0        4        0
30216 libgssglue-dev                     	       0       10        0       10        0
30217 libgssglue1                        	       0     1498        7       19     1472
30218 libgssrpc4                         	       0      196        2        4      190
30219 libgssrpc4t64                      	       0       44        0        0       44
30220 libgst7                            	       0        5        0        0        5
30221 libgstreamer-ocaml                 	       0        2        0        2        0
30222 libgstreamer-ocaml-dev             	       0        1        0        1        0
30223 libgstreamer-opencv1.0-0           	       0       17        0        0       17
30224 libgstreamer-plugins-bad0.10-0     	       0       25        0        1       24
30225 libgstreamer-plugins-bad1.0-dev    	       0       12        0       12        0
30226 libgstreamer-plugins-base0.10-0    	       0       66        0        4       62
30227 libgstreamer-plugins-base1.0-dev   	       0       50        1       49        0
30228 libgstreamer-vaapi1.0-0            	       0        1        0        0        1
30229 libgstreamer0.10-0                 	       0       67        0        4       63
30230 libgstreamer0.10-dev               	       0        1        0        1        0
30231 libgstreamer0.8-0                  	       0        1        0        1        0
30232 libgstreamerd-3-0                  	       0        7        0        0        7
30233 libgstreamerd-3-dev                	       0        5        0        0        5
30234 libgstreamermm-1.0-1               	       0       30        0        0       30
30235 libgstreamermm-1.0-dev             	       0        2        0        2        0
30236 libgstrtspserver-1.0-0             	       0       15        0        0       15
30237 libgstrtspserver-1.0-dev           	       0        2        0        2        0
30238 libgtextutils0v5                   	       0        1        0        0        1
30239 libgtg0                            	       0        1        0        0        1
30240 libgtk-3-0-dbgsym                  	       0        1        0        1        0
30241 libgtk-3-doc                       	       0       65        0        0       65
30242 libgtk-4-doc                       	       0        4        0        0        4
30243 libgtk-layer-shell-dev             	       0        3        0        3        0
30244 libgtk-sharp-beans-cil             	       0        8        0        0        8
30245 libgtk-vnc-1.0-0                   	       0        2        0        0        2
30246 libgtk-vnc-2.0-0                   	       0      466        0        5      461
30247 libgtk1.2                          	       0        5        0        0        5
30248 libgtk1.2-common                   	       0        6        0        0        6
30249 libgtk1.2-dev                      	       0        1        0        1        0
30250 libgtk2-appindicator-perl          	       0        1        0        0        1
30251 libgtk2-ex-podviewer-perl          	       0        2        0        2        0
30252 libgtk2-ex-simple-list-perl        	       0        2        0        2        0
30253 libgtk2-gladexml-perl              	       0        2        0        0        2
30254 libgtk2-gst                        	       0        3        0        3        0
30255 libgtk2-imageview-perl             	       0        3        0        0        3
30256 libgtk2-perl                       	       0      180        0        0      180
30257 libgtk2-perl-doc                   	       0        2        0        0        2
30258 libgtk2-ruby                       	       0        3        0        0        3
30259 libgtk2-ruby1.8                    	       0        2        0        1        1
30260 libgtk2-spell-perl                 	       0        1        0        0        1
30261 libgtk2-trayicon-perl              	       0        1        0        0        1
30262 libgtk2-unique-perl                	       0        1        0        0        1
30263 libgtk2.0-0t64                     	       0      223        4       18      201
30264 libgtk2.0-cil                      	       0       96        0        1       95
30265 libgtk2.0-cil-dev                  	       0       23        0       23        0
30266 libgtk2.0-doc                      	       0       23        0        0       23
30267 libgtk3-imageview-perl             	       0       63        1       62        0
30268 libgtk3-simplelist-perl            	       0       61        1       60        0
30269 libgtk3.0-cil                      	       0       60        0        0       60
30270 libgtk3.0-cil-dev                  	       0       16        0       16        0
30271 libgtkada-bin                      	       0        1        0        1        0
30272 libgtkada-doc                      	       0        1        0        0        1
30273 libgtkada18                        	       0        1        0        0        1
30274 libgtkada22                        	       0        1        0        0        1
30275 libgtkada3.8.3                     	       0        1        0        0        1
30276 libgtkd-3-0                        	       0       26        1        3       22
30277 libgtkd-3-dev                      	       0        5        0        0        5
30278 libgtkdatabox-0.9.3-0              	       0        3        0        0        3
30279 libgtkdatabox0                     	       0        8        0        0        8
30280 libgtkdatabox1                     	       0       13        0        0       13
30281 libgtkdatabox1t64                  	       0        4        0        0        4
30282 libgtkdsv-3-0                      	       0        1        0        0        1
30283 libgtkdsv-3-dev                    	       0        1        0        0        1
30284 libgtkextra-3.0                    	       0       15        0        0       15
30285 libgtkextra-dev                    	       0        1        0        1        0
30286 libgtkgl2.0-1                      	       0        5        0        0        5
30287 libgtkgl2.0-dev                    	       0        2        0        2        0
30288 libgtkglext1                       	       0      163        1        3      159
30289 libgtkglext1-dbgsym                	       0        1        0        1        0
30290 libgtkglext1-dev                   	       0        5        0        5        0
30291 libgtkglext1-doc                   	       0        1        0        0        1
30292 libgtkglextmm-x11-1.2-0v5          	       0        3        0        0        3
30293 libgtkglextmm-x11-1.2-dev          	       0        1        0        1        0
30294 libgtkhex-3-0                      	       0       22        0        0       22
30295 libgtkhex-4-1                      	       0       37        0        0       37
30296 libgtkhex-4-dev                    	       0        1        0        1        0
30297 libgtkhtml-4.0-0                   	       0        7        0        0        7
30298 libgtkhtml-4.0-common              	       0       10        0        0       10
30299 libgtkhtml-editor-3.14-common      	       0        1        0        0        1
30300 libgtkhtml-editor-4.0-0            	       0        7        0        0        7
30301 libgtkhtml-editor-common           	       0        2        0        0        2
30302 libgtkhtml2-0                      	       0        1        0        0        1
30303 libgtkhtml3.14-19                  	       0        3        0        0        3
30304 libgtkimageview-dev                	       0        1        0        1        0
30305 libgtkimageview0                   	       0       37        0        0       37
30306 libgtkimreg0                       	       0        1        0        0        1
30307 libgtkmathview0c2a                 	       0        9        0        0        9
30308 libgtkmm-2.4-1c2a                  	       0       10        0        1        9
30309 libgtkmm-2.4-1t64                  	       0       15        0        0       15
30310 libgtkmm-2.4-1v5                   	       0      358        0        2      356
30311 libgtkmm-2.4-dev                   	       0       19        0       19        0
30312 libgtkmm-2.4-doc                   	       0        5        0        0        5
30313 libgtkmm-3.0-1                     	       0        1        0        1        0
30314 libgtkmm-3.0-dev                   	       0       35        1       34        0
30315 libgtkmm-3.0-doc                   	       0        9        0        9        0
30316 libgtkmm-4.0-0                     	       0      170        1        6      163
30317 libgtkmm1.2-0c2a                   	       0        1        0        0        1
30318 libgtkpod-dev                      	       0        1        0        1        0
30319 libgtkpod1                         	       0       11        0        0       11
30320 libgtksourceview-3.0-1             	       0     2071        3       31     2037
30321 libgtksourceview-3.0-common        	       0     2082        1       15     2066
30322 libgtksourceview-3.0-dev           	       0        9        0        9        0
30323 libgtksourceview-3.0-doc           	       0        2        0        0        2
30324 libgtksourceview-4-dev             	       0        6        0        6        0
30325 libgtksourceview-4-doc             	       0        2        0        0        2
30326 libgtksourceview-5-common          	       0      364        0        1      363
30327 libgtksourceview-5-doc             	       0        1        0        0        1
30328 libgtksourceview-common            	       0        2        0        0        2
30329 libgtksourceview-doc               	       0        1        0        0        1
30330 libgtksourceview1.0-0              	       0        1        0        0        1
30331 libgtksourceview2.0-0              	       0       62        0        0       62
30332 libgtksourceview2.0-common         	       0       66        0        0       66
30333 libgtksourceview2.0-dev            	       0        2        0        2        0
30334 libgtksourceview2.0-doc            	       0        1        0        0        1
30335 libgtksourceview2.0-markdown       	       0        1        0        0        1
30336 libgtksourceviewmm-3.0-0v5         	       0       69        0        0       69
30337 libgtksourceviewmm-3.0-dev         	       0        3        0        3        0
30338 libgtksourceviewmm-3.0-doc         	       0        1        0        0        1
30339 libgtkspell-dev                    	       0        5        0        5        0
30340 libgtkspell0                       	       0      501       11       25      465
30341 libgtkspell3-3-0                   	       0      375        1        4      370
30342 libgtkspell3-3-dev                 	       0        5        0        5        0
30343 libgtkspellmm-3.0-0v5              	       0       42        0        0       42
30344 libgtkspellmm-3.0-doc              	       0        1        0        0        1
30345 libgtop-2.0-10                     	       0      185        1        4      180
30346 libgtop-2.0-11-dbgsym              	       0        1        0        1        0
30347 libgtop2-7                         	       0       36        0        0       36
30348 libgtop2-build-deps                	       0        1        0        0        1
30349 libgtop2-common                    	       0     2380        0        0     2380
30350 libgtop2-dev                       	       0        8        0        8        0
30351 libgtop2-doc                       	       0        3        0        0        3
30352 libgts-0.7-5                       	       0     1618        0        0     1618
30353 libgts-0.7-5t64                    	       0      114        0        0      114
30354 libgts-dev                         	       0       13        0       13        0
30355 libguac11                          	       0        1        0        0        1
30356 libguard-perl                      	       0      167        1        0      166
30357 libguava-java                      	       0      500        0        0      500
30358 libgucharmap-2-90-dev              	       0        2        0        2        0
30359 libgucharmap7                      	       0        2        0        0        2
30360 libgudev1.0-cil                    	       0        7        0        0        7
30361 libguess-dev                       	       0        1        0        1        0
30362 libguess1                          	       0       21        0        0       21
30363 libguestfs-hfsplus                 	       0       85        0        0       85
30364 libguestfs-perl                    	       0       95        0        0       95
30365 libguestfs-reiserfs                	       0       84        0        0       84
30366 libguestfs-rescue                  	       0        2        0        0        2
30367 libguestfs-xfs                     	       0       84        0        0       84
30368 libguestfs0                        	       0      101        0        0      101
30369 libguestfs0t64                     	       0        4        0        0        4
30370 libguice-java                      	       0      467        0        0      467
30371 libguichan-0.8.1-1                 	       0        1        0        0        1
30372 libguichan-0.8.1-1v5               	       0       14        0        0       14
30373 libguichan-allegro-0.8.1-1         	       0        1        0        0        1
30374 libguichan-allegro-0.8.1-1v5       	       0        6        0        0        6
30375 libguichan-dev                     	       0        3        0        0        3
30376 libguichan-opengl-0.8.1-1          	       0        1        0        0        1
30377 libguichan-opengl-0.8.1-1v5        	       0        7        0        0        7
30378 libguichan-sdl-0.8.1-1             	       0        1        0        0        1
30379 libguichan-sdl-0.8.1-1v5           	       0       11        0        0       11
30380 libguile-ltdl-1                    	       0        5        0        0        5
30381 libguile-ssh13                     	       0        1        0        0        1
30382 libguile-ssh14                     	       0       12        0        0       12
30383 libgulkan-0.14-0                   	       0        1        0        0        1
30384 libgulkan-0.15-0                   	       0        5        0        0        5
30385 libgulkan-0.15-0t64                	       0        2        0        0        2
30386 libgulkan-dev                      	       0        2        0        2        0
30387 libgulkan-doc                      	       0        1        0        0        1
30388 libgulkan-utils                    	       0        5        0        5        0
30389 libgumbo1                          	       0      467        1        8      458
30390 libgumbo1-dbgsym                   	       0        1        0        1        0
30391 libgumbo2                          	       0       63        0        1       62
30392 libgumbo3                          	       0        9        0        0        9
30393 libgupnp-1.0-3                     	       0        1        0        0        1
30394 libgupnp-1.0-4                     	       0      197        0        1      196
30395 libgupnp-1.0-dev                   	       0        1        0        1        0
30396 libgupnp-1.2-0                     	       0      503        0        3      500
30397 libgupnp-1.2-1                     	       0       20        0        0       20
30398 libgupnp-1.6-0                     	       0     2407        1        7     2399
30399 libgupnp-1.6-dev                   	       0        4        0        4        0
30400 libgupnp-av-1.0-2                  	       0      119        0        0      119
30401 libgupnp-av-1.0-3                  	       0      328        1        2      325
30402 libgupnp-av-1.0-dev                	       0        1        0        1        0
30403 libgupnp-av-doc                    	       0        1        0        0        1
30404 libgupnp-dlna-1.0-2                	       0        3        0        0        3
30405 libgupnp-dlna-2.0-3                	       0      117        0        0      117
30406 libgupnp-dlna-2.0-4                	       0      327        1        2      324
30407 libgupnp-doc                       	       0        1        0        0        1
30408 libgupnp-igd-1.0-3                 	       0        1        0        0        1
30409 libgupnp-igd-1.0-4                 	       0     2813        0        9     2804
30410 libgupnp-igd-1.0-dev               	       0        2        0        2        0
30411 libgupnp-igd-1.6-0                 	       0      220        0        0      220
30412 libgupnp-igd-1.6-dev               	       0        2        0        2        0
30413 libgupnp-igd-doc                   	       0        1        0        0        1
30414 libgusb-dev                        	       0        2        0        2        0
30415 libgusb-doc                        	       0        1        0        0        1
30416 libgutenprint-common               	       0      628        0        0      628
30417 libgutenprint-dev                  	       0        5        0        5        0
30418 libgutenprint-doc                  	       0        6        0        0        6
30419 libgutenprint2                     	       0      110        0        0      110
30420 libgutenprint9                     	       0      625        0        1      624
30421 libgutenprintui2-1                 	       0        6        0        0        6
30422 libgutenprintui2-2                 	       0       97        0        0       97
30423 libgutenprintui2-dev               	       0        6        0        6        0
30424 libguvcview-2.0-2                  	       0       20        0        0       20
30425 libguvcview-2.1-2                  	       0       60        0        0       60
30426 libguvcview-2.2                    	       0       10        0        0       10
30427 libguvcview-dev                    	       0        1        0        1        0
30428 libguytools2                       	       0        9        0        0        9
30429 libgv-guile                        	       0        1        0        0        1
30430 libgv-lua                          	       0        1        0        0        1
30431 libgv-perl                         	       0        1        0        0        1
30432 libgv-ruby                         	       0        1        0        0        1
30433 libgv-tcl                          	       0        4        0        0        4
30434 libgvc5                            	       0        2        0        2        0
30435 libgvc6-plugins-gtk                	       0      109        0        2      107
30436 libgvm22                           	       0        1        0        0        1
30437 libgvnc-1.0-0                      	       0      468        0        5      463
30438 libgvpr1                           	       0        3        0        0        3
30439 libgvpr2                           	       0     1728        0        0     1728
30440 libgweather-3-0                    	       0        4        0        0        4
30441 libgweather-3-15                   	       0       22        0        1       21
30442 libgweather-3-16                   	       0      105        3       28       74
30443 libgweather-3-6                    	       0       22        0        0       22
30444 libgweather-3-dev                  	       0        1        0        1        0
30445 libgweather-common                 	       0      148        0        4      144
30446 libgwengui-cpp0                    	       0        3        0        0        3
30447 libgwengui-gtk2-0                  	       0        6        0        0        6
30448 libgwengui-gtk3-79                 	       0       86        1        4       81
30449 libgwengui-gtk3-79t64              	       0        7        0        1        6
30450 libgwengui-gtk3-dev                	       0        4        0        4        0
30451 libgwengui-qt4-0                   	       0        2        0        0        2
30452 libgwengui-qt5-79                  	       0        7        0        0        7
30453 libgwenhywfar-core-dev             	       0        5        0        5        0
30454 libgwenhywfar-data                 	       0      113        1        2      110
30455 libgwenhywfar60                    	       0       15        0        3       12
30456 libgwenhywfar78                    	       0        1        0        0        1
30457 libgwenhywfar79                    	       0       99        1        4       94
30458 libgwenhywfar79t64                 	       0        7        0        1        6
30459 libgwrap-runtime2                  	       0        6        0        0        6
30460 libgwyddion2-0                     	       0        5        0        1        4
30461 libgwyddion2-0t64                  	       0        1        0        0        1
30462 libgxps-dev                        	       0        1        0        1        0
30463 libgxps-doc                        	       0        2        0        0        2
30464 libgxps-utils                      	       0        3        0        3        0
30465 libgxps2                           	       0     2376        1        0     2375
30466 libgxps2t64                        	       0      137        0        1      136
30467 libgxr-0.15-0                      	       0        1        0        0        1
30468 libgxr-0.15-0t64                   	       0        1        0        0        1
30469 libgxr-dev                         	       0        1        0        1        0
30470 libgxw-dev                         	       0        1        0        1        0
30471 libgxw0                            	       0       24        0        0       24
30472 libgxwmm0                          	       0       23        0        0       23
30473 libgyoto8                          	       0        2        0        0        2
30474 libgzstream-dev                    	       0        1        0        1        0
30475 libgzstream0                       	       0        7        0        0        7
30476 libh2-java                         	       0        4        0        0        4
30477 libh2o-evloop0.13                  	       0        3        1        0        2
30478 libh2o0.13                         	       0        1        0        0        1
30479 libhackrf-dev                      	       0        6        0        6        0
30480 libhal-dev                         	       0        1        0        1        0
30481 libhal-storage-dev                 	       0        1        0        1        0
30482 libhal-storage1                    	       0        9        0        0        9
30483 libhal1                            	       0       10        0        1        9
30484 libhal1-flash                      	       0        1        0        0        1
30485 libhamcrest-java                   	       0      209        0        0      209
30486 libhamcrest-java-doc               	       0        3        0        0        3
30487 libhamlib++-dev                    	       0        5        0        5        0
30488 libhamlib++4                       	       0        4        0        0        4
30489 libhamlib++4t64                    	       0        1        0        0        1
30490 libhamlib-dev                      	       0       13        0       13        0
30491 libhamlib-doc                      	       0        2        0        0        2
30492 libhamlib2                         	       0        4        0        4        0
30493 libhamlib4                         	       0       69        3       66        0
30494 libhandy-0.0-0                     	       0       42        0        1       41
30495 libhandy-1-dev                     	       0        1        0        1        0
30496 libhangul-data                     	       0        9        0        0        9
30497 libhangul1                         	       0        9        1        2        6
30498 libharfbuzz-cairo0                 	       0       53        0        0       53
30499 libharfbuzz-doc                    	       0        4        0        0        4
30500 libharfbuzz-gobject0               	       0      706        0        0      706
30501 libharfbuzz-gobject0-dbgsym        	       0        1        0        1        0
30502 libharfbuzz-icu0-dbgsym            	       0        1        0        1        0
30503 libharfbuzz0b-dbgsym               	       0        1        0        1        0
30504 libharminv3                        	       0        7        0        0        7
30505 libhash-case-perl                  	       0        1        0        1        0
30506 libhash-defhash-perl               	       0        1        0        1        0
30507 libhash-diff-perl                  	       0        2        0        2        0
30508 libhash-fieldhash-perl             	       0       41        0        0       41
30509 libhash-flatten-perl               	       0        1        0        1        0
30510 libhash-merge-perl                 	       0      126        3      123        0
30511 libhash-merge-simple-perl          	       0        8        0        8        0
30512 libhash-moreutils-perl             	       0        6        0        6        0
30513 libhash-multivalue-perl            	       0       19        2       17        0
30514 libhash-ordered-perl               	       0        4        1        3        0
30515 libhash-withdefaults-perl          	       0        1        0        1        0
30516 libhashkit-dev                     	       0        7        0        7        0
30517 libhashkit2t64                     	       0        9        0        4        5
30518 libhat-trie0                       	       0        1        0        0        1
30519 libhavege1                         	       0       43        0        0       43
30520 libhawtbuf-java                    	       0        1        0        0        1
30521 libhawtdispatch-java               	       0        1        0        0        1
30522 libhawtjni-generator-java          	       0        1        0        0        1
30523 libhawtjni-maven-plugin-java       	       0        1        0        0        1
30524 libhawtjni-runtime-java            	       0      375        0        0      375
30525 libhbci4j-core-java                	       0        4        0        0        4
30526 libhcrypto4-heimdal                	       0       46        0        0       46
30527 libhcrypto5-heimdal                	       0       44        4        6       34
30528 libhcrypto5t64-heimdal             	       0        2        0        0        2
30529 libhd-dev                          	       0        3        0        3        0
30530 libhd-doc                          	       0        3        0        0        3
30531 libhd14                            	       0        1        0        0        1
30532 libhd16                            	       0        3        0        0        3
30533 libhd21                            	       0      293        0        0      293
30534 libhd21t64                         	       0       43        0        0       43
30535 libhdate1                          	       0        5        0        0        5
30536 libhdb9-heimdal                    	       0       59        0        1       58
30537 libhdb9t64-heimdal                 	       0        1        0        0        1
30538 libhdf4-0                          	       0       36        0        0       36
30539 libhdf4-0-alt                      	       0      665        3       10      652
30540 libhdf4-dev                        	       0        2        0        2        0
30541 libhdf4-doc                        	       0        7        0        0        7
30542 libhdf4g                           	       0        1        0        0        1
30543 libhdf4g-doc                       	       0        1        0        0        1
30544 libhdf5-10                         	       0        1        0        0        1
30545 libhdf5-100                        	       0       16        0        0       16
30546 libhdf5-103                        	       0       64        0        0       64
30547 libhdf5-103-1                      	       0      683        4       10      669
30548 libhdf5-103-1t64                   	       0       49        0        0       49
30549 libhdf5-310                        	       0       33        0        0       33
30550 libhdf5-7                          	       0        4        0        0        4
30551 libhdf5-8                          	       0        8        0        0        8
30552 libhdf5-cpp-100                    	       0        2        0        0        2
30553 libhdf5-cpp-103                    	       0       11        0        0       11
30554 libhdf5-cpp-103-1                  	       0       84        0        0       84
30555 libhdf5-cpp-103-1t64               	       0        2        0        0        2
30556 libhdf5-cpp-310                    	       0        5        0        0        5
30557 libhdf5-cpp-8                      	       0        1        0        0        1
30558 libhdf5-doc                        	       0        7        0        0        7
30559 libhdf5-fortran-102                	       0       93        0        0       93
30560 libhdf5-fortran-102t64             	       0        2        0        0        2
30561 libhdf5-fortran-310                	       0        5        0        0        5
30562 libhdf5-hl-100                     	       0      628        3       10      615
30563 libhdf5-hl-100t64                  	       0       41        0        0       41
30564 libhdf5-hl-310                     	       0       32        0        0       32
30565 libhdf5-hl-cpp-100                 	       0       69        0        0       69
30566 libhdf5-hl-cpp-100t64              	       0        2        0        0        2
30567 libhdf5-hl-cpp-310                 	       0        5        0        0        5
30568 libhdf5-hl-fortran-100             	       0       92        0        0       92
30569 libhdf5-hl-fortran-100t64          	       0        2        0        0        2
30570 libhdf5-hl-fortran-310             	       0        5        0        0        5
30571 libhdf5-mpi-dev                    	       0       16        0        0       16
30572 libhdf5-mpich-103-1                	       0        3        0        0        3
30573 libhdf5-mpich-hl-100               	       0        3        0        0        3
30574 libhdf5-openmpi-100                	       0        3        0        0        3
30575 libhdf5-openmpi-103                	       0       26        0        0       26
30576 libhdf5-openmpi-103-1              	       0      161        0        1      160
30577 libhdf5-openmpi-103-1t64           	       0       11        0        0       11
30578 libhdf5-openmpi-310                	       0        9        0        0        9
30579 libhdf5-openmpi-cpp-103-1          	       0       15        0        0       15
30580 libhdf5-openmpi-cpp-310            	       0        1        0        0        1
30581 libhdf5-openmpi-dev                	       0       17        0       17        0
30582 libhdf5-openmpi-fortran-102        	       0       28        0        0       28
30583 libhdf5-openmpi-fortran-310        	       0        1        0        0        1
30584 libhdf5-openmpi-hl-100             	       0       29        0        0       29
30585 libhdf5-openmpi-hl-310             	       0        1        0        0        1
30586 libhdf5-openmpi-hl-cpp-100         	       0       15        0        0       15
30587 libhdf5-openmpi-hl-cpp-310         	       0        1        0        0        1
30588 libhdf5-openmpi-hl-fortran-100     	       0       28        0        0       28
30589 libhdf5-openmpi-hl-fortran-310     	       0        1        0        0        1
30590 libhdf5-serial-1.6.5-0             	       0        1        0        0        1
30591 libhdf5-serial-1.6.6-0             	       0        1        0        0        1
30592 libhdf5-serial-dev                 	       0        1        0        0        1
30593 libhdfeos-dev                      	       0        1        0        1        0
30594 libhdfeos0                         	       0        1        0        0        1
30595 libhdfeos5-ruby1.9.1               	       0        2        0        0        2
30596 libhdhomerun-dev                   	       0        1        0        1        0
30597 libhdhomerun1                      	       0        5        0        0        5
30598 libhdhomerun4                      	       0        8        1        1        6
30599 libhdhomerun5                      	       0        4        0        3        1
30600 libhe5-hdfeos-dev                  	       0        2        0        2        0
30601 libhe5-hdfeos0                     	       0        4        0        0        4
30602 libheadius-options-java            	       0        4        0        0        4
30603 libheadius-options-java-doc        	       0        1        0        0        1
30604 libhealpix-cxx-dev                 	       0        2        0        2        0
30605 libhealpix-cxx0                    	       0        1        0        0        1
30606 libhealpix-cxx2                    	       0        5        0        0        5
30607 libhealpix-cxx3                    	       0        2        0        0        2
30608 libhealpix-dev                     	       0        2        0        0        2
30609 libhealpix-java                    	       0        1        0        0        1
30610 libhealpix0                        	       0        2        0        0        2
30611 libheap-perl                       	       0       35        0       35        0
30612 libheaptrack                       	       0        9        0        9        0
30613 libheartbeat2                      	       0        1        0        0        1
30614 libheartbeat2-dev                  	       0        1        0        1        0
30615 libheif-examples                   	       0       18        0       18        0
30616 libheif-plugin-aomdec              	       0       37        0        1       36
30617 libheif-plugin-aomenc              	       0      255        0        1      254
30618 libheif-plugin-dav1d               	       0      285        0        1      284
30619 libheif-plugin-j2kdec              	       0       25        0        0       25
30620 libheif-plugin-libde265            	       0      287        0        1      286
30621 libheif-plugin-x265                	       0      258        1        1      256
30622 libheimbase1-heimdal               	       0       78        4        6       68
30623 libheimbase1t64-heimdal            	       0        2        0        0        2
30624 libheimntlm0-heimdal               	       0       43        2        2       39
30625 libheimntlm0t64-heimdal            	       0        2        0        0        2
30626 libhersheyfont0                    	       0        3        0        0        3
30627 libhesiod0                         	       0        5        0        0        5
30628 libhessian-java                    	       0        1        0        0        1
30629 libhessian-java-doc                	       0        1        0        0        1
30630 libhfsp-dev                        	       0        1        0        1        0
30631 libhfsp0                           	       0      120        0        0      120
30632 libhfsp0t64                        	       0        6        0        0        6
30633 libhfst55                          	       0        3        0        0        3
30634 libhfstospell10                    	       0       48        0        0       48
30635 libhibernate-commons-annotations-java	       0        1        0        0        1
30636 libhibernate-validator-java        	       0       14        0        0       14
30637 libhibernate-validator4-java       	       0       50        0        0       50
30638 libhibernate3-java                 	       0        1        0        0        1
30639 libhiccup-clojure                  	       0        1        0        0        1
30640 libhidapi-hidraw0                  	       0      145        0        1      144
30641 libhidapi-libusb0                  	       0      265        0        8      257
30642 libhidrd0                          	       0        1        0        0        1
30643 libhighgui-dev                     	       0        1        0        1        0
30644 libhighline-ruby1.9.1              	       0        1        0        0        1
30645 libhikaricp-java                   	       0        1        0        0        1
30646 libhime                            	       0        2        0        0        2
30647 libhippocanvas-1-0                 	       0        1        0        0        1
30648 libhiprand1                        	       0        1        0        0        1
30649 libhiprtc-builtins5                	       0        5        0        0        5
30650 libhiredis-dev                     	       0       10        0       10        0
30651 libhiredis0.10                     	       0        5        0        0        5
30652 libhiredis0.13                     	       0        5        0        0        5
30653 libhiredis1.1.0                    	       0       31        2        6       23
30654 libhivex-bin                       	       0        2        0        2        0
30655 libhivex-dev                       	       0        1        0        1        0
30656 libhivex-ocaml                     	       0        1        0        1        0
30657 libhivex-ocaml-dev                 	       0        1        0        1        0
30658 libhivex0                          	       0      113        0        0      113
30659 libhmat-oss-dev                    	       0        1        0        1        0
30660 libhmat-oss1                       	       0        1        0        0        1
30661 libhmat-oss3                       	       0        3        0        0        3
30662 libhmmer2-dev                      	       0        1        0        1        0
30663 libhmsbeagle-java                  	       0        1        0        0        1
30664 libhmsbeagle1v5                    	       0        5        0        0        5
30665 libhocr-dev                        	       0        2        0        2        0
30666 libhocr0                           	       0        2        0        2        0
30667 libhoel1.4                         	       0        1        0        0        1
30668 libhogweed2                        	       0      182        1        3      178
30669 libhogweed4                        	       0      952        4       10      938
30670 libhogweed5                        	       0        1        0        1        0
30671 libhomfly-dev                      	       0        8        0        8        0
30672 libhomfly0                         	       0        9        0        0        9
30673 libhook-lexwrap-perl               	       0        2        0        2        0
30674 libhostfile-manager-perl           	       0        1        0        1        0
30675 libhowardhinnant-date-dev          	       0        2        0        2        0
30676 libhpdf-2.2.1                      	       0        1        0        0        1
30677 libhpdf-2.3.0                      	       0       25        0        0       25
30678 libhpdf-dev                        	       0        7        0        7        0
30679 libhpmud-dev                       	       0        1        0        1        0
30680 libhpmud0                          	       0      715       14       53      648
30681 libhpricot-ruby                    	       0        1        0        0        1
30682 libhsa-runtime-dev                 	       0        5        0        5        0
30683 libhsa-runtime64-1                 	       0       56        0        0       56
30684 libhsakmt1                         	       0       56        0        0       56
30685 libhsm-bin                         	       0        4        0        4        0
30686 libhsqldb-java                     	       0       25        0        0       25
30687 libhsqldb-java-doc                 	       0        1        0        0        1
30688 libhsqldb-java-gcj                 	       0        2        0        2        0
30689 libhsqldb1.8.0-java                	       0      791        0        0      791
30690 libhtml-autopagerize-perl          	       0        1        0        1        0
30691 libhtml-clean-perl                 	       0        4        0        4        0
30692 libhtml-defang-perl                	       0        1        1        0        0
30693 libhtml-display-perl               	       0        1        0        1        0
30694 libhtml-encoding-perl              	       0        3        0        3        0
30695 libhtml-entities-numbered-perl     	       0        1        0        1        0
30696 libhtml-fillinform-perl            	       0        1        0        1        0
30697 libhtml-formattext-withlinks-andtables-perl	       0        3        0        3        0
30698 libhtml-formattext-withlinks-perl  	       0        4        0        4        0
30699 libhtml-formfu-perl                	       0        1        0        1        0
30700 libhtml-fromansi-tiny-perl         	       0        1        0        1        0
30701 libhtml-fromtext-perl              	       0       23        2       21        0
30702 libhtml-gentoc-perl                	       0        4        0        4        0
30703 libhtml-html5-parser-perl          	       0        3        0        3        0
30704 libhtml-html5-sanity-perl          	       0        3        0        3        0
30705 libhtml-html5-writer-perl          	       0        1        0        1        0
30706 libhtml-linkextractor-perl         	       0        1        0        1        0
30707 libhtml-linklist-perl              	       0        4        0        4        0
30708 libhtml-lint-perl                  	       0       15        0       15        0
30709 libhtml-mason-perl                 	       0        2        0        2        0
30710 libhtml-mason-psgihandler-perl     	       0        1        0        1        0
30711 libhtml-parser-perl                	       0     3679       27       58     3594
30712 libhtml-prettyprinter-perl         	       0        1        0        1        0
30713 libhtml-quoted-perl                	       0        1        0        1        0
30714 libhtml-restrict-perl              	       0        2        0        2        0
30715 libhtml-rewriteattributes-perl     	       0        1        0        1        0
30716 libhtml-scrubber-perl              	       0       11        1       10        0
30717 libhtml-simpleparse-perl           	       0        4        0        4        0
30718 libhtml-stream-perl                	       0        1        0        1        0
30719 libhtml-strip-perl                 	       0        2        0        0        2
30720 libhtml-stripscripts-parser-perl   	       0        2        0        2        0
30721 libhtml-stripscripts-perl          	       0        2        0        2        0
30722 libhtml-template-pluggable-perl    	       0        1        0        1        0
30723 libhtml-tidy-perl                  	       0        6        1        5        0
30724 libhtml-tidy5-perl                 	       0        1        0        1        0
30725 libhtml-tiny-perl                  	       0        3        0        3        0
30726 libhtml-toc-perl                   	       0        1        0        1        0
30727 libhtml-wikiconverter-dokuwiki-perl	       0        1        0        1        0
30728 libhtml-wikiconverter-markdown-perl	       0        2        0        2        0
30729 libhtml-wikiconverter-perl         	       0        3        0        3        0
30730 libhtml-wikiconverter-wikkawiki-perl	       0        2        0        2        0
30731 libhtml5parser-java                	       0        4        0        0        4
30732 libhtmlcleaner-java                	       0        9        0        0        9
30733 libhtmlcxx-dev                     	       0        4        0        4        0
30734 libhtmlcxx3v5                      	       0        8        0        0        8
30735 libhtmlentities-ruby               	       0        1        0        0        1
30736 libhtmlentities-ruby1.9.1          	       0        1        0        0        1
30737 libhtmlparser-java                 	       0        7        0        0        7
30738 libhtp2                            	       0        6        0        1        5
30739 libhtree-ruby1.9.1                 	       0        2        0        0        2
30740 libhts3                            	       0        7        0        0        7
30741 libhts3t64                         	       0        1        0        0        1
30742 libhtscodecs2                      	       0        9        0        0        9
30743 libhtsengine1                      	       0       25        0        0       25
30744 libhtsjdk-java                     	       0        7        0        0        7
30745 libhttp-body-perl                  	       0        5        1        4        0
30746 libhttp-cache-transparent-perl     	       0       18        2       16        0
30747 libhttp-cookiejar-perl             	       0       16        0       16        0
30748 libhttp-daemon-ssl-perl            	       0        2        0        2        0
30749 libhttp-entity-parser-perl         	       0       16        2       14        0
30750 libhttp-exception-perl             	       0        2        0        2        0
30751 libhttp-headers-fast-perl          	       0       16        2       14        0
30752 libhttp-link-parser-perl           	       0        2        0        2        0
30753 libhttp-lite-perl                  	       0       15        0       15        0
30754 libhttp-lrdd-perl                  	       0        1        0        1        0
30755 libhttp-multipartparser-perl       	       0       16        2       14        0
30756 libhttp-oai-3.27-perl              	       0        2        0        2        0
30757 libhttp-parser-xs-perl             	       0        7        1        1        5
30758 libhttp-parser2.1                  	       0       26        0        0       26
30759 libhttp-parser2.8                  	       0       50        1        0       49
30760 libhttp-parser2.9                  	       0      898        1       19      878
30761 libhttp-proxy-perl                 	       0        1        0        1        0
30762 libhttp-recorder-perl              	       0        1        0        1        0
30763 libhttp-request-ascgi-perl         	       0        3        1        2        0
30764 libhttp-request-params-perl        	       0        4        0        4        0
30765 libhttp-response-encoding-perl     	       0        2        0        2        0
30766 libhttp-server-simple-authen-perl  	       0        1        0        1        0
30767 libhttp-server-simple-perl         	       0       53        1       52        0
30768 libhttp-server-simple-psgi-perl    	       0        1        0        1        0
30769 libhttp-tiny-perl                  	       0        4        1        3        0
30770 libhttp-tinyish-perl               	       0        3        0        3        0
30771 libhttpasyncclient-java            	       0       25        0        0       25
30772 libhttpclient-java                 	       0      477        0        0      477
30773 libhttpclient-ruby1.9.1            	       0        1        0        0        1
30774 libhttpclient5-java                	       0        2        0        0        2
30775 libhttpcore-java                   	       0      478        0        0      478
30776 libhttpcore5-java                  	       0        2        0        0        2
30777 libhttpmime-java                   	       0       29        0        0       29
30778 libhttrack-dev                     	       0        1        0        1        0
30779 libhttrack2                        	       0       65        0        0       65
30780 libhugetlbfs-bin                   	       0        4        0        4        0
30781 libhugetlbfs0                      	       0        2        0        0        2
30782 libhunspell-1.2-0                  	       0        8        0        0        8
30783 libhunspell-1.3-0                  	       0       50        0        0       50
30784 libhunspell-1.4-0                  	       0       95        0        0       95
30785 libhunspell-1.6-0                  	       0        1        0        0        1
30786 libhunspell-private-dev            	       0        2        0        0        2
30787 libhwasan0                         	       0      241        0        0      241
30788 libhwasan0-arm64-cross             	       0       25        0        0       25
30789 libhwloc-contrib-plugins           	       0        1        0        0        1
30790 libhwloc-doc                       	       0        1        0        0        1
30791 libhwloc-plugins                   	       0      678        0        5      673
30792 libhwloc15                         	       0      679        0        7      672
30793 libhwloc5                          	       0       48        0        0       48
30794 libhx-dev                          	       0        1        0        1        0
30795 libhx-doc                          	       0        1        0        0        1
30796 libhx28                            	       0        5        0        0        5
30797 libhx32                            	       0       25        1        9       15
30798 libhx32t64                         	       0        5        1        2        2
30799 libhx509-5-heimdal                 	       0       78        2        2       74
30800 libhx509-5t64-heimdal              	       0        2        0        0        2
30801 libhyperscan5                      	       0       24        8        8        8
30802 libhyphen-dev                      	       0        6        0        6        0
30803 libhyprcursor0                     	       0        2        0        0        2
30804 libhypre                           	       0        2        0        0        2
30805 libhypre-2.22.1                    	       0        1        0        0        1
30806 libhypre-2.23.0                    	       0        2        0        0        2
30807 libhypre-2.26.0                    	       0       52        0        0       52
30808 libhypre-2.28.0                    	       0        2        0        0        2
30809 libhypre-2.29.0                    	       0        1        0        0        1
30810 libhypre-2.32.0                    	       0        1        0        0        1
30811 libhypre-dev                       	       0        4        0        4        0
30812 libhyprlang-dev                    	       0        1        0        0        1
30813 libhyprlang2                       	       0        3        0        0        3
30814 libhyprutils-dev                   	       0        1        0        0        1
30815 libhyprutils0                      	       0        3        0        0        3
30816 libhz0                             	       0        1        0        0        1
30817 libi18n-charset-perl               	       0        1        0        1        0
30818 libi18n-ruby1.9.1                  	       0        1        0        0        1
30819 libi2c-dev                         	       0       17        0       17        0
30820 libi2c0                            	       0      141        0        0      141
30821 libib-util                         	       0      720        1        2      717
30822 libibatis-java                     	       0        1        0        0        1
30823 libibdm1                           	       0        3        0        0        3
30824 libiberty-dev                      	       0       36        1       35        0
30825 libibmad5                          	       0       47        0        0       47
30826 libibnetdisc5                      	       0        4        0        0        4
30827 libibtk-dev                        	       0        1        0        1        0
30828 libibtk0                           	       0        2        0        0        2
30829 libibumad-dev                      	       0        4        0        4        0
30830 libibumad3                         	       0       63        1        0       62
30831 libibus-qt1                        	       0        3        0        0        3
30832 libical-dev                        	       0       25        1       24        0
30833 libical-parser-perl                	       0        1        0        1        0
30834 libical0                           	       0       13        0        0       13
30835 libical1a                          	       0       29        0        1       28
30836 libical2                           	       0       67        0        0       67
30837 libicapapi5                        	       0        5        0        1        4
30838 libicc-utils-dev                   	       0        1        0        1        0
30839 libicc-utils2                      	       0        1        0        0        1
30840 libicc2                            	       0        4        0        0        4
30841 libice-doc                         	       0        7        0        0        7
30842 libiceoryx-binding-c2              	       0        1        0        0        1
30843 libiceoryx-hoofs2                  	       0        1        0        0        1
30844 libiceoryx-platform2               	       0        1        0        0        1
30845 libiceoryx-posh2                   	       0        1        0        0        1
30846 libicinga2                         	       0        1        0        0        1
30847 libicns1                           	       0       30        0        2       28
30848 libicon-famfamfam-silk-perl        	       0        1        0        1        0
30849 libiconloader-java                 	       0       24        0        0       24
30850 libiconv-hook-dev                  	       0        2        0        2        0
30851 libiconv-hook1                     	       0        6        0        4        2
30852 libiconv-ruby                      	       0        1        0        0        1
30853 libicsharpcode-nrefactory-cecil5.0-cil	       0        2        0        2        0
30854 libicsharpcode-nrefactory-csharp5.0-cil	       0        2        0        2        0
30855 libicsharpcode-nrefactory5.0-cil   	       0        2        0        2        0
30856 libicu-le-hb-dev                   	       0        1        0        1        0
30857 libicu-le-hb0                      	       0        9        0        0        9
30858 libicu36                           	       0        1        0        0        1
30859 libicu38                           	       0        2        0        0        2
30860 libicu40                           	       0        1        0        0        1
30861 libicu44                           	       0        9        0        0        9
30862 libicu48                           	       0       20        0        1       19
30863 libicu4j-4.2-java                  	       0        7        0        0        7
30864 libicu4j-4.4-java                  	       0       12        0        0       12
30865 libicu4j-49-java                   	       0        3        0        0        3
30866 libicu4j-java                      	       0      358        0        0      358
30867 libicu52                           	       0      225        1        1      223
30868 libicu55                           	       0        2        0        0        2
30869 libicu57                           	       0      295        1        6      288
30870 libicu57-dbg                       	       0        1        0        1        0
30871 libicu60                           	       0        9        0        1        8
30872 libicu64                           	       0        3        0        0        3
30873 libicu65                           	       0        9        1        1        7
30874 libicu71                           	       0       26        1        4       21
30875 libid3-3.8.3-dev                   	       0        4        0        4        0
30876 libid3-3.8.3c2a                    	       0        4        0        0        4
30877 libid3-3.8.3v5                     	       0      207        0        0      207
30878 libid3-doc                         	       0        1        0        0        1
30879 libid3-tools                       	       0       21        0       21        0
30880 libid3tag0                         	       0     2043       32       49     1962
30881 libiddawc0.9                       	       0        1        0        0        1
30882 libident                           	       0       10        1        9        0
30883 libideviceactivation-1.0-2         	       0        1        0        0        1
30884 libidl-2-0                         	       0       44        0        0       44
30885 libidl-dev                         	       0       13        0       13        0
30886 libidl0                            	       0        2        0        0        2
30887 libidn11-dev                       	       0       65        0       33       32
30888 libidn2-0-dev                      	       0        6        0        0        6
30889 libidn2-doc                        	       0        2        0        0        2
30890 libido-0.1-0                       	       0        1        0        0        1
30891 libido3-0.1-0                      	       0        1        0        0        1
30892 libido3-0.1-dev                    	       0        1        0        1        0
30893 libidw-java                        	       0       26        0        0       26
30894 libidzebra-2.0-0                   	       0        2        1        1        0
30895 libidzebra-2.0-dev                 	       0        2        0        2        0
30896 libidzebra-2.0-mod-alvis           	       0        2        1        1        0
30897 libidzebra-2.0-mod-dom             	       0        2        1        1        0
30898 libidzebra-2.0-mod-grs-marc        	       0        2        1        1        0
30899 libidzebra-2.0-mod-grs-regx        	       0        2        1        1        0
30900 libidzebra-2.0-mod-grs-xml         	       0        2        1        1        0
30901 libidzebra-2.0-mod-safari          	       0        2        1        1        0
30902 libidzebra-2.0-mod-text            	       0        2        1        1        0
30903 libidzebra-2.0-modules             	       0        2        0        0        2
30904 libiec16022-0                      	       0       35        0        1       34
30905 libiec16022-dev                    	       0        2        0        2        0
30906 libiec61883-0                      	       0     3282       25       54     3203
30907 libiec61883-dev                    	       0       13        0       13        0
30908 libieee1284-3                      	       0     2831        0        6     2825
30909 libieee1284-3-dev                  	       0        8        0        8        0
30910 libieee1284-3t64                   	       0      201        0        1      200
30911 libifcplusplus                     	       0        2        0        2        0
30912 libifd-cyberjack6                  	       0       12        3        9        0
30913 libifp4                            	       0        4        0        0        4
30914 libigc1                            	       0       12        0        0       12
30915 libigdfcl1                         	       0       13        0        0       13
30916 libigdgmm11                        	       0      536        9       29      498
30917 libigdgmm5                         	       0      189        0        0      189
30918 libigfxcmrt7                       	       0        1        0        0        1
30919 libignition-cmake-dev              	       0        1        0        0        1
30920 libignition-math-dev               	       0        1        0        0        1
30921 libignition-math4-dev              	       0        1        0        0        1
30922 libignition-math6-6                	       0        1        0        0        1
30923 libignition-msgs-dev               	       0        1        0        1        0
30924 libignition-msgs8-8                	       0        1        0        0        1
30925 libignition-transport-dev          	       0        1        0        1        0
30926 libignition-transport11-11         	       0        1        0        0        1
30927 libignition-transport4-dev         	       0        1        0        0        1
30928 libignition-utils-dev              	       0        1        0        0        1
30929 libigraph-dev                      	       0        1        0        1        0
30930 libigraph3t64                      	       0        1        0        0        1
30931 libiio-dev                         	       0        3        0        3        0
30932 libiio-utils                       	       0        1        0        1        0
30933 libiio0                            	       0       41        2       38        1
30934 libij-java                         	       0        9        0        0        9
30935 libij-java-doc                     	       0        1        0        0        1
30936 libijs-0.35                        	       0     3569        2       11     3556
30937 libijs-doc                         	       0        4        0        0        4
30938 libiksemel-dev                     	       0       13        1       12        0
30939 libiksemel3                        	       0       25        5        7       13
30940 libilbc-dev                        	       0        3        0        3        0
30941 libilbc2                           	       0       23        0        2       21
30942 libilbc3                           	       0       76       11       46       19
30943 libilmbase-dev                     	       0       27        0       27        0
30944 libilmbase12                       	       0      125        0        0      125
30945 libilmbase23                       	       0      220        2        0      218
30946 libilmbase24                       	       0        2        0        0        2
30947 libilmbase6                        	       0       47        0        1       46
30948 libilmbase6v5                      	       0        1        0        0        1
30949 libima-dbi-perl                    	       0       29        1       28        0
30950 libimage-exif-perl                 	       0        4        0        0        4
30951 libimage-imlib2-perl               	       0        3        0        0        3
30952 libimage-magick-q16-perl           	       0      648        0        2      646
30953 libimage-magick-q16hdri-perl       	       0        1        0        0        1
30954 libimage-metadata-jpeg-perl        	       0        5        0        5        0
30955 libimage-png-libpng-perl           	       0       73        1       72        0
30956 libimage-sane-perl                 	       0       53        0        1       52
30957 libimage-size-perl                 	       0       35        1       34        0
30958 libimage-size-ruby1.9.1            	       0        1        0        0        1
30959 libimage-transport-dev             	       0        1        0        1        0
30960 libimage-transport0d               	       0        2        0        0        2
30961 libimageclasses1                   	       0        6        0        0        6
30962 libimager-perl                     	       0        4        0        4        0
30963 libimager-qrcode-perl              	       0        2        0        0        2
30964 libimageworsener1                  	       0        1        0        0        1
30965 libimath-doc                       	       0        1        0        0        1
30966 libimdi0                           	       0        4        0        0        4
30967 libime-bin                         	       0       19        0       19        0
30968 libime-data                        	       0       20        0        0       20
30969 libime-data-language-model         	       0       20        0        4       16
30970 libimecore0                        	       0       20        0        6       14
30971 libimepinyin0                      	       0       20        0        5       15
30972 libimetable0                       	       0       20        0        1       19
30973 libimglib2-java                    	       0        2        0        0        2
30974 libimgscalr-java                   	       0       29        0        0       29
30975 libimgui-dev                       	       0        3        0        3        0
30976 libiml-dev                         	       0        9        0        9        0
30977 libiml0                            	       0       10        0        0       10
30978 libimobiledevice-dev               	       0       14        0       14        0
30979 libimobiledevice-doc               	       0        8        0        0        8
30980 libimobiledevice-glue-dev          	       0        5        0        5        0
30981 libimobiledevice-utils             	       0       53        0       53        0
30982 libimobiledevice1                  	       0        3        0        0        3
30983 libimobiledevice2                  	       0        4        0        0        4
30984 libimobiledevice4                  	       0       25        0        2       23
30985 libimporter-perl                   	       0        4        0        4        0
30986 libimthreshold-dev                 	       0        1        0        1        0
30987 libimthreshold0                    	       0        1        0        0        1
30988 libimthresholdfreeimage-dev        	       0        1        0        1        0
30989 libimthresholdfreeimage0           	       0        1        0        0        1
30990 libinchi1                          	       0       56        0        0       56
30991 libinchi1.07                       	       0       13        0        0       13
30992 libincidenceeditor-data            	       0        7        0        0        7
30993 libincidenceeditorsng4             	       0        4        0        1        3
30994 libindex0-trinity                  	       0       30        0        1       29
30995 libindi-data                       	       0      101        0        0      101
30996 libindi-dev                        	       0        2        0        2        0
30997 libindi-plugins                    	       0       29        0        0       29
30998 libindi0b                          	       0        2        0        0        2
30999 libindi1                           	       0        3        0        0        3
31000 libindialignmentdriver1            	       0       29        0        0       29
31001 libindicate-gtk3                   	       0        5        0        1        4
31002 libindicate-qt1                    	       0        1        0        0        1
31003 libindicate5                       	       0        9        0        1        8
31004 libindicator-transfer-dev          	       0        1        0        1        0
31005 libindicator-transfer1             	       0        1        0        0        1
31006 libindicator3                      	       0        1        0        0        1
31007 libindicator3-7                    	       0      163        0        3      160
31008 libindicator3-dev                  	       0        2        0        2        0
31009 libindicator7                      	       0       89        0        0       89
31010 libindiclient1                     	       0       86        0        3       83
31011 libindiclient2                     	       0        1        0        0        1
31012 libindidriver0c                    	       0        1        0        0        1
31013 libindidriver1                     	       0       31        0        0       31
31014 libindilx200-1                     	       0        2        0        0        2
31015 libindirect-perl                   	       0      176        0        0      176
31016 libinfgtk-0.7-0                    	       0        3        0        0        3
31017 libinfgtk-0.7-0t64                 	       0        1        0        0        1
31018 libinfinity-0.7-0                  	       0        3        0        0        3
31019 libinfinity-0.7-0t64               	       0        1        0        0        1
31020 libinfluxdb-lineprotocol-perl      	       0        1        0        1        0
31021 libini-config5                     	       0       13        1        6        6
31022 libini4j-java                      	       0        3        0        0        3
31023 libinih-dev                        	       0        5        0        5        0
31024 libiniparser-doc                   	       0        3        0        0        3
31025 libiniparser1                      	       0       84        1        0       83
31026 libiniparser4                      	       0        5        0        0        5
31027 libinireader0                      	       0      138        7       24      107
31028 libinklevel-dev                    	       0        1        0        1        0
31029 libinklevel5                       	       0       22        0        0       22
31030 libinline-c-perl                   	       0       30        0       30        0
31031 libinline-files-perl               	       0       26        0       26        0
31032 libinline-perl                     	       0       31        2       29        0
31033 libinnate-ruby1.9.1                	       0        1        0        0        1
31034 libinnodb3                         	       0        1        0        0        1
31035 libinotifytools0                   	       0      152        1       15      136
31036 libinotifytools0-dev               	       0        5        0        5        0
31037 libinput-pad-1.0-1                 	       0        2        0        0        2
31038 libinput-pad-dev                   	       0        1        0        1        0
31039 libinput-pad-xtest                 	       0        1        0        0        1
31040 libinput0                          	       0        2        0        0        2
31041 libinput5                          	       0       11        0        0       11
31042 libinputsynth-0.15-0               	       0        2        0        0        2
31043 libinputsynth-dev                  	       0        1        0        1        0
31044 libinsane-dev                      	       0        2        0        2        0
31045 libinsane-doc                      	       0        2        0        0        2
31046 libinsane1                         	       0       17        0        0       17
31047 libinsighttoolkit4-dev             	       0        2        0        2        0
31048 libinsighttoolkit4.12              	       0        1        0        1        0
31049 libinsighttoolkit4.13              	       0        8        0        8        0
31050 libinsighttoolkit5.2               	       0        2        0        2        0
31051 libinstaparse-clojure              	       0        2        0        0        2
31052 libinstpatch-1.0-2                 	       0     2871       22       26     2823
31053 libinstpatch-dev                   	       0       41        0       41        0
31054 libint1                            	       0        4        0        0        4
31055 libint2-2                          	       0        1        0        0        1
31056 libintegers-ocaml                  	       0        2        0        1        1
31057 libintegers-ocaml-dev              	       0        2        0        2        0
31058 libintellij-annotations-java       	       0      334        0        0      334
31059 libintellij-annotations-java-doc   	       0        1        0        0        1
31060 libintelrdfpmath-dev               	       0        1        0        1        0
31061 libinteractive-markers-dev         	       0        1        0        1        0
31062 libinteractive-markers2d           	       0        1        0        0        1
31063 libinterimap                       	       0        1        0        1        0
31064 libintervalstorej-java             	       0        1        0        0        1
31065 libinventor1                       	       0        3        0        0        3
31066 libinventor1t64                    	       0        1        0        0        1
31067 libinvokebinder-java               	       0        4        0        0        4
31068 libinvokebinder-java-doc           	       0        1        0        0        1
31069 libio-aio-perl                     	       0        2        0        2        0
31070 libio-all-perl                     	       0       43        0       43        0
31071 libio-async-loop-epoll-perl        	       0        2        0        2        0
31072 libio-async-loop-glib-perl         	       0        1        0        1        0
31073 libio-bufferedselect-perl          	       0        1        0        1        0
31074 libio-compress-brotli-perl         	       0      236        0        0      236
31075 libio-compress-lzma-perl           	       0       26        1       25        0
31076 libio-compress-perl                	       0       28        1       27        0
31077 libio-dirent-perl                  	       0        8        0        0        8
31078 libio-event-perl                   	       0        1        0        1        0
31079 libio-fdpass-perl                  	       0        6        0        0        6
31080 libio-handle-util-perl             	       0        5        0        5        0
31081 libio-interface-perl               	       0       37        0        5       32
31082 libio-lockedfile-perl              	       0        2        0        2        0
31083 libio-pager-perl                   	       0        2        0        2        0
31084 libio-prompt-perl                  	       0        1        0        1        0
31085 libio-prompt-tiny-perl             	       0        6        0        6        0
31086 libio-pty-easy-perl                	       0        7        0        7        0
31087 libio-pty-perl                     	       0      568       10       34      524
31088 libio-socket-multicast-perl        	       0       11        0        0       11
31089 libio-stty-perl                    	       0       30        1       29        0
31090 libio-tee-perl                     	       0       14        0       14        0
31091 libio-tiecombine-perl              	       0       29        1       28        0
31092 libiodbc2                          	       0       47        0        2       45
31093 libiodbc2-dev                      	       0        2        0        2        0
31094 libip-country-perl                 	       0        2        0        2        0
31095 libip4tc-dev                       	       0        6        0        4        2
31096 libip4tc0                          	       0      933        0        0      933
31097 libip6tc-dev                       	       0        6        0        6        0
31098 libip6tc0                          	       0      932        0        0      932
31099 libip6tc2                          	       0     2100        0        1     2099
31100 libipa-hbac0                       	       0       13        0        2       11
31101 libipc-sharelite-perl              	       0       31        0        4       27
31102 libipe-dev                         	       0        2        0        2        0
31103 libipe7.1.4                        	       0        1        0        0        1
31104 libipe7.2.23                       	       0        1        0        0        1
31105 libipe7.2.25                       	       0        1        0        0        1
31106 libipe7.2.26                       	       0       10        0        0       10
31107 libipe7.2.28                       	       0        1        0        0        1
31108 libipe7.2.30                       	       0        2        0        0        2
31109 libipe7.2.7                        	       0        2        0        0        2
31110 libipe7.2.9                        	       0        1        0        0        1
31111 libiperf0                          	       0      198        5       27      166
31112 libipmiconsole2                    	       0       43        0        0       43
31113 libipmidetect0                     	       0       42        0        0       42
31114 libipmimonitoring6                 	       0        6        0        0        6
31115 libipsec-mb-dev                    	       0        2        0        2        0
31116 libipsec-mb0                       	       0       10        0        0       10
31117 libipsec-mb1                       	       0       34        0        0       34
31118 libipsec-mb2                       	       0        2        0        0        2
31119 libipset-dev                       	       0        1        0        1        0
31120 libipset11                         	       0        7        0        0        7
31121 libipset13                         	       0       79        0        0       79
31122 libipset13t64                      	       0       29        0        0       29
31123 libipset3                          	       0        6        0        0        6
31124 libipt-dev                         	       0        1        0        1        0
31125 libipt2                            	       0     1112        1        4     1107
31126 libiptables-chainmgr-perl          	       0        3        1        2        0
31127 libiptables-parse-perl             	       0        4        1        3        0
31128 libiptc-dev                        	       0        6        0        2        4
31129 libiptc0                           	       0      946        0        0      946
31130 libiptcdata0-dev                   	       0        1        0        1        0
31131 libipx-dev                         	       0        1        0        1        0
31132 libipx2                            	       0        1        0        0        1
31133 libiqa-dev                         	       0        1        0        1        0
31134 libiqa1                            	       0        1        0        0        1
31135 libirc-formatting-html-perl        	       0        4        0        4        0
31136 libircclient1                      	       0       52        6        5       41
31137 libirecovery-1.0-3                 	       0        8        0        0        8
31138 libirecovery-common                	       0        8        0        8        0
31139 libiri-perl                        	       0        4        0        4        0
31140 libirman-dev                       	       0        1        0        1        0
31141 libirman0                          	       0        9        0        0        9
31142 libirrlicht-dev                    	       0       14        0       14        0
31143 libirrlicht-doc                    	       0        1        0        1        0
31144 libirrlicht1.7a                    	       0        1        0        0        1
31145 libirrlicht1.8                     	       0       18        0        1       17
31146 libirrlicht1.8t64                  	       0        3        0        0        3
31147 libirs-export141                   	       0       13        0        0       13
31148 libirs-export161                   	       0       49        6       13       30
31149 libirs-export91                    	       0      218        0        0      218
31150 libirs141                          	       0       16        0        0       16
31151 libirs161                          	       0      188        0        0      188
31152 libirstlm-dev                      	       0        1        0        1        0
31153 libirstlm1                         	       0        4        0        0        4
31154 libisal-dev                        	       0        3        0        3        0
31155 libisal2                           	       0        4        0        0        4
31156 libisc-export1100                  	       0      526        0        1      525
31157 libisc-export160                   	       0      481        0        1      480
31158 libisc-export166                   	       0        1        0        0        1
31159 libisc-export169                   	       0        1        0        0        1
31160 libisc-export189                   	       0        1        0        0        1
31161 libisc-export95                    	       0      220        0        0      220
31162 libisc11                           	       0        4        0        0        4
31163 libisc1100                         	       0      304        0        0      304
31164 libisc1105                         	       0       60        0        0       60
31165 libisc160                          	       0      194        0        0      194
31166 libisc44                           	       0        4        0        0        4
31167 libisc45                           	       0        5        0        0        5
31168 libisc62                           	       0       18        0        0       18
31169 libisc83                           	       0        2        0        0        2
31170 libisc84                           	       0       22        0        0       22
31171 libisc95                           	       0       88        0        0       88
31172 libisccc-export140                 	       0       12        0        0       12
31173 libisccc-export161                 	       0        3        0        0        3
31174 libisccc0                          	       0        3        0        0        3
31175 libisccc140                        	       0      192        0        0      192
31176 libisccc161                        	       0      314        0        0      314
31177 libisccc40                         	       0        5        0        0        5
31178 libisccc60                         	       0       18        0        0       18
31179 libisccc80                         	       0       22        0        0       22
31180 libisccc90                         	       0       88        0        0       88
31181 libisccfg-export140                	       0       12        0        0       12
31182 libisccfg-export144                	       0        1        0        0        1
31183 libisccfg-export163                	       0       49        6       13       30
31184 libisccfg-export90                 	       0      219        0        0      219
31185 libisccfg1                         	       0        3        0        0        3
31186 libisccfg140                       	       0      190        0        0      190
31187 libisccfg163                       	       0      314        0        0      314
31188 libisccfg62                        	       0       18        0        0       18
31189 libisccfg82                        	       0       21        0        0       21
31190 libisccfg90                        	       0       83        0        0       83
31191 libiscsi-bin                       	       0        1        0        1        0
31192 libiscsi-dev                       	       0        6        0        6        0
31193 libiscsi1                          	       0        7        0        0        7
31194 libiscsi2                          	       0       16        0        0       16
31195 libiscsi7                          	       0      477        1        2      474
31196 libiscwt-java                      	       0        1        0        0        1
31197 libisfreetype-java                 	       0        3        0        0        3
31198 libisl-dev                         	       0       10        0       10        0
31199 libisl10                           	       0      161        0        0      161
31200 libisl15                           	       0      425        0        0      425
31201 libisl19                           	       0      269        0        0      269
31202 libisl21                           	       0        1        0        0        1
31203 libisl22                           	       0        9        0        0        9
31204 libismrmrd1.3                      	       0        1        0        0        1
31205 libismrmrd1.8                      	       0        2        0        0        2
31206 libisnativec-java                  	       0        3        0        0        3
31207 libisns0                           	       0       26        8       11        7
31208 libisns0t64                        	       0       22        0        2       20
31209 libiso9660++-dev                   	       0        1        0        0        1
31210 libiso9660++0t64                   	       0        1        0        0        1
31211 libiso9660-11                      	       0      462       14       20      428
31212 libiso9660-11t64                   	       0       37        4        4       29
31213 libiso9660-12                      	       0        1        0        0        1
31214 libiso9660-4                       	       0        1        0        0        1
31215 libiso9660-5                       	       0        2        0        0        2
31216 libiso9660-7                       	       0        6        0        0        6
31217 libiso9660-8                       	       0       52        0        0       52
31218 libiso9660-dev                     	       0       17        0       17        0
31219 libisoburn-dev                     	       0        1        0        1        0
31220 libisoburn-doc                     	       0        2        0        0        2
31221 libisoburn1                        	       0      417        0        0      417
31222 libisoburn1t64                     	       0       66        0        0       66
31223 libisocodes1                       	       0        1        0        0        1
31224 libisocore1                        	       0        1        0        0        1
31225 libisofs-dev                       	       0        4        0        4        0
31226 libisofs-doc                       	       0        2        0        0        2
31227 libisofs6                          	       0     2067        0        0     2067
31228 libisofs6t64                       	       0      153        0        0      153
31229 libisorelax-java                   	       0      204        0        0      204
31230 libisrt-java                       	       0        3        0        0        3
31231 libistack-commons-java             	       0      337        0        0      337
31232 libite5                            	       0        2        0        0        2
31233 libiterm1                          	       0        1        0        0        1
31234 libitext-java                      	       0      773        0        0      773
31235 libitext-java-gcj                  	       0        2        0        2        0
31236 libitext-rtf-java                  	       0        1        0        0        1
31237 libitext1-java                     	       0       10        0        0       10
31238 libitext5-java                     	       0       33        0        0       33
31239 libitl0                            	       0        4        0        0        4
31240 libitm1                            	       0     2596        0        0     2596
31241 libitm1-alpha-cross                	       0        3        0        0        3
31242 libitm1-arm64-cross                	       0       38        0        0       38
31243 libitm1-dbgsym                     	       0        1        0        1        0
31244 libitm1-i386-cross                 	       0       13        0        0       13
31245 libitm1-ppc64-cross                	       0        6        0        0        6
31246 libitm1-ppc64el-cross              	       0        1        0        0        1
31247 libitm1-riscv64-cross              	       0        2        0        0        2
31248 libitm1-s390x-cross                	       0        4        0        0        4
31249 libitm1-sparc64-cross              	       0        3        0        0        3
31250 libitm1-x32-cross                  	       0        6        0        0        6
31251 libitpp8v5                         	       0       11        0        0       11
31252 libitsol-dev                       	       0        1        0        1        0
31253 libitsol1                          	       0        1        0        0        1
31254 libiv-unidraw1                     	       0        1        0        0        1
31255 libiv-unidraw2                     	       0        2        0        0        2
31256 libiv-unidraw2t64                  	       0        1        0        0        1
31257 libiv1                             	       0        1        0        0        1
31258 libiv2                             	       0        2        0        0        2
31259 libiv2t64                          	       0        1        0        0        1
31260 libivykis0                         	       0       29        7       16        6
31261 libivykis0t64                      	       0        2        0        2        0
31262 libiw-dev                          	       0       19        0       19        0
31263 libiw28                            	       0        1        0        0        1
31264 libiw29                            	       0        2        0        0        2
31265 libiw30t64                         	       0      183        2        8      173
31266 libixion-0.14-0                    	       0        1        0        0        1
31267 libixion-0.17-0                    	       0        1        0        0        1
31268 libixion-0.18-0                    	       0        1        0        0        1
31269 libixml10                          	       0     1461       17       32     1412
31270 libixml11                          	       0       51        0        2       49
31271 libixml11t64                       	       0      141        3       11      127
31272 libixp0                            	       0        1        0        0        1
31273 libj2ssh-java                      	       0        9        0        0        9
31274 libjaba-client-java                	       0        2        0        0        2
31275 libjack-dev                        	       0       52        0       52        0
31276 libjack-jackd2-dev                 	       0       90        0       90        0
31277 libjack0                           	       0       64        4       11       49
31278 libjackrabbit-java                 	       0       11        0        0       11
31279 libjackson-json-java               	       0       12        0        0       12
31280 libjackson2-annotations-java       	       0       98        0        0       98
31281 libjackson2-annotations-java-doc   	       0        5        0        0        5
31282 libjackson2-core-java              	       0      172        0        0      172
31283 libjackson2-core-java-doc          	       0        2        0        0        2
31284 libjackson2-databind-java          	       0       97        0        0       97
31285 libjackson2-databind-java-doc      	       0        2        0        0        2
31286 libjackson2-dataformat-cbor        	       0        1        0        0        1
31287 libjackson2-dataformat-smile       	       0        2        0        0        2
31288 libjackson2-dataformat-smile-doc   	       0        1        0        0        1
31289 libjackson2-dataformat-xml-java    	       0        6        0        0        6
31290 libjackson2-dataformat-xml-java-doc	       0        2        0        0        2
31291 libjackson2-dataformat-yaml        	       0        7        0        0        7
31292 libjackson2-dataformat-yaml-doc    	       0        2        0        0        2
31293 libjackson2-jr-java                	       0       63        0        0       63
31294 libjackson2-module-jaxb-annotations-java	       0        1        0        0        1
31295 libjacoco-java                     	       0        2        0        0        2
31296 libjaffl-java                      	       0        2        0        0        2
31297 libjakarta-activation-java         	       0        3        0        0        3
31298 libjakarta-servlet-api-java        	       0        6        0        0        6
31299 libjam-java                        	       0        1        0        0        1
31300 libjama-dev                        	       0        1        0        1        0
31301 libjama-java                       	       0        3        0        0        3
31302 libjameica-datasource-java         	       0        4        0        0        4
31303 libjameica-util-java               	       0        4        0        0        4
31304 libjamon-java                      	       0        1        0        0        1
31305 libjanino-java                     	       0       38        0        0       38
31306 libjanino-java-doc                 	       0        3        0        0        3
31307 libjansi-java                      	       0      493        0        0      493
31308 libjansi-native-java               	       0      374        0        0      374
31309 libjansi1-java                     	       0       80        0        0       80
31310 libjansson-doc                     	       0        1        0        0        1
31311 libjargs-java                      	       0        8        0        0        8
31312 libjarjar-java                     	       0       51        0        0       51
31313 libjarjar-maven-plugin-java        	       0        1        0        0        1
31314 libjarjar-maven-plugin-java-doc    	       0        1        0        0        1
31315 libjas-java                        	       0       33        0        0       33
31316 libjas-plotter-java                	       0       10        0        0       10
31317 libjasper-1.701-1                  	       0        3        0        0        3
31318 libjasper-dev                      	       0       12        0       12        0
31319 libjasper-runtime                  	       0        1        0        1        0
31320 libjasper1                         	       0      147        0        1      146
31321 libjasypt-java                     	       0        2        0        0        2
31322 libjatl-java                       	       0       50        0        0       50
31323 libjaudiotagger-java               	       0        1        0        0        1
31324 libjaula1                          	       0        2        0        0        2
31325 libjaula1t64                       	       0        1        0        0        1
31326 libjava-classpath-clojure          	       0        2        0        0        2
31327 libjava-gnome-java                 	       0        4        0        0        4
31328 libjava-gnome-jni                  	       0        4        0        4        0
31329 libjava-jdbc-clojure               	       0        1        0        0        1
31330 libjava-jmx-clojure                	       0        1        0        0        1
31331 libjava-string-similarity-java     	       0       24        0        0       24
31332 libjava-xmlbuilder-java            	       0        1        0        0        1
31333 libjava3d-java                     	       0       71        0        0       71
31334 libjava3d-java-doc                 	       0        1        0        0        1
31335 libjava3d-jni                      	       0       71        0       71        0
31336 libjavacc-maven-plugin-java        	       0        3        0        0        3
31337 libjavaewah-java                   	       0       57        0        0       57
31338 libjavafxsvg-java                  	       0        1        0        0        1
31339 libjavaparser-java                 	       0       83        0        0       83
31340 libjavapoet-java                   	       0        2        0        0        2
31341 libjavascriptcoregtk-1.0-0         	       0       53        0        0       53
31342 libjavascriptcoregtk-1.0-dev       	       0        3        0        3        0
31343 libjavascriptcoregtk-3.0-0         	       0       58        0        0       58
31344 libjavascriptcoregtk-3.0-bin       	       0        1        0        1        0
31345 libjavascriptcoregtk-3.0-dev       	       0        2        0        2        0
31346 libjavascriptcoregtk-4.0-18-dbgsym 	       0        1        0        1        0
31347 libjavascriptcoregtk-4.0-bin       	       0        3        0        3        0
31348 libjavascriptcoregtk-5.0-0         	       0        1        0        0        1
31349 libjavascriptcoregtk-6.0-dev       	       0        4        0        4        0
31350 libjavassist-java                  	       0        4        0        0        4
31351 libjavawriter-java                 	       0        3        0        0        3
31352 libjavawriter-java-doc             	       0        1        0        0        1
31353 libjawn-java                       	       0        1        0        0        1
31354 libjaxb-api-java                   	       0      399        0        0      399
31355 libjaxb-java                       	       0      334        0        0      334
31356 libjaxe-java                       	       0        1        0        0        1
31357 libjaxen-java                      	       0      502        0        0      502
31358 libjaxme-java                      	       0        4        0        0        4
31359 libjaxp1.3-java                    	       0      627        0        0      627
31360 libjaxp1.3-java-gcj                	       0        1        0        1        0
31361 libjaxrs-api-java                  	       0       16        0        0       16
31362 libjaxws-api-java                  	       0        4        0        0        4
31363 libjaxws-java                      	       0        3        0        0        3
31364 libjazzy-java                      	       0        1        0        0        1
31365 libjbcrypt-java                    	       0        3        0        0        3
31366 libjbig2dec0                       	       0     3568        2       13     3553
31367 libjbig2dec0-dev                   	       0        6        0        6        0
31368 libjbig2enc-dev                    	       0        1        0        1        0
31369 libjbig2enc0                       	       0        1        0        0        1
31370 libjbig2enc0t64                    	       0        8        0        0        8
31371 libjboss-classfilewriter-java      	       0        1        0        0        1
31372 libjboss-classfilewriter-java-doc  	       0        1        0        0        1
31373 libjboss-jdeparser2-java           	       0       51        0        0       51
31374 libjboss-logging-java              	       0       66        0        0       66
31375 libjboss-logging-java-doc          	       0        2        0        0        2
31376 libjboss-logging-tools-java        	       0       51        0        0       51
31377 libjboss-logmanager-java           	       0        1        0        0        1
31378 libjboss-logmanager-java-doc       	       0        1        0        0        1
31379 libjboss-vfs-java                  	       0        4        0        0        4
31380 libjbzip2-java                     	       0        4        0        0        4
31381 libjchart2d-java                   	       0       19        0        0       19
31382 libjcharts-java                    	       0        2        0        0        2
31383 libjcifs-java                      	       0       67        0        0       67
31384 libjcifs-java-doc                  	       0        2        0        0        2
31385 libjcip-annotations-java           	       0       69        0        0       69
31386 libjcodings-java                   	       0        8        0        0        8
31387 libjcommander-java                 	       0      118        0        0      118
31388 libjcommander-java-doc             	       0        7        0        0        7
31389 libjcommon-java                    	       0      767        0        0      767
31390 libjcommon-java-doc                	       0        6        0        0        6
31391 libjconv-bin                       	       0        2        0        2        0
31392 libjconv2                          	       0        2        0        0        2
31393 libjcsp-java                       	       0       73        0        0       73
31394 libjcsp-java-doc                   	       0        1        0        0        1
31395 libjctools-java                    	       0       30        0        0       30
31396 libjdeb-java                       	       0        1        0        0        1
31397 libjdepend-java                    	       0       10        0        0       10
31398 libjdependency-java                	       0        1        0        0        1
31399 libjdns2                           	       0        1        0        0        1
31400 libjdom1-java                      	       0      451        0        0      451
31401 libjdom1-java-doc                  	       0        7        0        0        7
31402 libjdom2-intellij-java             	       0        1        0        0        1
31403 libjdom2-intellij-java-doc         	       0        1        0        0        1
31404 libjdom2-java                      	       0       29        0        0       29
31405 libjdom2-java-doc                  	       0        1        0        0        1
31406 libjebl2-java                      	       0        1        0        0        1
31407 libjellyfish-2.0-2                 	       0        1        0        0        1
31408 libjemalloc-dev                    	       0       21        0       21        0
31409 libjemalloc1                       	       0       83        1        0       82
31410 libjemalloc2                       	       0     3010       61      125     2824
31411 libjemmy2-java                     	       0        3        0        0        3
31412 libjempbox-java                    	       0       24        0        0       24
31413 libjenkins-trilead-ssh2-java       	       0        1        0        0        1
31414 libjerasure2                       	       0        1        0        0        1
31415 libjericho-html-java               	       0       18        0        0       18
31416 libjeromq-java                     	       0        6        0        0        6
31417 libjersey1-client-java             	       0        1        0        0        1
31418 libjersey1-core-java               	       0        2        0        0        2
31419 libjersey1-server-java             	       0        1        0        0        1
31420 libjetbrains-annotations-java      	       0      335        0        0      335
31421 libjetbrains-annotations-java-doc  	       0        5        0        0        5
31422 libjets3t-java                     	       0        1        0        0        1
31423 libjettison-java                   	       0        9        0        0        9
31424 libjetty8-java                     	       0        3        0        0        3
31425 libjetty8-java-doc                 	       0        1        0        0        1
31426 libjetty9-extra-java               	       0        6        0        0        6
31427 libjetty9-java                     	       0       74        0        0       74
31428 libjeuclid-core-java               	       0       21        0        0       21
31429 libjeuclid-fop-java                	       0        2        0        0        2
31430 libjexcelapi-java                  	       0        6        0        0        6
31431 libjffi-java                       	       0       30        0        0       30
31432 libjffi-jni                        	       0       30        0        0       30
31433 libjformatstring-java              	       0       50        0        0       50
31434 libjfreechart-java                 	       0       45        0        0       45
31435 libjfreechart-java-doc             	       0        1        0        0        1
31436 libjfreesvg-java                   	       0        2        0        0        2
31437 libjfugue-java                     	       0       33        0        0       33
31438 libjgit-java                       	       0       56        0        0       56
31439 libjgoodies-animation-java         	       0        1        0        0        1
31440 libjgoodies-common-java            	       0       70        0        0       70
31441 libjgoodies-forms-java             	       0       55        0        0       55
31442 libjgoodies-looks-java             	       0       42        0        0       42
31443 libjgraph-java                     	       0        3        0        0        3
31444 libjgrapht-java                    	       0        1        0        0        1
31445 libjgrapht0.6-java                 	       0        2        0        0        2
31446 libjgrapht0.8-java                 	       0        2        0        0        2
31447 libjgraphx-java                    	       0       17        0        0       17
31448 libjgroups-java                    	       0        1        0        0        1
31449 libjhdf4-java                      	       0        1        0        0        1
31450 libjhdf4-jni                       	       0        1        0        1        0
31451 libjhdf5-java                      	       0        1        0        0        1
31452 libjhdf5-jni                       	       0        1        0        1        0
31453 libjheaps-java                     	       0        1        0        0        1
31454 libjhighlight-java                 	       0        1        0        0        1
31455 libjhlabs-filters-java             	       0       27        0        0       27
31456 libjibx1.1-java                    	       0        6        0        0        6
31457 libjibx1.2-java                    	       0        1        0        0        1
31458 libjiconfont-font-awesome-java     	       0       19        0        0       19
31459 libjiconfont-java                  	       0       19        0        0       19
31460 libjiconfont-swing-java            	       0       19        0        0       19
31461 libjide-oss-java                   	       0       20        0        0       20
31462 libjim-dev                         	       0        1        0        1        0
31463 libjim0.75                         	       0       32        0        0       32
31464 libjim0.76                         	       0       82        0        0       82
31465 libjim0.77                         	       0      140        0        0      140
31466 libjim0.79                         	       0      480        0        0      480
31467 libjim0.81                         	       0     2080        0        0     2080
31468 libjim0.82                         	       0       17        0        0       17
31469 libjim0.82t64                      	       0       64        0        0       64
31470 libjim0.83                         	       0      144        0        0      144
31471 libjim0debian2                     	       0        6        0        0        6
31472 libjimfs-java                      	       0        2        0        0        2
31473 libjing-java                       	       0       13        0        0       13
31474 libjinglebase0.3-0                 	       0        1        0        0        1
31475 libjinglep2p0.3-0                  	       0        1        0        0        1
31476 libjinglesession0.3-0              	       0        1        0        0        1
31477 libjinglexmllite0.3-0              	       0        1        0        0        1
31478 libjinglexmpp0.3-0                 	       0        1        0        0        1
31479 libjinput-java                     	       0        5        0        0        5
31480 libjinput-jni                      	       0        5        0        5        0
31481 libjitescript-java                 	       0        4        0        0        4
31482 libjitterentropy                   	       0        1        0        0        1
31483 libjitterentropy-dev               	       0        1        0        1        0
31484 libjlapack-java                    	       0        1        0        0        1
31485 libjlatexmath-fop-java             	       0       16        0        0       16
31486 libjlatexmath-java                 	       0       93        0        0       93
31487 libjlayer-java                     	       0        9        0        0        9
31488 libjlha-java                       	       0       16        0        0       16
31489 libjlha-java-doc-ja                	       0        2        0        0        2
31490 libjlibeps-java                    	       0       12        0        0       12
31491 libjline-java                      	       0       68        0        0       68
31492 libjline-java-doc                  	       0        9        0        0        9
31493 libjline2-java                     	       0      103        0        0      103
31494 libjline3-java                     	       0        3        0        0        3
31495 libjmac-java                       	       0        1        0        1        0
31496 libjmagick7-java                   	       0        1        0        0        1
31497 libjmagick7-jni                    	       0        1        1        0        0
31498 libjmdns-java                      	       0       87        0        0       87
31499 libjmol-java                       	       0       15        0        0       15
31500 libjna-java                        	       0      177        0        0      177
31501 libjna-java-doc                    	       0        3        0        0        3
31502 libjna-jni                         	       0      177        0        2      175
31503 libjna-platform-java               	       0      112        0        0      112
31504 libjnacl-java                      	       0        1        0        0        1
31505 libjni-inchi-java                  	       0       14        0        0       14
31506 libjni-inchi-jni                   	       0       14        0       14        0
31507 libjnlp-servlet-java               	       0        3        0        0        3
31508 libjnr-constants-java              	       0       30        0        0       30
31509 libjnr-enxio-java                  	       0        7        0        0        7
31510 libjnr-enxio-java-doc              	       0        1        0        0        1
31511 libjnr-ffi-java                    	       0       30        0        0       30
31512 libjnr-ffi-java-doc                	       0        6        0        0        6
31513 libjnr-netdb-java                  	       0       26        0        0       26
31514 libjnr-netdb-java-doc              	       0        5        0        0        5
31515 libjnr-posix-java                  	       0       30        0        0       30
31516 libjnr-posix-java-doc              	       0        6        0        0        6
31517 libjnr-unixsocket-java             	       0        7        0        0        7
31518 libjnr-x86asm-java                 	       0       30        0        0       30
31519 libjoda-convert-java               	       0        5        0        0        5
31520 libjoda-convert-java-doc           	       0        1        0        0        1
31521 libjoda-time-java                  	       0       29        0        0       29
31522 libjoda-time-java-doc              	       0        2        0        0        2
31523 libjodconverter-java               	       0        3        0        0        3
31524 libjodconverter-java-doc           	       0        1        0        0        1
31525 libjodycode3                       	       0        2        0        0        2
31526 libjodycode3t64                    	       0        3        0        0        3
31527 libjogl-java                       	       0        1        0        0        1
31528 libjogl-jni                        	       0        1        0        1        0
31529 libjogl2-java                      	       0       20        0        0       20
31530 libjogl2-java-doc                  	       0        1        0        0        1
31531 libjogl2-jni                       	       0       20        1       19        0
31532 libjogl2-toolkits                  	       0        1        0        0        1
31533 libjolokia-core-java               	       0        1        0        0        1
31534 libjopendocument-java              	       0        7        0        0        7
31535 libjoptsimple-java                 	       0        9        0        0        9
31536 libjorbis-java                     	       0        1        0        0        1
31537 libjose-dev                        	       0        1        0        1        0
31538 libjose0                           	       0       10        0        0       10
31539 libjpa-2.1-spec-java               	       0        4        0        0        4
31540 libjpedal-jbig2-java               	       0        1        0        0        1
31541 libjpeg-dev                        	       0      677        0        0      677
31542 libjpeg-tools                      	       0        7        0        7        0
31543 libjpeg8                           	       0       55        0        0       55
31544 libjpeg8-dev                       	       0        8        0        8        0
31545 libjpeg9                           	       0        7        0        0        7
31546 libjpegqs0                         	       0        1        0        0        1
31547 libjpf-java                        	       0        7        0        0        7
31548 libjpfcodegen-java                 	       0        2        0        0        2
31549 libjpgalleg4-dev                   	       0        1        0        1        0
31550 libjpgalleg4.4                     	       0       13        0        0       13
31551 libjpge-dev                        	       0        1        0        1        0
31552 libjpge0                           	       0        1        0        0        1
31553 libjq-dev                          	       0        5        0        5        0
31554 libjq1                             	       0     1172        0        0     1172
31555 libjrosetta-java                   	       0       16        0        0       16
31556 libjruby-joni-java                 	       0        4        0        4        0
31557 libjruby-utils-clojure             	       0        1        0        0        1
31558 libjs-angular-file-upload          	       0        1        0        0        1
31559 libjs-angular-gettext              	       0        1        0        0        1
31560 libjs-angular-schema-form          	       0        1        0        0        1
31561 libjs-angularjs                    	       0       19        0        0       19
31562 libjs-angularjs-smart-table        	       0        1        0        0        1
31563 libjs-asciimathml                  	       0        1        0        1        0
31564 libjs-async                        	       0      171        0        0      171
31565 libjs-backbone                     	       0       71        0        0       71
31566 libjs-bootbox                      	       0        1        0        0        1
31567 libjs-bootsidemenu                 	       0        2        0        0        2
31568 libjs-bootstrap                    	       0      244        0        0      244
31569 libjs-bootstrap-tour               	       0       57        0        0       57
31570 libjs-bootstrap4                   	       0      313        0        1      312
31571 libjs-bootstrap5                   	       0       19        0        0       19
31572 libjs-bootstrap5-doc               	       0        2        0        0        2
31573 libjs-bootswatch                   	       0       47        0        0       47
31574 libjs-c3                           	       0        2        0        0        2
31575 libjs-chart.js                     	       0        6        0        0        6
31576 libjs-chosen                       	       0        4        0        0        4
31577 libjs-coffeescript                 	       0       37        0        0       37
31578 libjs-cropper                      	       0       25        0        0       25
31579 libjs-d3                           	       0       75        0        0       75
31580 libjs-d3-format                    	       0        3        0        0        3
31581 libjs-d3-tip                       	       0        5        0        0        5
31582 libjs-dojo-core                    	       0        1        0        0        1
31583 libjs-dojo-dijit                   	       0        1        0        0        1
31584 libjs-dojo-dojox                   	       0        1        0        1        0
31585 libjs-elycharts                    	       0        4        0        0        4
31586 libjs-emojify                      	       0        2        0        0        2
31587 libjs-emojione                     	       0        2        0        0        2
31588 libjs-eonasdan-bootstrap-datetimepicker	       0        5        0        0        5
31589 libjs-es5-shim                     	       0       44        0        0       44
31590 libjs-es6-promise                  	       0       57        0        0       57
31591 libjs-events                       	       0      173        0        0      173
31592 libjs-excanvas                     	       0       59        0        0       59
31593 libjs-extjs                        	       0        1        0        0        1
31594 libjs-highlight.js                 	       0      364        0        0      364
31595 libjs-htmx                         	       0        1        0        0        1
31596 libjs-i18next                      	       0        1        0        0        1
31597 libjs-impress                      	       0        4        0        0        4
31598 libjs-inherits                     	       0      226        0        0      226
31599 libjs-is-typedarray                	       0      212        0        0      212
31600 libjs-ismobilejs                   	       0        1        0        0        1
31601 libjs-jed                          	       0       57        0        0       57
31602 libjs-jquery                       	       0     2958        0        0     2958
31603 libjs-jquery-atwho                 	       0        1        0        0        1
31604 libjs-jquery-colorbox              	       0        2        0        0        2
31605 libjs-jquery-colorpicker           	       0        5        0        0        5
31606 libjs-jquery-cookie                	       0       24        0        0       24
31607 libjs-jquery-datatables            	       0       71        0        0       71
31608 libjs-jquery-datatables-extensions 	       0       35        0        0       35
31609 libjs-jquery-easing                	       0       56        0        0       56
31610 libjs-jquery-fancybox              	       0       56        0        0       56
31611 libjs-jquery-file-upload           	       0        1        0        1        0
31612 libjs-jquery-flot                  	       0       32        0        0       32
31613 libjs-jquery-flot-docs             	       0        1        0        0        1
31614 libjs-jquery-form                  	       0        8        0        0        8
31615 libjs-jquery-hotkeys               	       0       55        0        0       55
31616 libjs-jquery-i18n-properties       	       0        5        0        0        5
31617 libjs-jquery-isonscreen            	       0       50        0        0       50
31618 libjs-jquery-jplayer               	       0        1        0        0        1
31619 libjs-jquery-jstree                	       0        4        0        0        4
31620 libjs-jquery-lazyload              	       0        2        0        0        2
31621 libjs-jquery-metadata              	       0      112        0        0      112
31622 libjs-jquery-migrate-1             	       0        2        0        0        2
31623 libjs-jquery-minicolors            	       0       12        0        0       12
31624 libjs-jquery-mobile                	       0        3        0        0        3
31625 libjs-jquery-mousewheel            	       0      112        0        0      112
31626 libjs-jquery-placeholder           	       0        5        0        0        5
31627 libjs-jquery-scrollto              	       0       26        0        0       26
31628 libjs-jquery-selectize.js          	       0       41        0        0       41
31629 libjs-jquery-tablesorter           	       0      112        0        0      112
31630 libjs-jquery-throttle-debounce     	       0       42        0       25       17
31631 libjs-jquery-timepicker            	       0       58        0        0       58
31632 libjs-jquery-tipsy                 	       0        1        0        0        1
31633 libjs-jquery-typeahead             	       0       57        0        0       57
31634 libjs-jquery-ui                    	       0      744        0        0      744
31635 libjs-jquery-ui-theme-base         	       0       42        0        0       42
31636 libjs-jquery-ui-theme-redmond      	       0        1        0        0        1
31637 libjs-jquery-ui-theme-smoothness   	       0       15        0        0       15
31638 libjs-jquery-ui-theme-south-street 	       0        3        0        0        3
31639 libjs-jquery-ui-theme-ui-darkness  	       0        3        0        0        3
31640 libjs-jquery-ui-theme-ui-lightness 	       0       12        0        0       12
31641 libjs-jquery-ui-touch-punch        	       0        3        0        0        3
31642 libjs-jquery.quicksearch           	       0        1        0        0        1
31643 libjs-jsencrypt                    	       0        1        0        0        1
31644 libjs-json                         	       0       46        0        0       46
31645 libjs-jstimezonedetect             	       0       15        0        0       15
31646 libjs-katex                        	       0       37        0        0       37
31647 libjs-leaflet                      	       0       72        0        0       72
31648 libjs-leaflet.markercluster        	       0        1        0        0        1
31649 libjs-lightbox2                    	       0        1        0        0        1
31650 libjs-lodash                       	       0       10        0        0       10
31651 libjs-lrdragndrop                  	       0        1        0        0        1
31652 libjs-lunr                         	       0      155        0        0      155
31653 libjs-magic-search                 	       0        1        0        0        1
31654 libjs-marked                       	       0       62        0        0       62
31655 libjs-mathjax                      	       0     2180        0        0     2180
31656 libjs-mathjax-doc                  	       0        9        0        0        9
31657 libjs-mediaelement                 	       0        2        0        0        2
31658 libjs-microplugin.js               	       0       42        0        0       42
31659 libjs-mochikit                     	       0        4        0        4        0
31660 libjs-modernizr                    	       0      302        0        0      302
31661 libjs-modestmaps                   	       0        1        0        0        1
31662 libjs-moment                       	       0       65        0        0       65
31663 libjs-moment-timezone              	       0        7        0        0        7
31664 libjs-mootools                     	       0       33        0        0       33
31665 libjs-mustache                     	       0       10        0        0       10
31666 libjs-node-uuid                    	       0        4        0        0        4
31667 libjs-nouislider                   	       0        2        0        0        2
31668 libjs-objectpath                   	       0        1        0        0        1
31669 libjs-of-ocaml                     	       0        1        0        1        0
31670 libjs-of-ocaml-dev                 	       0        1        0        1        0
31671 libjs-openlayers                   	       0       26        0        0       26
31672 libjs-pdf                          	       0        4        0        0        4
31673 libjs-prettify                     	       0      237        0        0      237
31674 libjs-prototype                    	       0       59        0        0       59
31675 libjs-psl                          	       0       66        0        0       66
31676 libjs-punycode                     	       0        3        0        0        3
31677 libjs-raphael                      	       0        7        0        0        7
31678 libjs-regenerate                   	       0      181        0        0      181
31679 libjs-remark-slide                 	       0       32        0        0       32
31680 libjs-requirejs                    	       0      109        0        0      109
31681 libjs-requirejs-text               	       0       64        0        0       64
31682 libjs-rickshaw                     	       0       11        0        0       11
31683 libjs-s5                           	       0        4        0        0        4
31684 libjs-sax                          	       0        2        0        0        2
31685 libjs-scriptaculous                	       0       45        0        0       45
31686 libjs-select2.js                   	       0        1        0        0        1
31687 libjs-sifter.js                    	       0       42        0        0       42
31688 libjs-sizzle                       	       0      304        0        0      304
31689 libjs-skeleton                     	       0        2        0        0        2
31690 libjs-source-map                   	       0      200        0        0      200
31691 libjs-sphinxdoc                    	       0     2415        0        0     2415
31692 libjs-spin.js                      	       0        1        0        0        1
31693 libjs-sprintf-js                   	       0      190        0        0      190
31694 libjs-strophe                      	       0        1        0        0        1
31695 libjs-swfobject                    	       0        3        0        0        3
31696 libjs-term.js                      	       0        9        0        0        9
31697 libjs-text-encoding                	       0       57        0        0       57
31698 libjs-three                        	       0       87        0        1       86
31699 libjs-toastr                       	       0        1        0        0        1
31700 libjs-tv4                          	       0        1        0        0        1
31701 libjs-twitter-bootstrap            	       0        1        0        0        1
31702 libjs-twitter-bootstrap-datepicker 	       0       42        0        0       42
31703 libjs-typedarray-to-buffer         	       0      204        0        0      204
31704 libjs-uglify                       	       0        5        0        0        5
31705 libjs-underscore                   	       0     2690        0        0     2690
31706 libjs-util                         	       0      181        0        0      181
31707 libjs-x2gokdriveclient             	       0        1        0        0        1
31708 libjs-xmlextras                    	       0       56        0        0       56
31709 libjs-xterm                        	       0       55        0        0       55
31710 libjsamp-java                      	       0        3        0        0        3
31711 libjsap-java                       	       0        3        0        0        3
31712 libjsap-java-doc                   	       0        1        0        0        1
31713 libjsch-agent-proxy-java           	       0        9        0        0        9
31714 libjsch-java                       	       0      182        0        0      182
31715 libjsch-java-doc                   	       0        1        0        0        1
31716 libjsofa-java                      	       0        2        0        0        2
31717 libjson-any-perl                   	       0        7        0        7        0
31718 libjson-c-doc                      	       0        2        0        0        2
31719 libjson-c2                         	       0      254        1        8      245
31720 libjson-c3                         	       0      386        3        3      380
31721 libjson-c4                         	       0        7        0        1        6
31722 libjson-glib-1.0-common            	       0     3466        0        3     3463
31723 libjson-glib-doc                   	       0        3        0        0        3
31724 libjson-parse-perl                 	       0      100        0        0      100
31725 libjson-path-perl                  	       0        1        0        1        0
31726 libjson-pp-perl                    	       0        6        0        6        0
31727 libjson-rpc-perl                   	       0        3        0        3        0
31728 libjson-simple-doc                 	       0        3        0        0        3
31729 libjson-simple-java                	       0       32        0        0       32
31730 libjson-validator-perl             	       0        3        1        2        0
31731 libjson-webtoken-perl              	       0        7        0        7        0
31732 libjson0                           	       0       16        0        0       16
31733 libjson4s-java                     	       0        1        0        0        1
31734 libjsoncpp-doc                     	       0        1        0        0        1
31735 libjsoncpp0                        	       0       24        0        0       24
31736 libjsoncpp0v5                      	       0        1        0        0        1
31737 libjsoncpp1                        	       0      190        0        2      188
31738 libjsoncpp24                       	       0      266        6       19      241
31739 libjsoncpp26                       	       0       64        0        3       61
31740 libjsonld-java                     	       0        2        0        0        2
31741 libjsonld-perl                     	       0        1        0        1        0
31742 libjsonp-java                      	       0       17        0        0       17
31743 libjsonp2-java                     	       0        2        0        0        2
31744 libjsonparser-dev                  	       0        2        0        2        0
31745 libjsonparser1.1                   	       0       40        1        3       36
31746 libjsonrpc-glib-1.0-1              	       0        5        0        0        5
31747 libjsonrpc-glib-1.0-dev            	       0        1        0        1        0
31748 libjsoup-java                      	       0      394        0        0      394
31749 libjsoup-java-doc                  	       0        1        0        0        1
31750 libjsp-api-java                    	       0      801        0        0      801
31751 libjspeex-java                     	       0        1        0        0        1
31752 libjsr107cache-java                	       0        5        0        0        5
31753 libjsr166y-java                    	       0       87        0        0       87
31754 libjsr305-java                     	       0      504        0        0      504
31755 libjsr305-java-doc                 	       0        4        0        0        4
31756 libjsr311-api-java                 	       0        6        0        0        6
31757 libjsr311-api-java-doc             	       0        1        0        0        1
31758 libjsw2                            	       0        1        0        0        1
31759 libjswingreader-java               	       0        2        0        0        2
31760 libjsyntaxpane-java                	       0       32        0        0       32
31761 libjsyntaxpane-java-doc            	       0        1        0        0        1
31762 libjtds-java                       	       0        6        0        0        6
31763 libjte1                            	       0      155        0        0      155
31764 libjte2                            	       0     2105        0        0     2105
31765 libjtharness-java                  	       0        2        0        0        2
31766 libjtidy-java                      	       0       23        0        0       23
31767 libjts-java                        	       0        4        0        0        4
31768 libjudy-dev                        	       0        3        0        3        0
31769 libjudydebian1                     	       0       88        0        1       87
31770 libjuff0.10                        	       0        5        0        0        5
31771 libjuff0.10t64                     	       0        2        0        0        2
31772 libjulia-dev                       	       0        1        0        1        0
31773 libjulia1                          	       0       16        0        0       16
31774 libjung-free-java                  	       0        1        0        0        1
31775 libjuniversalchardet-java          	       0       13        0        0       13
31776 libjuniversalchardet-java-doc      	       0        1        0        0        1
31777 libjunixsocket-java                	       0       12        0        0       12
31778 libjunixsocket-jni                 	       0       12        0        0       12
31779 libjutils-java                     	       0        5        0        0        5
31780 libjvyamlb-java                    	       0        3        0        0        3
31781 libjws-api-java                    	       0        4        0        0        4
31782 libjwt-dev                         	       0        1        0        1        0
31783 libjwt-gnutls-dev                  	       0        1        0        1        0
31784 libjwt-gnutls0                     	       0        7        1        1        5
31785 libjwt-gnutls2                     	       0        2        0        0        2
31786 libjwt0                            	       0        2        0        0        2
31787 libjwt2                            	       0        1        1        0        0
31788 libjxl-gdk-pixbuf                  	       0       43        1        0       42
31789 libjxl-testdata                    	       0        1        0        0        1
31790 libjxl-tools                       	       0        7        1        6        0
31791 libjxl0                            	       0        1        0        0        1
31792 libjxl0.8                          	       0        7        0        1        6
31793 libjxl0.9                          	       0      186        5       97       84
31794 libjxr-dev                         	       0        4        0        4        0
31795 libjxr0                            	       0     2930        1        5     2924
31796 libjxr0t64                         	       0      215        0        0      215
31797 libjzlib-java                      	       0      183        0        0      183
31798 libk3b-dev                         	       0        2        0        2        0
31799 libk3b-extracodecs                 	       0      155        0        1      154
31800 libk3b3-extracodecs-trinity        	       0       16        1       15        0
31801 libk3b3-trinity                    	       0       19        1       18        0
31802 libk3b6                            	       0       15        1       14        0
31803 libk3b6-extracodecs                	       0       15        1       14        0
31804 libk3b7                            	       0      110        0        0      110
31805 libk3b7-extracodecs                	       0      107        0        0      107
31806 libk3b8                            	       0      161        0        0      161
31807 libk3b8t64                         	       0        8        0        1        7
31808 libkabc4                           	       0       29        1       28        0
31809 libkaccounts1                      	       0       34        0        0       34
31810 libkaccounts6-2                    	       0       37        2        2       33
31811 libkactivities-bin                 	       0        6        1        5        0
31812 libkactivities-models1             	       0        7        0        0        7
31813 libkactivities6                    	       0       43        0        1       42
31814 libkadm5clnt-mit11                 	       0       34        0        0       34
31815 libkadm5clnt-mit12                 	       0      194        1        2      191
31816 libkadm5clnt-mit7                  	       0       14        0        0       14
31817 libkadm5clnt-mit8                  	       0        6        0        0        6
31818 libkadm5clnt-mit9                  	       0        5        0        0        5
31819 libkadm5clnt7-heimdal              	       0       21        0        0       21
31820 libkadm5clnt7t64-heimdal           	       0        1        0        0        1
31821 libkadm5srv-mit11                  	       0       34        0        0       34
31822 libkadm5srv-mit12                  	       0      194        1        3      190
31823 libkadm5srv-mit7                   	       0       14        0        0       14
31824 libkadm5srv-mit9                   	       0        4        0        0        4
31825 libkadm5srv8-heimdal               	       0       26        0        1       25
31826 libkadm5srv8t64-heimdal            	       0        1        0        0        1
31827 libkafs0-heimdal                   	       0       21        0        0       21
31828 libkafs0t64-heimdal                	       0        1        0        0        1
31829 libkakasi2                         	       0        1        0        0        1
31830 libkalarmcal2                      	       0        5        0        0        5
31831 libkarma0                          	       0        9        0        0        9
31832 libkasten2controllers2             	       0        1        0        0        1
31833 libkasten2core2                    	       0        1        0        0        1
31834 libkasten2gui2                     	       0        1        0        0        1
31835 libkasten2okteta1controllers1abi1  	       0        1        0        0        1
31836 libkasten2okteta1core1             	       0        1        0        0        1
31837 libkasten2okteta1gui1              	       0        1        0        0        1
31838 libkasten3controllers3             	       0        5        0        0        5
31839 libkasten3core3                    	       0        5        0        0        5
31840 libkasten3gui3                     	       0        5        0        0        5
31841 libkasten3okteta1controllers1      	       0        3        0        0        3
31842 libkasten3okteta1controllers1abi1  	       0        2        0        0        2
31843 libkasten3okteta1core1             	       0        5        0        0        5
31844 libkasten3okteta1gui1              	       0        5        0        0        5
31845 libkasten4controllers0             	       0       98        0        1       97
31846 libkasten4core0                    	       0       98        0        1       97
31847 libkasten4gui0                     	       0       98        0        1       97
31848 libkasten4okteta2controllers0      	       0       98        0        1       97
31849 libkasten4okteta2core0             	       0       98        0        1       97
31850 libkasten4okteta2gui0              	       0       98        0        1       97
31851 libkate-dev                        	       0       10        0       10        0
31852 libkate1                           	       0     3037        0        8     3029
31853 libkateinterfaces4                 	       0        2        0        0        2
31854 libkatepartinterfaces4             	       0       45        0        0       45
31855 libkblog4                          	       0        3        0        0        3
31856 libkcal4                           	       0        6        1        5        0
31857 libkcalcore4                       	       0        7        0        1        6
31858 libkcalendarutils-data             	       0        8        0        0        8
31859 libkcalutils4                      	       0        7        0        1        6
31860 libkcarchiver0                     	       0        1        1        0        0
31861 libkcarchivercore0                 	       0        1        1        0        0
31862 libkcddb4                          	       0       16        0        0       16
31863 libkcddb6-5                        	       0       15        0        1       14
31864 libkcfreebusy0                     	       0        1        1        0        0
31865 libkchart-l10n                     	       0        3        0        0        3
31866 libkchart2                         	       0       55        0        0       55
31867 libkchart2-l10n                    	       0       48        0        0       48
31868 libkchart6-3                       	       0        3        0        0        3
31869 libkcicalmapi0                     	       0        1        1        0        0
31870 libkcinetmapi0                     	       0        1        1        0        0
31871 libkcmutils4                       	       0       45        1        1       43
31872 libkcoidc0                         	       0        1        1        0        0
31873 libkcolorpicker-qt5-0              	       0       22        0        0       22
31874 libkcolorpicker-qt6-0              	       0       17        1        0       16
31875 libkcolorpicker-qt6-dev            	       0        1        0        1        0
31876 libkcolorpicker0                   	       0      529        3       11      515
31877 libkcompactdisc4                   	       0       16        0        0       16
31878 libkcompactdisc6-5                 	       0        7        0        0        7
31879 libkcpyplug0                       	       0        1        0        0        1
31880 libkcrosie0                        	       0        1        1        0        0
31881 libkcserver0                       	       0        1        1        0        0
31882 libkcsoap0                         	       0        1        1        0        0
31883 libkcutil0                         	       0        1        1        0        0
31884 libkdb-data                        	       0       12        0        0       12
31885 libkdb3-4                          	       0        2        0        0        2
31886 libkdb3-4abi1                      	       0       11        0        0       11
31887 libkdb3-dev                        	       0        1        0        1        0
31888 libkdb3-driver-mysql               	       0        5        0        0        5
31889 libkdb3-driver-postgresql          	       0        3        0        0        3
31890 libkdb3-driver-sqlite              	       0       12        0       12        0
31891 libkdb5-10                         	       0      151        2        4      145
31892 libkdb5-10t64                      	       0       44        0        0       44
31893 libkdb5-4                          	       0       14        0        0       14
31894 libkdb5-6                          	       0        6        0        0        6
31895 libkdb5-7                          	       0        4        0        0        4
31896 libkdb5-8                          	       0       14        0        0       14
31897 libkdb5-9                          	       0       20        0        0       20
31898 libkdc2-heimdal                    	       0       15        0        1       14
31899 libkdcraw-data                     	       0        9        0        0        9
31900 libkdcraw23                        	       0        3        0        0        3
31901 libkdcraw3-trinity                 	       0       11        0        0       11
31902 libkdcrawqt6-5                     	       0       20        1        0       19
31903 libkdcrawqt6-dev                   	       0        1        0        1        0
31904 libkde3support4                    	       0       44        0        0       44
31905 libkde4-ruby1.8                    	       0        1        0        0        1
31906 libkdeclarative5                   	       0       44        0        1       43
31907 libkdecorations2-6                 	       0       21        3       14        4
31908 libkdecorations2-dev               	       0        4        0        4        0
31909 libkdecorations2private11          	       0       21        3       14        4
31910 libkdecorations2private5v5         	       0       13        0        0       13
31911 libkdecorations2private6           	       0       25        2        0       23
31912 libkdecorations2private9           	       0        4        0        1        3
31913 libkdecorations3private2           	       0        1        1        0        0
31914 libkdecorations4abi2               	       0        8        0        1        7
31915 libkdecore5                        	       0       46        3       43        0
31916 libkdeedu-data                     	       0        2        0        0        2
31917 libkdeedu3                         	       0        1        0        0        1
31918 libkdegames1                       	       0        1        0        0        1
31919 libkdegames5a                      	       0        1        0        0        1
31920 libkdegames6-6                     	       0       10        0        0       10
31921 libkdegames6-i18n                  	       0       10        0        0       10
31922 libkdegames6abi1                   	       0        4        0        0        4
31923 libkdegames6private6               	       0        5        0        0        5
31924 libkdegamesprivate1abi1            	       0        3        0        0        3
31925 libkdepim-data                     	       0        8        0        0        8
31926 libkdepim-plugins                  	       0        5        0        0        5
31927 libkdepim4                         	       0        4        1        1        2
31928 libkdepimdbusinterfaces4           	       0        4        0        1        3
31929 libkdesu5                          	       0       43        1       42        0
31930 libkdeui5                          	       0       46        1        1       44
31931 libkdewebkit5                      	       0       45        1        1       43
31932 libkdf5-2                          	       0        1        0        0        1
31933 libkdgantt2-0                      	       0        4        0        1        3
31934 libkdl-parser-dev                  	       0        1        0        0        1
31935 libkdl-parser1d                    	       0        1        0        0        1
31936 libkdnssd4                         	       0       44        1        1       42
31937 libkdsingleapplication-qt6-1.0     	       0        5        0        0        5
31938 libkdsingleapplication-qt6-dev     	       0        1        0        1        0
31939 libkdsoap-bin                      	       0        1        0        1        0
31940 libkdsoap-dev                      	       0        1        0        1        0
31941 libkdsoap-doc                      	       0        1        0        0        1
31942 libkdsoap-qt6-2                    	       0        7        0        0        7
31943 libkdsoap-server1                  	       0        1        0        0        1
31944 libkdsoap1                         	       0      625        1        1      623
31945 libkdsoapwsdiscoveryclient0        	       0        7        0        0        7
31946 libkdtree++-dev                    	       0        6        0        0        6
31947 libkeduvocdocument-data            	       0       48        0        0       48
31948 libkeduvocdocument5                	       0        9        0        0        9
31949 libkeduvocdocument5abi1            	       0       42        0        0       42
31950 libkeduvocdocument5abi2            	       0        6        0        0        6
31951 libkemoticons4                     	       0       44        0        1       43
31952 libkephal4abi1                     	       0        5        0        1        4
31953 libkexiv2-11                       	       0       17        0        1       16
31954 libkexiv2-3-trinity                	       0       11        0        0       11
31955 libkexiv2-data                     	       0       21        0        0       21
31956 libkexiv2qt6-0                     	       0       36        6       12       18
31957 libkexiv2qt6-dev                   	       0        1        0        1        0
31958 libkeybinder-3.0-dev               	       0        1        0        1        0
31959 libkeybinder-dev                   	       0        1        0        1        0
31960 libkeyutils-dev                    	       0        9        0        9        0
31961 libkf5activities-dev               	       0        6        0        6        0
31962 libkf5activities-doc               	       0        3        0        0        3
31963 libkf5activitiesstats-dev          	       0        4        0        4        0
31964 libkf5activitiesstats-doc          	       0        2        0        0        2
31965 libkf5akonadi-data                 	       0      540       13       36      491
31966 libkf5akonadi-dev                  	       0        6        0        6        0
31967 libkf5akonadi-dev-bin              	       0        3        0        3        0
31968 libkf5akonadiagentbase5            	       0      492       22       72      398
31969 libkf5akonadicalendar-dev          	       0        3        0        3        0
31970 libkf5akonadicalendar5             	       0       10        0        0       10
31971 libkf5akonadicontact-dev           	       0        4        0        4        0
31972 libkf5akonadicore-bin              	       0       12        0        0       12
31973 libkf5akonadicore5                 	       0       11        0        0       11
31974 libkf5akonadimime-dev              	       0        4        0        4        0
31975 libkf5akonadinotes-dev             	       0        2        0        2        0
31976 libkf5akonadiprivate5              	       0       14        0        0       14
31977 libkf5akonadisearch-data           	       0      493        0        0      493
31978 libkf5akonadisearch-dev            	       0        2        0        2        0
31979 libkf5akonadisearchcore5           	       0      475       22       68      385
31980 libkf5akonadisearchcore5t64        	       0       16        0        3       13
31981 libkf5akonadisearchdebug5          	       0      470        3       16      451
31982 libkf5akonadisearchdebug5t64       	       0       14        0        0       14
31983 libkf5akonadisearchpim5t64         	       0       16        0        4       12
31984 libkf5akonadisearchxapian5         	       0      475       22       68      385
31985 libkf5akonadisearchxapian5t64      	       0       16        0        3       13
31986 libkf5akonadiserver-dev            	       0        7        0        7        0
31987 libkf5akonadiwidgets5              	       0       11        0        0       11
31988 libkf5akonadixml5                  	       0       47        0        0       47
31989 libkf5alarmcalendar-data           	       0      101        0        0      101
31990 libkf5alarmcalendar5               	       0       10        0        0       10
31991 libkf5alarmcalendar5abi1           	       0       90        1        2       87
31992 libkf5archive-doc                  	       0       17        0        0       17
31993 libkf5attica-dev                   	       0       29        0       29        0
31994 libkf5attica-doc                   	       0       21        0        0       21
31995 libkf5auth-doc                     	       0       23        0        0       23
31996 libkf5baloo-doc                    	       0        3        0        0        3
31997 libkf5baloowidgets-dev             	       0        3        0        3        0
31998 libkf5blog5                        	       0        2        0        0        2
31999 libkf5bluezqt-dev                  	       0        3        0        3        0
32000 libkf5bluezqt-doc                  	       0        3        0        0        3
32001 libkf5bookmarks-dev                	       0       23        0       23        0
32002 libkf5bookmarks-doc                	       0       15        0        0       15
32003 libkf5calendarcore-dev             	       0        4        0        4        0
32004 libkf5calendarcore5                	       0       13        0        0       13
32005 libkf5calendarsupport-data         	       0      485        2        4      479
32006 libkf5calendarsupport-dev          	       0        3        0        3        0
32007 libkf5calendarsupport5             	       0       10        0        0       10
32008 libkf5calendarutils-dev            	       0        4        0        4        0
32009 libkf5cddb-dev                     	       0        2        0        2        0
32010 libkf5cddb5                        	       0      303        0        0      303
32011 libkf5codecs-doc                   	       0       24        0        0       24
32012 libkf5compactdisc-dev              	       0        2        0        2        0
32013 libkf5compactdisc5                 	       0       71        0        0       71
32014 libkf5completion-dev               	       0       26        0       26        0
32015 libkf5completion-doc               	       0       17        0        0       17
32016 libkf5composereditorng5            	       0        1        0        0        1
32017 libkf5config-doc                   	       0       78        0        0       78
32018 libkf5configwidgets-doc            	       0       22        0        0       22
32019 libkf5contacteditor-dev            	       0        4        0        4        0
32020 libkf5contacts-data                	       0      783        0        1      782
32021 libkf5contacts-dev                 	       0        5        0        5        0
32022 libkf5coreaddons-doc               	       0       76        0        0       76
32023 libkf5crash-doc                    	       0       11        0        0       11
32024 libkf5dav-data                     	       0      469        2        1      466
32025 libkf5dav-dev                      	       0        2        0        2        0
32026 libkf5dav5                         	       0      467        3        8      456
32027 libkf5dbusaddons-doc               	       0       73        0        0       73
32028 libkf5declarative-dev              	       0        8        0        8        0
32029 libkf5declarative-doc              	       0        5        0        0        5
32030 libkf5dnssd-data                   	       0      707       14       38      655
32031 libkf5dnssd-dev                    	       0        7        0        7        0
32032 libkf5dnssd-doc                    	       0        6        0        0        6
32033 libkf5dnssd5                       	       0      706       23       76      607
32034 libkf5doctools5                    	       0     1174        0        0     1174
32035 libkf5emoticons-bin                	       0       49        0        1       48
32036 libkf5emoticons-data               	       0       50        0        0       50
32037 libkf5emoticons-doc                	       0        7        0        0        7
32038 libkf5emoticons5                   	       0       49        1        1       47
32039 libkf5eventviews-data              	       0      486        1        3      482
32040 libkf5eventviews-dev               	       0        3        0        3        0
32041 libkf5eventviews5                  	       0       10        0        0       10
32042 libkf5filemetadata-bin             	       0      787        0        6      781
32043 libkf5filemetadata-data            	       0      806        0        7      799
32044 libkf5filemetadata-dev             	       0        5        0        5        0
32045 libkf5filemetadata-doc             	       0        3        0        0        3
32046 libkf5followupreminder5            	       0       25        0        0       25
32047 libkf5gapi-data                    	       0       15        0        0       15
32048 libkf5gapiblogger5                 	       0        1        0        0        1
32049 libkf5gapicalendar5                	       0       10        0        0       10
32050 libkf5gapicontacts5                	       0       10        0        0       10
32051 libkf5gapicore5                    	       0       10        0        0       10
32052 libkf5gapitasks5                   	       0       10        0        0       10
32053 libkf5globalaccel-doc              	       0       22        0        0       22
32054 libkf5gpgmepp-pthread5             	       0       11        0        0       11
32055 libkf5grantleetheme-dev            	       0        4        0        4        0
32056 libkf5grantleetheme-plugins        	       0      526        3        6      517
32057 libkf5gravatar-data                	       0      479        0        0      479
32058 libkf5gravatar-dev                 	       0        2        0        2        0
32059 libkf5gravatar5                    	       0       10        0        0       10
32060 libkf5gravatar5abi2                	       0      476       22       70      384
32061 libkf5guiaddons-data               	       0      976        0        0      976
32062 libkf5guiaddons-doc                	       0       24        0        0       24
32063 libkf5holidays-data                	       0      571        8       28      535
32064 libkf5holidays-dev                 	       0        3        0        3        0
32065 libkf5holidays-doc                 	       0        2        0        0        2
32066 libkf5i18n-doc                     	       0       76        0        0       76
32067 libkf5iconthemes-doc               	       0       21        0        0       21
32068 libkf5identitymanagement-dev       	       0        4        0        4        0
32069 libkf5identitymanagementwidgets5   	       0      395       23       64      308
32070 libkf5idletime-dev                 	       0        5        0        5        0
32071 libkf5idletime-doc                 	       0        4        0        0        4
32072 libkf5imap-data                    	       0      488        2        6      480
32073 libkf5imap-dev                     	       0        2        0        2        0
32074 libkf5incidenceeditor-bin          	       0       13        0       13        0
32075 libkf5incidenceeditor-data         	       0      474        0        0      474
32076 libkf5incidenceeditor-dev          	       0        1        0        1        0
32077 libkf5incidenceeditor5             	       0       10        0        0       10
32078 libkf5itemmodels-doc               	       0       12        0        0       12
32079 libkf5itemviews-dev                	       0       32        0       32        0
32080 libkf5itemviews-doc                	       0       22        0        0       22
32081 libkf5jobwidgets-dev               	       0       24        0       24        0
32082 libkf5jobwidgets-doc               	       0       16        0        0       16
32083 libkf5js5                          	       0      768        4       21      743
32084 libkf5jsapi5                       	       0      632        4       20      608
32085 libkf5jsembed-data                 	       0       36        0        0       36
32086 libkf5jsembed-dev                  	       0        1        0        1        0
32087 libkf5jsembed5                     	       0       36        0        0       36
32088 libkf5kaddressbookgrantlee5        	       0       98        0        9       89
32089 libkf5kaddressbookimportexport5    	       0       87        0        0       87
32090 libkf5kcmutils-bin                 	       0      858        0        0      858
32091 libkf5kcmutils-data                	       0     1088        0        4     1084
32092 libkf5kcmutils-dev                 	       0       12        0       12        0
32093 libkf5kcmutils-doc                 	       0        9        0        0        9
32094 libkf5kdcraw-dev                   	       0        1        0        1        0
32095 libkf5kdcraw5                      	       0      643        1        8      634
32096 libkf5kdegames-dev                 	       0        1        0        1        0
32097 libkf5kdegames7                    	       0      151        1        9      141
32098 libkf5kdegamesprivate1             	       0       20        0        2       18
32099 libkf5kdegamesprivate7             	       0       45        0        0       45
32100 libkf5kdelibs4support-data         	       0      582        0        2      580
32101 libkf5kdelibs4support-dev          	       0        6        0        6        0
32102 libkf5kdelibs4support5t64          	       0       27        0       12       15
32103 libkf5kdepimdbusinterfaces5        	       0       25        0        0       25
32104 libkf5kdgantt2-5                   	       0       11        0        0       11
32105 libkf5kexiv2-dev                   	       0        2        0        2        0
32106 libkf5khtml-bin                    	       0      651        0        0      651
32107 libkf5khtml-data                   	       0      678        0        0      678
32108 libkf5khtml-dev                    	       0        2        0        2        0
32109 libkf5khtml5                       	       0      672        0        3      669
32110 libkf5kio-doc                      	       0       16        0        0       16
32111 libkf5kipi-data                    	       0      134        0        0      134
32112 libkf5kipi-dev                     	       0        1        0        1        0
32113 libkf5kipi31.0.0                   	       0       13        0        0       13
32114 libkf5kipi32.0.0                   	       0      118        0        3      115
32115 libkf5kirigami2-doc                	       0        6        0        0        6
32116 libkf5kjs-dev                      	       0        4        0        4        0
32117 libkf5kmahjongglib-dev             	       0        1        0        1        0
32118 libkf5kmahjongglib5                	       0       79        0        1       78
32119 libkf5kmanagesieve5                	       0      475        2       12      461
32120 libkf5konq-dev                     	       0        2        0        2        0
32121 libkf5kontactinterface-data        	       0      496        0        0      496
32122 libkf5kontactinterface-dev         	       0        1        0        1        0
32123 libkf5kontactinterface5            	       0      493        4       18      471
32124 libkf5krosscore5                   	       0      534        0        0      534
32125 libkf5krossui5                     	       0      528        0        0      528
32126 libkf5ksieve-data                  	       0      479        2        8      469
32127 libkf5ksieve-dev                   	       0        1        0        1        0
32128 libkf5ksieve5                      	       0      480        2       12      466
32129 libkf5ksieveui5                    	       0      473        2       12      459
32130 libkf5ldap-data                    	       0      492        0        0      492
32131 libkf5ldap-dev                     	       0        2        0        2        0
32132 libkf5ldap5                        	       0       11        0        0       11
32133 libkf5libkdepim-data               	       0      506        4       10      492
32134 libkf5libkdepim-dev                	       0        1        0        1        0
32135 libkf5libkdepim-plugins            	       0      497        0        0      497
32136 libkf5libkdepimakonadi5            	       0       21        2        0       19
32137 libkf5libkleo-data                 	       0      495        0        3      492
32138 libkf5libkleo-dev                  	       0        1        0        1        0
32139 libkf5libkleo5                     	       0      501       22       71      408
32140 libkf5mailcommon-data              	       0      473        2       10      461
32141 libkf5mailcommon-dev               	       0        1        0        1        0
32142 libkf5mailcommon-plugins           	       0       14        0        0       14
32143 libkf5mailcommon5                  	       0       10        0        0       10
32144 libkf5mailcommon5abi2              	       0      467       22       70      375
32145 libkf5mailimporter-dev             	       0        1        0        1        0
32146 libkf5mailimporter5                	       0      481       22       70      389
32147 libkf5mailimporterakonadi5         	       0      453        0        0      453
32148 libkf5mailtransport-data           	       0      511        2        8      501
32149 libkf5mailtransport-dev            	       0        2        0        2        0
32150 libkf5mbox-dev                     	       0        2        0        2        0
32151 libkf5mbox5                        	       0      501       22       70      409
32152 libkf5mediaplayer-data             	       0        9        0        0        9
32153 libkf5mediaplayer-dev              	       0        2        0        2        0
32154 libkf5mediaplayer5                 	       0        8        0        0        8
32155 libkf5mediawiki-dev                	       0        1        0        1        0
32156 libkf5mediawiki5                   	       0        7        0        0        7
32157 libkf5messagecomposer-dev          	       0        1        0        1        0
32158 libkf5messagecomposer5             	       0       10        0        0       10
32159 libkf5messagecomposer5abi1         	       0      452       22       67      363
32160 libkf5messagecomposer5abi1t64      	       0       15        0        3       12
32161 libkf5messagecore-dev              	       0        2        0        2        0
32162 libkf5messagecore5                 	       0       10        0        0       10
32163 libkf5messagecore5abi1             	       0      460       22       67      371
32164 libkf5messagecore5abi1t64          	       0       15        0        3       12
32165 libkf5messagelist-dev              	       0        2        0        2        0
32166 libkf5messagelist5                 	       0       10        0        0       10
32167 libkf5messagelist5abi1             	       0      451       22       60      369
32168 libkf5messagelist5abi1t64          	       0       15        0        3       12
32169 libkf5messageviewer-dev            	       0        2        0        2        0
32170 libkf5messageviewer5               	       0       10        0        0       10
32171 libkf5messageviewer5abi1           	       0      458       22       67      369
32172 libkf5messageviewer5abi1t64        	       0       15        0        3       12
32173 libkf5mime-data                    	       0      540        0        2      538
32174 libkf5mime-dev                     	       0        6        0        6        0
32175 libkf5mime5                        	       0       12        0        0       12
32176 libkf5mimetreeparser-dev           	       0        2        0        2        0
32177 libkf5mimetreeparser5abi1          	       0      463       22       67      374
32178 libkf5mimetreeparser5abi1t64       	       0       15        0        3       12
32179 libkf5modemmanagerqt-doc           	       0        1        0        0        1
32180 libkf5networkmanagerqt-dev         	       0        2        0        2        0
32181 libkf5networkmanagerqt-doc         	       0        1        0        0        1
32182 libkf5newstuff-dev                 	       0       10        0       10        0
32183 libkf5newstuff-doc                 	       0        8        0        0        8
32184 libkf5notifications-dev            	       0       17        0       17        0
32185 libkf5notifications-doc            	       0       14        0        0       14
32186 libkf5notifyconfig-data            	       0      907        0        0      907
32187 libkf5notifyconfig-dev             	       0       10        0       10        0
32188 libkf5notifyconfig-doc             	       0        9        0        0        9
32189 libkf5package-doc                  	       0       61        0        0       61
32190 libkf5parts-dev                    	       0       13        0       13        0
32191 libkf5parts-doc                    	       0        9        0        0        9
32192 libkf5parts-plugins                	       0      993        0        0      993
32193 libkf5people-data                  	       0      771        0        0      771
32194 libkf5people-dev                   	       0        4        0        4        0
32195 libkf5people-doc                   	       0        1        0        0        1
32196 libkf5pimcommon-data               	       0      482        3       10      469
32197 libkf5pimcommon-dev                	       0        2        0        2        0
32198 libkf5pimcommon-plugins            	       0       14        0        0       14
32199 libkf5pimcommon5                   	       0       10        0        0       10
32200 libkf5pimcommonautocorrection5     	       0      397       22       62      313
32201 libkf5pimtextedit-data             	       0      509        3        8      498
32202 libkf5pimtextedit-dev              	       0        4        0        4        0
32203 libkf5pimtextedit-plugins          	       0      490        0        0      490
32204 libkf5pimtextedit5                 	       0       10        0        0       10
32205 libkf5plasma-doc                   	       0       59        0        0       59
32206 libkf5plotting-dev                 	       0        6        0        6        0
32207 libkf5plotting-doc                 	       0        5        0        0        5
32208 libkf5plotting5                    	       0      119        0        1      118
32209 libkf5prison-dev                   	       0        6        0        6        0
32210 libkf5prison-doc                   	       0        3        0        0        3
32211 libkf5prisonscanner5               	       0      638        0        0      638
32212 libkf5pty-data                     	       0      769        0        0      769
32213 libkf5pty-dev                      	       0        5        0        5        0
32214 libkf5pty-doc                      	       0        4        0        0        4
32215 libkf5pulseaudioqt-dev             	       0        3        0        3        0
32216 libkf5pulseaudioqt-doc             	       0        1        0        0        1
32217 libkf5pulseaudioqt2                	       0      133        1        4      128
32218 libkf5pulseaudioqt2-doc            	       0        1        0        0        1
32219 libkf5pulseaudioqt3                	       0      568        6       18      544
32220 libkf5pulseaudioqt4                	       0        2        0        1        1
32221 libkf5pulseaudioqt5                	       0       29        0        0       29
32222 libkf5purpose-dev                  	       0        3        0        3        0
32223 libkf5qgpgme5                      	       0       11        0        0       11
32224 libkf5qqc2desktopstyle-dev         	       0        2        0        0        2
32225 libkf5runner-dev                   	       0        4        0        4        0
32226 libkf5runner-doc                   	       0        1        0        0        1
32227 libkf5sane-data                    	       0       93        0        0       93
32228 libkf5sane-dev                     	       0        2        0        2        0
32229 libkf5sane5                        	       0       92        0        0       92
32230 libkf5screen-dev                   	       0        2        0        2        0
32231 libkf5sendlater5                   	       0       25        0        0       25
32232 libkf5service-data                 	       0     1210        0        0     1210
32233 libkf5service-doc                  	       0       71        0        0       71
32234 libkf5solid-bin                    	       0        2        0        2        0
32235 libkf5solid-dev                    	       0       24        0       24        0
32236 libkf5solid-doc                    	       0       15        0        0       15
32237 libkf5sonnet-dev                   	       0       18        0       18        0
32238 libkf5sonnet-dev-bin               	       0       18        0       18        0
32239 libkf5sonnet-doc                   	       0       12        0        0       12
32240 libkf5style-dev                    	       0        3        0        3        0
32241 libkf5su-bin                       	       0      616        0        0      616
32242 libkf5su-data                      	       0      618        0        0      618
32243 libkf5su-dev                       	       0        4        0        4        0
32244 libkf5su-doc                       	       0        4        0        0        4
32245 libkf5su5                          	       0      616        0        2      614
32246 libkf5syndication-dev              	       0        3        0        3        0
32247 libkf5syndication5                 	       0       11        0        0       11
32248 libkf5syntaxhighlighting-data      	       0      748       18       58      672
32249 libkf5syntaxhighlighting-dev       	       0        9        0        9        0
32250 libkf5syntaxhighlighting-doc       	       0        6        0        0        6
32251 libkf5sysguard-data                	       0      552        4       17      531
32252 libkf5sysguard-dev                 	       0        9        0        9        0
32253 libkf5templateparser-dev           	       0        1        0        1        0
32254 libkf5templateparser5              	       0      463       22       67      374
32255 libkf5templateparser5t64           	       0       15        0        3       12
32256 libkf5texteditor-dev               	       0        7        0        7        0
32257 libkf5texteditor-doc               	       0        5        0        0        5
32258 libkf5textwidgets-data             	       0     1201        0        1     1200
32259 libkf5textwidgets-dev              	       0       15        0       15        0
32260 libkf5textwidgets-doc              	       0       11        0        0       11
32261 libkf5threadweaver-dev             	       0        9        0        9        0
32262 libkf5threadweaver-doc             	       0        7        0        0        7
32263 libkf5tnef-dev                     	       0        1        0        1        0
32264 libkf5tnef5                        	       0      471        2        4      465
32265 libkf5torrent6                     	       0        7        0        0        7
32266 libkf5torrent6abi1                 	       0       15        0        0       15
32267 libkf5torrent6abi2                 	       0        1        0        0        1
32268 libkf5torrent6abi3                 	       0       58        0        4       54
32269 libkf5unitconversion-data          	       0      495        3       15      477
32270 libkf5unitconversion-dev           	       0        7        0        7        0
32271 libkf5unitconversion-doc           	       0        5        0        0        5
32272 libkf5wallet-dev                   	       0        8        0        8        0
32273 libkf5wallet-doc                   	       0        7        0        0        7
32274 libkf5wayland-dev                  	       0        6        0        6        0
32275 libkf5wayland-doc                  	       0        3        0        0        3
32276 libkf5webengineviewer-dev          	       0        2        0        2        0
32277 libkf5webengineviewer5abi1         	       0      460       22       67      371
32278 libkf5webengineviewer5abi1t64      	       0       17        0        3       14
32279 libkf5webkit-dev                   	       0        3        0        3        0
32280 libkf5webkit5                      	       0       38        0        1       37
32281 libkf5widgetsaddons-doc            	       0       25        0        0       25
32282 libkf5windowsystem-doc             	       0       78        0        0       78
32283 libkf5xmlgui-bin                   	       0     1122        0        0     1122
32284 libkf5xmlgui-doc                   	       0       20        0        0       20
32285 libkf5xmlrpcclient-data            	       0       46        0        0       46
32286 libkf5xmlrpcclient-dev             	       0        1        0        1        0
32287 libkf5xmlrpcclient-doc             	       0        1        0        0        1
32288 libkf5xmlrpcclient5                	       0       42        0        0       42
32289 libkf6archive-doc                  	       0        3        0        0        3
32290 libkf6attica-doc                   	       0        1        0        0        1
32291 libkf6auth-doc                     	       0        1        0        0        1
32292 libkf6baloo-doc                    	       0        1        0        0        1
32293 libkf6bluezqt-data                 	       0       53        0        0       53
32294 libkf6bluezqt-doc                  	       0        1        0        0        1
32295 libkf6bluezqt6                     	       0       52        6       12       34
32296 libkf6bookmarks-doc                	       0        3        0        0        3
32297 libkf6calendarcore-doc             	       0        1        0        0        1
32298 libkf6calendarcore6                	       0       12        4        3        5
32299 libkf6codecs-doc                   	       0        3        0        0        3
32300 libkf6colorscheme-data             	       0       88        1        4       83
32301 libkf6colorscheme-doc              	       0        3        0        0        3
32302 libkf6completion-doc               	       0        3        0        0        3
32303 libkf6config-doc                   	       0        4        0        0        4
32304 libkf6configwidgets-doc            	       0        3        0        0        3
32305 libkf6contacts-data                	       0       37        0        0       37
32306 libkf6contacts-doc                 	       0        1        0        0        1
32307 libkf6contacts6                    	       0       36        4        4       28
32308 libkf6coreaddons-doc               	       0        4        0        0        4
32309 libkf6crash-doc                    	       0        1        0        0        1
32310 libkf6dav-data                     	       0        7        1        0        6
32311 libkf6dav-doc                      	       0        1        0        0        1
32312 libkf6dav6                         	       0        7        1        0        6
32313 libkf6dbusaddons-doc               	       0        1        0        0        1
32314 libkf6declarative-data             	       0       24        0        1       23
32315 libkf6declarative-doc              	       0        1        0        0        1
32316 libkf6dnssd-data                   	       0       19        0        0       19
32317 libkf6dnssd-doc                    	       0        1        0        0        1
32318 libkf6dnssd6                       	       0       19        1        0       18
32319 libkf6doctools-doc                 	       0        3        0        0        3
32320 libkf6doctools6                    	       0       72        0        0       72
32321 libkf6filemetadata-bin             	       0       35        1        0       34
32322 libkf6filemetadata-data            	       0       38        0        0       38
32323 libkf6filemetadata-doc             	       0        1        0        0        1
32324 libkf6globalaccel-doc              	       0        2        0        0        2
32325 libkf6guiaddons-data               	       0       89        0        0       89
32326 libkf6guiaddons-doc                	       0        3        0        0        3
32327 libkf6holidays-data                	       0       25        1        1       23
32328 libkf6holidays-doc                 	       0        1        0        0        1
32329 libkf6holidays6                    	       0       25        1        1       23
32330 libkf6i18n-doc                     	       0        3        0        0        3
32331 libkf6iconthemes-data              	       0       74        0        0       74
32332 libkf6iconthemes-doc               	       0        2        0        0        2
32333 libkf6idletime-doc                 	       0        1        0        0        1
32334 libkf6itemmodels-doc               	       0        1        0        0        1
32335 libkf6itemviews-doc                	       0        3        0        0        3
32336 libkf6jobwidgets-doc               	       0        3        0        0        3
32337 libkf6kcmutils-data                	       0       72        0        0       72
32338 libkf6kcmutils-doc                 	       0        1        0        0        1
32339 libkf6kio-doc                      	       0        3        0        0        3
32340 libkf6konq7                        	       0       14        1        1       12
32341 libkf6konqsettings7                	       0       14        1        1       12
32342 libkf6modemmanagerqt-doc           	       0        1        0        0        1
32343 libkf6networkmanagerqt-doc         	       0        1        0        0        1
32344 libkf6newstuff-data                	       0       39        0        0       39
32345 libkf6newstuff-doc                 	       0        1        0        0        1
32346 libkf6notifications-doc            	       0        3        0        0        3
32347 libkf6notifyconfig-data            	       0       34        0        0       34
32348 libkf6notifyconfig-doc             	       0        1        0        0        1
32349 libkf6package-data                 	       0       47        0        0       47
32350 libkf6package-doc                  	       0        1        0        0        1
32351 libkf6parts-data                   	       0       50        0        0       50
32352 libkf6parts-doc                    	       0        2        0        0        2
32353 libkf6people-data                  	       0       37        0        0       37
32354 libkf6people-doc                   	       0        1        0        0        1
32355 libkf6people6                      	       0       36        0        0       36
32356 libkf6peoplebackend6               	       0       37        0        0       37
32357 libkf6peoplewidgets6               	       0        1        0        0        1
32358 libkf6plotting-doc                 	       0        1        0        0        1
32359 libkf6plotting6                    	       0       12        0        0       12
32360 libkf6prison-doc                   	       0        1        0        0        1
32361 libkf6prisonscanner6               	       0       52        0        0       52
32362 libkf6pty-data                     	       0       37        0        0       37
32363 libkf6pty-doc                      	       0        1        0        0        1
32364 libkf6pulseaudioqt-dev             	       0        1        0        1        0
32365 libkf6pulseaudioqt-doc             	       0        1        0        0        1
32366 libkf6pulseaudioqt4                	       0        1        0        0        1
32367 libkf6purpose-bin                  	       0       52        0        0       52
32368 libkf6purpose-data                 	       0       53        0        0       53
32369 libkf6purpose6                     	       0       52        5        7       40
32370 libkf6purposewidgets6              	       0       52        5        7       40
32371 libkf6qqc2desktopstyle-dev         	       0        1        0        0        1
32372 libkf6runner-doc                   	       0        1        0        0        1
32373 libkf6service-data                 	       0       73        0        0       73
32374 libkf6service-doc                  	       0        3        0        0        3
32375 libkf6solid-doc                    	       0        3        0        0        3
32376 libkf6sonnet-doc                   	       0        1        0        0        1
32377 libkf6statusnotifieritem-doc       	       0        1        0        0        1
32378 libkf6su-bin                       	       0       26        0        0       26
32379 libkf6su-data                      	       0       27        0        0       27
32380 libkf6su-doc                       	       0        1        0        0        1
32381 libkf6su6                          	       0       26        1        0       25
32382 libkf6svg-doc                      	       0        1        0        0        1
32383 libkf6syndication-doc              	       0        1        0        0        1
32384 libkf6syntaxhighlighting-data      	       0       33        3        0       30
32385 libkf6syntaxhighlighting-doc       	       0        2        0        0        2
32386 libkf6syntaxhighlighting6          	       0       32        4        3       25
32387 libkf6textaddonswidgets1           	       0        8        4        3        1
32388 libkf6textautocorrectioncore1      	       0        7        4        3        0
32389 libkf6textautocorrectionwidgets1   	       0        7        0        0        7
32390 libkf6textcustomeditor1            	       0        8        4        3        1
32391 libkf6texteditor-data              	       0       26        0        0       26
32392 libkf6texteditor-doc               	       0        2        0        0        2
32393 libkf6texteditor-katepart          	       0       25        0        0       25
32394 libkf6texteditor6                  	       0       25        0        0       25
32395 libkf6textedittexttospeech1        	       0        8        4        3        1
32396 libkf6textemoticonscore1           	       0        8        4        3        1
32397 libkf6textemoticonswidgets1        	       0        8        4        3        1
32398 libkf6textgrammarcheck1            	       0        7        0        0        7
32399 libkf6texttemplate-doc             	       0        1        0        0        1
32400 libkf6texttemplate6                	       0       18        4        4       10
32401 libkf6texttranslator1              	       0        7        0        0        7
32402 libkf6textutils1                   	       0        9        4        4        1
32403 libkf6textwidgets-data             	       0       42        0        0       42
32404 libkf6textwidgets-doc              	       0        1        0        0        1
32405 libkf6threadweaver-doc             	       0        2        0        0        2
32406 libkf6threadweaver6                	       0       24        2        1       21
32407 libkf6unitconversion-doc           	       0        1        0        0        1
32408 libkf6userfeedback-doc             	       0       26        0        0       26
32409 libkf6wallet-doc                   	       0        1        0        0        1
32410 libkf6widgetsaddons-doc            	       0        3        0        0        3
32411 libkf6windowsystem-doc             	       0        4        0        0        4
32412 libkf6xmlgui-doc                   	       0        2        0        0        2
32413 libkface-data                      	       0        1        0        0        1
32414 libkfile4                          	       0       44        1       43        0
32415 libkfilemetadata4                  	       0        5        1        4        0
32416 libkfontinst6                      	       0       23        0        1       22
32417 libkfontinstui5                    	       0      540        0        3      537
32418 libkfontinstui6                    	       0       23        0        1       22
32419 libkgantt-dev                      	       0        2        0        2        0
32420 libkgantt-l10n                     	       0        6        0        0        6
32421 libkgantt0-trinity                 	       0       23        0        0       23
32422 libkgantt2-l10n                    	       0      452        5       21      426
32423 libkgantt6-3                       	       0        7        0        0        7
32424 libkgapi-data                      	       0       12        1        0       11
32425 libkgapi2-2                        	       0        5        0        1        4
32426 libkggzmod4                        	       0        1        0        0        1
32427 libkggznet4                        	       0        1        0        0        1
32428 libkholidays4                      	       0        7        1        6        0
32429 libkhtml5                          	       0       44        0        1       43
32430 libkickpass0                       	       0        1        0        0        1
32431 libkidletime4                      	       0        8        0        1        7
32432 libkim-api2                        	       0        1        0        0        1
32433 libkimageannotator-common          	       0      563        2        2      559
32434 libkimageannotator-qt5-0           	       0       22        0        0       22
32435 libkimageannotator-qt6-0           	       0       17        1        0       16
32436 libkimageannotator-qt6-dev         	       0        1        0        1        0
32437 libkimageannotator0                	       0      524        3       11      510
32438 libkimap-data                      	       0        7        0        0        7
32439 libkimap4                          	       0        6        0        1        5
32440 libkimproxy4                       	       0        3        0        0        3
32441 libkinosearch1-perl                	       0        1        0        0        1
32442 libkio5                            	       0       45        1        1       43
32443 libkipi-data                       	       0        2        0        0        2
32444 libkipi0-trinity                   	       0       12        0        0       12
32445 libkipi11                          	       0        2        0        0        2
32446 libkirigamiaddonsstatefulapp6      	       0        3        0        0        3
32447 libkissfft-float131                	       0       70        2       10       58
32448 libkitchensink-clojure             	       0        1        0        0        1
32449 libkiten1-trinity                  	       0       24        0        0       24
32450 libkiten5                          	       0        2        0        0        2
32451 libkitinerary-data                 	       0        7        0        0        7
32452 libkiwix11                         	       0        7        0        0        7
32453 libkiwix9                          	       0        4        0        0        4
32454 libkjsapi4                         	       0       45        0        1       44
32455 libkjsembed4                       	       0       45        0        0       45
32456 libkkc-common                      	       0        5        0        0        5
32457 libkkc-data                        	       0        5        0        2        3
32458 libkkc2                            	       0        5        0        2        3
32459 libklatexformula4                  	       0        5        0        0        5
32460 libklatexformula4-dev              	       0        1        0        1        0
32461 libklatexformula4-doc              	       0        1        0        0        1
32462 libkldap-data                      	       0        9        0        0        9
32463 libkldap4                          	       0       29        0        1       28
32464 libkleo-data                       	       0        7        0        0        7
32465 libkleo4                           	       0        4        0        1        3
32466 libkleopatra1-trinity              	       0       32        0        2       30
32467 libklibc                           	       0     4125        0        0     4125
32468 libklibc-dev                       	       0        2        0        2        0
32469 libklu1                            	       0       92        0        0       92
32470 libklu1.1.0                        	       0        1        0        0        1
32471 libklu1.2.1                        	       0        1        0        0        1
32472 libklu2                            	       0        6        0        0        6
32473 libkmahjongg6                      	       0        5        0        0        5
32474 libkmahjongglib4                   	       0        2        0        0        2
32475 libkmailtransport-data             	       0        9        0        0        9
32476 libkmanagesieve4                   	       0        2        0        0        2
32477 libkmbox4                          	       0        6        0        1        5
32478 libkmediaplayer4                   	       0       43        0        0       43
32479 libkmfl0                           	       0        1        0        0        1
32480 libkmflcomp0                       	       0        1        0        0        1
32481 libkmime-data                      	       0       10        3        1        6
32482 libkmime4                          	       0       29        0        1       28
32483 libkml0                            	       0        5        0        0        5
32484 libkmlbase1                        	       0      605        3       10      592
32485 libkmlbase1t64                     	       0       61        0        0       61
32486 libkmlconvenience1                 	       0       98        0        0       98
32487 libkmlconvenience1t64              	       0        3        0        0        3
32488 libkmldom1                         	       0      605        3       10      592
32489 libkmldom1t64                      	       0       61        0        0       61
32490 libkmlengine1                      	       0      604        3       10      591
32491 libkmlengine1t64                   	       0       61        0        0       61
32492 libkmlregionator1                  	       0       98        0        0       98
32493 libkmlregionator1t64               	       0        3        0        0        3
32494 libkmlxsd1                         	       0       97        0        0       97
32495 libkmlxsd1t64                      	       0        3        0        0        3
32496 libkmnkbp0-0                       	       0        1        0        0        1
32497 libkmod-dev                        	       0        7        0        7        0
32498 libkmod2-dbgsym                    	       0        1        0        1        0
32499 libknet1                           	       0        2        0        2        0
32500 libknewstuff2-4                    	       0       30        0        0       30
32501 libknewstuff3-4                    	       0       45        1        1       43
32502 libknopflerfish-osgi-framework-java	       0       47        0        0       47
32503 libknopflerfish-osgi-java-doc      	       0        1        0        0        1
32504 libknot10                          	       0        1        0        0        1
32505 libknot11                          	       0        4        0        0        4
32506 libknot13                          	       0       11        2        4        5
32507 libknot14                          	       0        1        1        0        0
32508 libknot14t64                       	       0        1        0        1        0
32509 libknot15                          	       0        1        0        1        0
32510 libknot5                           	       0        1        0        0        1
32511 libknot8                           	       0        2        0        0        2
32512 libknotifyconfig4                  	       0       43        0        1       42
32513 libkntlm4                          	       0       44        0        0       44
32514 libkohana2-php                     	       0        1        1        0        0
32515 libkolab0                          	       0        3        0        0        3
32516 libkolab1                          	       0       10        0        0       10
32517 libkolab2                          	       0        1        0        0        1
32518 libkolabxml1                       	       0        3        0        0        3
32519 libkolabxml1v5                     	       0      503        0        1      502
32520 libkomparediff2-4                  	       0        1        0        0        1
32521 libkomparediff2-5                  	       0       96        0        1       95
32522 libkomparediff2-6                  	       0        9        0        0        9
32523 libkomparediff2-data               	       0        9        0        0        9
32524 libkompareinterface5               	       0       66        0        0       66
32525 libkompareinterface6               	       0        5        0        0        5
32526 libkonq-common                     	       0       18        1       17        0
32527 libkonq4-trinity-dev               	       0        1        0        1        0
32528 libkonq5-templates                 	       0       25        0        0       25
32529 libkonq5abi1                       	       0       18        0        1       17
32530 libkonqsidebarplugin4a             	       0       15        0        0       15
32531 libkontactinterface-data           	       0        7        0        0        7
32532 libkontactinterface4a              	       0        4        0        0        4
32533 libkopete4                         	       0       27        0        0       27
32534 libkparts4                         	       0       45        1        1       43
32535 libkpathsea-dev                    	       0        4        0        4        0
32536 libkpathsea4                       	       0        3        0        0        3
32537 libkpathsea5                       	       0        7        0        0        7
32538 libkpathsea6                       	       0     2650        0        2     2648
32539 libkpeople-data                    	       0        1        0        0        1
32540 libkpeople3                        	       0        1        0        1        0
32541 libkpgp4                           	       0        4        0        1        3
32542 libkpim6addressbookimportexport6   	       0        7        0        0        7
32543 libkpim6akonadiagentbase6          	       0        7        2        0        5
32544 libkpim6akonadicalendar6           	       0        7        4        3        0
32545 libkpim6akonadicontactcore6        	       0        9        4        4        1
32546 libkpim6akonadicontactwidgets6     	       0        9        4        4        1
32547 libkpim6akonadicore6               	       0       11        4        4        3
32548 libkpim6akonadimime6               	       0        8        4        3        1
32549 libkpim6akonadiprivate6            	       0       12        4        4        4
32550 libkpim6akonadisearchcore6         	       0        7        2        0        5
32551 libkpim6akonadisearchdebug6        	       0        7        0        0        7
32552 libkpim6akonadisearchpim6          	       0        7        4        3        0
32553 libkpim6akonadisearchxapian6       	       0        7        2        0        5
32554 libkpim6akonadiwidgets6            	       0       11        4        4        3
32555 libkpim6akonadixml6                	       0        4        0        0        4
32556 libkpim6calendarsupport6           	       0        7        0        0        7
32557 libkpim6calendarutils6             	       0        8        4        3        1
32558 libkpim6eventviews6                	       0        7        0        0        7
32559 libkpim6gapicalendar6              	       0        7        0        0        7
32560 libkpim6gapicore6                  	       0        7        1        0        6
32561 libkpim6gapidrive6                 	       0        2        0        0        2
32562 libkpim6gapipeople6                	       0        7        0        0        7
32563 libkpim6gapitasks6                 	       0        7        0        0        7
32564 libkpim6grantleetheme6             	       0        9        4        4        1
32565 libkpim6gravatar6                  	       0        7        4        3        0
32566 libkpim6identitymanagementcore6    	       0        8        4        3        1
32567 libkpim6identitymanagementwidgets6 	       0        8        4        3        1
32568 libkpim6imap6                      	       0        7        4        3        0
32569 libkpim6importwizard6              	       0        7        0        0        7
32570 libkpim6incidenceeditor6           	       0        7        0        0        7
32571 libkpim6itinerary6                 	       0        7        0        0        7
32572 libkpim6kmanagesieve6              	       0        7        0        0        7
32573 libkpim6kontactinterface6          	       0        7        0        0        7
32574 libkpim6ksieve6                    	       0        7        0        0        7
32575 libkpim6ksievecore6                	       0        7        0        0        7
32576 libkpim6ksieveui6                  	       0        7        0        0        7
32577 libkpim6ldapcore6                  	       0        8        4        3        1
32578 libkpim6ldapwidgets6               	       0        7        0        0        7
32579 libkpim6libkdepim6                 	       0        7        4        3        0
32580 libkpim6libkleo6                   	       0        7        4        3        0
32581 libkpim6mailcommon6                	       0        7        2        0        5
32582 libkpim6mailimporter6              	       0        7        2        0        5
32583 libkpim6mailimporterakonadi6       	       0        7        0        0        7
32584 libkpim6mailtransport6             	       0        8        4        3        1
32585 libkpim6mbox6                      	       0        7        4        3        0
32586 libkpim6messagecomposer6           	       0        7        4        3        0
32587 libkpim6messagecore6               	       0        7        4        3        0
32588 libkpim6messagelist6               	       0        7        2        0        5
32589 libkpim6messageviewer6             	       0        7        4        3        0
32590 libkpim6mime6                      	       0        9        4        4        1
32591 libkpim6mimetreeparser6            	       0        7        4        3        0
32592 libkpim6mimetreeparsercore6        	       0        4        0        0        4
32593 libkpim6mimetreeparserwidgets6     	       0        4        0        0        4
32594 libkpim6pimcommon6                 	       0        7        4        3        0
32595 libkpim6pimcommonactivities6       	       0        7        0        0        7
32596 libkpim6pimcommonakonadi6          	       0        7        4        3        0
32597 libkpim6pkpass6                    	       0        7        0        0        7
32598 libkpim6smtp6                      	       0        7        0        0        7
32599 libkpim6templateparser6            	       0        7        4        3        0
32600 libkpim6textedit6                  	       0        8        4        3        1
32601 libkpim6tnef6                      	       0        7        0        0        7
32602 libkpim6webengineviewer6           	       0        7        4        3        0
32603 libkpimaddressbookimportexport5    	       0      381        0        1      380
32604 libkpimgapi-data                   	       0      489        4       14      471
32605 libkpimgapicalendar5               	       0      478        2        3      473
32606 libkpimgapicontacts5               	       0      478        2        3      473
32607 libkpimgapicore5abi1               	       0      484        4       26      454
32608 libkpimgapidrive5                  	       0       14        0        0       14
32609 libkpimgapimaps5                   	       0        1        0        0        1
32610 libkpimgapitasks5                  	       0      478        2        3      473
32611 libkpimidentities1-trinity         	       0       31        0        2       29
32612 libkpimidentities4                 	       0       29        0        1       28
32613 libkpimimportwizard-dev            	       0        1        0        1        0
32614 libkpimimportwizard5               	       0      458        0        0      458
32615 libkpimitinerary-data              	       0      462        0        0      462
32616 libkpimitinerary5                  	       0      459        2        5      452
32617 libkpimkdav-data                   	       0       17        0        0       17
32618 libkpimkdav5abi2                   	       0       16        0        0       16
32619 libkpimpkpass5                     	       0      462        2        5      455
32620 libkpimsmtp5abi1                   	       0      485        2       13      470
32621 libkpimtextedit-data               	       0        8        0        0        8
32622 libkpimtextedit4                   	       0       29        0        1       28
32623 libkpimutils4                      	       0       29        0        1       28
32624 libkpipewire-data                  	       0       27        3        4       20
32625 libkpipewiredmabuf5                	       0      416        0        2      414
32626 libkpipewiredmabuf6                	       0       26        0        0       26
32627 libkpipewirerecord5                	       0      417        0        2      415
32628 libkpipewirerecord6                	       0       25        0        0       25
32629 libkpmcore10                       	       0       79        0        0       79
32630 libkpmcore4                        	       0        1        0        0        1
32631 libkpmcore7                        	       0        2        0        0        2
32632 libkprintutils4                    	       0       18        0        1       17
32633 libkproperty-data                  	       0       12        0        0       12
32634 libkpropertycore3-4                	       0       11        0        0       11
32635 libkpropertywidgets3-4             	       0       11        0        0       11
32636 libkpty4                           	       0       44        0        1       43
32637 libkqueue-dev                      	       0        1        0        1        0
32638 libkqueue0                         	       0        2        0        0        2
32639 libkrad0                           	       0       14        0        0       14
32640 libkrb5-26-heimdal                 	       0       78        2        2       74
32641 libkrb5-26t64-heimdal              	       0        2        0        0        2
32642 libkrb53                           	       0        1        0        0        1
32643 libkreport-data                    	       0       12        0        0       12
32644 libkreport3-4                      	       0       11        0        0       11
32645 libkreport3-plugin-barcode         	       0        1        0        0        1
32646 libkresources4                     	       0       29        1       28        0
32647 libkrosscore4                      	       0       45        0        0       45
32648 libkrossui4                        	       0        7        0        0        7
32649 libkryo-java                       	       0       50        0        0       50
32650 libksane-data                      	       0       15        0        0       15
32651 libksane-dev                       	       0        1        0        1        0
32652 libksane0                          	       0        3        0        0        3
32653 libksanecore1                      	       0       46        0        0       46
32654 libksanecore6-1                    	       0       12        0        0       12
32655 libksanewidgets6-6                 	       0       12        0        0       12
32656 libksba-dev                        	       0        7        0        7        0
32657 libksba-mingw-w64-dev              	       0        2        0        2        0
32658 libksba8                           	       0     4111        8       29     4074
32659 libkscan1                          	       0        1        0        0        1
32660 libkscan1-trinity                  	       0       28        0        0       28
32661 libkscreen-doc                     	       0        1        0        0        1
32662 libkscreen1                        	       0        5        0        1        4
32663 libkscreensaver5                   	       0        5        0        0        5
32664 libkseexpr-data                    	       0      115        0        0      115
32665 libkseexpr4                        	       0      115        0        0      115
32666 libkseexprui4                      	       0      115        0        0      115
32667 libksgrd4                          	       0        6        0        1        5
32668 libksgrd7                          	       0       27        0        0       27
32669 libksgrd9                          	       0      512        0       11      501
32670 libkshark2                         	       0        2        0        0        2
32671 libksieve-data                     	       0        8        0        0        8
32672 libksieve0-trinity                 	       0       29        0        1       28
32673 libksieve4                         	       0        2        0        0        2
32674 libksieveui4                       	       0        2        0        0        2
32675 libksignalplotter4                 	       0        5        0        0        5
32676 libksignalplotter7                 	       0       26        0        0       26
32677 libksignalplotter9                 	       0       92        0        2       90
32678 libksmtp-data                      	       0        7        0        0        7
32679 libksquirrel0-trinity              	       0        3        0        3        0
32680 libksysguard-data                  	       0       24        1        1       22
32681 libksysguardsensorfaces2           	       0       23        1        2       20
32682 libksysguardsensors2               	       0       23        1        2       20
32683 libksysguardsystemstats2           	       0       23        1        2       20
32684 libktextaddons-data                	       0       10        0        0       10
32685 libktexteditor4                    	       0       45        0        1       44
32686 libktnef-data                      	       0        7        0        0        7
32687 libktnef4                          	       0        4        0        0        4
32688 libktoblzcheck1-dev                	       0        2        0        2        0
32689 libktoblzcheck1c2a                 	       0        1        0        1        0
32690 libktoblzcheck1v5                  	       0       17        0        8        9
32691 libktorrent-dev                    	       0        2        0        2        0
32692 libktorrent-l10n                   	       0       47        0        3       44
32693 libktorrent5                       	       0        6        0        0        6
32694 libktorrent6-6                     	       0       13        1        0       12
32695 libktpcommoninternals9             	       0        2        0        0        2
32696 libktpcommoninternals9abi1         	       0        9        0        4        5
32697 libktpcommoninternalsprivate7      	       0        1        0        1        0
32698 libktplogger9                      	       0        1        0        0        1
32699 libktplogger9abi1                  	       0        8        0        2        6
32700 libktpmodels9                      	       0        1        0        0        1
32701 libktpmodels9abi1                  	       0        9        0        4        5
32702 libktpmodelsprivate7               	       0        1        0        1        0
32703 libktpotr9                         	       0        5        0        0        5
32704 libktpwidgets9                     	       0        8        0        4        4
32705 libktpwidgetsprivate7              	       0        1        0        1        0
32706 libkunitconversion4                	       0        9        0        1        8
32707 libkuserfeedback-l10n              	       0       40        1       13       26
32708 libkutils4                         	       0        7        0        0        7
32709 libkvazaar-dev                     	       0        2        0        2        0
32710 libkvazaar3                        	       0       13        0        0       13
32711 libkvazaar4                        	       0       13        0        2       11
32712 libkvazaar6                        	       0       21        2       16        3
32713 libkvazaar7                        	       0       56        9       30       17
32714 libkvilib5                         	       0       13        0        0       13
32715 libkvutils10                       	       0        1        0        0        1
32716 libkweathercore-data               	       0        1        0        0        1
32717 libkweathercore6                   	       0        1        0        0        1
32718 libkwineffects11                   	       0       22        2        0       20
32719 libkwineffects13                   	       0        1        0        1        0
32720 libkwineffects1abi5                	       0        6        0        1        5
32721 libkwineffects9                    	       0       11        0        0       11
32722 libkwinglesutils1                  	       0        5        0        0        5
32723 libkwinglutils11                   	       0       22        2        0       20
32724 libkwinglutils13                   	       0        1        0        1        0
32725 libkwinglutils1abi2                	       0        5        0        1        4
32726 libkwinglutils9                    	       0       10        0        0       10
32727 libkwinxrenderutils11              	       0       22        2        0       20
32728 libkwinxrenderutils13              	       0        1        0        1        0
32729 libkwinxrenderutils9               	       0       11        0        0       11
32730 libkworkspace4abi2                 	       0        6        0        1        5
32731 libkxl0                            	       0        3        0        0        3
32732 libkxml2-java                      	       0       61        0        0       61
32733 libkxmlrpcclient4                  	       0       25        0        0       25
32734 libkyotocabinet-dev                	       0        3        0        3        0
32735 libkyotocabinet16                  	       0        4        0        0        4
32736 libkyotocabinet16v5                	       0      208        0        0      208
32737 liblab-gamut1                      	       0     1706        0        0     1706
32738 liblablgl-ocaml                    	       0        4        0        4        0
32739 liblablgl-ocaml-dev                	       0        3        0        3        0
32740 liblablgtk2-gl-ocaml               	       0        1        0        1        0
32741 liblablgtk2-ocaml                  	       0        2        0        2        0
32742 liblablgtk3-ocaml                  	       0        3        0        3        0
32743 liblablgtk3-ocaml-dev              	       0        2        0        2        0
32744 liblablgtk3-ocaml-doc              	       0        2        0        0        2
32745 liblablgtksourceview3-ocaml        	       0        1        0        1        0
32746 liblabltk-ocaml                    	       0        5        0        5        0
32747 liblabltk-ocaml-dev                	       0        3        0        3        0
32748 libladr4                           	       0        3        0        0        3
32749 liblaf-plugin-java                 	       0       20        0        0       20
32750 liblaf-widget-java                 	       0        6        0        0        6
32751 liblam4                            	       0        2        0        0        2
32752 liblangtag-common                  	       0     2871        0        0     2871
32753 liblangtag-dev                     	       0        2        0        2        0
32754 liblangtag-gobject0                	       0        2        0        0        2
32755 liblanguage-detector-java          	       0        2        0        0        2
32756 liblapack-dev                      	       0      175        0        4      171
32757 liblapack-doc                      	       0       12        0        0       12
32758 liblapack-test                     	       0        2        0        0        2
32759 liblapack64-3                      	       0        1        0        0        1
32760 liblapack64-dev                    	       0        1        0        0        1
32761 liblapack64-test                   	       0        1        0        0        1
32762 liblapacke                         	       0       13        0        0       13
32763 liblapacke-dev                     	       0        3        0        3        0
32764 liblapacke64                       	       0        1        0        0        1
32765 liblapacke64-dev                   	       0        1        0        0        1
32766 liblas-bin                         	       0        1        0        1        0
32767 liblas-c3                          	       0        3        0        0        3
32768 liblas3                            	       0        3        0        0        3
32769 liblaser-geometry-dev              	       0        1        0        1        0
32770 liblaser-geometry0d                	       0        1        0        0        1
32771 liblash-compat-1debian0            	       0        1        0        0        1
32772 liblash-compat-dev                 	       0        1        0        1        0
32773 liblasi0                           	       0        1        0        0        1
32774 liblasso3                          	       0        1        0        0        1
32775 liblastfm-fingerprint5-1           	       0        3        0        0        3
32776 liblastfm-java                     	       0        1        0        0        1
32777 liblastfm-ocaml-dev                	       0        1        0        1        0
32778 liblastfm1                         	       0        8        0        0        8
32779 liblastfm5-1                       	       0      115        4       16       95
32780 liblastfm5-dev                     	       0        3        0        3        0
32781 liblaszip-api8                     	       0        1        0        0        1
32782 liblaszip-dev                      	       0        1        0        1        0
32783 liblaszip8                         	       0       16        0        0       16
32784 liblatex-tounicode-perl            	       0       23        1       22        0
32785 liblavfile-2.0-0                   	       0        2        0        0        2
32786 liblavfile-2.1-0                   	       0       34        0        0       34
32787 liblavfile-2.1-0t64                	       0        2        0        0        2
32788 liblavfile-2.2-0                   	       0       17        0        0       17
32789 liblavfile-2.2-0t64                	       0        7        0        0        7
32790 liblavjpeg-2.0-0                   	       0        3        0        0        3
32791 liblavjpeg-2.1-0                   	       0       35        0        0       35
32792 liblavjpeg-2.1-0t64                	       0        2        0        0        2
32793 liblavjpeg-2.2-0                   	       0       17        0        0       17
32794 liblavjpeg-2.2-0t64                	       0        7        0        0        7
32795 liblavplay-2.0-0                   	       0        2        0        0        2
32796 liblavplay-2.1-0                   	       0       34        0        0       34
32797 liblavplay-2.1-0t64                	       0        2        0        0        2
32798 liblavplay-2.2-0                   	       0       17        0        0       17
32799 liblavplay-2.2-0t64                	       0        7        0        0        7
32800 liblayout-java                     	       0      739        0        0      739
32801 liblayout-java-doc                 	       0        1        0        0        1
32802 liblazymap-clojure                 	       0        1        0        0        1
32803 liblbfgs-dev                       	       0        2        0        2        0
32804 liblbfgs0                          	       0        3        0        0        3
32805 liblbfgsb0                         	       0      526        0        1      525
32806 liblcgdm-dev                       	       0        1        0        0        1
32807 liblcgdm1                          	       0        1        0        0        1
32808 liblchown-perl                     	       0       51        0        3       48
32809 liblcmaps-dev                      	       0        1        0        0        1
32810 liblcmaps-without-gsi-dev          	       0        1        0        0        1
32811 liblcmaps-without-gsi0             	       0        1        0        0        1
32812 liblcmaps0                         	       0        1        0        0        1
32813 liblcms-utils                      	       0        2        0        2        0
32814 liblcms1                           	       0       30        0        0       30
32815 liblcms1-dev                       	       0        2        0        2        0
32816 liblcomp1                          	       0        3        1        0        2
32817 liblcomp1-dev                      	       0        3        2        1        0
32818 libldacbt-abr-dev                  	       0        1        0        1        0
32819 libldacbt-enc-dev                  	       0        1        0        1        0
32820 libldap-common                     	       0     4055        0        0     4055
32821 libldap2-dev                       	       0      124        0       49       75
32822 libldb1                            	       0      268        0        1      267
32823 libldl2                            	       0       30        0        0       30
32824 libldl2.0.1                        	       0        1        0        0        1
32825 libldl2.1.0                        	       0        1        0        0        1
32826 libldl3                            	       0        4        0        0        4
32827 libldm-1.0-0                       	       0      107        0        0      107
32828 libldm-1.0-0t64                    	       0        4        0        0        4
32829 libldm-dev                         	       0        1        0        1        0
32830 libldns-dev                        	       0        5        0        5        0
32831 libldns1                           	       0        3        0        0        3
32832 libldns2                           	       0        1        0        0        1
32833 libldns3                           	       0       21        0        1       20
32834 libldns3t64                        	       0        4        0        0        4
32835 libleatherman-data                 	       0        1        0        0        1
32836 libleatherman1.12.1                	       0        4        0        0        4
32837 libleatherman1.4.0                 	       0        1        0        0        1
32838 libleatherman1.4.2                 	       0       10        0        0       10
32839 liblensfun-bin                     	       0        3        0        3        0
32840 liblensfun-data                    	       0       19        0        0       19
32841 liblensfun-data-v1                 	       0      315        0        0      315
32842 liblensfun-dev                     	       0       12        0       12        0
32843 liblensfun-doc                     	       0        1        0        0        1
32844 liblensfun0                        	       0       16        0        0       16
32845 liblensfun1                        	       0      312        0        1      311
32846 liblept3                           	       0        2        0        0        2
32847 liblept4                           	       0        7        0        0        7
32848 liblept5                           	       0      461        2        9      450
32849 libleptonica-dev                   	       0       34        0       34        0
32850 libleptonica6                      	       0       41        0        1       40
32851 liblerc3                           	       0        4        0        1        3
32852 liblessen-java                     	       0        2        0        0        2
32853 libleveldb-api-java                	       0        1        0        0        1
32854 libleveldb-java                    	       0        1        0        0        1
32855 libleveldb1d                       	       0      101        1        7       93
32856 libleveldb1v5                      	       0        7        0        0        7
32857 liblexical-persistence-perl        	       0        5        0        5        0
32858 liblexical-sealrequirehints-perl   	       0        9        0        0        9
32859 liblexical-underscore-perl         	       0        4        0        4        0
32860 liblfi0                            	       0        1        0        0        1
32861 liblfunction-dev                   	       0        9        0        9        0
32862 liblfunction0                      	       0        1        0        0        1
32863 liblfunction1                      	       0       11        0        0       11
32864 liblhasa-dev                       	       0        1        0        1        0
32865 liblhasa0                          	       0       78        0        0       78
32866 liblib-abs-perl                    	       0        2        0        2        0
32867 libliberator-clojure               	       0        1        0        0        1
32868 liblibrary-callnumber-lc-perl      	       0        3        1        2        0
32869 liblief-dev                        	       0        1        0        1        0
32870 liblief0                           	       0        1        0        0        1
32871 libliftoff-dev                     	       0        1        0        1        0
32872 libliftoff0                        	       0       50        0        3       47
32873 liblightcouch-java                 	       0      141        0        0      141
32874 liblightdm-qt-3-0                  	       0        1        0        0        1
32875 liblightdm-qt-dev                  	       0        1        0        1        0
32876 liblightdm-qt5-3-0                 	       0        7        0        0        7
32877 liblilv-dev                        	       0       22        0       22        0
32878 liblimba0                          	       0        7        0        0        7
32879 liblime-doc                        	       0        1        0        0        1
32880 liblime0                           	       0       23        1        0       22
32881 liblimesuite-dev                   	       0        4        0        4        0
32882 liblimesuite20.10-1                	       0        6        0        0        6
32883 liblimesuite22.09-1                	       0       37        0        2       35
32884 liblimesuite23.11-1                	       0       10        0        0       10
32885 liblinbox-1.5.2-0                  	       0        1        0        0        1
32886 liblinbox-1.6.3-0                  	       0        1        0        0        1
32887 liblinbox-1.7.0-0                  	       0        9        0        0        9
32888 liblinbox-dev                      	       0        9        0        9        0
32889 liblinboxsage-1.5.2-0              	       0        1        0        0        1
32890 liblineak0                         	       0        1        0        0        1
32891 liblinear-dev                      	       0        6        0        6        0
32892 liblinear-tools                    	       0       39        2       37        0
32893 liblinear1                         	       0       25        0        0       25
32894 liblinear3                         	       0      162        0        0      162
32895 liblinear4                         	       0      808        0        0      808
32896 liblinearmath2.82                  	       0        1        0        0        1
32897 liblinebreak1                      	       0        1        0        0        1
32898 liblinebreak2                      	       0        3        0        0        3
32899 liblingot0                         	       0       18        0        0       18
32900 liblingua-en-fathom-perl           	       0        2        0        2        0
32901 liblingua-en-findnumber-perl       	       0        4        1        3        0
32902 liblingua-en-inflect-number-perl   	       0        4        1        3        0
32903 liblingua-en-inflect-phrase-perl   	       0        4        1        3        0
32904 liblingua-en-nameparse-perl        	       0        3        0        3        0
32905 liblingua-en-number-isordinal-perl 	       0        4        1        3        0
32906 liblingua-en-numbers-ordinate-perl 	       0        1        0        1        0
32907 liblingua-en-sentence-perl         	       0        2        0        2        0
32908 liblingua-en-syllable-perl         	       0        2        0        2        0
32909 liblingua-en-tagger-perl           	       0        4        1        3        0
32910 liblingua-en-words2nums-perl       	       0        4        1        3        0
32911 liblingua-ga-gramadoir-perl        	       0        1        0        1        0
32912 liblingua-ispell-perl              	       0        2        0        2        0
32913 liblingua-preferred-perl           	       0       17        2       15        0
32914 liblingua-pt-stemmer-perl          	       0        5        1        4        0
32915 liblingua-stem-fr-perl             	       0        4        0        4        0
32916 liblingua-stem-it-perl             	       0        4        0        4        0
32917 liblingua-stem-perl                	       0        5        2        3        0
32918 liblingua-stem-ru-perl             	       0        4        0        4        0
32919 liblingua-stem-snowball-da-perl    	       0        5        1        4        0
32920 liblingua-stem-snowball-perl       	       0        3        0        0        3
32921 liblingua-stopwords-perl           	       0        1        0        1        0
32922 liblink-grammar4                   	       0        5        0        0        5
32923 liblink-grammar4-dev               	       0        1        0        1        0
32924 liblink-grammar5                   	       0       79        0        1       78
32925 liblink-grammar5t64                	       0        9        0        0        9
32926 liblinphone++10                    	       0       26        1        2       23
32927 liblinphone++11                    	       0        1        0        0        1
32928 liblinphone++11t64                 	       0        2        0        0        2
32929 liblinphone-dev                    	       0        1        0        1        0
32930 liblinphone10                      	       0       26        1        2       23
32931 liblinphone11                      	       0        1        0        0        1
32932 liblinphone11t64                   	       0        2        0        0        2
32933 liblinphone2                       	       0        1        0        0        1
32934 liblinphone4                       	       0        1        0        0        1
32935 liblinphone5                       	       0        2        0        0        2
32936 liblinux-desktopfiles-perl         	       0        1        0        1        0
32937 liblinux-distribution-perl         	       0        3        0        3        0
32938 liblinux-dvb-perl                  	       0        1        0        0        1
32939 liblinux-epoll-perl                	       0        3        0        0        3
32940 liblinux-inotify2-perl             	       0       13        2        2        9
32941 liblinux-io-prio-perl              	       0        1        0        1        0
32942 liblinux-lvm-perl                  	       0       19        0       19        0
32943 liblinuxsampler                    	       0        1        0        1        0
32944 liblip-dev                         	       0        1        0        1        0
32945 liblip2                            	       0        1        0        1        0
32946 libliquid1                         	       0       17        0        0       17
32947 libliquid1d                        	       0        1        0        0        1
32948 libliquid2d                        	       0        3        0        0        3
32949 liblirc-client0                    	       0     1905        5       23     1877
32950 liblirc-client0t64                 	       0      174        2        4      168
32951 liblirc-dev                        	       0       23        1       22        0
32952 liblirc0                           	       0       60        9       28       23
32953 liblirc0t64                        	       0        6        1        1        4
32954 liblircclient-dev                  	       0       12        0        0       12
32955 liblircclient0                     	       0       50        0        0       50
32956 liblist-moreutils-xs-perl          	       0      525        4       18      503
32957 liblist-someutils-xs-perl          	       0      602        0        0      602
32958 liblistaller-glib0                 	       0        2        0        0        2
32959 liblistserialsj-dev                	       0       84        0        0       84
32960 liblistserialsj1                   	       0       84        0        1       83
32961 liblitehtml-dev                    	       0        1        0        1        0
32962 liblitehtml0                       	       0       47        0        0       47
32963 liblitehtml0t64                    	       0        4        0        0        4
32964 liblitl0                           	       0        1        0        0        1
32965 liblivemedia-dev                   	       0        8        0        8        0
32966 liblivemedia102                    	       0        1        0        0        1
32967 liblivemedia106                    	       0        1        0        0        1
32968 liblivemedia107                    	       0       25        0        0       25
32969 liblivemedia112                    	       0        4        0        1        3
32970 liblivemedia115                    	       0        1        0        0        1
32971 liblivemedia116                    	       0        7        0        0        7
32972 liblivemedia23                     	       0       26        0        1       25
32973 liblivemedia42                     	       0        1        0        0        1
32974 liblivemedia52                     	       0        1        0        0        1
32975 liblivemedia57                     	       0       64        0        0       64
32976 liblivemedia58                     	       0        2        0        0        2
32977 liblivemedia61                     	       0        1        0        0        1
32978 liblivemedia64                     	       0      115        0        0      115
32979 liblivemedia66                     	       0        8        0        0        8
32980 liblivemedia77                     	       0        5        0        0        5
32981 liblivemedia81                     	       0        1        0        0        1
32982 liblivemedia94                     	       0       18        0        0       18
32983 liblivetribe-jsr223-java           	       0        5        0        0        5
32984 liblizzie-java                     	       0        2        0        2        0
32985 liblld-14                          	       0        1        0        1        0
32986 liblld-14-dev                      	       0        1        0        1        0
32987 liblld-15                          	       0        1        0        1        0
32988 liblld-16                          	       0        1        0        1        0
32989 liblld-16-dev                      	       0        1        0        1        0
32990 liblld-19                          	       0        3        0        3        0
32991 liblld-19-dev                      	       0        3        0        3        0
32992 liblld-dev                         	       0        2        0        0        2
32993 liblldb-11                         	       0        5        0        5        0
32994 liblldb-13                         	       0        1        0        1        0
32995 liblldb-14                         	       0       10        1        9        0
32996 liblldb-14-dev                     	       0        1        0        1        0
32997 liblldb-15                         	       0        1        0        1        0
32998 liblldb-16                         	       0        4        0        4        0
32999 liblldb-16-dev                     	       0        1        0        1        0
33000 liblldb-16t64                      	       0        1        0        1        0
33001 liblldb-19                         	       0        4        1        3        0
33002 liblldb-19-dev                     	       0        1        1        0        0
33003 liblldb-7                          	       0        1        0        1        0
33004 liblldb-dev                        	       0        2        0        0        2
33005 libllhttp-dev                      	       0        1        0        1        0
33006 libllhttp9.1                       	       0        9        0        0        9
33007 libllvm-16-ocaml-dev               	       0        1        0        1        0
33008 libllvm-19-ocaml-dev               	       0        1        0        1        0
33009 libllvm-ocaml-dev                  	       0        1        0        0        1
33010 libllvm10                          	       0       11        0        0       11
33011 libllvm12                          	       0        9        0        2        7
33012 libllvm13                          	       0       47        0        1       46
33013 libllvm13.0.50002-amdgpu           	       0        1        1        0        0
33014 libllvm14                          	       0      534        4        9      521
33015 libllvm14.0.50200-amdgpu           	       0        1        0        1        0
33016 libllvm14t64                       	       0       16        0        0       16
33017 libllvm15t64                       	       0       13        0        0       13
33018 libllvm16                          	       0       49        0        5       44
33019 libllvm16.0.50600-amdgpu           	       0        1        0        0        1
33020 libllvm16.0.50703-amdgpu           	       0        1        0        1        0
33021 libllvm16t64                       	       0       47        0        0       47
33022 libllvm17                          	       0        8        1        3        4
33023 libllvm17.0.60000-amdgpu           	       0        1        0        0        1
33024 libllvm17.0.60002-amdgpu           	       0        1        0        1        0
33025 libllvm17t64                       	       0       89        2       29       58
33026 libllvm18                          	       0       61        3       21       37
33027 libllvm18.1-amdgpu                 	       0        5        2        2        1
33028 libllvm20                          	       0        2        0        1        1
33029 libllvm3.0                         	       0        5        0        0        5
33030 libllvm3.2                         	       0        1        0        0        1
33031 libllvm3.3                         	       0        1        0        0        1
33032 libllvm3.4                         	       0        3        0        0        3
33033 libllvm3.5                         	       0       75        0        0       75
33034 libllvm3.5v5                       	       0        1        0        0        1
33035 libllvm3.6v5                       	       0        1        0        0        1
33036 libllvm3.7                         	       0        2        0        0        2
33037 libllvm3.8                         	       0       15        0        0       15
33038 libllvm3.9                         	       0      105        0        0      105
33039 libllvm6.0                         	       0       28        0        1       27
33040 libllvm7                           	       0      280        0        1      279
33041 libllvm8                           	       0        3        0        0        3
33042 libllvm9                           	       0       67        0        0       67
33043 libllvmlibc-19-dev                 	       0        1        0        1        0
33044 libllvmspirvlib-15-dev             	       0        2        0        2        0
33045 libllvmspirvlib-17-dev             	       0        3        0        3        0
33046 libllvmspirvlib-19-dev             	       0        1        0        1        0
33047 libllvmspirvlib11                  	       0        4        0        0        4
33048 libllvmspirvlib14                  	       0       13        0        0       13
33049 libllvmspirvlib15                  	       0       36        0        0       36
33050 libllvmspirvlib17                  	       0        4        0        0        4
33051 libllvmspirvlib18.1                	       0        1        0        0        1
33052 libllvmspirvlib19.1                	       0        4        0        0        4
33053 liblmdb-dev                        	       0       16        0       16        0
33054 liblmdb-file-perl                  	       0        2        0        0        2
33055 liblms7compact0                    	       0       35        0        2       33
33056 liblnk-utils                       	       0        4        1        3        0
33057 liblnk1                            	       0       17        0        0       17
33058 liblo-dev                          	       0       22        0       22        0
33059 liblo-tools                        	       0        5        0        5        0
33060 liblo10k1-0                        	       0        5        0        0        5
33061 liblo7                             	       0      199        3        4      192
33062 libloader-java                     	       0      744        0        0      744
33063 libloader-java-doc                 	       0        4        0        0        4
33064 libloadpng4-dev                    	       0        1        0        1        0
33065 libloadpng4.4                      	       0        2        0        0        2
33066 liblocal-lib-perl                  	       0       47        0       47        0
33067 liblocale-currency-format-perl     	       0        2        1        1        0
33068 liblocale-gettext-perl             	       0     4145        5       20     4120
33069 liblocale-maketext-fuzzy-perl      	       0        1        0        1        0
33070 liblocale-maketext-gettext-perl    	       0        3        1        2        0
33071 liblocale-maketext-lexicon-perl    	       0        6        0        6        0
33072 liblocale-msgfmt-perl              	       0        4        0        4        0
33073 liblocale-po-perl                  	       0        6        0        6        0
33074 liblocale-ruby1.9.1                	       0        1        0        0        1
33075 liblocale-xgettext-perl            	       0        3        0        3        0
33076 liblocales-perl                    	       0        1        0        1        0
33077 liblockdev1                        	       0        4        0        0        4
33078 liblockdev1-dev                    	       0        1        0        1        0
33079 liblockfile-dev                    	       0       16        0       16        0
33080 liblockfile-simple-perl            	       0       16        0       16        0
33081 liblockfile1                       	       0     1526        7       30     1489
33082 liblodepng-dev                     	       0        1        0        1        0
33083 liblodepng0                        	       0        1        0        0        1
33084 liblog-agent-perl                  	       0       16        4       12        0
33085 liblog-any-adapter-tap-perl        	       0        1        0        1        0
33086 liblog-dispatch-array-perl         	       0        2        0        2        0
33087 liblog-dispatch-config-perl        	       0        2        1        1        0
33088 liblog-dispatch-configurator-any-perl	       0        1        0        1        0
33089 liblog-dispatchouli-perl           	       0        1        0        1        0
33090 liblog-loglite-perl                	       0        1        0        1        0
33091 liblog-report-optional-perl        	       0        1        0        1        0
33092 liblog-report-perl                 	       0        1        0        1        0
33093 liblog-trace-perl                  	       0        3        0        3        0
33094 liblog-tracemessages-perl          	       0       17        2       15        0
33095 liblog4cplus-1.1-9                 	       0       27        0        0       27
33096 liblog4cplus-2.0.5                 	       0      168        0        4      164
33097 liblog4cplus-2.0.5t64              	       0       15        0        2       13
33098 liblog4cplus-doc                   	       0        1        0        0        1
33099 liblog4cpp5                        	       0        1        0        0        1
33100 liblog4cpp5-dev                    	       0       10        0       10        0
33101 liblog4cpp5v5                      	       0       32        0        0       32
33102 liblog4cxx-dev                     	       0        4        0        4        0
33103 liblog4cxx10v5                     	       0        1        0        0        1
33104 liblog4cxx11                       	       0        2        0        0        2
33105 liblog4cxx15                       	       0        4        0        0        4
33106 liblog4j1.2-java                   	       0      661        0        0      661
33107 liblog4j1.2-java-doc               	       0        7        0        0        7
33108 liblog4j2-java                     	       0      141        0        0      141
33109 liblog4j2-java-doc                 	       0        1        0        0        1
33110 liblog4net1.2-cil                  	       0        5        0        5        0
33111 liblog4shib2                       	       0        1        0        0        1
33112 liblog4tango-dev                   	       0        1        0        1        0
33113 liblog4tango5v5                    	       0        2        0        0        2
33114 liblogback-java                    	       0      119        0        0      119
33115 liblogfile-rotate-perl             	       0        5        0        5        0
33116 liblogg4-dev                       	       0        1        0        1        0
33117 liblogg4.4                         	       0        1        0        0        1
33118 liblogging-stdlog0                 	       0      657        0        0      657
33119 liblognorm0                        	       0        2        0        2        0
33120 liblognorm1                        	       0      207        0        0      207
33121 liblognorm5                        	       0     4015        0        0     4015
33122 liblogs-ocaml                      	       0        1        0        1        0
33123 liblogs-ocaml-dev                  	       0        1        0        1        0
33124 liblogsys-dev                      	       0        1        0        1        0
33125 liblogsys4                         	       0        1        0        0        1
33126 libloki-dev                        	       0        4        0        4        0
33127 libloki0.1.7                       	       0        4        0        0        4
33128 liblombok-ast-java                 	       0        3        0        0        3
33129 liblombok-java                     	       0        2        0        0        2
33130 liblombok-patcher-java             	       0        1        0        0        1
33131 liblomiri-api0                     	       0        1        0        0        1
33132 liblomiri-thumbnailer-qt1.0        	       0        1        0        0        1
33133 liblomiri-url-dispatcher0          	       0       12        2        3        7
33134 liblomirigestures5                 	       0        1        0        0        1
33135 liblomirimetrics5                  	       0        1        0        0        1
33136 liblomiritoolkit5                  	       0        1        0        0        1
33137 liblong-jump-perl                  	       0        1        0        1        0
33138 liblopsub1                         	       0        5        0        0        5
33139 liblorene-debian1                  	       0        2        0        0        2
33140 liblorene-debian1t64               	       0        1        0        0        1
33141 liblorene-dev                      	       0        2        0        2        0
33142 liblorene-export-debian0           	       0        1        0        0        1
33143 liblorene-export-debian0t64        	       0        1        0        0        1
33144 liblorenef77-debian1               	       0        2        0        0        2
33145 liblorenef77-debian1t64            	       0        1        0        0        1
33146 liblossywav1                       	       0        1        0        0        1
33147 libloudmouth1-0                    	       0     1034        0        1     1033
33148 libloudmouth1-dev                  	       0        2        0        2        0
33149 liblouis-bin                       	       0        8        0        8        0
33150 liblouis-data                      	       0     3042        0        0     3042
33151 liblouis-dev                       	       0        3        0        3        0
33152 liblouis12                         	       0       80        0        0       80
33153 liblouis14                         	       0        2        0        0        2
33154 liblouis17                         	       0      171        0        1      170
33155 liblouis2                          	       0       19        0        0       19
33156 liblouis9                          	       0        1        0        0        1
33157 liblouisutdml-data                 	       0     2737        0        0     2737
33158 liblouisutdml-dev                  	       0        1        0        1        0
33159 liblouisutdml6                     	       0        1        0        0        1
33160 liblouisutdml7                     	       0       74        0        0       74
33161 liblouisutdml8                     	       0      121        0        0      121
33162 liblouisutdml9                     	       0     2407        0        0     2407
33163 liblouisutdml9t64                  	       0      174        0        0      174
33164 liblouisxml-bin                    	       0        1        0        1        0
33165 liblouisxml-data                   	       0        1        0        0        1
33166 liblouisxml-dev                    	       0        1        0        1        0
33167 liblouisxml1                       	       0        1        0        0        1
33168 liblpm-dev                         	       0        1        0        1        0
33169 liblpm-lua                         	       0        1        0        0        1
33170 liblpm1                            	       0        1        0        0        1
33171 liblpsolve55-dev                   	       0        3        0        3        0
33172 liblqr-1-0                         	       0     3285       41       85     3159
33173 liblrcalc-dev                      	       0        9        0        9        0
33174 liblrcalc1                         	       0       10        0        0       10
33175 liblrdf0                           	       0     2363        3        5     2355
33176 liblrm2                            	       0        9        0        0        9
33177 liblrm2-dev                        	       0        1        0        1        0
33178 liblrmd1                           	       0        4        0        0        4
33179 liblrmd28                          	       0        2        0        2        0
33180 liblrs-dev                         	       0        1        0        1        0
33181 liblrs0                            	       0        1        0        0        1
33182 liblrs1                            	       0        3        0        0        3
33183 liblsan0                           	       0     2472        0        0     2472
33184 liblsan0-arm64-cross               	       0       37        0        0       37
33185 liblsan0-ppc64-cross               	       0        6        0        0        6
33186 liblsan0-ppc64el-cross             	       0        1        0        0        1
33187 liblsan0-riscv64-cross             	       0        2        0        0        2
33188 liblscp-dev                        	       0        1        0        1        0
33189 liblscp6                           	       0        3        0        0        3
33190 liblsmash2                         	       0        2        0        0        2
33191 liblsof0                           	       0      145        0        0      145
33192 liblsofui9                         	       0        9        0        0        9
33193 libltc-dev                         	       0        1        0        1        0
33194 libltc11                           	       0     2813        0        0     2813
33195 libltdl3                           	       0        2        0        1        1
33196 liblttng-ust-common1               	       0       27        2       10       15
33197 liblttng-ust-common1t64            	       0       17        1        6       10
33198 liblttng-ust-ctl4                  	       0        4        0        0        4
33199 liblttng-ust-ctl5                  	       0       27        0        0       27
33200 liblttng-ust-ctl5t64               	       0       17        0        0       17
33201 liblttng-ust-dev                   	       0        1        0        1        0
33202 liblttng-ust-python-agent1         	       0        1        0        0        1
33203 liblttng-ust0                      	       0        4        0        0        4
33204 liblttng-ust1                      	       0       27        2       10       15
33205 liblttng-ust1t64                   	       0       17        1        6       10
33206 liblttoolbox3                      	       0        4        0        0        4
33207 liblttoolbox3-3.5-1                	       0        3        0        0        3
33208 liblua40                           	       0        2        0        0        2
33209 liblua5.1-0                        	       0     1384       18       46     1320
33210 liblua5.1-0-dev                    	       0       57        0       57        0
33211 liblua5.1-luacsnd                  	       0        2        0        1        1
33212 liblua5.2-0-dbg                    	       0        1        0        1        0
33213 liblua5.2-dev                      	       0       63        0       63        0
33214 liblua5.3-0-dbg                    	       0        3        0        3        0
33215 liblua5.3-dev                      	       0       35        0       35        0
33216 liblua5.4-dev                      	       0       19        0       19        0
33217 liblua50                           	       0       14        0        1       13
33218 liblua50-dev                       	       0        5        0        5        0
33219 libluabind-dev                     	       0        2        0        0        2
33220 libluabind0.9.1d1                  	       0        3        0        0        3
33221 libluajit-5.1-2                    	       0      457       17       30      410
33222 libluajit-5.1-common               	       0      458        0        0      458
33223 libluajit-5.1-dev                  	       0       38        0       38        0
33224 libluajit2-5.1-2                   	       0       29        1        2       26
33225 libluajit2-5.1-common              	       0       29        0        0       29
33226 libluajit2-5.1-dev                 	       0        1        0        1        0
33227 liblualib40                        	       0        2        0        0        2
33228 liblualib50                        	       0       13        0        1       12
33229 liblualib50-dev                    	       0        4        0        4        0
33230 liblucene++-contrib0v5             	       0        1        0        0        1
33231 liblucene++-dev                    	       0        1        0        1        0
33232 liblucene++0t64                    	       0        1        0        0        1
33233 liblucene++0v5                     	       0       13        0        1       12
33234 liblucene2-java                    	       0        8        1        7        0
33235 liblucene3-contrib-java            	       0        8        0        0        8
33236 liblucene3-java                    	       0        8        0        0        8
33237 liblucene4-java                    	       0        1        0        0        1
33238 liblucene4.10-java                 	       0       12        0        0       12
33239 liblucene8-java                    	       0        2        0        0        2
33240 libluksde-utils                    	       0        2        0        2        0
33241 libluksde1                         	       0       15        0        0       15
33242 libluksmeta0                       	       0        9        1        1        7
33243 liblur3                            	       0        3        0        0        3
33244 liblutok3                          	       0        1        0        0        1
33245 liblv-perl                         	       0        1        0        1        0
33246 liblv2dynparam1-dev                	       0        1        0        1        0
33247 liblv2dynparamhost1-1              	       0        1        0        0        1
33248 liblv2dynparamplugin1-0            	       0        5        0        0        5
33249 liblvm2app2.2                      	       0       86        0        0       86
33250 liblvm2cmd2.02                     	       0       95        0        0       95
33251 liblvm2cmd2.03                     	       0     1193        0        4     1189
33252 liblwgeom-2.3-0                    	       0        3        0        0        3
33253 liblwgeom-2.4-0                    	       0        1        0        0        1
33254 liblwgeom-2.5-0                    	       0        5        1        0        4
33255 liblwgeom-dev                      	       0        1        0        1        0
33256 liblwipv6-2                        	       0        1        0        0        1
33257 liblwjgl-java                      	       0        5        0        0        5
33258 liblwjgl-java-doc                  	       0        1        0        0        1
33259 liblwjgl-java-jni                  	       0        5        0        5        0
33260 liblwp-authen-oauth-perl           	       0        2        0        2        0
33261 liblwp-authen-oauth2-perl          	       0        2        0        2        0
33262 liblwp-authen-wsse-perl            	       0       12        0       12        0
33263 liblwp-online-perl                 	       0        4        0        4        0
33264 liblwp-protocol-http-socketunix-perl	       0        2        0        2        0
33265 liblwp-protocol-socks-perl         	       0        6        0        6        0
33266 liblwp-useragent-chicaching-perl   	       0        2        0        2        0
33267 liblwp-useragent-progressbar-perl  	       0        1        0        1        0
33268 liblwpx-paranoidagent-perl         	       0        3        0        3        0
33269 liblwres1                          	       0        1        0        0        1
33270 liblwres141                        	       0      192        0        0      192
33271 liblwres161                        	       0      311        0        0      311
33272 liblwres40                         	       0        5        0        0        5
33273 liblwres60                         	       0       18        0        0       18
33274 liblwres80                         	       0       20        0        0       20
33275 liblwres9                          	       0        3        0        0        3
33276 liblwres90                         	       0       83        0        0       83
33277 liblwt-log-ocaml                   	       0        1        0        1        0
33278 liblwt-log-ocaml-dev               	       0        1        0        1        0
33279 liblwt-ocaml                       	       0        4        0        3        1
33280 liblwt-ocaml-dev                   	       0        3        0        3        0
33281 liblxc1t64                         	       0       10        1        1        8
33282 liblxi1                            	       0        1        0        0        1
33283 liblxqt-backlight-helper           	       0        7        0        7        0
33284 liblxqt-dev                        	       0        1        0        1        0
33285 liblxqt-globalkeys-ui1-dev         	       0        2        0        2        0
33286 liblxqt-globalkeys-ui2             	       0        5        0        4        1
33287 liblxqt-globalkeys1-dev            	       0        2        0        2        0
33288 liblxqt-globalkeys2                	       0        6        0        4        2
33289 liblxqt1-dev                       	       0        1        0        1        0
33290 liblxqt2                           	       0        7        0        4        3
33291 liblz-dev                          	       0       17        1       16        0
33292 liblz1                             	       0     1463        0        6     1457
33293 liblz4-tool                        	       0       39        0        1       38
33294 liblzf-dev                         	       0        1        0        1        0
33295 liblzf1                            	       0      120       28       48       44
33296 liblzfse1                          	       0        1        0        0        1
33297 liblzma-doc                        	       0       13        0        0       13
33298 liblzma2                           	       0        8        0        0        8
33299 liblzo-dev                         	       0        1        0        1        0
33300 liblzo1                            	       0        4        0        0        4
33301 liblzo2-dev                        	       0       74        0       74        0
33302 libm17n-0                          	       0      401       18       67      316
33303 libm17n-dev                        	       0        6        0        6        0
33304 libm4ri-0.0.20140914               	       0        1        0        0        1
33305 libm4ri-0.0.20200125               	       0        9        0        0        9
33306 libm4ri-dev                        	       0        9        0        9        0
33307 libm4rie-0.0.20150908              	       0        1        0        0        1
33308 libm4rie-0.0.20200125              	       0        9        0        0        9
33309 libm4rie-dev                       	       0        9        0        9        0
33310 libmaa2                            	       0        1        0        0        1
33311 libmaa3                            	       0        9        0        0        9
33312 libmac-widgets-java                	       0        3        0        0        3
33313 libmac10                           	       0        2        0        0        2
33314 libmac2                            	       0        5        0        0        5
33315 libmac8                            	       0        1        0        0        1
33316 libmacaroons0                      	       0        1        0        0        1
33317 libmad-ocaml                       	       0        3        0        3        0
33318 libmad-ocaml-dev                   	       0        3        0        3        0
33319 libmadlib                          	       0        1        0        0        1
33320 libmadlib-dev                      	       0        1        0        1        0
33321 libmaeparser1                      	       0       60        0        0       60
33322 libmagic-ocaml                     	       0        1        0        1        0
33323 libmagic-ocaml-dev                 	       0        1        0        1        0
33324 libmagic1-dbgsym                   	       0        1        0        1        0
33325 libmagic1t64                       	       0      272        5        6      261
33326 libmagick++-6.q16-5                	       0        3        0        0        3
33327 libmagick++-6.q16-7                	       0       34        0        0       34
33328 libmagick++-6.q16-8                	       0      699        0        5      694
33329 libmagick++-6.q16-9                	       0        2        0        0        2
33330 libmagick++-6.q16-9t64             	       0       31        0        0       31
33331 libmagick++-6.q16-dev              	       0       40        0        0       40
33332 libmagick++-6.q16hdri-8            	       0        1        0        0        1
33333 libmagick++-6.q16hdri-9t64         	       0        1        0        0        1
33334 libmagick++-6.q16hdri-dev          	       0        2        0        0        2
33335 libmagick++-7-5                    	       0        6        0        0        6
33336 libmagick++-7-headers              	       0        1        0        1        0
33337 libmagick++-7.q16-5                	       0       26        0        0       26
33338 libmagick++-7.q16-dev              	       0        1        0        0        1
33339 libmagick++-7.q16hdri-5            	       0        1        0        0        1
33340 libmagick++-7.q16hdri-dev          	       0        1        0        0        1
33341 libmagick++-dev                    	       0       35        0        0       35
33342 libmagick++5                       	       0        7        0        0        7
33343 libmagick++9c2a                    	       0        1        0        0        1
33344 libmagick9                         	       0        1        0        1        0
33345 libmagickcore-6.q16-2              	       0       15        0        1       14
33346 libmagickcore-6.q16-2-extra        	       0       10        0        0       10
33347 libmagickcore-6.q16-3              	       0      121        0        0      121
33348 libmagickcore-6.q16-3-extra        	       0       99        0        0       99
33349 libmagickcore-6.q16-5              	       0        1        0        0        1
33350 libmagickcore-6.q16-6              	       0     2967       36       82     2849
33351 libmagickcore-6.q16-6-extra        	       0     2841        3        9     2829
33352 libmagickcore-6.q16-7              	       0        9        0        0        9
33353 libmagickcore-6.q16-7-extra        	       0      118        0        0      118
33354 libmagickcore-6.q16-7t64           	       0      123        2        0      121
33355 libmagickcore-6.q16-dev            	       0       71        0        0       71
33356 libmagickcore-6.q16hdri-3          	       0        1        0        0        1
33357 libmagickcore-6.q16hdri-3-extra    	       0        1        0        0        1
33358 libmagickcore-6.q16hdri-6          	       0        7        0        0        7
33359 libmagickcore-6.q16hdri-6-extra    	       0        5        0        0        5
33360 libmagickcore-6.q16hdri-7-extra    	       0        2        0        0        2
33361 libmagickcore-6.q16hdri-7t64       	       0        2        0        0        2
33362 libmagickcore-6.q16hdri-dev        	       0        2        0        0        2
33363 libmagickcore-7-10                 	       0       17        0        0       17
33364 libmagickcore-7.q16-10             	       0      132        2        1      129
33365 libmagickcore-7.q16-10-extra       	       0      125        0        0      125
33366 libmagickcore-7.q16-dev            	       0        2        0        0        2
33367 libmagickcore-7.q16hdri-10         	       0        1        0        0        1
33368 libmagickcore-7.q16hdri-10-extra   	       0        1        0        0        1
33369 libmagickcore-7.q16hdri-dev        	       0        1        0        0        1
33370 libmagickcore-dev                  	       0       24        0        0       24
33371 libmagickcore3                     	       0        1        0        1        0
33372 libmagickcore5                     	       0       17        0        0       17
33373 libmagickcore5-extra               	       0       11        0        0       11
33374 libmagickwand-6.q16-2              	       0       14        0        1       13
33375 libmagickwand-6.q16-3              	       0      120        0        0      120
33376 libmagickwand-6.q16-5              	       0        1        0        0        1
33377 libmagickwand-6.q16-6              	       0     2960       35       79     2846
33378 libmagickwand-6.q16-7              	       0        9        0        0        9
33379 libmagickwand-6.q16-7t64           	       0      123        2        0      121
33380 libmagickwand-6.q16-dev            	       0       58        0        0       58
33381 libmagickwand-6.q16hdri-3          	       0        1        0        0        1
33382 libmagickwand-6.q16hdri-6          	       0        7        0        0        7
33383 libmagickwand-6.q16hdri-7t64       	       0        2        0        0        2
33384 libmagickwand-6.q16hdri-dev        	       0        2        0        0        2
33385 libmagickwand-7-10                 	       0       17        0        0       17
33386 libmagickwand-7.q16-10             	       0      131        2        1      128
33387 libmagickwand-7.q16-dev            	       0        2        0        0        2
33388 libmagickwand-7.q16hdri-10         	       0        1        0        0        1
33389 libmagickwand-7.q16hdri-dev        	       0        1        0        0        1
33390 libmagickwand-dev                  	       0       21        0        0       21
33391 libmagickwand5                     	       0       17        0        0       17
33392 libmagics++-data                   	       0        6        0        0        6
33393 libmagics++-dev                    	       0        1        0        1        0
33394 libmagics++-metview-dev            	       0        1        0        0        1
33395 libmagplus3v5                      	       0        5        0        0        5
33396 libmail-authenticationresults-perl 	       0      103        4       99        0
33397 libmail-box-perl                   	       0       31        1       30        0
33398 libmail-bulkmail-perl              	       0        1        0        1        0
33399 libmail-dkim-perl                  	       0      123       15      108        0
33400 libmail-dmarc-perl                 	       0       52        5       47        0
33401 libmail-java                       	       0      843        0        0      843
33402 libmail-java-doc                   	       0        9        0        0        9
33403 libmail-mbox-messageparser-perl    	       0       17        0       17        0
33404 libmail-mboxparser-perl            	       0        1        0        1        0
33405 libmail-pop3client-perl            	       0        4        1        3        0
33406 libmail-rbl-perl                   	       0        1        1        0        0
33407 libmail-spf-perl                   	       0      128       19      109        0
33408 libmail-srs-perl                   	       0        4        2        2        0
33409 libmailcommon-data                 	       0        7        0        0        7
33410 libmailcommon4                     	       0        2        0        1        1
33411 libmailimporter-data               	       0        7        0        0        7
33412 libmailimporter4                   	       0        2        0        1        1
33413 libmailtransport4                  	       0        7        1        6        0
33414 libmailutils-dev                   	       0        3        0        3        0
33415 libmailutils4                      	       0        4        0        0        4
33416 libmailutils5                      	       0      164        0        0      164
33417 libmailutils7                      	       0      299        0        0      299
33418 libmailutils8                      	       0        2        0        0        2
33419 libmailutils9                      	       0      636        0        0      636
33420 libmailutils9t64                   	       0       78        0        0       78
33421 libmalaga7                         	       0        2        0        0        2
33422 libmalai-java                      	       0        5        0        0        5
33423 libmalcontent-ui-0-0               	       0       72        0        0       72
33424 libmaliit-plugins2                 	       0        1        0        1        0
33425 libmaloc1                          	       0        7        0        0        7
33426 libmanette-0.2-dev                 	       0        1        0        1        0
33427 libmango-perl                      	       0        1        0        1        0
33428 libmap-msgs-dev                    	       0        1        0        1        0
33429 libmapbox-variant-dev              	       0        2        0        0        2
33430 libmapcache1                       	       0        3        1        2        0
33431 libmapi1                           	       0        1        1        0        0
33432 libmapnik-dev                      	       0        1        0        1        0
33433 libmapnik3.0                       	       0        4        0        4        0
33434 libmapnik3.1                       	       0       21        0       21        0
33435 libmapscript-ruby1.9.1             	       0        1        0        0        1
33436 libmapserver-dev                   	       0        1        0        1        0
33437 libmapserver2                      	       0        9        1        0        8
33438 libmarble-dev                      	       0        3        0        3        0
33439 libmarblewidget-qt5-25             	       0        3        0        0        3
33440 libmarblewidget-qt5-28             	       0      134        0        2      132
33441 libmarblewidget-qt6-28             	       0        1        0        0        1
33442 libmarblewidget19                  	       0        2        0        1        1
33443 libmarc-charset-perl               	       0        3        1        2        0
33444 libmarc-file-marcmaker-perl        	       0        1        0        1        0
33445 libmarc-file-mij-perl              	       0        2        0        2        0
33446 libmarc-lint-perl                  	       0        1        0        1        0
33447 libmarc-parser-raw-perl            	       0        1        0        1        0
33448 libmarc-record-perl                	       0        3        1        2        0
33449 libmarc-spec-perl                  	       0        1        0        1        0
33450 libmarc-xml-perl                   	       0        3        1        2        0
33451 libmarc4j-java                     	       0        2        0        0        2
33452 libmarco-dev                       	       0        1        0        1        0
33453 libmarco-private0                  	       0        3        0        0        3
33454 libmarco-private1                  	       0       69        0        0       69
33455 libmariadb-java                    	       0       43        0        0       43
33456 libmariadb2                        	       0        2        0        0        2
33457 libmariadb3                        	       0     2207       59      154     1994
33458 libmariadbclient-dev               	       0        6        0        2        4
33459 libmariadbclient-dev-compat        	       0        3        0        0        3
33460 libmariadbclient18                 	       0      104        0        1      103
33461 libmariadbd18                      	       0        3        0        0        3
33462 libmariadbd19                      	       0       10        0        0       10
33463 libmariadbd19t64                   	       0        4        0        1        3
33464 libmarisa0                         	       0       43        1        7       35
33465 libmarkdent-perl                   	       0        1        0        1        0
33466 libmarkdown-php                    	       0        1        0        1        0
33467 libmarkdown2-dev                   	       0        2        0        2        0
33468 libmartchus-c++utilities5          	       0       10        0        6        4
33469 libmartchus-c++utilities5t64       	       0        1        1        0        0
33470 libmartchus-qtforkawesome1         	       0       10        0        6        4
33471 libmartchus-qtforkawesome1t64      	       0        1        1        0        0
33472 libmartchus-qtutilities6           	       0       11        1        6        4
33473 libmason-perl                      	       0        1        0        1        0
33474 libmason-plugin-cache-perl         	       0        1        0        1        0
33475 libmason-plugin-htmlfilters-perl   	       0        1        0        1        0
33476 libmason-plugin-routersimple-perl  	       0        1        0        1        0
33477 libmasonx-processdir-perl          	       0        1        0        1        0
33478 libmatch-simple-perl               	       0       32        1       31        0
33479 libmatch-simple-xs-perl            	       0       31        0        0       31
33480 libmatchbox1                       	       0        9        0        0        9
33481 libmate-desktop-doc                	       0        4        0        0        4
33482 libmate-menu-dev                   	       0        1        0        1        0
33483 libmate-panel-applet-dev           	       0        1        0        1        0
33484 libmate-panel-applet-doc           	       0        3        0        0        3
33485 libmate-sensors-applet-plugin0     	       0      109        1       22       86
33486 libmate-slab0t64                   	       0       21        0        0       21
33487 libmate-window-settings1t64        	       0       21        0        0       21
33488 libmatedict6                       	       0      517        0        2      515
33489 libmatekbd-common                  	       0      530        0        0      530
33490 libmatekbd-dev                     	       0        1        0        1        0
33491 libmatekeyring                     	       0        1        0        0        1
33492 libmatemixer-common                	       0      528        0        0      528
33493 libmatemixer-doc                   	       0        3        0        0        3
33494 libmatepolkit                      	       0        1        0        0        1
33495 libmateweather-dev                 	       0        1        0        1        0
33496 libmatewnck                        	       0        1        0        1        0
33497 libmatewnck-common                 	       0        1        0        0        1
33498 libmath-basecnv-perl               	       0        2        0        2        0
33499 libmath-bezier-perl                	       0        2        0        2        0
33500 libmath-bigint-gmp-perl            	       0        7        0        0        7
33501 libmath-bigint-perl                	       0       31        2       29        0
33502 libmath-cartesian-product-perl     	       0        1        0        1        0
33503 libmath-cephes-perl                	       0        1        0        0        1
33504 libmath-combinatorics-clojure      	       0        1        0        0        1
33505 libmath-combinatorics-perl         	       0        2        0        2        0
33506 libmath-convexhull-monotonechain-perl	       0       27        0        0       27
33507 libmath-derivative-perl            	       0        4        0        4        0
33508 libmath-geometry-voronoi-perl      	       0       27        0        0       27
33509 libmath-gmp-perl                   	       0        2        0        0        2
33510 libmath-int128-perl                	       0        5        0        0        5
33511 libmath-int64-perl                 	       0        5        0        0        5
33512 libmath-libm-perl                  	       0       27        0        0       27
33513 libmath-numeric-tower-clojure      	       0        1        0        0        1
33514 libmath-planepath-perl             	       0       27        0       27        0
33515 libmath-polygon-perl               	       0        1        0        1        0
33516 libmath-prime-util-gmp-perl        	       0        3        0        0        3
33517 libmath-prime-util-perl            	       0        3        0        3        0
33518 libmath-random-isaac-xs-perl       	       0      187        1        1      185
33519 libmath-random-mt-perl             	       0        4        1        1        2
33520 libmath-random-secure-perl         	       0        1        0        1        0
33521 libmath-round-perl                 	       0       17        2       15        0
33522 libmath-spline-perl                	       0        4        0        4        0
33523 libmath-tamuanova-perl             	       0        1        0        0        1
33524 libmath-units-perl                 	       0        1        0        1        0
33525 libmath-vec-perl                   	       0        2        0        2        0
33526 libmath-vecstat-perl               	       0        3        0        3        0
33527 libmatheval-dev                    	       0        1        0        1        0
33528 libmatheval1                       	       0       10        0        0       10
33529 libmathic-dev                      	       0        1        0        1        0
33530 libmathic0v5                       	       0        1        0        0        1
33531 libmathicgb-dev                    	       0        1        0        1        0
33532 libmathicgb0                       	       0        1        0        0        1
33533 libmathml-ruby1.9.1                	       0        1        0        0        1
33534 libmatio-doc                       	       0        1        0        0        1
33535 libmatio11                         	       0       34        1        0       33
33536 libmatio13                         	       0        5        0        0        5
33537 libmatio4                          	       0        3        0        0        3
33538 libmatroska-dev                    	       0       14        0       14        0
33539 libmatroska0                       	       0        3        0        0        3
33540 libmatroska5                       	       0        5        0        0        5
33541 libmatroska6                       	       0       13        0        1       12
33542 libmatroska6v5                     	       0      174        0        0      174
33543 libmatroska7                       	       0     1509        5       16     1488
33544 libmatthew-debug-java              	       0        2        0        0        2
33545 libmaven-ant-tasks-java            	       0        2        0        0        2
33546 libmaven-antrun-extended-plugin-java	       0        1        0        0        1
33547 libmaven-antrun-plugin-java        	       0        1        0        0        1
33548 libmaven-archiver-java             	       0       52        0        0       52
33549 libmaven-archiver-java-doc         	       0        1        0        0        1
33550 libmaven-artifact-transfer-java    	       0        4        0        0        4
33551 libmaven-assembly-plugin-java      	       0        1        0        0        1
33552 libmaven-bundle-plugin-java        	       0        5        0        0        5
33553 libmaven-clean-plugin-java         	       0        5        0        0        5
33554 libmaven-common-artifact-filters-java	       0        6        0        0        6
33555 libmaven-common-artifact-filters-java-doc	       0        1        0        0        1
33556 libmaven-compiler-plugin-java      	       0       24        0        0       24
33557 libmaven-dependency-analyzer-java  	       0        3        0        0        3
33558 libmaven-dependency-plugin-java    	       0        3        0        0        3
33559 libmaven-dependency-plugin-java-doc	       0        1        0        0        1
33560 libmaven-dependency-tree-java      	       0        6        0        0        6
33561 libmaven-dependency-tree-java-doc  	       0        1        0        0        1
33562 libmaven-deploy-plugin-java        	       0        5        0        0        5
33563 libmaven-doxia-tools-java          	       0        2        0        0        2
33564 libmaven-doxia-tools-java-doc      	       0        1        0        0        1
33565 libmaven-ejb-plugin-java           	       0        1        0        0        1
33566 libmaven-enforcer-plugin-java      	       0        2        0        0        2
33567 libmaven-exec-plugin-java          	       0        2        0        0        2
33568 libmaven-file-management-java      	       0      373        0        0      373
33569 libmaven-file-management-java-doc  	       0        1        0        0        1
33570 libmaven-filtering-java            	       0        5        0        0        5
33571 libmaven-install-plugin-java       	       0        6        0        0        6
33572 libmaven-invoker-java              	       0        3        0        0        3
33573 libmaven-invoker-plugin-java       	       0        1        0        0        1
33574 libmaven-jar-plugin-java           	       0       51        0        0       51
33575 libmaven-javadoc-plugin-java       	       0        3        0        0        3
33576 libmaven-jaxb2-plugin-java         	       0        1        0        0        1
33577 libmaven-mapping-java              	       0        1        0        0        1
33578 libmaven-parent-java               	       0      466        0        0      466
33579 libmaven-plugin-testing-java       	       0        1        0        0        1
33580 libmaven-plugin-tools-java         	       0        7        0        0        7
33581 libmaven-processor-plugin-java     	       0        1        0        0        1
33582 libmaven-reporting-api-java        	       0       11        0        0       11
33583 libmaven-reporting-exec-java       	       0        5        0        0        5
33584 libmaven-reporting-impl-java       	       0        8        0        0        8
33585 libmaven-reporting-impl-java-doc   	       0        1        0        0        1
33586 libmaven-repository-builder-java   	       0        1        0        0        1
33587 libmaven-repository-builder-java-doc	       0        1        0        0        1
33588 libmaven-resolver-1.6-java         	       0       10        0        0       10
33589 libmaven-resolver-java             	       0      462        0        0      462
33590 libmaven-resolver-transport-http-java	       0        3        0        0        3
33591 libmaven-resources-plugin-java     	       0        5        0        0        5
33592 libmaven-resources-plugin-java-doc 	       0        1        0        0        1
33593 libmaven-scm-java                  	       0        4        0        0        4
33594 libmaven-scm-java-doc              	       0        1        0        0        1
33595 libmaven-scm-providers-java        	       0        1        0        0        1
33596 libmaven-script-interpreter-java   	       0        1        0        0        1
33597 libmaven-shade-plugin-java         	       0        1        0        0        1
33598 libmaven-shade-plugin-java-doc     	       0        1        0        0        1
33599 libmaven-shared-incremental-java   	       0       24        0        0       24
33600 libmaven-shared-incremental-java-doc	       0        1        0        0        1
33601 libmaven-shared-io-java            	       0      372        0        0      372
33602 libmaven-shared-io-java-doc        	       0        1        0        0        1
33603 libmaven-shared-jar-java           	       0        1        0        0        1
33604 libmaven-shared-jar-java-doc       	       0        1        0        0        1
33605 libmaven-shared-utils-java         	       0      467        0        0      467
33606 libmaven-shared-utils-java-doc     	       0        2        0        0        2
33607 libmaven-site-plugin-java          	       0        5        0        0        5
33608 libmaven-site-plugin-java-doc      	       0        1        0        0        1
33609 libmaven-source-plugin-java        	       0        2        0        0        2
33610 libmaven-verifier-java             	       0        1        0        0        1
33611 libmaven-verifier-java-doc         	       0        1        0        0        1
33612 libmaven-war-plugin-java           	       0        1        0        0        1
33613 libmaven-war-plugin-java-doc       	       0        1        0        0        1
33614 libmaven2-core-java                	       0        4        0        0        4
33615 libmaven2-core-java-doc            	       0        1        0        0        1
33616 libmaven3-core-java                	       0      465        0        0      465
33617 libmaxflow0                        	       0        2        0        0        2
33618 libmaxmind-db-common-perl          	       0        5        0        5        0
33619 libmaxmind-db-reader-perl          	       0        5        0        5        0
33620 libmaxmind-db-reader-xs-perl       	       0        4        0        0        4
33621 libmaxminddb-dev                   	       0        4        0        4        0
33622 libmaxminddb0                      	       0     3599       47      118     3434
33623 libmbassador-java                  	       0       19        0        0       19
33624 libmbedcrypto0                     	       0        2        0        0        2
33625 libmbedcrypto16                    	       0      148       11       18      119
33626 libmbedcrypto3                     	       0      256        6       22      228
33627 libmbedcrypto7t64                  	       0      109        3       15       91
33628 libmbedtls-doc                     	       0        1        0        0        1
33629 libmbedtls10                       	       0        1        0        0        1
33630 libmbedtls12                       	       0      245        6       16      223
33631 libmbedtls14                       	       0      747       10       35      702
33632 libmbedtls14t64                    	       0       39        0        1       38
33633 libmbedtls21                       	       0       58        2        0       56
33634 libmbedx509-0                      	       0      246        6       16      224
33635 libmbedx509-1                      	       0      747       10       35      702
33636 libmbedx509-1t64                   	       0       39        0        1       38
33637 libmbedx509-7                      	       0       58        2        0       56
33638 libmbim-glib0                      	       0        1        0        0        1
33639 libmbim-proxy                      	       0     2736        4      139     2593
33640 libmbt1                            	       0        4        0        0        4
33641 libmbtserver1                      	       0        2        0        0        2
33642 libmbus0                           	       0        1        0        1        0
33643 libmce-perl                        	       0        2        0        2        0
33644 libmckoisqldb-java                 	       0        4        0        0        4
33645 libmcpp-dev                        	       0        3        0        3        0
33646 libmcpp0                           	       0       75        0        0       75
33647 libmcrypt-dev                      	       0       19        0       19        0
33648 libmcs-utils                       	       0        1        0        1        0
33649 libmcs1                            	       0        2        0        2        0
33650 libmd3-1                           	       0        1        0        0        1
33651 libmd4c-dev                        	       0        1        0        1        0
33652 libmd4c-html0                      	       0        2        0        0        2
33653 libmd4c-html0-dev                  	       0        1        0        0        1
33654 libmd4c0-dbgsym                    	       0        1        0        1        0
33655 libmdb2                            	       0       10        0        0       10
33656 libmdb3                            	       0       24        0        0       24
33657 libmdb3t64                         	       0        3        0        0        3
33658 libmdbsql2                         	       0        9        0        0        9
33659 libmdbsql3                         	       0       24        0        0       24
33660 libmdbsql3t64                      	       0        3        0        0        3
33661 libmdbtools                        	       0        2        0        0        2
33662 libmdc2                            	       0        3        0        0        3
33663 libmdc3                            	       0       12        0        0       12
33664 libmdds-dev                        	       0        1        0        0        1
33665 libmdnsd1                          	       0        1        0        0        1
33666 libmeanwhile-dev                   	       0        4        0        4        0
33667 libmeanwhile1                      	       0      471       13       19      439
33668 libmecab-ruby1.9.1                 	       0        1        0        0        1
33669 libmecab2                          	       0       20        0        0       20
33670 libmed-dev                         	       0        1        0        0        1
33671 libmed-doc                         	       0        1        0        0        1
33672 libmed-tools                       	       0        3        0        3        0
33673 libmed11                           	       0        4        0        0        4
33674 libmedc-dev                        	       0        6        0        6        0
33675 libmedc11                          	       0      103        0        1      102
33676 libmedc11t64                       	       0       15        0        0       15
33677 libmedc1v5                         	       0        1        0        0        1
33678 libmedia-convert-perl              	       0        2        0        2        0
33679 libmediaart-1.0-0                  	       0        8        0        0        8
33680 libmediaart-1.0-dev                	       0        1        0        1        0
33681 libmediaart-2.0-0                  	       0      493        1        7      485
33682 libmediaart-doc                    	       0        1        0        0        1
33683 libmediainfo-dev                   	       0        3        0        3        0
33684 libmediainfo-doc                   	       0        4        0        0        4
33685 libmediainfo0                      	       0        1        0        0        1
33686 libmediainfo0v5                    	       0      195        1       14      180
33687 libmediascanner-2.0-4              	       0        1        0        0        1
33688 libmediastreamer-base10            	       0       15        0        0       15
33689 libmediastreamer-base3             	       0       16        0        0       16
33690 libmediastreamer-data              	       0       26        0        0       26
33691 libmediastreamer-dev               	       0        1        0        1        0
33692 libmediastreamer-voip10            	       0       15        0        0       15
33693 libmediastreamer0                  	       0        1        0        0        1
33694 libmediastreamer1                  	       0        1        0        0        1
33695 libmediastreamer11                 	       0        6        0        2        4
33696 libmediastreamer12                 	       0       23        1        0       22
33697 libmediastreamer13                 	       0        1        0        0        1
33698 libmediastreamer13t64              	       0        2        0        0        2
33699 libmedimport0v5                    	       0        4        0        0        4
33700 libmedley-clojure                  	       0        1        0        0        1
33701 libmeep-openmpi-dev                	       0        1        0        0        1
33702 libmeep-openmpi23                  	       0        1        0        0        1
33703 libmeep12                          	       0        1        0        0        1
33704 libmeep27                          	       0        1        0        0        1
33705 libmeep30                          	       0        3        0        0        3
33706 libmemcache-client-ruby1.9.1       	       0        1        0        0        1
33707 libmemcached-dev                   	       0        7        0        7        0
33708 libmemcached-tools                 	       0        5        0        5        0
33709 libmemcached10                     	       0        2        0        0        2
33710 libmemcached11t64                  	       0        9        0        4        5
33711 libmemcachedutil2t64               	       0        3        0        1        2
33712 libmemoize-expirelru-perl          	       0        4        1        3        0
33713 libmemtailor0                      	       0        1        0        0        1
33714 libmenhir-ocaml-dev                	       0        1        0        1        0
33715 libmenlo-legacy-perl               	       0        3        0        3        0
33716 libmenlo-perl                      	       0        3        0        3        0
33717 libmenu-cache-doc                  	       0        1        0        0        1
33718 libmenu-cache1                     	       0        1        0        0        1
33719 libmercator-0.2-4c2a               	       0        1        0        0        1
33720 libmercator-0.3-3                  	       0        1        0        0        1
33721 libmeshoptimizer-dev               	       0        1        0        1        0
33722 libmeshoptimizer2d                 	       0        4        0        0        4
33723 libmessage-filters-dev             	       0        2        0        2        0
33724 libmessage-filters1d               	       0        3        0        0        3
33725 libmessagecomposer4                	       0        4        0        1        3
33726 libmessagecore4                    	       0        4        0        1        3
33727 libmessagelist4                    	       0        2        0        0        2
33728 libmessageviewer4                  	       0        4        1        3        0
33729 libmessaging-menu-dev              	       0        1        0        1        0
33730 libmessaging-menu0                 	       0        9        0        0        9
33731 libmessagingmenu12.10-cil          	       0        1        0        0        1
33732 libmeta-perl                       	       0        1        0        0        1
33733 libmetacity1                       	       0        8        0        0        8
33734 libmetadata-extractor-java         	       0       70        0        0       70
33735 libmethod-autoload-perl            	       0        3        0        3        0
33736 libmethod-signatures-simple-perl   	       0        1        0        1        0
33737 libmetis-dev                       	       0       13        0       13        0
33738 libmetis-edf4.1                    	       0        1        0        0        1
33739 libmetis5                          	       0     2608        1       14     2593
33740 libmetkit-data                     	       0        1        0        0        1
33741 libmetkit0d                        	       0        1        0        0        1
33742 libmetro-policy-java               	       0        3        0        0        3
33743 libmetview0d                       	       0        1        0        0        1
33744 libmfsio1                          	       0        1        0        0        1
33745 libmfx-dev                         	       0        6        0        6        0
33746 libmfx-tools                       	       0        2        0        2        0
33747 libmfx0                            	       0       14        0        0       14
33748 libmgba                            	       0        1        0        0        1
33749 libmgba-dev                        	       0        1        0        1        0
33750 libmgba0.10                        	       0       13        0        0       13
33751 libmgba0.10t64                     	       0        1        0        0        1
33752 libmgl-data                        	       0        8        0        0        8
33753 libmgl-fltk7.5.0                   	       0        1        0        0        1
33754 libmgl-fltk8                       	       0        3        0        0        3
33755 libmgl-qt5-8                       	       0        2        0        0        2
33756 libmgl7.2.0                        	       0        1        0        0        1
33757 libmgl7.5.0                        	       0        1        0        0        1
33758 libmgl8                            	       0        5        0        0        5
33759 libmhash-dev                       	       0        6        0        6        0
33760 libmia-2.4-4                       	       0        2        0        0        2
33761 libmialm3                          	       0        2        0        0        2
33762 libmiaviewit0                      	       0        2        0        0        2
33763 libmicroba-java                    	       0       25        0        0       25
33764 libmicroblog4                      	       0        7        0        0        7
33765 libmicrodns-dev                    	       0        4        0        4        0
33766 libmicrodns0                       	       0       50        0        0       50
33767 libmicrodns1                       	       0       63        1        2       60
33768 libmicrohttpd10                    	       0        9        0        0        9
33769 libmicrohttpd12                    	       0      178        4       14      160
33770 libmicrohttpd12t64                 	       0       23        2        2       19
33771 libmicrohttpd5                     	       0        1        0        0        1
33772 libmidi-perl                       	       0        2        0        2        0
33773 libmigemo1                         	       0        3        0        1        2
33774 libmiglayout-java                  	       0       45        0        0       45
33775 libmigrate-parsetree-ocaml         	       0        1        0        0        1
33776 libmikmod-config                   	       0       16        1        6        9
33777 libmikmod2                         	       0       12        0        0       12
33778 libmikmod2-dev                     	       0        3        0        3        0
33779 libmikmod3                         	       0      592       18       17      557
33780 libmilter-dev                      	       0        4        0        4        0
33781 libmilter1.0.1                     	       0       65       13       22       30
33782 libmimalloc-dev                    	       0        2        0        2        0
33783 libmimalloc2.0                     	       0       14        0        0       14
33784 libmimalloc3                       	       0        2        0        0        2
33785 libmime-base32-perl                	       0        9        2        7        0
33786 libmime-base64-urlsafe-perl        	       0       22        1       21        0
33787 libmime-encwords-perl              	       0        3        0        3        0
33788 libmime-explode-perl               	       0        1        0        0        1
33789 libmime-lite-html-perl             	       0        3        0        3        0
33790 libmime-perl                       	       0        2        0        0        2
33791 libmimelib1-dev                    	       0        1        0        1        0
33792 libmimelib1c2a                     	       0        2        0        0        2
33793 libmimelib1c2a-trinity             	       0       30        0        1       29
33794 libmimepull-java                   	       0        3        0        0        3
33795 libmimetic0                        	       0        1        0        0        1
33796 libmimetic0t64                     	       0        1        0        0        1
33797 libmimetic0v5                      	       0        1        0        0        1
33798 libmimetreeparser-data             	       0        4        0        0        4
33799 libmimic-doc                       	       0        1        0        0        1
33800 libmimic0                          	       0       27        0        0       27
33801 libminc-dev                        	       0        2        0        2        0
33802 libminc2-5.2.0                     	       0        3        0        0        3
33803 libminc2-5.3.0                     	       0        8        0        0        8
33804 libming-dev                        	       0        1        0        1        0
33805 libming-util                       	       0        1        0        1        0
33806 libming0                           	       0        1        0        0        1
33807 libming1                           	       0        1        0        0        1
33808 libmini18n-dev                     	       0        1        0        1        0
33809 libmini18n1                        	       0        7        0        0        7
33810 libminidjvu-dev                    	       0        1        0        1        0
33811 libminidjvu-mod-settings0          	       0        1        0        0        1
33812 libminidjvu-mod0                   	       0        1        0        0        1
33813 libminidjvu0                       	       0        6        0        0        6
33814 libminidjvu0t64                    	       0        2        0        0        2
33815 libminify-maven-plugin-java        	       0        1        0        0        1
33816 libminilector38u-ccid-bit4id       	       0        3        0        3        0
33817 libminimap0                        	       0        1        0        0        1
33818 libminini1                         	       0        6        0        0        6
33819 libminion-backend-sqlite-perl      	       0        1        0        1        0
33820 libminion-perl                     	       0        1        0        1        0
33821 libminiupnpc-dev                   	       0       22        0       22        0
33822 libminiupnpc10                     	       0       36        0        0       36
33823 libminiupnpc17                     	       0      684       22       43      619
33824 libminiupnpc18                     	       0       65        0        8       57
33825 libminiupnpc5                      	       0       10        0       10        0
33826 libminiupnpc8                      	       0        2        0        0        2
33827 libminizip1t64                     	       0      155       12       39      104
33828 libminlog-java                     	       0       50        0        0       50
33829 libminpack1                        	       0        4        0        0        4
33830 libmirage11                        	       0        2        0        1        1
33831 libmiral3                          	       0        1        0        0        1
33832 libmiral5                          	       0        1        0        0        1
33833 libmirclient9                      	       0        1        0        0        1
33834 libmircommon7                      	       0        1        0        0        1
33835 libmircommon9                      	       0        2        0        0        2
33836 libmircookie2                      	       0        2        0        0        2
33837 libmircore1                        	       0        1        0        0        1
33838 libmircore2                        	       0        2        0        0        2
33839 libmirisdr0                        	       0       41        2       39        0
33840 libmiroil3                         	       0        1        0        0        1
33841 libmirplatform18                   	       0        1        0        0        1
33842 libmirplatform24                   	       0        2        0        0        2
33843 libmirprotobuf3                    	       0        1        0        0        1
33844 libmirserver53                     	       0        1        0        0        1
33845 libmirserver58                     	       0        1        0        0        1
33846 libmirwayland0                     	       0        1        0        0        1
33847 libmirwayland4                     	       0        2        0        0        2
33848 libmission-control-plugins0        	       0      332        2        9      321
33849 libmission-control-plugins0t64     	       0        9        0        0        9
33850 libmixin-linewise-perl             	       0       11        0       11        0
33851 libmixlib-cli-ruby1.9.1            	       0        1        0        0        1
33852 libmjollnir0                       	       0        1        0        0        1
33853 libmjpegtools-1.9                  	       0        1        0        0        1
33854 libmjpegtools-dev                  	       0        3        0        3        0
33855 libmjpegutils-2.0-0                	       0        3        0        0        3
33856 libmjpegutils-2.1-0                	       0     2755        0        1     2754
33857 libmjpegutils-2.1-0t64             	       0      191        0        0      191
33858 libmjpegutils-2.2-0                	       0       44        0        0       44
33859 libmjpegutils-2.2-0t64             	       0       12        0        0       12
33860 libmjson-java                      	       0        7        0        0        7
33861 libmkdoc-xml-perl                  	       0        1        1        0        0
33862 libmkl-avx                         	       0        5        0        0        5
33863 libmkl-avx2                        	       0        5        0        0        5
33864 libmkl-avx512                      	       0        5        0        0        5
33865 libmkl-avx512-mic                  	       0        5        0        0        5
33866 libmkl-blacs-intelmpi-ilp64        	       0        1        0        0        1
33867 libmkl-blacs-intelmpi-lp64         	       0        1        0        0        1
33868 libmkl-blacs-openmpi-ilp64         	       0        1        0        0        1
33869 libmkl-blacs-openmpi-lp64          	       0        1        0        0        1
33870 libmkl-blacs-sgimpt-ilp64          	       0        1        0        0        1
33871 libmkl-blacs-sgimpt-lp64           	       0        1        0        0        1
33872 libmkl-cdft-core                   	       0        1        0        0        1
33873 libmkl-cluster-dev                 	       0        1        0        0        1
33874 libmkl-computational-dev           	       0        4        0        0        4
33875 libmkl-core                        	       0        5        0        0        5
33876 libmkl-def                         	       0        5        0        0        5
33877 libmkl-dev                         	       0        4        0        4        0
33878 libmkl-gf-ilp64                    	       0        5        0        0        5
33879 libmkl-gf-lp64                     	       0        5        0        0        5
33880 libmkl-gnu-thread                  	       0        5        0        0        5
33881 libmkl-intel-ilp64                 	       0        5        0        0        5
33882 libmkl-intel-lp64                  	       0        5        0        0        5
33883 libmkl-intel-thread                	       0        5        0        0        5
33884 libmkl-interface-dev               	       0        4        0        0        4
33885 libmkl-locale                      	       0        5        0        0        5
33886 libmkl-mc                          	       0        5        0        0        5
33887 libmkl-mc3                         	       0        5        0        0        5
33888 libmkl-meta-cluster                	       0        1        0        0        1
33889 libmkl-meta-computational          	       0        5        0        0        5
33890 libmkl-meta-interface              	       0        5        0        0        5
33891 libmkl-meta-threading              	       0        5        0        0        5
33892 libmkl-pgi-thread                  	       0        5        0        0        5
33893 libmkl-rt                          	       0        4        0        0        4
33894 libmkl-scalapack-ilp64             	       0        1        0        0        1
33895 libmkl-scalapack-lp64              	       0        1        0        0        1
33896 libmkl-sequential                  	       0        5        0        0        5
33897 libmkl-tbb-thread                  	       0        2        0        0        2
33898 libmkl-threading-dev               	       0        4        0        0        4
33899 libmkl-vml-avx                     	       0        5        0        0        5
33900 libmkl-vml-avx2                    	       0        5        0        0        5
33901 libmkl-vml-avx512                  	       0        5        0        0        5
33902 libmkl-vml-avx512-mic              	       0        5        0        0        5
33903 libmkl-vml-cmpt                    	       0        5        0        0        5
33904 libmkl-vml-def                     	       0        5        0        0        5
33905 libmkl-vml-mc                      	       0        5        0        0        5
33906 libmkl-vml-mc2                     	       0        5        0        0        5
33907 libmkl-vml-mc3                     	       0        5        0        0        5
33908 libmkv0                            	       0        3        0        0        3
33909 libmldbm-sync-perl                 	       0        3        1        2        0
33910 libmlpack-dev                      	       0        1        0        1        0
33911 libmlpack3                         	       0        2        0        0        2
33912 libmlpost-ocaml-dev                	       0        3        0        3        0
33913 libmlpost-ocaml-doc                	       0        3        0        0        3
33914 libmlrisctools-smlnj               	       0        1        0        0        1
33915 libmlt++-7-7                       	       0        6        0        0        6
33916 libmlt++-dev                       	       0        2        0        2        0
33917 libmlt++3                          	       0       64        0        1       63
33918 libmlt++7                          	       0      152        0        1      151
33919 libmlt-7-7                         	       0        6        0        0        6
33920 libmlt-data                        	       0      212        0        0      212
33921 libmlt-dev                         	       0        1        0        1        0
33922 libmlt6                            	       0       64        0        1       63
33923 libmlt7                            	       0      152        0        1      151
33924 libmlt7-data                       	       0        6        0        0        6
33925 libmlv3                            	       0        1        0        0        1
33926 libmlv3-dev                        	       0        1        0        1        0
33927 libmm-dev                          	       0        1        0        1        0
33928 libmm-glib-doc                     	       0        1        0        0        1
33929 libmm-ocaml                        	       0        1        0        1        0
33930 libmm-ocaml-dev                    	       0        1        0        1        0
33931 libmm14                            	       0        6        0        1        5
33932 libmmap-ocaml                      	       0        1        0        1        0
33933 libmmdb2-0                         	       0        1        0        0        1
33934 libmmdb2-dev                       	       0        1        0        1        0
33935 libmmpong0.9                       	       0        1        0        0        1
33936 libmms-dev                         	       0        5        0        5        0
33937 libmms0                            	       0     1288       20       29     1239
33938 libmmtf-java                       	       0        1        0        0        1
33939 libmnemonicsetter-java             	       0       33        0        0       33
33940 libmng-dev                         	       0       15        0       15        0
33941 libmng2                            	       0       46        0        0       46
33942 libmnl-dev                         	       0       19        0       19        0
33943 libmobi-dev                        	       0        1        0        1        0
33944 libmobi-tools                      	       0        1        0        1        0
33945 libmobi0                           	       0        2        0        0        2
33946 libmockito-java                    	       0        3        0        0        3
33947 libmockobjects-java                	       0        2        0        0        2
33948 libmockobjects-java-doc            	       0        1        0        0        1
33949 libmodbus-dev                      	       0        7        0        7        0
33950 libmodbus5                         	       0       44        5        9       30
33951 libmodello-java                    	       0        4        0        0        4
33952 libmodello-maven-plugin-java       	       0        1        0        0        1
33953 libmodem-vgetty-perl               	       0        1        0        1        0
33954 libmodemmanagerqt1                 	       0        1        0        0        1
33955 libmodern-perl-perl                	       0        7        1        6        0
33956 libmodglue1                        	       0        1        0        1        0
33957 libmodhmm-dev                      	       0        1        0        0        1
33958 libmodhmm0                         	       0        1        0        0        1
33959 libmodpbase64-0                    	       0        4        0        0        4
33960 libmodpbase64-dev                  	       0        3        0        3        0
33961 libmodplug0c2                      	       0        1        0        0        1
33962 libmodplug1                        	       0     3271       24       29     3218
33963 libmodulator-java                  	       0        1        0        0        1
33964 libmodule-bundled-files-perl       	       0        2        0        2        0
33965 libmodule-cpanfile-perl            	       0       43        1       42        0
33966 libmodule-cpants-analyse-perl      	       0        1        0        1        0
33967 libmodule-depends-perl             	       0       10        0       10        0
33968 libmodule-extract-perl             	       0        1        0        1        0
33969 libmodule-extract-use-perl         	       0        1        0        1        0
33970 libmodule-extractuse-perl          	       0        1        0        1        0
33971 libmodule-info-perl                	       0        3        0        3        0
33972 libmodule-inspector-perl           	       0        1        0        1        0
33973 libmodule-install-authorrequires-perl	       0        1        0        1        0
33974 libmodule-install-authortests-perl 	       0        2        0        2        0
33975 libmodule-install-contributors-perl	       0        1        0        1        0
33976 libmodule-install-perl             	       0        5        0        5        0
33977 libmodule-install-readmefrompod-perl	       0        1        0        1        0
33978 libmodule-load-conditional-perl    	       0       36        1       35        0
33979 libmodule-manifest-perl            	       0        3        0        3        0
33980 libmodule-manifest-skip-perl       	       0        6        0        6        0
33981 libmodule-math-depends-perl        	       0        1        0        1        0
33982 libmodule-metadata-perl            	       0        5        0        5        0
33983 libmodule-path-perl                	       0        1        0        1        0
33984 libmodule-refresh-perl             	       0       20        1       19        0
33985 libmodule-runtime-conflicts-perl   	       0      108        3      105        0
33986 libmodule-starter-perl             	       0        2        0        2        0
33987 libmodule-used-perl                	       0        1        0        1        0
33988 libmodule-util-perl                	       0       12        0       12        0
33989 libmodule-versions-report-perl     	       0        1        0        1        0
33990 libmodule-want-perl                	       0        1        0        1        0
33991 libmodulemd2                       	       0        5        0        0        5
33992 libmoe1.5                          	       0        1        0        1        0
33993 libmoebinv-dev                     	       0        2        1        1        0
33994 libmoebinv0                        	       0        2        0        0        2
33995 libmoebinv0-dbgsym                 	       0        2        1        1        0
33996 libmojo-executor-java              	       0        1        0        0        1
33997 libmojo-ioloop-readwriteprocess-perl	       0        1        0        1        0
33998 libmojo-jwt-perl                   	       0        2        1        1        0
33999 libmojo-pg-perl                    	       0        1        0        1        0
34000 libmojo-rabbitmq-client-perl       	       0        1        0        1        0
34001 libmojo-sqlite-perl                	       0        1        0        1        0
34002 libmojolicious-plugin-assetpack-perl	       0        1        0        1        0
34003 libmojolicious-plugin-authentication-perl	       0        1        0        1        0
34004 libmojolicious-plugin-authorization-perl	       0        1        0        1        0
34005 libmojolicious-plugin-basicauth-perl	       0        1        0        1        0
34006 libmojolicious-plugin-bcrypt-perl  	       0        1        0        1        0
34007 libmojolicious-plugin-i18n-perl    	       0        1        0        1        0
34008 libmojolicious-plugin-mailexception-perl	       0        1        0        1        0
34009 libmojolicious-plugin-oauth2-perl  	       0        1        0        1        0
34010 libmojolicious-plugin-openapi-perl 	       0        3        1        2        0
34011 libmojolicious-plugin-renderfile-perl	       0        2        0        2        0
34012 libmondorescue-perl                	       0        1        0        1        0
34013 libmongo-client0                   	       0        2        0        0        2
34014 libmongoc-1.0-0                    	       0       59        0        0       59
34015 libmongoc-1.0-0t64                 	       0        6        0        0        6
34016 libmongoc-dev                      	       0        1        0        1        0
34017 libmongoclient-dev                 	       0        1        0        1        0
34018 libmongoclient0                    	       0        1        0        0        1
34019 libmongocrypt-dev                  	       0        1        0        1        0
34020 libmongocrypt0                     	       0       61        0        0       61
34021 libmongodb-java                    	       0      141        0        0      141
34022 libmongodb-perl                    	       0        3        0        3        0
34023 libmongoose2                       	       0       30        0        0       30
34024 libmongoose3                       	       0        1        0        0        1
34025 libmonkey-patch-action-perl        	       0        1        0        1        0
34026 libmono-2.0-1                      	       0       60        0        0       60
34027 libmono-accessibility2.0-cil       	       0        3        0        3        0
34028 libmono-addins-cil-dev             	       0        3        0        3        0
34029 libmono-addins-gui0.2-cil          	       0       21        0       21        0
34030 libmono-addins-msbuild-cil-dev     	       0        2        0        2        0
34031 libmono-addins-msbuild0.2-cil      	       0        3        0        3        0
34032 libmono-addins0.2-cil              	       0       27        0       27        0
34033 libmono-bytefx0.7.6.2-cil          	       0        1        0        1        0
34034 libmono-c5-1.1-cil                 	       0        3        0        3        0
34035 libmono-cairo2.0-cil               	       0        3        0        3        0
34036 libmono-cecil-vb0.9-cil            	       0        2        0        2        0
34037 libmono-corlib2.0-cil              	       0        3        0        3        0
34038 libmono-corlib4.0-cil              	       0        4        0        4        0
34039 libmono-corlib4.5-cil              	       0      317        0       51      266
34040 libmono-cscompmgd8.0-cil           	       0        3        0        3        0
34041 libmono-data-tds2.0-cil            	       0        3        0        3        0
34042 libmono-data2.0-cil                	       0        1        0        1        0
34043 libmono-debugger-soft-cil          	       0        1        0        0        1
34044 libmono-debugger-soft2.0a-cil      	       0        3        0        3        0
34045 libmono-debugging-cil              	       0        1        0        1        0
34046 libmono-debugging-soft-cil         	       0        1        0        0        1
34047 libmono-entityframework-sqlserver6.0-cil	       0        3        0        3        0
34048 libmono-entityframework6.0-cil     	       0        3        0        3        0
34049 libmono-firebirdsql1.7-cil         	       0        1        0        1        0
34050 libmono-getoptions2.0-cil          	       0        1        0        1        0
34051 libmono-i18n-west2.0-cil           	       0        3        0        3        0
34052 libmono-i18n2.0-cil                	       0        3        0        3        0
34053 libmono-i18n4.0-all                	       0      112        0        0      112
34054 libmono-ldap2.0-cil                	       0        3        0        3        0
34055 libmono-management2.0-cil          	       0        3        0        3        0
34056 libmono-messaging-rabbitmq2.0-cil  	       0        3        0        3        0
34057 libmono-messaging2.0-cil           	       0        3        0        3        0
34058 libmono-microsoft-build2.0-cil     	       0        3        0        3        0
34059 libmono-microsoft-visualbasic10.0-cil	       0        2        0        2        0
34060 libmono-microsoft-visualbasic11.0-cil	       0        1        0        1        0
34061 libmono-microsoft-visualbasic8.0-cil	       0        1        0        1        0
34062 libmono-microsoft8.0-cil           	       0        3        0        3        0
34063 libmono-npgsql2.0-cil              	       0        3        0        3        0
34064 libmono-npgsql4.0-cil              	       0        4        0        4        0
34065 libmono-opensystem-c4.0-cil        	       0        4        0        4        0
34066 libmono-oracle2.0-cil              	       0        3        0        3        0
34067 libmono-peapi2.0a-cil              	       0        3        0        3        0
34068 libmono-posix2.0-cil               	       0        3        0        3        0
34069 libmono-profiler-gui-thread-check  	       0       16        0        0       16
34070 libmono-rabbitmq2.0-cil            	       0        3        0        3        0
34071 libmono-relaxng2.0-cil             	       0        3        0        3        0
34072 libmono-security2.0-cil            	       0        3        0        3        0
34073 libmono-sharpzip2.6-cil            	       0        3        0        3        0
34074 libmono-sharpzip2.84-cil           	       0        3        0        3        0
34075 libmono-simd2.0-cil                	       0        3        0        3        0
34076 libmono-sqlite2.0-cil              	       0        3        0        3        0
34077 libmono-system-data-linq2.0-cil    	       0        3        0        3        0
34078 libmono-system-data-services2.0-cil	       0        3        0        3        0
34079 libmono-system-data2.0-cil         	       0        3        0        3        0
34080 libmono-system-json2.0-cil         	       0        3        0        3        0
34081 libmono-system-ldap2.0-cil         	       0        3        0        3        0
34082 libmono-system-messaging2.0-cil    	       0        3        0        3        0
34083 libmono-system-net2.0-cil          	       0        3        0        3        0
34084 libmono-system-runtime-interopservices-runtimeinformation4.0-cil	       0        3        0        3        0
34085 libmono-system-runtime2.0-cil      	       0        3        0        3        0
34086 libmono-system-web-mvc1.0-cil      	       0        3        0        3        0
34087 libmono-system-web-mvc2.0-cil      	       0        3        0        3        0
34088 libmono-system-web2.0-cil          	       0        3        0        3        0
34089 libmono-system2.0-cil              	       0        3        0        3        0
34090 libmono-tasklets2.0-cil            	       0        3        0        3        0
34091 libmono-upnp-cil                   	       0        2        0        2        0
34092 libmono-wcf3.0a-cil                	       0        3        0        3        0
34093 libmono-web4.0-cil                 	       0        6        0        6        0
34094 libmono-webbrowser2.0-cil          	       0        3        0        3        0
34095 libmono-windowsbase3.0-cil         	       0        3        0        3        0
34096 libmono-winforms2.0-cil            	       0        3        0        3        0
34097 libmono-xbuild-tasks2.0-cil        	       0        3        0        3        0
34098 libmono-zeroconf1.0-cil            	       0       10        0       10        0
34099 libmono0                           	       0        1        0        0        1
34100 libmono2.0-cil                     	       0        3        0        3        0
34101 libmonoboehm-2.0-1                 	       0       76        0        0       76
34102 libmonoboehm-2.0-dev               	       0        3        0        3        0
34103 libmonosgen-2.0-1                  	       0      101        0        0      101
34104 libmonospaceif-common              	       0        2        0        0        2
34105 libmoon                            	       0        1        0        0        1
34106 libmoose-autobox-perl              	       0        1        0        1        0
34107 libmoose-perl                      	       0      107        0        0      107
34108 libmoosex-abstractmethod-perl      	       0        1        0        1        0
34109 libmoosex-aliases-perl             	       0        2        0        2        0
34110 libmoosex-app-cmd-perl             	       0        2        0        2        0
34111 libmoosex-arrayref-perl            	       0        4        0        4        0
34112 libmoosex-async-perl               	       0        1        0        1        0
34113 libmoosex-attribute-chained-perl   	       0        1        0        1        0
34114 libmoosex-attribute-env-perl       	       0        2        0        2        0
34115 libmoosex-attributehelpers-perl    	       0        1        0        1        0
34116 libmoosex-attributeshortcuts-perl  	       0        1        0        1        0
34117 libmoosex-blessed-reconstruct-perl 	       0        1        0        1        0
34118 libmoosex-clone-perl               	       0        1        0        1        0
34119 libmoosex-compiletime-traits-perl  	       0        1        0        1        0
34120 libmoosex-configfromfile-perl      	       0        2        0        2        0
34121 libmoosex-daemonize-perl           	       0        1        0        1        0
34122 libmoosex-declare-perl             	       0        1        0        1        0
34123 libmoosex-emulate-class-accessor-fast-perl	       0        4        1        3        0
34124 libmoosex-followpbp-perl           	       0        2        0        2        0
34125 libmoosex-getopt-perl              	       0       11        2        9        0
34126 libmoosex-has-options-perl         	       0        1        0        1        0
34127 libmoosex-has-sugar-perl           	       0        7        0        7        0
34128 libmoosex-hasdefaults-perl         	       0        1        0        1        0
34129 libmoosex-lazyrequire-perl         	       0        2        0        2        0
34130 libmoosex-log-log4perl-perl        	       0        1        0        1        0
34131 libmoosex-markasmethods-perl       	       0        4        1        3        0
34132 libmoosex-meta-typeconstraint-forcecoercion-perl	       0        2        0        2        0
34133 libmoosex-meta-typeconstraint-mooish-perl	       0        1        0        1        0
34134 libmoosex-method-signatures-perl   	       0        2        0        2        0
34135 libmoosex-methodattributes-perl    	       0        4        1        3        0
34136 libmoosex-multiinitarg-perl        	       0        1        0        1        0
34137 libmoosex-nonmoose-perl            	       0        7        1        6        0
34138 libmoosex-object-pluggable-perl    	       0        2        0        2        0
34139 libmoosex-oneargnew-perl           	       0        1        0        1        0
34140 libmoosex-params-validate-perl     	       0        1        0        1        0
34141 libmoosex-role-parameterized-perl  	       0       16        2       14        0
34142 libmoosex-role-withoverloading-perl	       0        3        0        0        3
34143 libmoosex-semiaffordanceaccessor-perl	       0        7        0        7        0
34144 libmoosex-setonce-perl             	       0        1        0        1        0
34145 libmoosex-singlearg-perl           	       0        1        0        1        0
34146 libmoosex-singleton-perl           	       0        4        1        3        0
34147 libmoosex-storage-perl             	       0        1        0        1        0
34148 libmoosex-strictconstructor-perl   	       0        5        0        5        0
34149 libmoosex-traitfor-meta-class-betteranonclassnames-perl	       0        1        0        1        0
34150 libmoosex-traits-perl              	       0        3        0        3        0
34151 libmoosex-traits-pluggable-perl    	       0        3        1        2        0
34152 libmoosex-types-common-perl        	       0        2        0        2        0
34153 libmoosex-types-datetime-morecoercions-perl	       0        1        0        1        0
34154 libmoosex-types-datetime-perl      	       0        2        0        2        0
34155 libmoosex-types-json-perl          	       0        1        0        1        0
34156 libmoosex-types-loadableclass-perl 	       0        2        1        1        0
34157 libmoosex-types-netaddr-ip-perl    	       0        1        0        1        0
34158 libmoosex-types-path-class-perl    	       0        3        0        3        0
34159 libmoosex-types-path-tiny-perl     	       0        2        0        2        0
34160 libmoosex-types-perl               	       0       15        1       14        0
34161 libmoosex-types-perl-perl          	       0        1        0        1        0
34162 libmoosex-types-set-object-perl    	       0        1        0        1        0
34163 libmoosex-types-stringlike-perl    	       0        2        0        2        0
34164 libmoosex-types-structured-perl    	       0        3        0        3        0
34165 libmoosex-types-varianttable-perl  	       0        1        0        1        0
34166 libmoosex-util-perl                	       0        1        0        1        0
34167 libmoosex-yaml-perl                	       0        1        0        1        0
34168 libmoox-configfromfile-perl        	       0        5        1        4        0
34169 libmoox-file-configdir-perl        	       0        5        1        4        0
34170 libmoox-handlesvia-perl            	       0      108        2      106        0
34171 libmoox-late-perl                  	       0       20        1       19        0
34172 libmoox-locale-passthrough-perl    	       0        5        1        4        0
34173 libmoox-log-any-perl               	       0        1        0        1        0
34174 libmoox-options-perl               	       0        5        1        4        0
34175 libmoox-role-logger-perl           	       0        1        0        1        0
34176 libmoox-singleton-perl             	       0        1        0        1        0
34177 libmoox-strictconstructor-perl     	       0       11        1       10        0
34178 libmoox-types-mooselike-numeric-perl	       0        7        0        7        0
34179 libmoox-types-mooselike-perl       	       0       80        2       78        0
34180 libmoox-types-setobject-perl       	       0        1        0        1        0
34181 libmoox-typetiny-perl              	       0        3        0        3        0
34182 libmopac7-1gf                      	       0        3        0        0        3
34183 libmorfologik-stemming2-java       	       0        1        0        0        1
34184 libmorph                           	       0        6        0        0        6
34185 libmorph-dev                       	       0        1        0        1        0
34186 libmosquitto-dev                   	       0        5        0        5        0
34187 libmosquitto1                      	       0       79        1        2       76
34188 libmotif-common                    	       0      405        0        0      405
34189 libmotif-dev                       	       0       29        0       29        0
34190 libmotif3                          	       0        2        0        0        2
34191 libmotif4                          	       0        1        0        0        1
34192 libmount1-dbgsym                   	       0        1        0        1        0
34193 libmouse-perl                      	       0      641        0        0      641
34194 libmousex-configfromfile-perl      	       0        1        0        1        0
34195 libmousex-getopt-perl              	       0        1        0        1        0
34196 libmousex-nativetraits-perl        	       0       32        1       31        0
34197 libmousex-strictconstructor-perl   	       0       26        1       25        0
34198 libmousex-types-path-class-perl    	       0        1        0        1        0
34199 libmousex-types-perl               	       0        1        0        1        0
34200 libmove-base-msgs-dev              	       0        1        0        1        0
34201 libmovit-dev                       	       0        1        0        1        0
34202 libmovit5                          	       0        6        0        0        6
34203 libmovit8                          	       0      208        0        2      206
34204 libmowgli-2-0                      	       0        2        0        0        2
34205 libmowgli1                         	       0        1        0        0        1
34206 libmowgli2                         	       0        4        0        4        0
34207 libmozilla-ca-perl                 	       0        1        0        1        0
34208 libmozilla-publicsuffix-perl       	       0        8        0        8        0
34209 libmozjpeg-dev                     	       0        1        0        1        0
34210 libmozjpeg8                        	       0        1        0        0        1
34211 libmozjs-102-dev                   	       0        2        0        2        0
34212 libmozjs-115-0                     	       0        1        0        0        1
34213 libmozjs-128-0                     	       0       38        0        4       34
34214 libmozjs-24-0                      	       0       46        0        0       46
34215 libmozjs-52-0                      	       0       12        0        0       12
34216 libmozjs-60-0                      	       0       21        0        1       20
34217 libmozjs-60-dev                    	       0        1        0        1        0
34218 libmozjs-78-dev                    	       0        3        0        3        0
34219 libmozjs-91-0                      	       0        1        0        0        1
34220 libmozjs-dev                       	       0        1        0        1        0
34221 libmozjs0d                         	       0        1        0        0        1
34222 libmozjs10d                        	       0        8        0        0        8
34223 libmozjs17d                        	       0        4        0        0        4
34224 libmozjs185-1.0                    	       0       15        0        0       15
34225 libmozjs185-1.0-dbgsym             	       0        1        0        1        0
34226 libmozjs185-dev                    	       0        2        0        2        0
34227 libmozjs24d                        	       0       10        0        0       10
34228 libmozjs26d                        	       0        2        0        0        2
34229 libmozjs2d                         	       0        1        0        0        1
34230 libmozldap-0d                      	       0        1        0        0        1
34231 libmp3-info-perl                   	       0       64        0       64        0
34232 libmp3-tag-perl                    	       0       48        0       48        0
34233 libmp3lame-dev                     	       0       54        1       53        0
34234 libmp3lame-ocaml                   	       0        2        0        2        0
34235 libmp3lame-ocaml-dev               	       0        2        0        2        0
34236 libmp3spi-java                     	       0        1        0        0        1
34237 libmp3splt-dev                     	       0        1        0        1        0
34238 libmp3splt0                        	       0        7        0        0        7
34239 libmp3splt0-flac                   	       0        4        0        0        4
34240 libmp3splt0-mp3                    	       0        6        0        0        6
34241 libmp3splt0-ogg                    	       0        6        0        0        6
34242 libmp3tag-ruby1.9.1                	       0        1        0        0        1
34243 libmp4-info-perl                   	       0        3        0        3        0
34244 libmp4v2-1                         	       0        2        0        0        2
34245 libmp4v2-2                         	       0       45        0        1       44
34246 libmp4v2-dev                       	       0        2        0        2        0
34247 libmpack0                          	       0        3        0        0        3
34248 libmpc-dev                         	       0       46        1       45        0
34249 libmpc2                            	       0       31        0        0       31
34250 libmpcdec-dev                      	       0       21        0       21        0
34251 libmpcdec3                         	       0        2        0        0        2
34252 libmpcdec6                         	       0     3317       24       36     3257
34253 libmpd-dev                         	       0        1        0        1        0
34254 libmpd1                            	       0       19        0        0       19
34255 libmpdclient-doc                   	       0        2        0        0        2
34256 libmpdclient2t64                   	       0       21        3        6       12
34257 libmpdec-dev                       	       0        2        0        2        0
34258 libmpdec2                          	       0      565        1        0      564
34259 libmpeg2-4                         	       0     3081        4       13     3064
34260 libmpeg2-4-dev                     	       0       28        0       28        0
34261 libmpeg2encpp-2.0-0                	       0        3        0        0        3
34262 libmpeg2encpp-2.1-0                	       0     2754        0        0     2754
34263 libmpeg2encpp-2.1-0t64             	       0      191        0        0      191
34264 libmpeg2encpp-2.2-0                	       0       43        0        0       43
34265 libmpeg2encpp-2.2-0t64             	       0       12        0        0       12
34266 libmpeg3-1                         	       0        1        0        0        1
34267 libmpeg3-2                         	       0       21        0        0       21
34268 libmpeg3-2t64                      	       0        5        0        0        5
34269 libmpeg3-dev                       	       0       17        0       17        0
34270 libmpeg3hv-1.5.0-1                 	       0        1        0        0        1
34271 libmpfi-dev                        	       0       10        0        0       10
34272 libmpfi-dev-common                 	       0       10        0       10        0
34273 libmpfi0                           	       0       76        0        0       76
34274 libmpfr-doc                        	       0       10        0        0       10
34275 libmpfr1ldbl                       	       0        2        0        0        2
34276 libmpfr4                           	       0      487        0        0      487
34277 libmpich-dev                       	       0       14        0       14        0
34278 libmpich1.0-dev                    	       0        1        0        1        0
34279 libmpich1.0gf                      	       0        3        0        3        0
34280 libmpich12                         	       0       17        0        0       17
34281 libmpj-java                        	       0        1        0        1        0
34282 libmplex2-2.0-0                    	       0        3        0        0        3
34283 libmplex2-2.1-0                    	       0     2754        0        1     2753
34284 libmplex2-2.1-0t64                 	       0      191        0        0      191
34285 libmplex2-2.2-0                    	       0       43        0        0       43
34286 libmplex2-2.2-0t64                 	       0       12        0        0       12
34287 libmpris-qt5-1                     	       0        8        0        0        8
34288 libmps3                            	       0        1        0        0        1
34289 libmpv-dev                         	       0       14        0       14        0
34290 libmpv1                            	       0       26        0        1       25
34291 libmpv2                            	       0       74        1        3       70
34292 libmpvqt1                          	       0        1        0        0        1
34293 libmpx0                            	       0        7        0        0        7
34294 libmpx2                            	       0      519        0        0      519
34295 libmpx2-dbg                        	       0        1        0        1        0
34296 libmpx2-i386-cross                 	       0        4        0        0        4
34297 libmqtt-client-java                	       0        1        0        0        1
34298 libmrm4                            	       0       37        0        0       37
34299 libmrml1c2a                        	       0        5        0        0        5
34300 libmrss0                           	       0        8        0        0        8
34301 libmrss0-dev                       	       0        1        0        1        0
34302 libmsgcat-perl                     	       0        3        0        0        3
34303 libmsgpack-c2                      	       0       22        1        1       20
34304 libmsgpack-cxx-dev                 	       0        4        0        4        0
34305 libmsgpack-dev                     	       0        4        0        4        0
34306 libmsgpack-java                    	       0        1        0        0        1
34307 libmsgpack-ruby1.9.1               	       0        1        0        0        1
34308 libmsgpack3                        	       0        1        0        0        1
34309 libmsgpackc2                       	       0      120        1        4      115
34310 libmsgraph-0-1                     	       0      110        0        0      110
34311 libmsgraph-1-1                     	       0       12        0        0       12
34312 libmsgraph-doc                     	       0        1        0        0        1
34313 libmsgsl-dev                       	       0        4        0        0        4
34314 libmsi0                            	       0       19        0        0       19
34315 libmsiecf-utils                    	       0        1        0        1        0
34316 libmsiecf1                         	       0       15        0        0       15
34317 libmsn0.3                          	       0        5        0        0        5
34318 libmsoffice-word-surgeon-perl      	       0        1        0        1        0
34319 libmsoffice-word-template-perl     	       0        1        0        1        0
34320 libmspack-dev                      	       0        6        0        6        0
34321 libmspack-doc                      	       0        2        0        0        2
34322 libmspub-0.0-0                     	       0        1        0        0        1
34323 libmsv-java                        	       0      196        0        0      196
34324 libmsv1                            	       0        1        0        0        1
34325 libmt32emu                         	       0        1        0        0        1
34326 libmtbl-dev                        	       0        1        0        1        0
34327 libmtbl1                           	       0        1        0        0        1
34328 libmtj-java                        	       0        1        0        0        1
34329 libmtp-dev                         	       0       24        0       24        0
34330 libmtp-doc                         	       0        4        0        0        4
34331 libmtp7                            	       0        1        0        0        1
34332 libmtp9                            	       0     2200       11       40     2149
34333 libmtp9t64                         	       0      195        1        7      187
34334 libmu-dbm9                         	       0        3        0        0        3
34335 libmu-dbm9t64                      	       0        1        0        0        1
34336 libmu-tiny-perl                    	       0        1        0        1        0
34337 libmuffin-dev                      	       0        1        0        1        0
34338 libmujs-dev                        	       0       13        0       13        0
34339 libmujs1                           	       0       45        0        0       45
34340 libmujs2                           	       0      873        8       23      842
34341 libmujs3                           	       0      136        3        5      128
34342 libmultibitnums-ruby1.9.1          	       0        1        0        0        1
34343 libmulticobex1                     	       0       17        0        0       17
34344 libmulticobex1t64                  	       0        2        0        0        2
34345 libmultidimensional-perl           	       0       24        0        0       24
34346 libmultiverse-core-java            	       0       73        0        0       73
34347 libmumps-4.10.0                    	       0        1        0        0        1
34348 libmumps-5.3                       	       0        2        0        0        2
34349 libmumps-5.3.3                     	       0        1        0        0        1
34350 libmumps-5.4                       	       0        2        0        0        2
34351 libmumps-5.5                       	       0       52        0        0       52
34352 libmumps-5.6                       	       0        2        0        0        2
34353 libmumps-5.6t64                    	       0        1        0        0        1
34354 libmumps-5.7                       	       0        2        0        0        2
34355 libmumps-dev                       	       0        4        0        0        4
34356 libmumps-headers-dev               	       0        4        0        4        0
34357 libmumps-scotch-5.1.2              	       0        1        0        0        1
34358 libmumps-seq-5.3                   	       0        1        0        0        1
34359 libmumps-seq-5.5                   	       0        5        0        0        5
34360 libmumps-seq-5.6                   	       0        1        0        0        1
34361 libmumps-seq-dev                   	       0        1        0        0        1
34362 libmunge-dev                       	       0        1        1        0        0
34363 libmunge-maven-plugin-java         	       0        1        0        0        1
34364 libmunge2                          	       0      266        0        4      262
34365 libmuparser-dev                    	       0        6        0        6        0
34366 libmuparser2                       	       0        1        0        0        1
34367 libmuparserx-dev                   	       0        2        0        2        0
34368 libmuparserx4.0.11                 	       0        2        0        0        2
34369 libmupdf25.1                       	       0       15        0        0       15
34370 libmupen64plus-dev                 	       0        1        0        1        0
34371 libmupen64plus2                    	       0       15        0        0       15
34372 libmurmurhash2                     	       0        1        0        0        1
34373 libmuroar0                         	       0        1        0        0        1
34374 libmuscle1                         	       0        1        0        0        1
34375 libmusic1v5                        	       0        1        0        0        1
34376 libmusicbrainz                     	       0        1        0        1        0
34377 libmusicbrainz-discid-perl         	       0      103        0        0      103
34378 libmusicbrainz3-6                  	       0       23        0        0       23
34379 libmusicbrainz4c2a                 	       0        3        0        0        3
34380 libmusicbrainz5-0                  	       0        3        0        0        3
34381 libmusicbrainz5-1                  	       0       10        0        0       10
34382 libmusicbrainz5-2                  	       0      350        0        0      350
34383 libmusicbrainz5-dev                	       0        3        0        3        0
34384 libmusicbrainz5cc2v5               	       0      633        0        2      631
34385 libmustache-d-dev                  	       0        1        0        0        1
34386 libmustache-d0                     	       0        1        0        0        1
34387 libmutter-10-0                     	       0        1        0        0        1
34388 libmutter-12-0                     	       0        2        0        0        2
34389 libmutter-14-0                     	       0        5        0        3        2
34390 libmutter-15-0                     	       0       10        0        1        9
34391 libmutter-16-0                     	       0        1        0        0        1
34392 libmutter-3-0                      	       0        6        0        1        5
34393 libmutter-7-0                      	       0       31        0        4       27
34394 libmutter-9-0                      	       0        1        0        0        1
34395 libmutter0i                        	       0        4        0        0        4
34396 libmwaw-0.2-2                      	       0        1        0        0        1
34397 libmwaw-dev                        	       0        1        0        0        1
34398 libmx-1.0-2                        	       0        1        0        0        1
34399 libmx-bin                          	       0        6        0        6        0
34400 libmx-common                       	       0        6        0        0        6
34401 libmx4j-java                       	       0        4        0        0        4
34402 libmxml-bin                        	       0       40        0       40        0
34403 libmxml-dev                        	       0       46        0       46        0
34404 libmxml1                           	       0      116        3        3      110
34405 libmygpo-qt-dev                    	       0        2        0        2        0
34406 libmygpo-qt1                       	       0        6        0        0        6
34407 libmygpo-qt5-1                     	       0      114        4       16       94
34408 libmygui-dev                       	       0        5        0        5        0
34409 libmygui.ogreplatform0debian1v5    	       0        6        0        0        6
34410 libmygui.ogreplatform0debian1v5-dbgsym	       0        1        0        1        0
34411 libmygui.opengl3platform0debian1v5 	       0        5        0        0        5
34412 libmygui.opengl3platform0debian1v5-dbgsym	       0        1        0        1        0
34413 libmygui.openglplatform0debian1v5  	       0        5        0        0        5
34414 libmygui.openglplatform0debian1v5-dbgsym	       0        1        0        1        0
34415 libmyguiengine3debian1t64          	       0        1        0        0        1
34416 libmyguiengine3debian1v5           	       0       17        0        0       17
34417 libmyguiengine3debian1v5-dbgsym    	       0        1        0        1        0
34418 libmyodbc                          	       0       12        0        0       12
34419 libmypaint-1.3-0                   	       0      123        0        0      123
34420 libmypaint-1.5-1                   	       0     1557        1       16     1540
34421 libmypaint-common                  	       0     1656        0        0     1656
34422 libmypaint-dev                     	       0        1        0        1        0
34423 libmysofa-dev                      	       0       12        0       12        0
34424 libmysofa-utils                    	       0        5        0        5        0
34425 libmysofa0                         	       0      184        0        0      184
34426 libmyspell3c2                      	       0        1        0        0        1
34427 libmysql++-dev                     	       0        4        0        4        0
34428 libmysql++-doc                     	       0        1        0        1        0
34429 libmysql++3                        	       0        1        0        0        1
34430 libmysql++3v5                      	       0        3        0        0        3
34431 libmysql-java                      	       0        6        0        0        6
34432 libmysql-ocaml                     	       0        1        0        1        0
34433 libmysql-ocaml-dev                 	       0        1        0        1        0
34434 libmysql-ruby1.9.1                 	       0        1        0        0        1
34435 libmysqlclient-dev                 	       0       19        0       19        0
34436 libmysqlclient15-dev               	       0        2        0        2        0
34437 libmysqlclient15off                	       0        3        0        1        2
34438 libmysqlclient16                   	       0       10        0        0       10
34439 libmysqlclient18                   	       0       74        0        3       71
34440 libmysqlclient20                   	       0        2        0        0        2
34441 libmysqlclient21                   	       0        2        0        0        2
34442 libmysqlcppconn-dev                	       0        3        0        3        0
34443 libmysqlcppconn7                   	       0        1        0        0        1
34444 libmysqlcppconn7t64                	       0        1        0        0        1
34445 libmysqlcppconn7v5                 	       0        5        0        1        4
34446 libmyth-33                         	       0        3        1        0        2
34447 libmyth-34                         	       0        3        0        3        0
34448 libmythavcodec58                   	       0        1        0        0        1
34449 libmythavcodec59                   	       0        6        1        3        2
34450 libmythavdevice58                  	       0        1        0        0        1
34451 libmythavdevice59                  	       0        4        0        0        4
34452 libmythavfilter7                   	       0        1        0        0        1
34453 libmythavfilter8                   	       0        6        1        3        2
34454 libmythavformat58                  	       0        1        0        0        1
34455 libmythavformat59                  	       0        6        1        3        2
34456 libmythavutil52                    	       0        1        0        0        1
34457 libmythavutil56                    	       0        1        0        0        1
34458 libmythavutil57                    	       0        6        1        3        2
34459 libmythes-dev                      	       0        1        0        0        1
34460 libmythexiv2-0.28-33               	       0        3        1        0        2
34461 libmythpostproc52                  	       0        1        0        0        1
34462 libmythpostproc55                  	       0        1        0        0        1
34463 libmythpostproc56                  	       0        6        1        3        2
34464 libmythswresample0                 	       0        1        0        0        1
34465 libmythswresample3                 	       0        1        0        0        1
34466 libmythswresample4                 	       0        6        1        3        2
34467 libmythswscale2                    	       0        1        0        0        1
34468 libmythswscale5                    	       0        1        0        0        1
34469 libmythswscale6                    	       0        6        1        3        2
34470 libmythtv-perl                     	       0        4        0        4        0
34471 libmythzmq1                        	       0        1        0        0        1
34472 libn32atomic1-mips-cross           	       0        2        0        0        2
34473 libn32atomic1-mipsel-cross         	       0        1        0        0        1
34474 libn32gcc-12-dev-mips-cross        	       0        1        0        0        1
34475 libn32gcc-12-dev-mipsel-cross      	       0        1        0        0        1
34476 libn32gcc-s1-mips-cross            	       0        1        0        0        1
34477 libn32gcc-s1-mipsel-cross          	       0        1        0        0        1
34478 libn32gomp1-mips-cross             	       0        2        0        0        2
34479 libn32gomp1-mipsel-cross           	       0        1        0        0        1
34480 libn32stdc++-12-dev-mips-cross     	       0        1        0        0        1
34481 libn32stdc++-12-dev-mipsel-cross   	       0        1        0        0        1
34482 libn32stdc++6-mips-cross           	       0        1        0        0        1
34483 libn32stdc++6-mipsel-cross         	       0        1        0        0        1
34484 libnabrit-dev                      	       0        1        0        1        0
34485 libnabrit3                         	       0        5        0        0        5
34486 libnacl-dev                        	       0        2        0        2        0
34487 libnacore-dev                      	       0        1        0        1        0
34488 libnacore5                         	       0        5        0        0        5
34489 libnaga-java                       	       0       15        0        0       15
34490 libnagios-object-perl              	       0        2        1        1        0
34491 libnagios-plugin-perl              	       0        6        4        2        0
34492 libnanomsg-dev                     	       0        2        0        2        0
34493 libnanomsg5                        	       0        5        0        1        4
34494 libnanopb-dev                      	       0        1        0        1        0
34495 libnanoxml2-java                   	       0        6        0        0        6
34496 libnarray-miss-ruby1.9.1           	       0        1        0        0        1
34497 libnarray-ruby1.9.1                	       0        1        0        0        1
34498 libnative-platform-java            	       0       50        0        0       50
34499 libnative-platform-jni             	       0       50        2       48        0
34500 libnatpmp-dev                      	       0        5        0        5        0
34501 libnatpmp1                         	       0      624       16       33      575
34502 libnatpmp1t64                      	       0       58        0        5       53
34503 libnatspec-dev                     	       0        1        0        1        0
34504 libnatspec0                        	       0        2        0        0        2
34505 libnautilus-burn3                  	       0        1        0        0        1
34506 libnautilus-burn4                  	       0        1        0        0        1
34507 libnautilus-extension-dev          	       0        3        0        3        0
34508 libnautilus-extension1a            	       0      349        0        4      345
34509 libnauty-2.8.9                     	       0        2        0        0        2
34510 libnauty2                          	       0       12        0        0       12
34511 libnauty2-dev                      	       0        3        0        3        0
34512 libnav-msgs-dev                    	       0        1        0        1        0
34513 libnb-absolutelayout-java          	       0        4        0        0        4
34514 libnb-apisupport3-java             	       0        1        0        0        1
34515 libnb-ide14-java                   	       0        1        0        1        0
34516 libnb-java5-java                   	       0        1        0        1        0
34517 libnb-javaparser-java              	       0        3        0        0        3
34518 libnb-org-openide-modules-java     	       0       20        0        0       20
34519 libnb-org-openide-util-java        	       0       64        0        0       64
34520 libnb-org-openide-util-lookup-java 	       0       64        0        0       64
34521 libnb-platform-devel-java          	       0        3        0        0        3
34522 libnb-platform13-java              	       0        1        0        0        1
34523 libnb-platform18-java              	       0       19        0        0       19
34524 libnbd-bin                         	       0        2        0        2        0
34525 libnbd-dev                         	       0        1        0        1        0
34526 libnbd0                            	       0       59        0        0       59
34527 libncap44                          	       0        5        0        0        5
34528 libncbi-ngs3                       	       0        6        0        0        6
34529 libncbi-vdb3                       	       0        6        0        0        6
34530 libncbi-wvdb2                      	       0        1        0        0        1
34531 libncbi6                           	       0        5        0        0        5
34532 libncl2                            	       0        1        0        0        1
34533 libncurses-ruby1.9                 	       0        1        0        0        1
34534 libncurses-ruby1.9.1               	       0        1        0        0        1
34535 libncurses5                        	       0      880        0        1      879
34536 libncurses5-dev                    	       0      338        0       40      298
34537 libncursesada11-dev                	       0        1        0        1        0
34538 libncursesada6.2.3                 	       0        2        0        0        2
34539 libncursesada9-dev                 	       0        1        0        1        0
34540 libncursesw5                       	       0      808        1        0      807
34541 libncursesw5-dev                   	       0      105        0        5      100
34542 libndesk-dbus-glib1.0-cil          	       0        1        0        1        0
34543 libndesk-dbus1.0-cil               	       0        1        0        1        0
34544 libndi4                            	       0        1        0        1        0
34545 libndpi-bin                        	       0        1        0        1        0
34546 libndpi-wireshark                  	       0        1        0        0        1
34547 libndpi1a                          	       0        1        0        0        1
34548 libndpi2.6                         	       0        3        0        2        1
34549 libndpi4.2                         	       0        1        0        0        1
34550 libneatvnc0                        	       0       11        0        0       11
34551 libneko2                           	       0        5        0        0        5
34552 libnekohtml-java                   	       0       53        0        0       53
34553 libnel0                            	       0        1        0        0        1
34554 libnemesis3                        	       0        1        0        0        1
34555 libnemo-extension-dev              	       0        2        0        2        0
34556 libneon27                          	       0     2171        0        9     2162
34557 libneon27-dev                      	       0       13        0       13        0
34558 libneon27-gnutls                   	       0     1371        6       11     1354
34559 libneon27-gnutls-dev               	       0        3        0        3        0
34560 libneon27t64                       	       0      194        1        1      192
34561 libneon27t64-gnutls                	       0       77        0        1       76
34562 libnepomuk4                        	       0       24        0        1       23
34563 libnepomukcore4                    	       0        9        0        1        8
34564 libnepomukquery4a                  	       0       24        0        1       23
34565 libnepomukutils4                   	       0       24        0        1       23
34566 libnessus2                         	       0        1        0        0        1
34567 libnet-address-ip-local-perl       	       0        1        0        1        0
34568 libnet-amazon-s3-perl              	       0        1        0        1        0
34569 libnet-amazon-signature-v4-perl    	       0        1        0        1        0
34570 libnet-amqp-perl                   	       0        2        0        2        0
34571 libnet-arp-perl                    	       0        2        0        0        2
34572 libnet-async-fastcgi-perl          	       0        1        0        1        0
34573 libnet-bluetooth-perl              	       0        3        0        0        3
34574 libnet-bonjour-perl                	       0        1        0        1        0
34575 libnet-cidr-lite-perl              	       0       41        4       37        0
34576 libnet-cli-interact-perl           	       0        1        0        1        0
34577 libnet-cups-perl                   	       0       33        0        0       33
34578 libnet-dbus-glib-perl              	       0       14        0        0       14
34579 libnet-dbus-perl                   	       0     3191        7        9     3175
34580 libnet-dhcp-perl                   	       0        1        0        1        0
34581 libnet-dns-async-perl              	       0        1        0        1        0
34582 libnet-dns-fingerprint-perl        	       0        3        0        3        0
34583 libnet-dns-resolver-mock-perl      	       0        1        0        1        0
34584 libnet-dns-sec-perl                	       0      318        0        3      315
34585 libnet-dropbox-api-perl            	       0        3        0        3        0
34586 libnet-fastcgi-perl                	       0        1        0        1        0
34587 libnet-freedb-perl                 	       0        4        0        0        4
34588 libnet-github-perl                 	       0        2        0        2        0
34589 libnet-gpsd3-perl                  	       0        1        0        1        0
34590 libnet-gpsd3-poe-perl              	       0        1        0        1        0
34591 libnet-https-any-perl              	       0        2        0        2        0
34592 libnet-httpserver-perl             	       0        1        0        1        0
34593 libnet-ident-perl                  	       0       16        2       14        0
34594 libnet-idn-encode-perl             	       0       73       11       39       23
34595 libnet-imap-perl                   	       0        1        0        1        0
34596 libnet-imap-simple-perl            	       0       55        0       55        0
34597 libnet-imap-simple-ssl-perl        	       0        2        0        2        0
34598 libnet-inet6glue-perl              	       0        3        1        2        0
34599 libnet-interface-perl              	       0        1        0        0        1
34600 libnet-ip-minimal-perl             	       0        1        0        1        0
34601 libnet-jabber-perl                 	       0        2        0        2        0
34602 libnet-ldap-perl                   	       0       69        7       62        0
34603 libnet-libidn-perl                 	       0      381       17       43      321
34604 libnet-libidn2-perl                	       0       82        4       13       65
34605 libnet-mac-vendor-perl             	       0        1        0        1        0
34606 libnet-managesieve-perl            	       0        1        1        0        0
34607 libnet-nbname-perl                 	       0        3        0        3        0
34608 libnet-nslookup-perl               	       0        2        0        2        0
34609 libnet-ntp-perl                    	       0        1        0        1        0
34610 libnet-oauth2-authorizationserver-perl	       0        2        0        2        0
34611 libnet-openid-common-perl          	       0        4        0        4        0
34612 libnet-openid-consumer-perl        	       0        4        0        4        0
34613 libnet-openid-server-perl          	       0        1        0        1        0
34614 libnet-openssh-perl                	       0        4        0        4        0
34615 libnet-patricia-perl               	       0       31        4       14       13
34616 libnet-pcap-perl                   	       0        4        0        4        0
34617 libnet-ph-perl                     	       0        1        0        1        0
34618 libnet-ping-external-perl          	       0        1        0        1        0
34619 libnet-proxy-perl                  	       0        1        0        1        0
34620 libnet-radius-perl                 	       0        1        0        1        0
34621 libnet-rawip-perl                  	       0        4        0        0        4
34622 libnet-rblclient-perl              	       0       22        0       22        0
34623 libnet-scp-perl                    	       0        1        0        1        0
34624 libnet-scp-ruby1.9.1               	       0        1        0        0        1
34625 libnet-server-ss-prefork-perl      	       0        3        0        3        0
34626 libnet-sftp-foreign-perl           	       0       16        0       16        0
34627 libnet-sftp-sftpserver-perl        	       0        1        0        1        0
34628 libnet-sftp2-ruby1.9.1             	       0        1        0        0        1
34629 libnet-smtp-tls-butmaintained-perl 	       0        1        0        1        0
34630 libnet-smtps-perl                  	       0       53        0       53        0
34631 libnet-snpp-perl                   	       0        1        0        1        0
34632 libnet-ssh-authorizedkeysfile-perl 	       0        1        0        1        0
34633 libnet-ssh-gateway-ruby1.9.1       	       0        1        0        0        1
34634 libnet-ssh-multi-ruby1.9.1         	       0        1        0        0        1
34635 libnet-ssh-perl                    	       0        5        0        5        0
34636 libnet-ssh2-perl                   	       0       24        0        0       24
34637 libnet-ssh2-ruby1.9.1              	       0        1        0        0        1
34638 libnet-ssleay-perl                 	       0     3654       42       99     3513
34639 libnet-sslglue-perl                	       0        1        0        1        0
34640 libnet-stomp-perl                  	       0        2        1        1        0
34641 libnet-subnet-perl                 	       0        1        0        1        0
34642 libnet-twitter-perl                	       0        1        0        1        0
34643 libnet-upnp-perl                   	       0        8        0        8        0
34644 libnet-xmpp-perl                   	       0       10        2        8        0
34645 libnet-xwhois-perl                 	       0       18        1       17        0
34646 libnet-z3950-simple2zoom-perl      	       0        1        0        1        0
34647 libnet-z3950-simpleserver-perl     	       0        3        0        0        3
34648 libnet-z3950-zoom-perl             	       0        3        1        1        1
34649 libnet0                            	       0        1        0        0        1
34650 libnet1                            	       0      303        7       19      277
34651 libnetaddr-ip-perl                 	       0     1432       26       61     1345
34652 libnetaid1                         	       0        1        0        1        0
34653 libnetcdf-c++4                     	       0       70        0        0       70
34654 libnetcdf-c++4-1                   	       0        5        0        0        5
34655 libnetcdf-c++4-doc                 	       0        1        0        0        1
34656 libnetcdf-cxx-legacy-dev           	       0       11        0       11        0
34657 libnetcdf-mpi-18                   	       0        1        0        0        1
34658 libnetcdf-mpi-19                   	       0        2        0        0        2
34659 libnetcdf11                        	       0       14        0        0       14
34660 libnetcdf13                        	       0       53        0        0       53
34661 libnetcdf15                        	       0        1        0        0        1
34662 libnetcdf18                        	       0      143        0        2      141
34663 libnetcdf19                        	       0      498        3        8      487
34664 libnetcdf19t64                     	       0       60        0        0       60
34665 libnetcdf22                        	       0        6        0        0        6
34666 libnetcdf3                         	       0        1        0        0        1
34667 libnetcdfc++4                      	       0        2        0        0        2
34668 libnetcdfc7                        	       0        5        0        0        5
34669 libnetcdff-dev                     	       0        3        0        3        0
34670 libnetcdff5                        	       0        1        0        0        1
34671 libnetcdff7                        	       0        4        0        0        4
34672 libnetcf1                          	       0      155       14       53       88
34673 libnetclasses0                     	       0        1        0        1        0
34674 libnetfilter-acct-dev              	       0        1        0        1        0
34675 libnetfilter-acct1                 	       0     1275        3       10     1262
34676 libnetfilter-conntrack-dev         	       0        3        0        3        0
34677 libnetfilter-conntrack-doc         	       0        1        0        0        1
34678 libnetfilter-cthelper-doc          	       0        1        0        0        1
34679 libnetfilter-cthelper0             	       0       24        3        2       19
34680 libnetfilter-cttimeout-doc         	       0        1        0        0        1
34681 libnetfilter-log-dev               	       0        2        0        2        0
34682 libnetfilter-log-doc               	       0        1        0        0        1
34683 libnetfilter-log1                  	       0       20        2        9        9
34684 libnetfilter-queue-dev             	       0        6        0        6        0
34685 libnetfilter-queue-doc             	       0        2        0        0        2
34686 libnetfilter-queue1                	       0       39        4        9       26
34687 libnethttpd-ocaml-dev              	       0        1        0        1        0
34688 libnetlib-java                     	       0        1        0        0        1
34689 libnetpbm10                        	       0      683        1        0      682
34690 libnetpbm10-dev                    	       0        4        0        4        0
34691 libnetpbm11                        	       0     1622        0        0     1622
34692 libnetpbm11t64                     	       0      146        0        0      146
34693 libnetpbm9                         	       0        1        0        0        1
34694 libnetpbm9-dev                     	       0        1        0        1        0
34695 libnetsnmptrapd40                  	       0       36        0        1       35
34696 libnetsnmptrapd40t64               	       0        2        0        0        2
34697 libnettle3                         	       0        1        0        0        1
34698 libnettle4                         	       0      184        1        3      180
34699 libnettle6                         	       0      954        5       11      938
34700 libnettle7                         	       0        1        0        1        0
34701 libnetty-3.9-java                  	       0        2        0        0        2
34702 libnetty-java                      	       0       28        0        0       28
34703 libnetty-reactive-streams-java     	       0        3        0        0        3
34704 libnetty-tcnative-java             	       0        4        0        0        4
34705 libnetty-tcnative-jni              	       0        4        0        0        4
34706 libnetworkmanagerqt1               	       0        1        0        0        1
34707 libnetx-java                       	       0        3        0        0        3
34708 libnewlib-arm-none-eabi            	       0       51        0        0       51
34709 libnewlib-doc                      	       0        2        0        0        2
34710 libnewlib-ia16-elf                 	       0        1        0        1        0
34711 libnewlib-nano-arm-none-eabi       	       0        1        0        0        1
34712 libnewmat10-dev                    	       0        2        0        2        0
34713 libnewmat10ldbl                    	       0        3        0        0        3
34714 libnews-nntpclient-perl            	       0        4        0        4        0
34715 libnewt-pic                        	       0        1        0        0        1
34716 libnewt0.52                        	       0     4124        1        7     4116
34717 libnewtonsoft-json4.5-cil          	       0        1        0        1        0
34718 libnewtonsoft-json5.0-cil          	       0       14        0       14        0
34719 libnexstar-dev                     	       0        2        0        2        0
34720 libnexstar0                        	       0        2        0        0        2
34721 libnextaw0                         	       0        1        0        0        1
34722 libnextcloudsync-dev               	       0        2        0        2        0
34723 libnextcloudsync0                  	       0       86       12       32       42
34724 libnextcloudsync0t64               	       0       12        1        6        5
34725 libnexus1                          	       0        1        0        0        1
34726 libnf2ff0                          	       0        6        0        0        6
34727 libnfc-bin                         	       0        7        0        7        0
34728 libnfc-dev                         	       0        4        0        4        0
34729 libnfc-examples                    	       0        2        0        2        0
34730 libnfc5                            	       0        2        0        2        0
34731 libnfc6                            	       0       28        0       28        0
34732 libnfft3-dev                       	       0        1        0        1        0
34733 libnfft3-double2                   	       0        3        0        0        3
34734 libnfft3-long2                     	       0        1        0        0        1
34735 libnfft3-single2                   	       0        1        0        0        1
34736 libnfnetlink-dev                   	       0       11        0       11        0
34737 libnfqueue-perl                    	       0        1        0        0        1
34738 libnfs-dev                         	       0       17        0       17        0
34739 libnfs0                            	       0        1        0        0        1
34740 libnfs1                            	       0        1        0        0        1
34741 libnfs11                           	       0        1        0        0        1
34742 libnfs12                           	       0      143        0        0      143
34743 libnfs13                           	       0     2105       13       22     2070
34744 libnfs14                           	       0      228        5        5      218
34745 libnfs16                           	       0        7        0        0        7
34746 libnfs4                            	       0        4        0        0        4
34747 libnfs8                            	       0       80        0        0       80
34748 libnfsidmap-dev                    	       0        2        1        1        0
34749 libnfsidmap2                       	       0      350       28      123      199
34750 libnftables-dev                    	       0        2        0        2        0
34751 libnftables0                       	       0      112        0        0      112
34752 libnftnl-dev                       	       0        6        0        6        0
34753 libnftnl-dev-doc                   	       0        1        0        0        1
34754 libnftnl4                          	       0        6        0        0        6
34755 libnghttp2-doc                     	       0        1        0        0        1
34756 libnghttp3-3                       	       0        7        0        0        7
34757 libnginx-mod-http-auth-pam         	       0       56       12       44        0
34758 libnginx-mod-http-cache-purge      	       0        7        1        6        0
34759 libnginx-mod-http-dav-ext          	       0       55       12       43        0
34760 libnginx-mod-http-echo             	       0       79       17       62        0
34761 libnginx-mod-http-fancyindex       	       0       10        3        7        0
34762 libnginx-mod-http-geoip            	       0       54       11       43        0
34763 libnginx-mod-http-geoip2           	       0       36       11       25        0
34764 libnginx-mod-http-headers-more-filter	       0        9        2        7        0
34765 libnginx-mod-http-image-filter     	       0       54       11       43        0
34766 libnginx-mod-http-lua              	       0        7        1        6        0
34767 libnginx-mod-http-ndk              	       0        8        1        7        0
34768 libnginx-mod-http-perl             	       0        7        1        6        0
34769 libnginx-mod-http-subs-filter      	       0       55       12       43        0
34770 libnginx-mod-http-uploadprogress   	       0        7        1        6        0
34771 libnginx-mod-http-upstream-fair    	       0       54       12       42        0
34772 libnginx-mod-http-xslt-filter      	       0       53       11       42        0
34773 libnginx-mod-mail                  	       0       53       11       42        0
34774 libnginx-mod-nchan                 	       0        7        1        6        0
34775 libnginx-mod-rtmp                  	       0        2        1        1        0
34776 libnginx-mod-stream                	       0       73       16       57        0
34777 libnginx-mod-stream-geoip          	       0       33       10       23        0
34778 libnginx-mod-stream-geoip2         	       0       35       11       24        0
34779 libnginx-mod-stream-js             	       0        1        1        0        0
34780 libnglib-4.9.13                    	       0        2        0        0        2
34781 libnglib-6.2                       	       0        5        0        0        5
34782 libnglib-6.2t64                    	       0        1        0        0        1
34783 libnglib-dev                       	       0        2        0        2        0
34784 libngraph0                         	       0        3        0        0        3
34785 libngs-java                        	       0        7        0        0        7
34786 libngs-jni                         	       0        6        0        0        6
34787 libngs-sdk-dev                     	       0        2        0        2        0
34788 libngs-sdk1                        	       0        1        0        0        1
34789 libngs-sdk2                        	       0        2        0        0        2
34790 libngspice0                        	       0      122        0        0      122
34791 libngspice0-dev                    	       0        6        0        6        0
34792 libngtcp2-9                        	       0        1        0        0        1
34793 libnice-dev                        	       0        3        0        3        0
34794 libnice-doc                        	       0        1        0        0        1
34795 libnice0                           	       0        1        0        0        1
34796 libnice10                          	       0     3003        0        6     2997
34797 libnids1.21                        	       0       42        0        0       42
34798 libnids1.21t64                     	       0        2        0        0        2
34799 libnifti-dev                       	       0        3        0        0        3
34800 libnifti2                          	       0        4        0        0        4
34801 libnifti2-2                        	       0        5        0        0        5
34802 libnifti2-dev                      	       0        3        0        3        0
34803 libnifticdf-dev                    	       0        3        0        3        0
34804 libnifticdf2                       	       0        6        0        0        6
34805 libniftiio-dev                     	       0        3        0        3        0
34806 libniftiio2                        	       0       17        0        0       17
34807 libniftiio2t64                     	       0        1        0        0        1
34808 libnih-dbus1                       	       0      136        4       26      106
34809 libnih1                            	       0      136        4       26      106
34810 libnini1.1-cil                     	       0        1        0        1        0
34811 libnitrokey-dev                    	       0        1        0        1        0
34812 libnitrokey3                       	       0       10        0        2        8
34813 libnjb5                            	       0        9        0        9        0
34814 libnkf-perl                        	       0        1        0        0        1
34815 libnl-3-doc                        	       0        2        0        0        2
34816 libnl-cli-3-200                    	       0       13        0        0       13
34817 libnl-cli-3-dev                    	       0        2        0        0        2
34818 libnl-genl-3-dev                   	       0       24        0        0       24
34819 libnl-idiag-3-200                  	       0        5        0        0        5
34820 libnl-idiag-3-dev                  	       0        3        0        0        3
34821 libnl-nf-3-200                     	       0       13        0        0       13
34822 libnl-nf-3-dev                     	       0        2        0        0        2
34823 libnl-route-3-dev                  	       0      141        0        0      141
34824 libnl-utils                        	       0        3        0        3        0
34825 libnl-xfrm-3-200                   	       0        1        0        0        1
34826 libnl-xfrm-3-dev                   	       0        1        0        0        1
34827 libnl1                             	       0        5        0        0        5
34828 libnl2                             	       0        1        0        0        1
34829 libnlopt-cxx-dev                   	       0        3        0        0        3
34830 libnlopt-cxx0                      	       0       15        0        0       15
34831 libnlopt0                          	       0       73        0        2       71
34832 libnm-glib-vpn1                    	       0       27        0        0       27
34833 libnm-glib2                        	       0        1        0        0        1
34834 libnm-glib4                        	       0       55        0        0       55
34835 libnm-gtk-common                   	       0       12        0        0       12
34836 libnm-gtk0                         	       0       25        0        0       25
34837 libnm-util1                        	       0        1        0        0        1
34838 libnm-util2                        	       0       57        0        0       57
34839 libnma-dev                         	       0        2        0        1        1
34840 libnma-headers                     	       0        1        0        1        0
34841 libnmz7                            	       0        2        0        0        2
34842 libnng-dev                         	       0        1        0        1        0
34843 libnng1                            	       0        4        0        0        4
34844 libnode108                         	       0      255        3       16      236
34845 libnode109                         	       0        2        0        1        1
34846 libnode115                         	       0       39        0        0       39
34847 libnode64                          	       0       29        0        0       29
34848 libnode72                          	       0       65        0        1       64
34849 libnode83                          	       0        1        0        0        1
34850 libnode93                          	       0        2        0        0        2
34851 libnodelet-dev                     	       0        1        0        1        0
34852 libnodelet-topic-tools-dev         	       0        1        0        1        0
34853 libnodeletlib-dev                  	       0        1        0        1        0
34854 libnodeletlib-tools                	       0        1        0        1        0
34855 libnodeletlib1d                    	       0        2        0        0        2
34856 libnokogiri-ruby1.9                	       0        1        0        0        1
34857 libnokogiri-ruby1.9.1              	       0        1        0        0        1
34858 libnomacscore3                     	       0        1        0        0        1
34859 libnorm-dev                        	       0       42        0       42        0
34860 libnorm-doc                        	       0        1        0        1        0
34861 libnorm1t64                        	       0      227       13       33      181
34862 libnormaliz-dev                    	       0        1        0        0        1
34863 libnormaliz-dev-common             	       0        1        0        1        0
34864 libnormaliz3                       	       0       14        0        0       14
34865 libnotcurses-core-dev              	       0        3        0        3        0
34866 libnotcurses-core2                 	       0        5        0        0        5
34867 libnotcurses-dev                   	       0        3        0        0        3
34868 libnotcurses2                      	       0        5        0        0        5
34869 libnoteshared4                     	       0        2        0        1        1
34870 libnotify-cil-dev                  	       0        1        0        1        0
34871 libnotify-doc                      	       0        7        0        0        7
34872 libnotify0.4-cil                   	       0        8        0        0        8
34873 libnotify1                         	       0        7        0        0        7
34874 libnotify3.0-cil                   	       0        2        0        0        2
34875 libnotify3.0-cil-dev               	       0        2        0        2        0
34876 libnotmuch4                        	       0       85        0        0       85
34877 libnotmuch5                        	       0       54        2        4       48
34878 libnotmuch5t64                     	       0       12        1        1       10
34879 libnova-0.14-0                     	       0        3        0        0        3
34880 libnova-0.16-0                     	       0       84        0        4       80
34881 libnova-0.16-0t64                  	       0       20        0        0       20
34882 libnova-dev                        	       0        7        0        7        0
34883 libnova-dev-bin                    	       0        7        0        7        0
34884 libnozzle1                         	       0        2        0        2        0
34885 libnpf-dev                         	       0        1        0        1        0
34886 libnpf1                            	       0        1        0        0        1
34887 libnpgsql2.2-cil                   	       0        1        0        1        0
34888 libnpp-11-7                        	       0        1        0        0        1
34889 libnpp-12-4                        	       0        2        0        0        2
34890 libnpp-12-6                        	       0        4        0        0        4
34891 libnpp-12-8                        	       0        1        0        0        1
34892 libnpp-dev-11-7                    	       0        1        0        1        0
34893 libnpp-dev-12-4                    	       0        2        0        2        0
34894 libnpp-dev-12-6                    	       0        4        1        3        0
34895 libnpp-dev-12-8                    	       0        1        0        1        0
34896 libnppc11                          	       0       20        0        0       20
34897 libnppc12                          	       0        3        0        0        3
34898 libnppc8.0                         	       0        1        0        0        1
34899 libnppc9.2                         	       0        1        0        0        1
34900 libnppi8.0                         	       0        1        0        0        1
34901 libnppial11                        	       0       19        0        0       19
34902 libnppial12                        	       0        3        0        0        3
34903 libnppial8.0                       	       0        1        0        0        1
34904 libnppial9.2                       	       0        1        0        0        1
34905 libnppicc11                        	       0       20        0        0       20
34906 libnppicc12                        	       0        3        0        0        3
34907 libnppicc8.0                       	       0        1        0        0        1
34908 libnppicc9.2                       	       0        1        0        0        1
34909 libnppicom8.0                      	       0        1        0        0        1
34910 libnppicom9.2                      	       0        1        0        0        1
34911 libnppidei11                       	       0       20        0        0       20
34912 libnppidei12                       	       0        3        0        0        3
34913 libnppidei8.0                      	       0        1        0        0        1
34914 libnppidei9.2                      	       0        1        0        0        1
34915 libnppif11                         	       0       20        0        0       20
34916 libnppif12                         	       0        3        0        0        3
34917 libnppif8.0                        	       0        1        0        0        1
34918 libnppif9.2                        	       0        1        0        0        1
34919 libnppig11                         	       0       20        0        0       20
34920 libnppig12                         	       0        3        0        0        3
34921 libnppig8.0                        	       0        1        0        0        1
34922 libnppig9.2                        	       0        1        0        0        1
34923 libnppim11                         	       0       19        0        0       19
34924 libnppim12                         	       0        3        0        0        3
34925 libnppim8.0                        	       0        1        0        0        1
34926 libnppim9.2                        	       0        1        0        0        1
34927 libnppist11                        	       0       19        0        0       19
34928 libnppist12                        	       0        3        0        0        3
34929 libnppist8.0                       	       0        1        0        0        1
34930 libnppist9.2                       	       0        1        0        0        1
34931 libnppisu11                        	       0       19        0        0       19
34932 libnppisu12                        	       0        3        0        0        3
34933 libnppisu8.0                       	       0        1        0        0        1
34934 libnppisu9.2                       	       0        1        0        0        1
34935 libnppitc11                        	       0       19        0        0       19
34936 libnppitc12                        	       0        3        0        0        3
34937 libnppitc8.0                       	       0        1        0        0        1
34938 libnppitc9.2                       	       0        1        0        0        1
34939 libnpps11                          	       0       19        0        0       19
34940 libnpps12                          	       0        3        0        0        3
34941 libnpps8.0                         	       0        1        0        0        1
34942 libnpps9.2                         	       0        1        0        0        1
34943 libnpth-mingw-w64-dev              	       0        1        0        1        0
34944 libnpth0-dbgsym                    	       0        1        0        1        0
34945 libnpth0-dev                       	       0        6        0        6        0
34946 libnpupnp1                         	       0        1        0        0        1
34947 libnpupnp13                        	       0        1        0        0        1
34948 libnpupnp13t64                     	       0        1        0        0        1
34949 libnpupnp4                         	       0        1        0        0        1
34950 libnpupnp9                         	       0        3        1        1        1
34951 libnrepl-clojure                   	       0        5        0        0        5
34952 libnrepl-incomplete-clojure        	       0        5        0        0        5
34953 libnspr4-0d                        	       0        2        0        0        2
34954 libnspr4-dev                       	       0       75        1       74        0
34955 libnss-db                          	       0        7        1        6        0
34956 libnss-docker                      	       0        1        0        1        0
34957 libnss-extrausers                  	       0        2        0        1        1
34958 libnss-gw-name                     	       0        1        0        1        0
34959 libnss-ldap                        	       0        9        2        1        6
34960 libnss-ldapd                       	       0       33        9       24        0
34961 libnss-libvirt                     	       0       10        1        1        8
34962 libnss-lwres                       	       0        4        0        0        4
34963 libnss-nis                         	       0      812       54      187      571
34964 libnss-nisplus                     	       0      810        0        0      810
34965 libnss-pgsql2                      	       0        1        0        0        1
34966 libnss-sudo                        	       0       32        0        0       32
34967 libnss-tls                         	       0        3        1        1        1
34968 libnss-unknown                     	       0        1        0        1        0
34969 libnss-winbind                     	       0       63       16       21       26
34970 libnss-wrapper                     	       0        6        0        0        6
34971 libnss3-1d                         	       0        4        0        0        4
34972 libntdb1                           	       0       66        0        0       66
34973 libntfs-3g-dev                     	       0        1        0        1        0
34974 libntfs-3g0                        	       0        1        0        0        1
34975 libntfs-3g31                       	       0        1        0        0        1
34976 libntfs-3g75                       	       0        3        0        0        3
34977 libntfs-3g871                      	       0      102        0        0      102
34978 libntfs-3g872                      	       0        1        0        0        1
34979 libntfs-3g881                      	       0        1        0        0        1
34980 libntfs-3g883                      	       0      689        3        7      679
34981 libntfs-3g89t64                    	       0      218        1        6      211
34982 libntfs-dev                        	       0        1        0        1        0
34983 libntfs10                          	       0        7        0        0        7
34984 libntfs9                           	       0        1        0        0        1
34985 libntirpc-dev                      	       0        1        0        1        0
34986 libntirpc4.3                       	       0        1        0        0        1
34987 libntl35                           	       0        4        0        0        4
34988 libntl43                           	       0        2        0        0        2
34989 libntl44                           	       0       27        0        0       27
34990 libntlm0                           	       0     2005       18       68     1919
34991 libntlm0-dev                       	       0        6        0        6        0
34992 libntrack-qt4-1                    	       0       44        0        1       43
34993 libntrack0                         	       0       64        0        1       63
34994 libnucleotidelikelihoodcore0       	       0        1        0        0        1
34995 libnuget-core-cil                  	       0        2        0        2        0
34996 libnum-ocaml                       	       0        1        0        1        0
34997 libnumber-bytes-human-perl         	       0       42        3       39        0
34998 libnumber-format-perl              	       0       14        1       13        0
34999 libnumber-fraction-perl            	       0        1        0        1        0
35000 libnumbertext-data                 	       0     2793        0        0     2793
35001 libnumbertext-dev                  	       0        1        0        1        0
35002 libnumbertext-java                 	       0        1        0        0        1
35003 libnumbertext-tools                	       0        1        0        1        0
35004 libnunit-console-runner2.6.3-cil   	       0       68        0        0       68
35005 libnunit-doc                       	       0        1        0        1        0
35006 libnunit2.4-cil                    	       0        1        0        1        0
35007 libnunit2.6-cil                    	       0        1        0        1        0
35008 libnusoap-php                      	       0        2        1        1        0
35009 libnuspell5                        	       0        2        0        0        2
35010 libnutscan1                        	       0       14        0        0       14
35011 libnutscan2                        	       0       41        0        0       41
35012 libnutscan2t64                     	       0        1        0        0        1
35013 libnv-dev                          	       0        1        0        1        0
35014 libnv1                             	       0        1        0        0        1
35015 libnvblas11                        	       0       18        0        0       18
35016 libnvblas12                        	       0        3        0        0        3
35017 libnvblas6.0                       	       0        1        0        0        1
35018 libnvblas8.0                       	       0        1        0        0        1
35019 libnvblas9.2                       	       0        1        0        0        1
35020 libnvcuvid1                        	       0      162        2        2      158
35021 libnvfatbin-12-4                   	       0        2        0        0        2
35022 libnvfatbin-12-6                   	       0        3        0        0        3
35023 libnvfatbin-12-8                   	       0        1        0        0        1
35024 libnvfatbin-dev-12-4               	       0        2        0        2        0
35025 libnvfatbin-dev-12-6               	       0        3        1        2        0
35026 libnvfatbin-dev-12-8               	       0        1        0        1        0
35027 libnvgraph8.0                      	       0        1        0        0        1
35028 libnvgraph9.2                      	       0        1        0        0        1
35029 libnvidia-allocator1               	       0      130        3        7      120
35030 libnvidia-api1                     	       0        9        0        0        9
35031 libnvidia-cbl                      	       0       26        0        0       26
35032 libnvidia-compiler                 	       0       13        0        0       13
35033 libnvidia-container-tools          	       0        4        0        4        0
35034 libnvidia-container1               	       0        4        0        0        4
35035 libnvidia-egl-wayland-dev          	       0        7        0        0        7
35036 libnvidia-egl-wayland1-dbgsym      	       0        1        0        1        0
35037 libnvidia-egl-xcb1                 	       0       10        0        1        9
35038 libnvidia-egl-xlib1                	       0        1        0        0        1
35039 libnvidia-encode1                  	       0      161        2        2      157
35040 libnvidia-fatbinaryloader          	       0        6        0        0        6
35041 libnvidia-fbc1                     	       0       12        0        0       12
35042 libnvidia-gpucomp                  	       0       13        2        6        5
35043 libnvidia-gpucomp1                 	       0        1        0        1        0
35044 libnvidia-legacy-304xx-glcore      	       0        1        0        0        1
35045 libnvidia-legacy-340xx-cfg1        	       0        8        0        1        7
35046 libnvidia-legacy-340xx-compiler    	       0        3        0        0        3
35047 libnvidia-legacy-340xx-cuda1       	       0        5        0        0        5
35048 libnvidia-legacy-340xx-cuda1-i386  	       0        1        0        0        1
35049 libnvidia-legacy-340xx-eglcore     	       0       11        0        1       10
35050 libnvidia-legacy-340xx-encode1     	       0        4        0        0        4
35051 libnvidia-legacy-340xx-fbc1        	       0        2        0        0        2
35052 libnvidia-legacy-340xx-glcore      	       0       12        0        5        7
35053 libnvidia-legacy-340xx-ifr1        	       0        2        0        0        2
35054 libnvidia-legacy-340xx-ml1         	       0        8        0        0        8
35055 libnvidia-legacy-340xx-nvcuvid1    	       0        5        0        0        5
35056 libnvidia-legacy-390xx-cfg1        	       0       13        0        4        9
35057 libnvidia-legacy-390xx-compiler    	       0        1        0        0        1
35058 libnvidia-legacy-390xx-cuda1       	       0       11        1        1        9
35059 libnvidia-legacy-390xx-cuda1-i386  	       0        3        0        0        3
35060 libnvidia-legacy-390xx-eglcore     	       0       15        1        2       12
35061 libnvidia-legacy-390xx-encode1     	       0       10        0        0       10
35062 libnvidia-legacy-390xx-fatbinaryloader	       0       13        1        1       11
35063 libnvidia-legacy-390xx-glcore      	       0       15        1        4       10
35064 libnvidia-legacy-390xx-ml1         	       0       13        0        0       13
35065 libnvidia-legacy-390xx-nvcuvid1    	       0       10        0        0       10
35066 libnvidia-legacy-390xx-ptxjitcompiler1	       0       13        0        0       13
35067 libnvidia-ml-dev                   	       0       18        0       18        0
35068 libnvidia-ml1                      	       0      186        1        7      178
35069 libnvidia-ngx1                     	       0       11        0        6        5
35070 libnvidia-nvvm4                    	       0       36        0        0       36
35071 libnvidia-opticalflow1             	       0       13        0        0       13
35072 libnvidia-pkcs11                   	       0        3        0        0        3
35073 libnvidia-pkcs11-openssl3          	       0      135        0        0      135
35074 libnvidia-ptxjitcompiler1          	       0      183        0        0      183
35075 libnvidia-rtcore                   	       0      155        1        0      154
35076 libnvidia-sandboxutils             	       0        4        0        0        4
35077 libnvidia-tesla-450-cbl            	       0        2        0        0        2
35078 libnvidia-tesla-450-cfg1           	       0        2        0        0        2
35079 libnvidia-tesla-450-compiler       	       0        1        0        0        1
35080 libnvidia-tesla-450-cuda1          	       0        2        0        0        2
35081 libnvidia-tesla-450-eglcore        	       0        2        0        0        2
35082 libnvidia-tesla-450-encode1        	       0        2        0        0        2
35083 libnvidia-tesla-450-glcore         	       0        2        0        1        1
35084 libnvidia-tesla-450-glvkspirv      	       0        2        0        0        2
35085 libnvidia-tesla-450-ml1            	       0        2        0        0        2
35086 libnvidia-tesla-450-nvcuvid1       	       0        2        0        0        2
35087 libnvidia-tesla-450-ptxjitcompiler1	       0        2        0        0        2
35088 libnvidia-tesla-450-rtcore         	       0        2        0        0        2
35089 libnvidia-tesla-470-cbl            	       0       14        0        0       14
35090 libnvidia-tesla-470-cfg1           	       0       15        2        3       10
35091 libnvidia-tesla-470-cuda1          	       0       13        0        0       13
35092 libnvidia-tesla-470-eglcore        	       0       16        4        7        5
35093 libnvidia-tesla-470-encode1        	       0       12        0        0       12
35094 libnvidia-tesla-470-glcore         	       0       16        4        7        5
35095 libnvidia-tesla-470-glvkspirv      	       0       15        0        0       15
35096 libnvidia-tesla-470-ml1            	       0       17        0        0       17
35097 libnvidia-tesla-470-nvcuvid1       	       0       12        0        0       12
35098 libnvidia-tesla-470-ptxjitcompiler1	       0       13        0        0       13
35099 libnvidia-tesla-470-rtcore         	       0       13        0        0       13
35100 libnvidia-tesla-glcore             	       0        1        0        0        1
35101 libnvidia-tesla-glvkspirv          	       0        1        0        0        1
35102 libnvidia-tesla-ml1                	       0        1        0        0        1
35103 libnvidia-vksc-core                	       0        9        0        9        0
35104 libnvidia-wayland-client           	       0        1        0        0        1
35105 libnvjitlink-12-4                  	       0        2        0        0        2
35106 libnvjitlink-12-6                  	       0        3        0        0        3
35107 libnvjitlink-12-8                  	       0        1        0        0        1
35108 libnvjitlink-dev-12-4              	       0        2        0        2        0
35109 libnvjitlink-dev-12-6              	       0        3        1        2        0
35110 libnvjitlink-dev-12-8              	       0        1        0        1        0
35111 libnvjitlink12                     	       0        4        0        0        4
35112 libnvjpeg-11-7                     	       0        1        0        0        1
35113 libnvjpeg-12-4                     	       0        2        0        0        2
35114 libnvjpeg-12-6                     	       0        3        0        0        3
35115 libnvjpeg-12-8                     	       0        1        0        0        1
35116 libnvjpeg-dev-11-7                 	       0        1        0        1        0
35117 libnvjpeg-dev-12-4                 	       0        2        0        2        0
35118 libnvjpeg-dev-12-6                 	       0        3        1        2        0
35119 libnvjpeg-dev-12-8                 	       0        1        0        1        0
35120 libnvjpeg11                        	       0       18        0        0       18
35121 libnvjpeg12                        	       0        3        0        0        3
35122 libnvme1                           	       0       76        1       13       62
35123 libnvoptix1                        	       0       13        0        0       13
35124 libnvpair1                         	       0        1        0        0        1
35125 libnvpair1linux                    	       0        3        0        0        3
35126 libnvpair3                         	       0        1        0        1        0
35127 libnvrtc-builtins11.8              	       0       16        0        0       16
35128 libnvrtc-builtins12.2              	       0        3        0        0        3
35129 libnvrtc11.1                       	       0        1        0        0        1
35130 libnvrtc11.2                       	       0       18        0        0       18
35131 libnvrtc12                         	       0        3        0        0        3
35132 libnvrtc8.0                        	       0        1        0        0        1
35133 libnvrtc9.2                        	       0        1        0        0        1
35134 libnvtoolsext1                     	       0       20        0        0       20
35135 libnvtt-bin                        	       0        1        0        1        0
35136 libnvtt-dev                        	       0        3        0        3        0
35137 libnvtt2                           	       0       20        0        0       20
35138 libnvvm2                           	       0        1        0        0        1
35139 libnvvm3                           	       0        2        0        0        2
35140 libnvvm4                           	       0       21        0        0       21
35141 libnx-x11-6                        	       0       68        2        3       63
35142 libnx-x11-6t64                     	       0        4        0        1        3
35143 libnx-x11-dev                      	       0        1        0        1        0
35144 libnx-xcomposite1                  	       0        1        0        0        1
35145 libnx-xdamage1                     	       0        1        0        0        1
35146 libnx-xdmcp6                       	       0        1        0        0        1
35147 libnx-xext6                        	       0        1        0        0        1
35148 libnx-xfixes3                      	       0        1        0        0        1
35149 libnx-xinerama1                    	       0        1        0        0        1
35150 libnx-xpm4                         	       0        1        0        0        1
35151 libnx-xrandr2                      	       0        1        0        0        1
35152 libnx-xrender1                     	       0        1        0        0        1
35153 libnx-xtst6                        	       0        1        0        0        1
35154 libnxcl-bin                        	       0        2        0        2        0
35155 libnxcl-dev                        	       0        1        0        1        0
35156 libnxcl1                           	       0        2        0        0        2
35157 libnxml0                           	       0        9        0        0        9
35158 libnxml0-dev                       	       0        1        0        1        0
35159 libo2                              	       0        1        0        0        1
35160 libo3dgc-dev                       	       0        1        0        1        0
35161 liboakleaf0                        	       0        2        0        0        2
35162 liboar-perl                        	       0        1        1        0        0
35163 liboasis-ocaml                     	       0        1        0        1        0
35164 liboasis-ocaml-dev                 	       0        1        0        1        0
35165 liboasis-ocaml-doc                 	       0        1        0        0        1
35166 liboasis3-0d                       	       0        1        0        0        1
35167 liboasis3-dev                      	       0        1        0        0        1
35168 liboath-dev                        	       0        1        0        1        0
35169 liboath0                           	       0       80        1        1       78
35170 liboath0t64                        	       0        7        0        0        7
35171 liboauth-dev                       	       0        2        0        2        0
35172 liboauth-ruby1.9.1                 	       0        1        0        0        1
35173 liboauth-signpost-java             	       0        8        0        0        8
35174 liboauth0                          	       0      568        0        6      562
35175 libobantoo-java                    	       0        4        0        0        4
35176 libobasis24.2-base                 	       0        5        0        0        5
35177 libobasis24.2-calc                 	       0        5        0        0        5
35178 libobasis24.2-core                 	       0        5        0        0        5
35179 libobasis24.2-de                   	       0        1        0        0        1
35180 libobasis24.2-draw                 	       0        5        0        0        5
35181 libobasis24.2-en-gb                	       0        2        0        0        2
35182 libobasis24.2-en-gb-help           	       0        2        0        0        2
35183 libobasis24.2-en-us                	       0        5        0        0        5
35184 libobasis24.2-en-us-help           	       0        1        0        0        1
35185 libobasis24.2-extension-beanshell-script-provider	       0        5        0        0        5
35186 libobasis24.2-extension-javascript-script-provider	       0        5        0        0        5
35187 libobasis24.2-extension-mediawiki-publisher	       0        5        0        0        5
35188 libobasis24.2-extension-nlpsolver  	       0        5        0        0        5
35189 libobasis24.2-extension-pdf-import 	       0        5        0        0        5
35190 libobasis24.2-extension-report-builder	       0        5        0        0        5
35191 libobasis24.2-firebird             	       0        5        0        0        5
35192 libobasis24.2-fr                   	       0        1        0        0        1
35193 libobasis24.2-gnome-integration    	       0        5        0        0        5
35194 libobasis24.2-graphicfilter        	       0        5        0        0        5
35195 libobasis24.2-images               	       0        5        0        0        5
35196 libobasis24.2-impress              	       0        5        0        0        5
35197 libobasis24.2-kde-integration      	       0        5        0        0        5
35198 libobasis24.2-librelogo            	       0        5        0        0        5
35199 libobasis24.2-libreofficekit-data  	       0        5        0        0        5
35200 libobasis24.2-math                 	       0        5        0        0        5
35201 libobasis24.2-ogltrans             	       0        5        0        0        5
35202 libobasis24.2-onlineupdate         	       0        5        0        0        5
35203 libobasis24.2-ooofonts             	       0        6        0        0        6
35204 libobasis24.2-ooolinguistic        	       0        5        0        0        5
35205 libobasis24.2-postgresql-sdbc      	       0        5        0        0        5
35206 libobasis24.2-python-script-provider	       0        5        0        0        5
35207 libobasis24.2-pyuno                	       0        5        0        5        0
35208 libobasis24.2-writer               	       0        5        0        0        5
35209 libobasis24.2-xsltfilter           	       0        5        0        0        5
35210 libobasis24.8-base                 	       0       11        0        0       11
35211 libobasis24.8-calc                 	       0       11        0        1       10
35212 libobasis24.8-core                 	       0       11        0        2        9
35213 libobasis24.8-de                   	       0        2        0        0        2
35214 libobasis24.8-draw                 	       0       10        0        0       10
35215 libobasis24.8-el                   	       0        1        0        0        1
35216 libobasis24.8-el-help              	       0        1        0        0        1
35217 libobasis24.8-en-gb                	       0        3        0        0        3
35218 libobasis24.8-en-gb-help           	       0        3        0        0        3
35219 libobasis24.8-en-us                	       0       11        0        0       11
35220 libobasis24.8-en-us-help           	       0        1        0        0        1
35221 libobasis24.8-extension-beanshell-script-provider	       0       10        0        0       10
35222 libobasis24.8-extension-javascript-script-provider	       0       10        0        0       10
35223 libobasis24.8-extension-mediawiki-publisher	       0       10        0        0       10
35224 libobasis24.8-extension-nlpsolver  	       0       10        0        0       10
35225 libobasis24.8-extension-pdf-import 	       0       10        0        0       10
35226 libobasis24.8-extension-report-builder	       0       10        0        0       10
35227 libobasis24.8-firebird             	       0       10        0        0       10
35228 libobasis24.8-fr                   	       0        2        0        0        2
35229 libobasis24.8-gnome-integration    	       0       11        0        2        9
35230 libobasis24.8-graphicfilter        	       0       11        0        0       11
35231 libobasis24.8-images               	       0       12        0        0       12
35232 libobasis24.8-impress              	       0       10        0        0       10
35233 libobasis24.8-kde-integration      	       0       10        0        0       10
35234 libobasis24.8-librelogo            	       0       10        0        0       10
35235 libobasis24.8-libreofficekit-data  	       0       10        0        0       10
35236 libobasis24.8-math                 	       0       10        0        0       10
35237 libobasis24.8-ogltrans             	       0       10        0        0       10
35238 libobasis24.8-onlineupdate         	       0       11        0        2        9
35239 libobasis24.8-ooofonts             	       0       11        0        2        9
35240 libobasis24.8-ooolinguistic        	       0       11        0        0       11
35241 libobasis24.8-postgresql-sdbc      	       0       10        0        0       10
35242 libobasis24.8-python-script-provider	       0       10        0        0       10
35243 libobasis24.8-pyuno                	       0       11        1       10        0
35244 libobasis24.8-writer               	       0       11        0        2        9
35245 libobasis24.8-xsltfilter           	       0       10        0        0       10
35246 libobasis25.2-base                 	       0        2        0        0        2
35247 libobasis25.2-calc                 	       0        2        0        0        2
35248 libobasis25.2-core                 	       0        2        0        0        2
35249 libobasis25.2-draw                 	       0        2        0        0        2
35250 libobasis25.2-en-us                	       0        2        0        0        2
35251 libobasis25.2-extension-beanshell-script-provider	       0        2        0        0        2
35252 libobasis25.2-extension-javascript-script-provider	       0        2        0        0        2
35253 libobasis25.2-extension-mediawiki-publisher	       0        2        0        0        2
35254 libobasis25.2-extension-nlpsolver  	       0        2        0        0        2
35255 libobasis25.2-extension-pdf-import 	       0        2        0        0        2
35256 libobasis25.2-extension-report-builder	       0        2        0        0        2
35257 libobasis25.2-firebird             	       0        2        0        0        2
35258 libobasis25.2-gnome-integration    	       0        2        0        0        2
35259 libobasis25.2-graphicfilter        	       0        2        0        0        2
35260 libobasis25.2-images               	       0        2        0        0        2
35261 libobasis25.2-impress              	       0        2        0        0        2
35262 libobasis25.2-kde-integration      	       0        2        0        0        2
35263 libobasis25.2-librelogo            	       0        2        0        0        2
35264 libobasis25.2-libreofficekit-data  	       0        2        0        0        2
35265 libobasis25.2-math                 	       0        2        0        0        2
35266 libobasis25.2-ogltrans             	       0        2        0        0        2
35267 libobasis25.2-onlineupdate         	       0        2        0        0        2
35268 libobasis25.2-ooofonts             	       0        2        0        0        2
35269 libobasis25.2-ooolinguistic        	       0        2        0        0        2
35270 libobasis25.2-postgresql-sdbc      	       0        2        0        0        2
35271 libobasis25.2-python-script-provider	       0        2        0        0        2
35272 libobasis25.2-writer               	       0        2        0        0        2
35273 libobasis25.2-xsltfilter           	       0        2        0        0        2
35274 libobasis4.3-base                  	       0        1        0        0        1
35275 libobasis4.3-calc                  	       0        1        0        0        1
35276 libobasis4.3-core01                	       0        1        0        0        1
35277 libobasis4.3-core02                	       0        1        0        0        1
35278 libobasis4.3-core03                	       0        1        0        0        1
35279 libobasis4.3-core04                	       0        1        0        0        1
35280 libobasis4.3-core05                	       0        1        0        0        1
35281 libobasis4.3-core06                	       0        1        0        0        1
35282 libobasis4.3-core07                	       0        1        0        0        1
35283 libobasis4.3-draw                  	       0        1        0        0        1
35284 libobasis4.3-en-us                 	       0        1        0        0        1
35285 libobasis4.3-en-us-base            	       0        1        0        0        1
35286 libobasis4.3-en-us-calc            	       0        1        0        0        1
35287 libobasis4.3-en-us-help            	       0        1        0        0        1
35288 libobasis4.3-en-us-math            	       0        1        0        0        1
35289 libobasis4.3-en-us-res             	       0        1        0        0        1
35290 libobasis4.3-en-us-writer          	       0        1        0        0        1
35291 libobasis4.3-extension-beanshell-script-provider	       0        1        0        0        1
35292 libobasis4.3-extension-javascript-script-provider	       0        1        0        0        1
35293 libobasis4.3-extension-mediawiki-publisher	       0        1        0        0        1
35294 libobasis4.3-extension-nlpsolver   	       0        1        0        0        1
35295 libobasis4.3-extension-pdf-import  	       0        1        0        0        1
35296 libobasis4.3-extension-report-builder	       0        1        0        0        1
35297 libobasis4.3-filter-data           	       0        1        0        0        1
35298 libobasis4.3-gnome-integration     	       0        1        0        0        1
35299 libobasis4.3-graphicfilter         	       0        1        0        0        1
35300 libobasis4.3-images                	       0        1        0        0        1
35301 libobasis4.3-impress               	       0        1        0        0        1
35302 libobasis4.3-kde-integration       	       0        1        0        0        1
35303 libobasis4.3-librelogo             	       0        1        0        0        1
35304 libobasis4.3-math                  	       0        1        0        0        1
35305 libobasis4.3-ogltrans              	       0        1        0        0        1
35306 libobasis4.3-onlineupdate          	       0        1        0        0        1
35307 libobasis4.3-ooofonts              	       0        1        0        0        1
35308 libobasis4.3-ooolinguistic         	       0        1        0        0        1
35309 libobasis4.3-postgresql-sdbc       	       0        1        0        0        1
35310 libobasis4.3-python-script-provider	       0        1        0        0        1
35311 libobasis4.3-pyuno                 	       0        1        0        0        1
35312 libobasis4.3-writer                	       0        1        0        0        1
35313 libobasis4.3-xsltfilter            	       0        1        0        0        1
35314 libobasis5.0-base                  	       0        1        0        0        1
35315 libobasis5.0-calc                  	       0        1        0        0        1
35316 libobasis5.0-core                  	       0        1        0        0        1
35317 libobasis5.0-draw                  	       0        1        0        0        1
35318 libobasis5.0-en-us                 	       0        1        0        0        1
35319 libobasis5.0-en-us-base            	       0        1        0        0        1
35320 libobasis5.0-en-us-calc            	       0        1        0        0        1
35321 libobasis5.0-en-us-help            	       0        1        0        0        1
35322 libobasis5.0-en-us-math            	       0        1        0        0        1
35323 libobasis5.0-en-us-res             	       0        1        0        0        1
35324 libobasis5.0-en-us-writer          	       0        1        0        0        1
35325 libobasis5.0-extension-beanshell-script-provider	       0        1        0        0        1
35326 libobasis5.0-extension-javascript-script-provider	       0        1        0        0        1
35327 libobasis5.0-extension-mediawiki-publisher	       0        1        0        0        1
35328 libobasis5.0-extension-nlpsolver   	       0        1        0        0        1
35329 libobasis5.0-extension-pdf-import  	       0        1        0        0        1
35330 libobasis5.0-extension-report-builder	       0        1        0        0        1
35331 libobasis5.0-filter-data           	       0        1        0        0        1
35332 libobasis5.0-gnome-integration     	       0        1        0        0        1
35333 libobasis5.0-graphicfilter         	       0        1        0        0        1
35334 libobasis5.0-images                	       0        1        0        0        1
35335 libobasis5.0-impress               	       0        1        0        0        1
35336 libobasis5.0-kde-integration       	       0        1        0        0        1
35337 libobasis5.0-librelogo             	       0        1        0        0        1
35338 libobasis5.0-math                  	       0        1        0        0        1
35339 libobasis5.0-ogltrans              	       0        1        0        0        1
35340 libobasis5.0-onlineupdate          	       0        1        0        0        1
35341 libobasis5.0-ooofonts              	       0        1        0        0        1
35342 libobasis5.0-ooolinguistic         	       0        1        0        0        1
35343 libobasis5.0-postgresql-sdbc       	       0        1        0        0        1
35344 libobasis5.0-python-script-provider	       0        1        0        0        1
35345 libobasis5.0-pyuno                 	       0        1        0        0        1
35346 libobasis5.0-writer                	       0        1        0        0        1
35347 libobasis5.0-xsltfilter            	       0        1        0        0        1
35348 libobasis5.1-base                  	       0        1        0        0        1
35349 libobasis5.1-calc                  	       0        1        0        0        1
35350 libobasis5.1-core                  	       0        1        0        0        1
35351 libobasis5.1-draw                  	       0        1        0        0        1
35352 libobasis5.1-en-us                 	       0        1        0        0        1
35353 libobasis5.1-en-us-base            	       0        1        0        0        1
35354 libobasis5.1-en-us-calc            	       0        1        0        0        1
35355 libobasis5.1-en-us-help            	       0        1        0        0        1
35356 libobasis5.1-en-us-math            	       0        1        0        0        1
35357 libobasis5.1-en-us-res             	       0        1        0        0        1
35358 libobasis5.1-en-us-writer          	       0        1        0        0        1
35359 libobasis5.1-extension-beanshell-script-provider	       0        1        0        0        1
35360 libobasis5.1-extension-javascript-script-provider	       0        1        0        0        1
35361 libobasis5.1-extension-mediawiki-publisher	       0        1        0        0        1
35362 libobasis5.1-extension-nlpsolver   	       0        1        0        0        1
35363 libobasis5.1-extension-pdf-import  	       0        1        0        0        1
35364 libobasis5.1-extension-report-builder	       0        1        0        0        1
35365 libobasis5.1-filter-data           	       0        1        0        0        1
35366 libobasis5.1-gnome-integration     	       0        1        0        0        1
35367 libobasis5.1-graphicfilter         	       0        1        0        0        1
35368 libobasis5.1-images                	       0        1        0        0        1
35369 libobasis5.1-impress               	       0        1        0        0        1
35370 libobasis5.1-kde-integration       	       0        1        0        0        1
35371 libobasis5.1-librelogo             	       0        1        0        0        1
35372 libobasis5.1-math                  	       0        1        0        0        1
35373 libobasis5.1-ogltrans              	       0        1        0        0        1
35374 libobasis5.1-onlineupdate          	       0        1        0        0        1
35375 libobasis5.1-ooofonts              	       0        1        0        0        1
35376 libobasis5.1-ooolinguistic         	       0        1        0        0        1
35377 libobasis5.1-postgresql-sdbc       	       0        1        0        0        1
35378 libobasis5.1-python-script-provider	       0        1        0        0        1
35379 libobasis5.1-pyuno                 	       0        1        0        0        1
35380 libobasis5.1-writer                	       0        1        0        0        1
35381 libobasis5.1-xsltfilter            	       0        1        0        0        1
35382 libobasis5.2-base                  	       0        1        0        0        1
35383 libobasis5.2-calc                  	       0        1        0        0        1
35384 libobasis5.2-core                  	       0        1        0        0        1
35385 libobasis5.2-draw                  	       0        1        0        0        1
35386 libobasis5.2-en-us                 	       0        1        0        0        1
35387 libobasis5.2-en-us-base            	       0        1        0        0        1
35388 libobasis5.2-en-us-calc            	       0        1        0        0        1
35389 libobasis5.2-en-us-help            	       0        1        0        0        1
35390 libobasis5.2-en-us-math            	       0        1        0        0        1
35391 libobasis5.2-en-us-res             	       0        1        0        0        1
35392 libobasis5.2-en-us-writer          	       0        1        0        0        1
35393 libobasis5.2-extension-beanshell-script-provider	       0        1        0        0        1
35394 libobasis5.2-extension-javascript-script-provider	       0        1        0        0        1
35395 libobasis5.2-extension-mediawiki-publisher	       0        1        0        0        1
35396 libobasis5.2-extension-nlpsolver   	       0        1        0        0        1
35397 libobasis5.2-extension-pdf-import  	       0        1        0        0        1
35398 libobasis5.2-extension-report-builder	       0        1        0        0        1
35399 libobasis5.2-filter-data           	       0        1        0        0        1
35400 libobasis5.2-gnome-integration     	       0        1        0        0        1
35401 libobasis5.2-graphicfilter         	       0        1        0        0        1
35402 libobasis5.2-images                	       0        1        0        0        1
35403 libobasis5.2-impress               	       0        1        0        0        1
35404 libobasis5.2-kde-integration       	       0        1        0        0        1
35405 libobasis5.2-librelogo             	       0        1        0        0        1
35406 libobasis5.2-math                  	       0        1        0        0        1
35407 libobasis5.2-ogltrans              	       0        1        0        0        1
35408 libobasis5.2-onlineupdate          	       0        1        0        0        1
35409 libobasis5.2-ooofonts              	       0        1        0        0        1
35410 libobasis5.2-ooolinguistic         	       0        1        0        0        1
35411 libobasis5.2-postgresql-sdbc       	       0        1        0        0        1
35412 libobasis5.2-python-script-provider	       0        1        0        0        1
35413 libobasis5.2-pyuno                 	       0        1        0        0        1
35414 libobasis5.2-writer                	       0        1        0        0        1
35415 libobasis5.2-xsltfilter            	       0        1        0        0        1
35416 libobasis6.0-base                  	       0        2        0        0        2
35417 libobasis6.0-calc                  	       0        2        0        0        2
35418 libobasis6.0-core                  	       0        2        0        0        2
35419 libobasis6.0-draw                  	       0        2        0        0        2
35420 libobasis6.0-en-us                 	       0        2        0        0        2
35421 libobasis6.0-en-us-help            	       0        1        0        0        1
35422 libobasis6.0-extension-beanshell-script-provider	       0        2        0        0        2
35423 libobasis6.0-extension-javascript-script-provider	       0        2        0        0        2
35424 libobasis6.0-extension-mediawiki-publisher	       0        2        0        0        2
35425 libobasis6.0-extension-nlpsolver   	       0        2        0        0        2
35426 libobasis6.0-extension-pdf-import  	       0        2        0        0        2
35427 libobasis6.0-extension-report-builder	       0        2        0        0        2
35428 libobasis6.0-firebird              	       0        2        0        0        2
35429 libobasis6.0-gnome-integration     	       0        2        0        0        2
35430 libobasis6.0-graphicfilter         	       0        2        0        0        2
35431 libobasis6.0-images                	       0        2        0        0        2
35432 libobasis6.0-impress               	       0        2        0        0        2
35433 libobasis6.0-kde-integration       	       0        2        0        0        2
35434 libobasis6.0-librelogo             	       0        2        0        0        2
35435 libobasis6.0-math                  	       0        2        0        0        2
35436 libobasis6.0-ogltrans              	       0        2        0        0        2
35437 libobasis6.0-onlineupdate          	       0        2        0        0        2
35438 libobasis6.0-ooofonts              	       0        2        0        0        2
35439 libobasis6.0-ooolinguistic         	       0        2        0        0        2
35440 libobasis6.0-postgresql-sdbc       	       0        2        0        0        2
35441 libobasis6.0-python-script-provider	       0        2        0        0        2
35442 libobasis6.0-pyuno                 	       0        2        0        1        1
35443 libobasis6.0-writer                	       0        2        0        0        2
35444 libobasis6.0-xsltfilter            	       0        2        0        0        2
35445 libobasis6.2-base                  	       0        2        0        0        2
35446 libobasis6.2-calc                  	       0        2        0        0        2
35447 libobasis6.2-core                  	       0        2        0        0        2
35448 libobasis6.2-draw                  	       0        2        0        0        2
35449 libobasis6.2-en-us                 	       0        2        0        0        2
35450 libobasis6.2-en-us-help            	       0        1        0        0        1
35451 libobasis6.2-extension-beanshell-script-provider	       0        2        0        0        2
35452 libobasis6.2-extension-javascript-script-provider	       0        2        0        0        2
35453 libobasis6.2-extension-mediawiki-publisher	       0        2        0        0        2
35454 libobasis6.2-extension-nlpsolver   	       0        2        0        0        2
35455 libobasis6.2-extension-pdf-import  	       0        2        0        0        2
35456 libobasis6.2-extension-report-builder	       0        2        0        0        2
35457 libobasis6.2-firebird              	       0        2        0        0        2
35458 libobasis6.2-gnome-integration     	       0        2        0        0        2
35459 libobasis6.2-graphicfilter         	       0        2        0        0        2
35460 libobasis6.2-images                	       0        2        0        0        2
35461 libobasis6.2-impress               	       0        2        0        0        2
35462 libobasis6.2-kde-integration       	       0        2        0        0        2
35463 libobasis6.2-librelogo             	       0        2        0        0        2
35464 libobasis6.2-libreofficekit-data   	       0        2        0        0        2
35465 libobasis6.2-math                  	       0        2        0        0        2
35466 libobasis6.2-ogltrans              	       0        2        0        0        2
35467 libobasis6.2-onlineupdate          	       0        2        0        0        2
35468 libobasis6.2-ooofonts              	       0        2        0        0        2
35469 libobasis6.2-ooolinguistic         	       0        2        0        0        2
35470 libobasis6.2-postgresql-sdbc       	       0        2        0        0        2
35471 libobasis6.2-python-script-provider	       0        2        0        0        2
35472 libobasis6.2-pyuno                 	       0        2        0        2        0
35473 libobasis6.2-writer                	       0        2        0        0        2
35474 libobasis6.2-xsltfilter            	       0        2        0        0        2
35475 libobasis6.3-base                  	       0        3        0        0        3
35476 libobasis6.3-calc                  	       0        3        0        0        3
35477 libobasis6.3-core                  	       0        3        0        0        3
35478 libobasis6.3-draw                  	       0        3        0        0        3
35479 libobasis6.3-en-us                 	       0        3        0        0        3
35480 libobasis6.3-en-us-help            	       0        2        0        0        2
35481 libobasis6.3-extension-beanshell-script-provider	       0        2        0        0        2
35482 libobasis6.3-extension-javascript-script-provider	       0        2        0        0        2
35483 libobasis6.3-extension-mediawiki-publisher	       0        2        0        0        2
35484 libobasis6.3-extension-nlpsolver   	       0        2        0        0        2
35485 libobasis6.3-extension-pdf-import  	       0        3        0        0        3
35486 libobasis6.3-extension-report-builder	       0        2        0        0        2
35487 libobasis6.3-firebird              	       0        2        0        0        2
35488 libobasis6.3-gnome-integration     	       0        2        0        0        2
35489 libobasis6.3-graphicfilter         	       0        3        0        0        3
35490 libobasis6.3-images                	       0        3        0        0        3
35491 libobasis6.3-impress               	       0        3        0        0        3
35492 libobasis6.3-kde-integration       	       0        2        0        0        2
35493 libobasis6.3-librelogo             	       0        2        0        0        2
35494 libobasis6.3-libreofficekit-data   	       0        2        0        0        2
35495 libobasis6.3-math                  	       0        3        0        0        3
35496 libobasis6.3-ogltrans              	       0        3        0        0        3
35497 libobasis6.3-onlineupdate          	       0        2        0        0        2
35498 libobasis6.3-ooofonts              	       0        4        0        0        4
35499 libobasis6.3-ooolinguistic         	       0        3        0        0        3
35500 libobasis6.3-postgresql-sdbc       	       0        2        0        0        2
35501 libobasis6.3-python-script-provider	       0        2        0        0        2
35502 libobasis6.3-pyuno                 	       0        2        0        1        1
35503 libobasis6.3-writer                	       0        3        0        0        3
35504 libobasis6.3-xsltfilter            	       0        3        0        0        3
35505 libobasis6.4-base                  	       0        4        0        0        4
35506 libobasis6.4-calc                  	       0        4        0        0        4
35507 libobasis6.4-core                  	       0        4        0        0        4
35508 libobasis6.4-draw                  	       0        4        0        0        4
35509 libobasis6.4-en-us                 	       0        4        0        0        4
35510 libobasis6.4-en-us-help            	       0        1        0        0        1
35511 libobasis6.4-extension-beanshell-script-provider	       0        4        0        0        4
35512 libobasis6.4-extension-javascript-script-provider	       0        4        0        0        4
35513 libobasis6.4-extension-mediawiki-publisher	       0        4        0        0        4
35514 libobasis6.4-extension-nlpsolver   	       0        4        0        0        4
35515 libobasis6.4-extension-pdf-import  	       0        4        0        0        4
35516 libobasis6.4-extension-report-builder	       0        4        0        0        4
35517 libobasis6.4-firebird              	       0        4        0        0        4
35518 libobasis6.4-fr                    	       0        1        0        0        1
35519 libobasis6.4-gnome-integration     	       0        4        0        0        4
35520 libobasis6.4-graphicfilter         	       0        4        0        0        4
35521 libobasis6.4-images                	       0        4        0        0        4
35522 libobasis6.4-impress               	       0        4        0        0        4
35523 libobasis6.4-kde-integration       	       0        4        0        0        4
35524 libobasis6.4-librelogo             	       0        4        0        0        4
35525 libobasis6.4-libreofficekit-data   	       0        4        0        0        4
35526 libobasis6.4-math                  	       0        4        0        0        4
35527 libobasis6.4-ogltrans              	       0        4        0        0        4
35528 libobasis6.4-onlineupdate          	       0        4        0        0        4
35529 libobasis6.4-ooofonts              	       0        6        0        0        6
35530 libobasis6.4-ooolinguistic         	       0        4        0        0        4
35531 libobasis6.4-postgresql-sdbc       	       0        4        0        0        4
35532 libobasis6.4-python-script-provider	       0        4        0        0        4
35533 libobasis6.4-pyuno                 	       0        4        0        4        0
35534 libobasis6.4-ru                    	       0        1        0        0        1
35535 libobasis6.4-ru-help               	       0        1        0        0        1
35536 libobasis6.4-writer                	       0        4        0        0        4
35537 libobasis6.4-xsltfilter            	       0        4        0        0        4
35538 libobasis7.0-ooofonts              	       0        1        0        0        1
35539 libobasis7.1-base                  	       0        1        0        0        1
35540 libobasis7.1-calc                  	       0        1        0        0        1
35541 libobasis7.1-core                  	       0        1        0        0        1
35542 libobasis7.1-draw                  	       0        1        0        0        1
35543 libobasis7.1-en-us                 	       0        1        0        0        1
35544 libobasis7.1-extension-beanshell-script-provider	       0        1        0        0        1
35545 libobasis7.1-extension-javascript-script-provider	       0        1        0        0        1
35546 libobasis7.1-extension-mediawiki-publisher	       0        1        0        0        1
35547 libobasis7.1-extension-nlpsolver   	       0        1        0        0        1
35548 libobasis7.1-extension-pdf-import  	       0        1        0        0        1
35549 libobasis7.1-extension-report-builder	       0        1        0        0        1
35550 libobasis7.1-firebird              	       0        1        0        0        1
35551 libobasis7.1-gnome-integration     	       0        1        0        0        1
35552 libobasis7.1-graphicfilter         	       0        1        0        0        1
35553 libobasis7.1-images                	       0        1        0        0        1
35554 libobasis7.1-impress               	       0        1        0        0        1
35555 libobasis7.1-kde-integration       	       0        1        0        0        1
35556 libobasis7.1-librelogo             	       0        1        0        0        1
35557 libobasis7.1-libreofficekit-data   	       0        1        0        0        1
35558 libobasis7.1-math                  	       0        1        0        0        1
35559 libobasis7.1-ogltrans              	       0        1        0        0        1
35560 libobasis7.1-onlineupdate          	       0        1        0        0        1
35561 libobasis7.1-ooofonts              	       0        2        0        0        2
35562 libobasis7.1-ooolinguistic         	       0        1        0        0        1
35563 libobasis7.1-postgresql-sdbc       	       0        1        0        0        1
35564 libobasis7.1-python-script-provider	       0        1        0        0        1
35565 libobasis7.1-pyuno                 	       0        1        0        1        0
35566 libobasis7.1-writer                	       0        1        0        0        1
35567 libobasis7.1-xsltfilter            	       0        1        0        0        1
35568 libobasis7.2-base                  	       0        1        0        0        1
35569 libobasis7.2-calc                  	       0        1        0        0        1
35570 libobasis7.2-core                  	       0        1        0        0        1
35571 libobasis7.2-draw                  	       0        1        0        0        1
35572 libobasis7.2-en-us                 	       0        1        0        0        1
35573 libobasis7.2-extension-beanshell-script-provider	       0        1        0        0        1
35574 libobasis7.2-extension-javascript-script-provider	       0        1        0        0        1
35575 libobasis7.2-extension-mediawiki-publisher	       0        1        0        0        1
35576 libobasis7.2-extension-nlpsolver   	       0        1        0        0        1
35577 libobasis7.2-extension-pdf-import  	       0        1        0        0        1
35578 libobasis7.2-extension-report-builder	       0        1        0        0        1
35579 libobasis7.2-firebird              	       0        1        0        0        1
35580 libobasis7.2-gnome-integration     	       0        1        0        0        1
35581 libobasis7.2-graphicfilter         	       0        1        0        0        1
35582 libobasis7.2-images                	       0        1        0        0        1
35583 libobasis7.2-impress               	       0        1        0        0        1
35584 libobasis7.2-kde-integration       	       0        1        0        0        1
35585 libobasis7.2-librelogo             	       0        1        0        0        1
35586 libobasis7.2-libreofficekit-data   	       0        1        0        0        1
35587 libobasis7.2-math                  	       0        1        0        0        1
35588 libobasis7.2-ogltrans              	       0        1        0        0        1
35589 libobasis7.2-onlineupdate          	       0        1        0        0        1
35590 libobasis7.2-ooofonts              	       0        1        0        0        1
35591 libobasis7.2-ooolinguistic         	       0        1        0        0        1
35592 libobasis7.2-postgresql-sdbc       	       0        1        0        0        1
35593 libobasis7.2-python-script-provider	       0        1        0        0        1
35594 libobasis7.2-pyuno                 	       0        1        0        1        0
35595 libobasis7.2-writer                	       0        1        0        0        1
35596 libobasis7.2-xsltfilter            	       0        1        0        0        1
35597 libobasis7.3-base                  	       0        1        0        0        1
35598 libobasis7.3-calc                  	       0        1        0        0        1
35599 libobasis7.3-core                  	       0        1        0        0        1
35600 libobasis7.3-draw                  	       0        1        0        0        1
35601 libobasis7.3-en-us                 	       0        1        0        0        1
35602 libobasis7.3-extension-beanshell-script-provider	       0        1        0        0        1
35603 libobasis7.3-extension-javascript-script-provider	       0        1        0        0        1
35604 libobasis7.3-extension-mediawiki-publisher	       0        1        0        0        1
35605 libobasis7.3-extension-nlpsolver   	       0        1        0        0        1
35606 libobasis7.3-extension-pdf-import  	       0        1        0        0        1
35607 libobasis7.3-extension-report-builder	       0        1        0        0        1
35608 libobasis7.3-firebird              	       0        1        0        0        1
35609 libobasis7.3-gnome-integration     	       0        1        0        0        1
35610 libobasis7.3-graphicfilter         	       0        1        0        0        1
35611 libobasis7.3-images                	       0        1        0        0        1
35612 libobasis7.3-impress               	       0        1        0        0        1
35613 libobasis7.3-kde-integration       	       0        1        0        0        1
35614 libobasis7.3-librelogo             	       0        1        0        0        1
35615 libobasis7.3-libreofficekit-data   	       0        1        0        0        1
35616 libobasis7.3-math                  	       0        1        0        0        1
35617 libobasis7.3-ogltrans              	       0        1        0        0        1
35618 libobasis7.3-onlineupdate          	       0        1        0        0        1
35619 libobasis7.3-ooofonts              	       0        2        0        0        2
35620 libobasis7.3-ooolinguistic         	       0        1        0        0        1
35621 libobasis7.3-postgresql-sdbc       	       0        1        0        0        1
35622 libobasis7.3-python-script-provider	       0        1        0        0        1
35623 libobasis7.3-pyuno                 	       0        1        0        1        0
35624 libobasis7.3-ru                    	       0        1        0        0        1
35625 libobasis7.3-ru-help               	       0        1        0        0        1
35626 libobasis7.3-writer                	       0        1        0        0        1
35627 libobasis7.3-xsltfilter            	       0        1        0        0        1
35628 libobasis7.4-base                  	       0        1        0        0        1
35629 libobasis7.4-calc                  	       0       11        0        0       11
35630 libobasis7.4-core                  	       0       11        0        0       11
35631 libobasis7.4-draw                  	       0       11        0        0       11
35632 libobasis7.4-en-us                 	       0        1        0        0        1
35633 libobasis7.4-en-us-help            	       0        1        0        0        1
35634 libobasis7.4-extension-beanshell-script-provider	       0        1        0        0        1
35635 libobasis7.4-extension-javascript-script-provider	       0        1        0        0        1
35636 libobasis7.4-extension-mediawiki-publisher	       0        1        0        0        1
35637 libobasis7.4-extension-nlpsolver   	       0        1        0        0        1
35638 libobasis7.4-extension-pdf-import  	       0        1        0        0        1
35639 libobasis7.4-extension-report-builder	       0        1        0        0        1
35640 libobasis7.4-firebird              	       0        1        0        0        1
35641 libobasis7.4-gnome-integration     	       0       11        0        0       11
35642 libobasis7.4-graphicfilter         	       0        1        0        0        1
35643 libobasis7.4-images                	       0       11        0        0       11
35644 libobasis7.4-impress               	       0       11        0        0       11
35645 libobasis7.4-it                    	       0       10        0        0       10
35646 libobasis7.4-kde-integration       	       0        1        0        0        1
35647 libobasis7.4-librelogo             	       0        1        0        0        1
35648 libobasis7.4-libreofficekit-data   	       0        1        0        0        1
35649 libobasis7.4-math                  	       0        1        0        0        1
35650 libobasis7.4-ogltrans              	       0        1        0        0        1
35651 libobasis7.4-onlineupdate          	       0        1        0        0        1
35652 libobasis7.4-ooofonts              	       0       13        0        0       13
35653 libobasis7.4-ooolinguistic         	       0       11        0        0       11
35654 libobasis7.4-postgresql-sdbc       	       0        1        0        0        1
35655 libobasis7.4-python-script-provider	       0        1        0        0        1
35656 libobasis7.4-pyuno                 	       0        1        0        1        0
35657 libobasis7.4-writer                	       0       11        0        0       11
35658 libobasis7.4-xsltfilter            	       0        1        0        0        1
35659 libobasis7.5-base                  	       0        5        0        0        5
35660 libobasis7.5-calc                  	       0        5        0        0        5
35661 libobasis7.5-core                  	       0        5        0        0        5
35662 libobasis7.5-draw                  	       0        5        0        0        5
35663 libobasis7.5-en-us                 	       0        5        0        0        5
35664 libobasis7.5-en-us-help            	       0        2        0        0        2
35665 libobasis7.5-extension-beanshell-script-provider	       0        5        0        0        5
35666 libobasis7.5-extension-javascript-script-provider	       0        5        0        0        5
35667 libobasis7.5-extension-mediawiki-publisher	       0        5        0        0        5
35668 libobasis7.5-extension-nlpsolver   	       0        5        0        0        5
35669 libobasis7.5-extension-pdf-import  	       0        5        0        0        5
35670 libobasis7.5-extension-report-builder	       0        5        0        0        5
35671 libobasis7.5-firebird              	       0        5        0        0        5
35672 libobasis7.5-gnome-integration     	       0        5        0        0        5
35673 libobasis7.5-graphicfilter         	       0        5        0        0        5
35674 libobasis7.5-images                	       0        5        0        0        5
35675 libobasis7.5-impress               	       0        5        0        0        5
35676 libobasis7.5-kde-integration       	       0        5        0        0        5
35677 libobasis7.5-librelogo             	       0        5        0        0        5
35678 libobasis7.5-libreofficekit-data   	       0        5        0        0        5
35679 libobasis7.5-math                  	       0        5        0        0        5
35680 libobasis7.5-nl                    	       0        1        0        0        1
35681 libobasis7.5-nl-help               	       0        1        0        0        1
35682 libobasis7.5-ogltrans              	       0        5        0        0        5
35683 libobasis7.5-onlineupdate          	       0        5        0        0        5
35684 libobasis7.5-ooofonts              	       0        6        0        0        6
35685 libobasis7.5-ooolinguistic         	       0        5        0        0        5
35686 libobasis7.5-postgresql-sdbc       	       0        5        0        0        5
35687 libobasis7.5-python-script-provider	       0        5        0        0        5
35688 libobasis7.5-pyuno                 	       0        5        1        3        1
35689 libobasis7.5-writer                	       0        5        0        0        5
35690 libobasis7.5-xsltfilter            	       0        5        0        0        5
35691 libobasis7.6-base                  	       0        4        0        0        4
35692 libobasis7.6-calc                  	       0        4        0        0        4
35693 libobasis7.6-core                  	       0        4        0        0        4
35694 libobasis7.6-draw                  	       0        4        0        0        4
35695 libobasis7.6-en-us                 	       0        4        0        0        4
35696 libobasis7.6-extension-beanshell-script-provider	       0        4        0        0        4
35697 libobasis7.6-extension-javascript-script-provider	       0        4        0        0        4
35698 libobasis7.6-extension-mediawiki-publisher	       0        4        0        0        4
35699 libobasis7.6-extension-nlpsolver   	       0        4        0        0        4
35700 libobasis7.6-extension-pdf-import  	       0        4        0        0        4
35701 libobasis7.6-extension-report-builder	       0        4        0        0        4
35702 libobasis7.6-firebird              	       0        4        0        0        4
35703 libobasis7.6-gnome-integration     	       0        4        0        0        4
35704 libobasis7.6-graphicfilter         	       0        4        0        0        4
35705 libobasis7.6-images                	       0        4        0        0        4
35706 libobasis7.6-impress               	       0        4        0        0        4
35707 libobasis7.6-kde-integration       	       0        4        0        0        4
35708 libobasis7.6-librelogo             	       0        4        0        0        4
35709 libobasis7.6-libreofficekit-data   	       0        4        0        0        4
35710 libobasis7.6-math                  	       0        4        0        0        4
35711 libobasis7.6-ogltrans              	       0        4        0        0        4
35712 libobasis7.6-onlineupdate          	       0        4        0        0        4
35713 libobasis7.6-ooofonts              	       0        5        0        0        5
35714 libobasis7.6-ooolinguistic         	       0        4        0        0        4
35715 libobasis7.6-postgresql-sdbc       	       0        4        0        0        4
35716 libobasis7.6-python-script-provider	       0        4        0        0        4
35717 libobasis7.6-pyuno                 	       0        4        0        4        0
35718 libobasis7.6-writer                	       0        4        0        0        4
35719 libobasis7.6-xsltfilter            	       0        4        0        0        4
35720 libobexftp-perl                    	       0        1        0        0        1
35721 libobexftp0                        	       0       17        0        0       17
35722 libobexftp0t64                     	       0        2        0        0        2
35723 libobjc-10-dev                     	       0      125        0        0      125
35724 libobjc-11-dev                     	       0        8        0        0        8
35725 libobjc-12-dev                     	       0      255        0        0      255
35726 libobjc-12-dev-armel-cross         	       0        1        0        0        1
35727 libobjc-12-dev-armhf-cross         	       0        1        0        0        1
35728 libobjc-13-dev                     	       0       38        0        0       38
35729 libobjc-14-dev                     	       0       37        0        0       37
35730 libobjc-4.9-dev                    	       0       13        0        0       13
35731 libobjc-5-dev                      	       0        1        0        0        1
35732 libobjc-6-dev                      	       0       17        0        0       17
35733 libobjc-8-dev                      	       0       20        0        0       20
35734 libobjc-9-dev                      	       0        3        0        0        3
35735 libobjc2                           	       0        1        0        0        1
35736 libobjc4                           	       0     1049        0        2     1047
35737 libobjc4-armel-cross               	       0        1        0        0        1
35738 libobjc4-armhf-cross               	       0        1        0        0        1
35739 libobjc4-dbg                       	       0        1        0        1        0
35740 libobjc4-dbgsym                    	       0        1        0        1        0
35741 libobject-accessor-perl            	       0       56        0       56        0
35742 libobject-event-perl               	       0        8        0        8        0
35743 libobject-multitype-perl           	       0        1        0        1        0
35744 libobject-pad-perl                 	       0      158        0        0      158
35745 libobject-signature-perl           	       0        2        1        1        0
35746 libobjenesis-java                  	       0       61        0        0       61
35747 libobjenesis-java-doc              	       0        1        0        0        1
35748 libobrender27                      	       0        1        0        0        1
35749 libobrender29                      	       0        4        0        0        4
35750 libobs-dev                         	       0        9        0        9        0
35751 libobs0                            	       0      140        1        4      135
35752 libobs0t64                         	       0       16        2        0       14
35753 libobt0                            	       0        1        0        0        1
35754 libobt2                            	       0        4        0        0        4
35755 libocaml-compiler-libs-ocaml-dev   	       0        6        0        6        0
35756 libocamlnet-ocaml                  	       0        2        0        2        0
35757 libocamlnet-ocaml-dev              	       0        1        0        1        0
35758 libocamlnet-ocaml-doc              	       0        2        0        0        2
35759 libocas-dev                        	       0        2        0        2        0
35760 libocas0                           	       0        2        0        0        2
35761 libocct-data-exchange-7.3          	       0        3        0        0        3
35762 libocct-data-exchange-7.5          	       0       35        0        1       34
35763 libocct-data-exchange-7.6          	       0      138        1        3      134
35764 libocct-data-exchange-7.6t64       	       0        1        0        0        1
35765 libocct-data-exchange-7.8          	       0       23        0        0       23
35766 libocct-data-exchange-dev          	       0        8        0        8        0
35767 libocct-doc                        	       0        2        0        0        2
35768 libocct-draw-7.5                   	       0        1        0        0        1
35769 libocct-draw-7.6                   	       0      138        0        0      138
35770 libocct-draw-7.6t64                	       0        1        0        0        1
35771 libocct-draw-7.8                   	       0       23        0        0       23
35772 libocct-draw-dev                   	       0        6        0        1        5
35773 libocct-foundation-7.3             	       0        3        0        0        3
35774 libocct-foundation-7.5             	       0       35        0        1       34
35775 libocct-foundation-7.6             	       0      138        1        3      134
35776 libocct-foundation-7.6t64          	       0        1        0        0        1
35777 libocct-foundation-7.8             	       0       24        0        0       24
35778 libocct-foundation-dev             	       0        9        0        9        0
35779 libocct-modeling-algorithms-7.3    	       0        3        0        0        3
35780 libocct-modeling-algorithms-7.5    	       0       35        0        1       34
35781 libocct-modeling-algorithms-7.6    	       0      138        1        3      134
35782 libocct-modeling-algorithms-7.6t64 	       0        1        0        0        1
35783 libocct-modeling-algorithms-7.8    	       0       24        0        0       24
35784 libocct-modeling-algorithms-dev    	       0        9        0        1        8
35785 libocct-modeling-data-7.3          	       0        3        0        0        3
35786 libocct-modeling-data-7.5          	       0       35        0        1       34
35787 libocct-modeling-data-7.6          	       0      138        1        3      134
35788 libocct-modeling-data-7.6t64       	       0        1        0        0        1
35789 libocct-modeling-data-7.8          	       0       24        0        0       24
35790 libocct-modeling-data-dev          	       0        9        0        1        8
35791 libocct-ocaf-7.3                   	       0        3        0        0        3
35792 libocct-ocaf-7.5                   	       0       35        0        1       34
35793 libocct-ocaf-7.6                   	       0      138        1        3      134
35794 libocct-ocaf-7.6t64                	       0        1        0        0        1
35795 libocct-ocaf-7.8                   	       0       23        0        0       23
35796 libocct-ocaf-dev                   	       0        9        0        1        8
35797 libocct-visualization-7.3          	       0        3        0        0        3
35798 libocct-visualization-7.5          	       0       35        0        1       34
35799 libocct-visualization-7.6          	       0      138        1        3      134
35800 libocct-visualization-7.6t64       	       0        1        0        0        1
35801 libocct-visualization-7.8          	       0       23        0        0       23
35802 libocct-visualization-dev          	       0        9        0        9        0
35803 liboce-foundation-dev              	       0        4        0        4        0
35804 liboce-foundation10                	       0       10        0        0       10
35805 liboce-foundation11                	       0       22        0        0       22
35806 liboce-foundation2                 	       0        1        0        0        1
35807 liboce-foundation8                 	       0        3        0        0        3
35808 liboce-modeling-dev                	       0        4        0        4        0
35809 liboce-modeling10                  	       0       10        0        0       10
35810 liboce-modeling11                  	       0       22        0        0       22
35811 liboce-modeling2                   	       0        1        0        0        1
35812 liboce-modeling8                   	       0        3        0        0        3
35813 liboce-ocaf-dev                    	       0        3        0        0        3
35814 liboce-ocaf-lite-dev               	       0        3        0        0        3
35815 liboce-ocaf-lite10                 	       0       10        0        0       10
35816 liboce-ocaf-lite11                 	       0       21        0        0       21
35817 liboce-ocaf-lite8                  	       0        2        0        0        2
35818 liboce-ocaf10                      	       0       10        0        0       10
35819 liboce-ocaf11                      	       0       21        0        0       21
35820 liboce-ocaf8                       	       0        2        0        0        2
35821 liboce-visualization-dev           	       0        3        0        3        0
35822 liboce-visualization10             	       0       10        0        0       10
35823 liboce-visualization11             	       0       21        0        0       21
35824 liboce-visualization8              	       0        2        0        0        2
35825 liboclgrind-16.10                  	       0        1        0        0        1
35826 liboclgrind-19.10                  	       0        1        0        0        1
35827 liboclgrind-dev                    	       0        1        0        1        0
35828 libocplib-endian-ocaml             	       0        4        0        3        1
35829 libocplib-endian-ocaml-dev         	       0        3        0        3        0
35830 libocrad-dev                       	       0        2        0        2        0
35831 liboctave-dev                      	       0       12        0        7        5
35832 liboctave3v5                       	       0        1        0        0        1
35833 liboctave6                         	       0        5        0        0        5
35834 liboctave7                         	       0        1        0        0        1
35835 liboctave8                         	       0       15        0        0       15
35836 liboctomap-dev                     	       0        2        0        2        0
35837 liboctomap1.9                      	       0        2        0        0        2
35838 liboctovis-dev                     	       0        1        0        1        0
35839 liboctovis1.9                      	       0        2        0        0        2
35840 libodbc-ruby1.9.1                  	       0        1        0        0        1
35841 libodbc1                           	       0      364        3        6      355
35842 libodbc2                           	       0      853       12       24      817
35843 libodbccr2                         	       0      209        0        0      209
35844 libodbcinst2                       	       0      575        5       10      560
35845 libodbcinstq4-1                    	       0        1        0        0        1
35846 libodc-0d                          	       0        5        0        0        5
35847 libodc-dev                         	       0        1        0        1        0
35848 libode-dev                         	       0        5        0        5        0
35849 libode0-dev                        	       0        1        0        1        0
35850 libode0debian1                     	       0        1        0        0        1
35851 libode1                            	       0        1        0        0        1
35852 libode1sp                          	       0        2        0        0        2
35853 libode6                            	       0        3        0        0        3
35854 libode8                            	       0       36        0        0       36
35855 libode8t64                         	       0        2        0        0        2
35856 libodfdom-java                     	       0        2        0        0        2
35857 libodfgen-0.0-0                    	       0        1        0        0        1
35858 libodil0                           	       0        2        0        0        2
35859 libodin-dev                        	       0        3        0        3        0
35860 libodsstream0                      	       0        1        0        0        1
35861 libofa0                            	       0      708        0        2      706
35862 libofa0-dev                        	       0        2        0        2        0
35863 libofficebean-java                 	       0        8        0        8        0
35864 libofx-dev                         	       0        5        0        5        0
35865 libofx-doc                         	       0        2        0        0        2
35866 libofx6                            	       0        7        0        0        7
35867 libofx7                            	       0      107        1        4      102
35868 libofx7t64                         	       0        8        0        1        7
35869 libogdf-tulip-5.4-0                	       0        2        0        0        2
35870 libogdi3.2                         	       0       67        0       67        0
35871 libogdi3.2-dev                     	       0        4        0        4        0
35872 libogg-ocaml                       	       0        5        0        4        1
35873 libogg-vorbis-decoder-perl         	       0        1        0        0        1
35874 libogg-vorbis-header-pureperl-perl 	       0       19        0       19        0
35875 liboggflac3                        	       0        2        0        0        2
35876 liboggkate1                        	       0       23        0        0       23
35877 liboggz2                           	       0       35        0        0       35
35878 liboggz2-dev                       	       0        3        0        3        0
35879 liboglappth2                       	       0        3        0        0        3
35880 libogmrip-dev                      	       0        1        0        1        0
35881 libogmrip1                         	       0       14        0        0       14
35882 libogre-1.12-dev                   	       0        1        0        1        0
35883 libogre-1.8-dev                    	       0        1        0        1        0
35884 libogre-1.8.0                      	       0        1        0        0        1
35885 libogre-1.9-dev                    	       0        2        0        2        0
35886 libogre-1.9.0                      	       0        1        0        0        1
35887 libogre-1.9.0v5                    	       0        8        0        0        8
35888 libogre-1.9.0v5-dbg                	       0        1        0        1        0
35889 libogre1.12.10                     	       0        9        0        9        0
35890 liboidc-agent4                     	       0        1        0        0        1
35891 liboil0.3                          	       0        6        0        6        0
35892 liboil0.3-dev                      	       0        1        0        1        0
35893 libois-1.3.0                       	       0        1        0        0        1
35894 libois-1.3.0v5                     	       0        8        0        0        8
35895 libois-dev                         	       0        3        0        3        0
35896 libois-perl                        	       0        2        0        0        2
35897 libokhttp-java                     	       0       21        0        0       21
35898 libokhttp-signpost-java            	       0        2        0        0        2
35899 libokio-java                       	       0       21        0        0       21
35900 libokteta-l10n                     	       0       98        0        0       98
35901 libokteta1core1                    	       0        1        0        0        1
35902 libokteta1gui1                     	       0        1        0        0        1
35903 libokteta2core2                    	       0        5        0        0        5
35904 libokteta2gui2                     	       0        5        0        0        5
35905 libokteta3core0                    	       0       98        0        1       97
35906 libokteta3gui0                     	       0       98        0        1       97
35907 libokular-csp5core9                	       0        1        0        0        1
35908 libokular-ruby1.8                  	       0        1        0        0        1
35909 libokular5core10                   	       0      491        4       16      471
35910 libokular5core11                   	       0       38        0        2       36
35911 libokular5core8                    	       0       32        0        0       32
35912 libokular5core9                    	       0      113        0        4      109
35913 libokular6core3                    	       0       20        2        1       17
35914 libokularcore5                     	       0        3        0        1        2
35915 libokularcore7                     	       0       13        0        0       13
35916 libola1                            	       0        4        0        3        1
35917 libole-ruby1.9.1                   	       0        1        0        0        1
35918 libolecf1                          	       0       14        0        0       14
35919 libolm-dev                         	       0        6        0        6        0
35920 libolm3                            	       0       37        1        3       33
35921 libomc                             	       0        1        0        0        1
35922 libomcsimulation                   	       0        1        0        1        0
35923 libomemo-c0                        	       0        2        0        1        1
35924 libomemo0                          	       0        8        1        2        5
35925 libomniorb4-2                      	       0        4        0        0        4
35926 libomniorb4-dev                    	       0        1        0        1        0
35927 libomnithread4                     	       0        4        0        0        4
35928 libomnithread4-dev                 	       0        1        0        1        0
35929 libomp-10-dev                      	       0        4        0        4        0
35930 libomp-11-dev                      	       0       91        2       87        2
35931 libomp-11-doc                      	       0        1        0        0        1
35932 libomp-14-dev                      	       0        5        0        5        0
35933 libomp-15-dev                      	       0        1        0        1        0
35934 libomp-16-dev                      	       0        1        0        1        0
35935 libomp-19-doc                      	       0        1        0        0        1
35936 libomp-7-dev                       	       0       16        0       16        0
35937 libomp-8-dev                       	       0        1        0        1        0
35938 libomp-dev                         	       0       12        0        0       12
35939 libomp5                            	       0        3        0        0        3
35940 libomp5-10                         	       0        4        0        4        0
35941 libomp5-11                         	       0       91        2       87        2
35942 libomp5-13                         	       0        1        0        1        0
35943 libomp5-14                         	       0        6        0        6        0
35944 libomp5-15                         	       0        1        0        1        0
35945 libomp5-16t64                      	       0        1        0        1        0
35946 libomp5-7                          	       0       16        0       16        0
35947 libomp5-8                          	       0        2        0        2        0
35948 libompl-dev                        	       0        1        0        1        0
35949 libompl16                          	       0        1        0        0        1
35950 libomplot                          	       0        1        0        0        1
35951 libomsensplugin                    	       0        1        0        0        1
35952 libomsimulator                     	       0        1        0        1        0
35953 libomxil-bellagio-dev              	       0       17        0       17        0
35954 libomxil-bellagio0                 	       0       35        0        0       35
35955 libomxil-bellagio0-components-base 	       0        1        0        0        1
35956 libonig-dev                        	       0       11        0       11        0
35957 libonig2                           	       0       63        1        6       56
35958 libonig4                           	       0       16        0        0       16
35959 libonnx1                           	       0       13        0        0       13
35960 libonnx1t64                        	       0      101        0        0      101
35961 libonnxruntime1.19.2               	       0       85        0        0       85
35962 libonnxruntime1.20.1               	       0       15        0        0       15
35963 libonvif1                          	       0        6        0        0        6
35964 liboobs-1-4                        	       0        2        0        0        2
35965 liboobs-1-5                        	       0      248        0        1      247
35966 liboofem2                          	       0        1        0        0        1
35967 libooolib-perl                     	       0        1        0        1        0
35968 liboop-doc                         	       0        2        0        0        2
35969 liboop4                            	       0        2        1        0        1
35970 liboop4t64                         	       0        1        0        0        1
35971 libooptools-dev                    	       0        1        0        1        0
35972 libopal3.10.10                     	       0        8        0        8        0
35973 libopal3.10.4                      	       0        1        0        1        0
35974 libopal3.6.8                       	       0        1        0        1        0
35975 libopen-trace-format1              	       0        2        0        0        2
35976 libopen4-ruby1.9.1                 	       0        1        0        0        1
35977 libopenal-data                     	       0     3205        0        0     3205
35978 libopenal0a                        	       0        1        0        0        1
35979 libopenal1                         	       0     3203       52      130     3021
35980 libopenaptx-dev                    	       0        1        0        1        0
35981 libopenaptx0                       	       0       55        0        1       54
35982 libopenbabel-dev                   	       0        2        0        2        0
35983 libopenbabel-doc                   	       0        1        0        0        1
35984 libopenbabel4v5                    	       0        3        0        3        0
35985 libopenbabel5                      	       0        3        0        3        0
35986 libopenbabel7                      	       0       60        0        0       60
35987 libopenblas-base                   	       0       15        0        2       13
35988 libopenblas-dev                    	       0      380        0        2      378
35989 libopenblas0                       	       0      414        0        0      414
35990 libopenblas0-pthread               	       0      471        9       49      413
35991 libopenblas0-serial                	       0        1        0        0        1
35992 libopenblas64-0                    	       0        1        0        0        1
35993 libopenblas64-0-pthread            	       0        1        0        0        1
35994 libopenblas64-dev                  	       0        1        0        0        1
35995 libopenblas64-pthread-dev          	       0        1        0        1        0
35996 libopencc-data                     	       0       42        0        0       42
35997 libopencc1.1                       	       0       41        1        5       35
35998 libopencc2                         	       0        2        0        0        2
35999 libopencc2-data                    	       0        2        0        0        2
36000 libopencdk8                        	       0        4        0        0        4
36001 libopencdk8-dev                    	       0        1        0        1        0
36002 libopencensus-java                 	       0        4        0        0        4
36003 libopencl-clang-15-dev             	       0        1        0        1        0
36004 libopencl-clang-dev                	       0        1        0        1        0
36005 libopencl-clang11                  	       0        3        0        0        3
36006 libopencl-clang14                  	       0       13        0        0       13
36007 libopencl-clang15                  	       0        1        0        0        1
36008 libopencolorio1                    	       0        1        0        0        1
36009 libopencolorio1v5                  	       0       78        0        0       78
36010 libopencolorio2.1                  	       0      201        0        1      200
36011 libopencolorio2.1t64               	       0       12        0        0       12
36012 libopenconnect-dev                 	       0        3        0        3        0
36013 libopenconnect1                    	       0        1        0        0        1
36014 libopenconnect3                    	       0        1        0        0        1
36015 libopencore-amrnb-dev              	       0       23        0       23        0
36016 libopencore-amrnb0                 	       0     3228       27      125     3076
36017 libopencore-amrwb-dev              	       0       23        0       23        0
36018 libopencore-amrwb0                 	       0     3230       27      119     3084
36019 libopencryptoki-dev                	       0        1        0        1        0
36020 libopencryptoki0                   	       0        6        0        1        5
36021 libopencsd0                        	       0       19        0        0       19
36022 libopencsd1                        	       0       58        0        0       58
36023 libopencsg-dev                     	       0        5        0        5        0
36024 libopencsg1                        	       0       80        0        3       77
36025 libopenct1                         	       0        2        0        0        2
36026 libopenct1-dev                     	       0        1        0        1        0
36027 libopenctm-dev                     	       0        1        0        1        0
36028 libopenctm1                        	       0       31        0        0       31
36029 libopencv-apps2d                   	       0        1        0        0        1
36030 libopencv-calib3d2.4               	       0        2        0        0        2
36031 libopencv-calib3d2.4v5             	       0       46        0        0       46
36032 libopencv-calib3d3.2               	       0       12        0        0       12
36033 libopencv-calib3d4.5               	       0       15        0        0       15
36034 libopencv-calib3d406               	       0      212        0        0      212
36035 libopencv-calib3d406t64            	       0       36        0        1       35
36036 libopencv-calib3d410               	       0        5        1        0        4
36037 libopencv-contrib-dev              	       0       46        0        0       46
36038 libopencv-contrib2.4               	       0        2        0        0        2
36039 libopencv-contrib2.4v5             	       0       16        0        0       16
36040 libopencv-contrib3.2               	       0       12        0        0       12
36041 libopencv-contrib4.5               	       0       14        0        0       14
36042 libopencv-contrib406               	       0      180        0        0      180
36043 libopencv-contrib406t64            	       0       28        0        1       27
36044 libopencv-contrib410               	       0        4        0        0        4
36045 libopencv-core2.3                  	       0       11        0        0       11
36046 libopencv-core2.4                  	       0       10        0        0       10
36047 libopencv-core2.4v5                	       0       99        0        0       99
36048 libopencv-core3.2                  	       0       37        0        0       37
36049 libopencv-core4.1                  	       0        1        0        0        1
36050 libopencv-core4.2                  	       0        1        0        0        1
36051 libopencv-core4.5                  	       0       58        0        0       58
36052 libopencv-core4.5d                 	       0        1        0        0        1
36053 libopencv-core406                  	       0      362        0        4      358
36054 libopencv-core406t64               	       0       53        0        1       52
36055 libopencv-core410                  	       0        6        1        0        5
36056 libopencv-dnn-dev                  	       0       46        0        0       46
36057 libopencv-dnn4.5                   	       0       20        0        0       20
36058 libopencv-dnn406                   	       0      237        0        1      236
36059 libopencv-dnn406t64                	       0       35        0        1       34
36060 libopencv-dnn410                   	       0        5        1        0        4
36061 libopencv-features2d2.4            	       0        2        0        0        2
36062 libopencv-features2d2.4v5          	       0       46        0        0       46
36063 libopencv-features2d3.2            	       0       12        0        0       12
36064 libopencv-features2d4.5            	       0       15        0        0       15
36065 libopencv-features2d406            	       0      212        0        0      212
36066 libopencv-features2d406t64         	       0       36        0        1       35
36067 libopencv-features2d410            	       0        5        1        0        4
36068 libopencv-flann2.4                 	       0        2        0        0        2
36069 libopencv-flann2.4v5               	       0       47        0        0       47
36070 libopencv-flann3.2                 	       0       13        0        0       13
36071 libopencv-flann4.5                 	       0       15        0        0       15
36072 libopencv-flann406                 	       0      212        0        0      212
36073 libopencv-flann406t64              	       0       36        0        1       35
36074 libopencv-flann410                 	       0        5        1        0        4
36075 libopencv-gpu-dev                  	       0        1        0        0        1
36076 libopencv-gpu2.3                   	       0        1        0        0        1
36077 libopencv-gpu2.4v5                 	       0       12        0        0       12
36078 libopencv-highgui2.4               	       0        2        0        0        2
36079 libopencv-highgui2.4-deb0          	       0       49        0        0       49
36080 libopencv-highgui3.2               	       0       14        0        0       14
36081 libopencv-highgui4.5               	       0       14        0        0       14
36082 libopencv-highgui406               	       0      181        0        0      181
36083 libopencv-highgui406t64            	       0       28        0        0       28
36084 libopencv-highgui410               	       0        4        0        0        4
36085 libopencv-imgcodecs3.2             	       0       28        0        0       28
36086 libopencv-imgcodecs4.1             	       0        1        0        0        1
36087 libopencv-imgcodecs4.5             	       0       57        0        0       57
36088 libopencv-imgcodecs406             	       0      344        0        3      341
36089 libopencv-imgcodecs406t64          	       0       39        0        0       39
36090 libopencv-imgcodecs410             	       0        5        0        0        5
36091 libopencv-imgproc2.3               	       0        5        0        0        5
36092 libopencv-imgproc2.4               	       0       10        0        0       10
36093 libopencv-imgproc2.4v5             	       0       98        0        0       98
36094 libopencv-imgproc3.2               	       0       37        0        0       37
36095 libopencv-imgproc4.1               	       0        1        0        0        1
36096 libopencv-imgproc4.2               	       0        1        0        0        1
36097 libopencv-imgproc4.5               	       0       58        0        0       58
36098 libopencv-imgproc4.5d              	       0        1        0        0        1
36099 libopencv-imgproc406               	       0      362        0        4      358
36100 libopencv-imgproc406t64            	       0       53        0        1       52
36101 libopencv-imgproc410               	       0        6        1        0        5
36102 libopencv-java                     	       0       29        0        0       29
36103 libopencv-legacy-dev               	       0        1        0        0        1
36104 libopencv-legacy2.4                	       0        2        0        0        2
36105 libopencv-legacy2.4v5              	       0       13        0        0       13
36106 libopencv-ml-dev                   	       0       49        0        0       49
36107 libopencv-ml2.4                    	       0        2        0        0        2
36108 libopencv-ml2.4v5                  	       0       16        0        0       16
36109 libopencv-ml3.2                    	       0       15        0        0       15
36110 libopencv-ml4.5                    	       0       14        0        0       14
36111 libopencv-ml406                    	       0      209        0        1      208
36112 libopencv-ml406t64                 	       0       32        0        0       32
36113 libopencv-ml410                    	       0        5        0        0        5
36114 libopencv-objdetect-dev            	       0       46        0        0       46
36115 libopencv-objdetect2.4             	       0        2        0        0        2
36116 libopencv-objdetect2.4v5           	       0       46        0        0       46
36117 libopencv-objdetect3.2             	       0       14        0        0       14
36118 libopencv-objdetect4.5             	       0       15        0        0       15
36119 libopencv-objdetect406             	       0      211        0        0      211
36120 libopencv-objdetect406t64          	       0       35        0        1       34
36121 libopencv-objdetect410             	       0        5        1        0        4
36122 libopencv-ocl-dev                  	       0        1        0        0        1
36123 libopencv-ocl2.4v5                 	       0       12        0        0       12
36124 libopencv-photo2.4                 	       0        2        0        0        2
36125 libopencv-photo2.4v5               	       0       13        0        0       13
36126 libopencv-photo3.2                 	       0       13        0        0       13
36127 libopencv-photo4.5                 	       0       13        0        0       13
36128 libopencv-photo406                 	       0       68        0        0       68
36129 libopencv-photo406t64              	       0       13        0        0       13
36130 libopencv-photo410                 	       0        2        0        0        2
36131 libopencv-shape-dev                	       0       46        0        0       46
36132 libopencv-shape3.2                 	       0       13        0        0       13
36133 libopencv-shape4.5                 	       0       13        0        0       13
36134 libopencv-shape406                 	       0       68        0        0       68
36135 libopencv-shape406t64              	       0       12        0        0       12
36136 libopencv-shape410                 	       0        2        0        0        2
36137 libopencv-stitching-dev            	       0       46        0        0       46
36138 libopencv-stitching2.4v5           	       0       12        0        0       12
36139 libopencv-stitching3.2             	       0       12        0        0       12
36140 libopencv-stitching4.5             	       0       13        0        0       13
36141 libopencv-stitching406             	       0       67        0        0       67
36142 libopencv-stitching406t64          	       0       12        0        0       12
36143 libopencv-stitching410             	       0        2        0        0        2
36144 libopencv-superres-dev             	       0       46        0        0       46
36145 libopencv-superres2.4v5            	       0       12        0        0       12
36146 libopencv-superres3.2              	       0       12        0        0       12
36147 libopencv-superres4.5              	       0        6        0        0        6
36148 libopencv-superres406              	       0       32        0        0       32
36149 libopencv-superres406t64           	       0        7        0        0        7
36150 libopencv-superres410              	       0        1        0        0        1
36151 libopencv-ts-dev                   	       0        1        0        1        0
36152 libopencv-ts2.4v5                  	       0       12        0        0       12
36153 libopencv-video2.4                 	       0        2        0        0        2
36154 libopencv-video2.4v5               	       0       47        0        0       47
36155 libopencv-video3.2                 	       0       14        0        0       14
36156 libopencv-video4.5                 	       0       14        0        0       14
36157 libopencv-video406                 	       0      210        0        0      210
36158 libopencv-video406t64              	       0       32        0        1       31
36159 libopencv-video410                 	       0        4        0        0        4
36160 libopencv-videoio3.2               	       0       26        0        0       26
36161 libopencv-videoio4.5               	       0       51        0        0       51
36162 libopencv-videoio406               	       0      256        0        1      255
36163 libopencv-videoio406t64            	       0       28        0        0       28
36164 libopencv-videoio410               	       0        2        0        0        2
36165 libopencv-videostab-dev            	       0       47        0        0       47
36166 libopencv-videostab2.4v5           	       0       12        0        0       12
36167 libopencv-videostab3.2             	       0       12        0        0       12
36168 libopencv-videostab4.5             	       0        6        0        0        6
36169 libopencv-videostab406             	       0       31        0        0       31
36170 libopencv-videostab406t64          	       0        8        0        0        8
36171 libopencv-videostab410             	       0        1        0        0        1
36172 libopencv-viz-dev                  	       0       45        0        0       45
36173 libopencv-viz3.2                   	       0       12        0        0       12
36174 libopencv-viz4.5                   	       0        6        0        0        6
36175 libopencv-viz406                   	       0       67        0        0       67
36176 libopencv-viz406t64                	       0       11        0        0       11
36177 libopencv-viz410                   	       0        2        0        0        2
36178 libopencv2.4-java                  	       0        1        0        0        1
36179 libopencv2.4-jni                   	       0        2        0        2        0
36180 libopencv4.5-java                  	       0        6        0        0        6
36181 libopencv4.5-jni                   	       0        6        0        6        0
36182 libopendbx1                        	       0       39       10       18       11
36183 libopendbx1-dev                    	       0        3        0        3        0
36184 libopendbx1-mysql                  	       0        4        0        4        0
36185 libopendbx1-pgsql                  	       0        2        0        2        0
36186 libopendbx1-sqlite3                	       0       34        0       34        0
36187 libopendbx1t64                     	       0        3        0        3        0
36188 libopendht-c-dev                   	       0        1        0        1        0
36189 libopendht-c3t64                   	       0        1        0        0        1
36190 libopendht-dev                     	       0        1        0        1        0
36191 libopendht2                        	       0       13        0        0       13
36192 libopendht3t64                     	       0        3        0        0        3
36193 libopendkim-dev                    	       0        1        0        1        0
36194 libopendkim11                      	       0       39       10       21        8
36195 libopendmarc2                      	       0        2        0        2        0
36196 libopendmarc2t64                   	       0        1        0        1        0
36197 libopenems0                        	       0        6        0        0        6
36198 libopenexr22                       	       0      126        0        0      126
36199 libopenexr24                       	       0        2        0        0        2
36200 libopenexr2c2a                     	       0        1        0        0        1
36201 libopenexr6                        	       0       45        0        1       44
36202 libopenfec-dev                     	       0        1        0        1        0
36203 libopenfec1                        	       0      113        0        0      113
36204 libopenfoam                        	       0        2        0        2        0
36205 libopengl-dev                      	       0      743        0        0      743
36206 libopengl-image-perl               	       0        2        0        2        0
36207 libopengl-perl                     	       0       74        0        0       74
36208 libopengl-xscreensaver-perl        	       0       41        0        0       41
36209 libopengl0-glvnd-nvidia            	       0        1        0        0        1
36210 libopenh264-1                      	       0        2        0        0        2
36211 libopenh264-2                      	       0       10        0        0       10
36212 libopenh264-4                      	       0        3        0        0        3
36213 libopenh264-5                      	       0       11        0        2        9
36214 libopenh264-6                      	       0       32        2       16       14
36215 libopenh264-8                      	       0       16        3        0       13
36216 libopenh264-dev                    	       0        5        0        5        0
36217 libopenhmd-dev                     	       0        1        0        1        0
36218 libopenhmd0                        	       0       28        0        0       28
36219 libopenhpi2                        	       0        2        0        2        0
36220 libopenhpi3                        	       0        6        0        6        0
36221 libopenigtlink-dev                 	       0        1        0        1        0
36222 libopenigtlink1.11                 	       0        2        0        0        2
36223 libopenimageio-dev                 	       0        4        0        4        0
36224 libopenimageio-doc                 	       0        5        0        0        5
36225 libopenimageio1.6                  	       0        8        0        0        8
36226 libopenimageio2.0                  	       0       13        0        0       13
36227 libopenimageio2.2                  	       0       32        0        0       32
36228 libopenimageio2.3                  	       0        1        0        0        1
36229 libopenimageio2.4                  	       0      120        0        1      119
36230 libopenimageio2.4t64               	       0        2        0        0        2
36231 libopenimageio2.5                  	       0       10        0        0       10
36232 libopenipmi-dev                    	       0        1        0        1        0
36233 libopenipmi0                       	       0      119        0        4      115
36234 libopenipmi0t64                    	       0        3        0        0        3
36235 libopeniscsiusr                    	       0       44        8       12       24
36236 libopenjfx-java                    	       0      127        0        0      127
36237 libopenjfx-java-doc                	       0        1        0        0        1
36238 libopenjfx-jni                     	       0      127        1        0      126
36239 libopenjp2-tools                   	       0        3        0        3        0
36240 libopenjp3d-tools                  	       0        1        0        1        0
36241 libopenjp3d7                       	       0        1        0        0        1
36242 libopenjpeg-dev                    	       0        3        0        3        0
36243 libopenjpeg2                       	       0       28        0        0       28
36244 libopenjpeg5                       	       0      103        0        2      101
36245 libopenjpip7                       	       0        1        0        0        1
36246 libopenlibm2                       	       0        3        0        0        3
36247 libopenlibm3                       	       0       14        0        0       14
36248 libopenmpi-dev                     	       0      125        0        3      122
36249 libopenmpi1.3                      	       0        1        0        1        0
36250 libopenmpi1.6                      	       0        2        0        2        0
36251 libopenmpi2                        	       0        9        0        0        9
36252 libopenmpi3                        	       0      292        0        1      291
36253 libopenmpi3-dbgsym                 	       0        1        0        1        0
36254 libopenmpi3t64                     	       0       19        0        0       19
36255 libopenmpi40                       	       0       20        0        0       20
36256 libopenmpt-dev                     	       0       15        0       15        0
36257 libopenmpt-modplug-dev             	       0        5        0        5        0
36258 libopenmpt-modplug1                	       0     1620        3       12     1605
36259 libopenmpt0t64                     	       0      238       13       34      191
36260 libopenni-dev                      	       0        3        0        3        0
36261 libopenni-java                     	       0        1        0        0        1
36262 libopenni-sensor-pointclouds-dev   	       0        1        0        0        1
36263 libopenni-sensor-pointclouds0      	       0        4        0        4        0
36264 libopenni0                         	       0        5        0        0        5
36265 libopenni2-dev                     	       0        6        0        6        0
36266 libopenobex1                       	       0        4        0        2        2
36267 libopenobex2                       	       0       38        2       36        0
36268 libopenobex2-dev                   	       0        2        0        2        0
36269 libopenoffice-oodoc-perl           	       0        8        0        8        0
36270 libopenr2-3                        	       0        2        1        1        0
36271 libopenraw-dev                     	       0        1        0        1        0
36272 libopenraw1                        	       0       26        0        0       26
36273 libopenraw1v5                      	       0        1        0        0        1
36274 libopenraw7                        	       0       31        0        2       29
36275 libopenraw7t64                     	       0        3        0        0        3
36276 libopenrawgnome1v5                 	       0        1        0        0        1
36277 libopenrawgnome7                   	       0       30        0        2       28
36278 libopenrawgnome7t64                	       0        3        0        0        3
36279 libopenrazer0                      	       0        1        0        0        1
36280 libopenrefine-arithcode-java       	       0        2        0        0        2
36281 libopenrefine-butterfly-java       	       0        2        0        0        2
36282 libopenrefine-opencsv-java         	       0        2        0        0        2
36283 libopenrefine-vicino-java          	       0        2        0        0        2
36284 libopensc2                         	       0        1        0        1        0
36285 libopenscap25                      	       0        1        0        0        1
36286 libopenscenegraph-3.4-131          	       0        1        0        0        1
36287 libopenscenegraph-dev              	       0       11        0        0       11
36288 libopenscenegraph100v5             	       0        1        0        0        1
36289 libopenscenegraph161               	       0      164        0        0      164
36290 libopenshot-audio10                	       0        2        0        0        2
36291 libopenshot-audio6                 	       0        9        0        0        9
36292 libopenshot-audio7                 	       0       27        0        0       27
36293 libopenshot-audio8                 	       0       58        0        0       58
36294 libopenshot-audio9t64              	       0        4        0        0        4
36295 libopenshot-doc                    	       0        1        0        0        1
36296 libopenshot16                      	       0        9        0        0        9
36297 libopenshot19                      	       0       24        0        0       24
36298 libopenshot21                      	       0       57        0        0       57
36299 libopenshot25t64                   	       0        4        0        0        4
36300 libopenshot27                      	       0        2        0        0        2
36301 libopenslide0                      	       0       22        1        0       21
36302 libopensm9                         	       0        4        1        0        3
36303 libopensmtpd0                      	       0        1        0        1        0
36304 libopenspecfun1                    	       0        1        0        0        1
36305 libopenssl-ruby                    	       0        1        0        0        1
36306 libopenssl0.9.8                    	       0        1        0        1        0
36307 libopensync0                       	       0       12        0       12        0
36308 libopentest4j-java                 	       0        7        0        0        7
36309 libopentest4j-reporting-java       	       0        7        0        0        7
36310 libopenthreads-dev                 	       0       13        0        0       13
36311 libopenthreads20                   	       0        5        0        0        5
36312 libopenthreads21                   	       0      165        0        0      165
36313 libopentk-cil-dev                  	       0        1        0        1        0
36314 libopentk1.1-cil                   	       0        3        0        3        0
36315 libopentracing-c-wrapper0          	       0        9        4        5        0
36316 libopentracing1                    	       0        9        4        5        0
36317 libopenturns-dev                   	       0        1        0        1        0
36318 libopenturns0.21                   	       0        3        0        0        3
36319 libopenvas9                        	       0        1        0        0        1
36320 libopenvdb-dev                     	       0        4        0        4        0
36321 libopenvdb-tools                   	       0        1        0        1        0
36322 libopenvdb10.0                     	       0      126        0        3      123
36323 libopenvdb10.0t64                  	       0       13        0        0       13
36324 libopenvdb3.2                      	       0        8        0        0        8
36325 libopenvdb5.2                      	       0       13        0        0       13
36326 libopenvdb7.1                      	       0       33        0        0       33
36327 libopenvdb9.1                      	       0        2        0        0        2
36328 libopenvg1-mesa                    	       0       36        0        0       36
36329 libopenvg1-mesa-dev                	       0        1        0        1        0
36330 libopenvlbi-doc                    	       0        1        0        0        1
36331 libopenvr-api1                     	       0        2        0        0        2
36332 libopenvr-dev                      	       0        2        0        2        0
36333 libopenxr-dev                      	       0        3        0        3        0
36334 libopenxr-loader1                  	       0       13        0        0       13
36335 libopenxr-utils                    	       0        1        0        1        0
36336 liboping-dev                       	       0        1        0        1        0
36337 liboping0                          	       0       23        0        1       22
36338 libopm-models-doc                  	       0        1        0        0        1
36339 libopm-simulators-doc              	       0        1        0        0        1
36340 libopts25                          	       0      550       50      177      323
36341 libopus-doc                        	       0        2        0        0        2
36342 libopus-ocaml                      	       0        2        0        2        0
36343 libopus-ocaml-dev                  	       0        1        0        1        0
36344 libopusenc0                        	       0       76        1        0       75
36345 libopusfile-dbg                    	       0        1        0        1        0
36346 libopusfile-dev                    	       0       42        0       42        0
36347 libopusfile-doc                    	       0        2        0        0        2
36348 libopusfile0                       	       0     1472        8       11     1453
36349 liborange0                         	       0        2        0        0        2
36350 liborbit-2-0                       	       0      175        0        0      175
36351 liborbit0ldbl                      	       0        1        0        0        1
36352 liborbit2                          	       0       35        0        0       35
36353 liborbit2-dev                      	       0        6        0        6        0
36354 liborc-0.4-doc                     	       0        1        0        0        1
36355 liborcania2.1                      	       0        2        0        0        2
36356 liborcania2.3                      	       0        5        0        0        5
36357 liborcus-0.10-0v5                  	       0        1        0        0        1
36358 liborcus-0.11-0                    	       0       77        0        0       77
36359 liborcus-0.14-0                    	       0      157        0        0      157
36360 liborcus-0.15-0                    	       0        2        0        0        2
36361 liborcus-0.16-0                    	       0      460        3       22      435
36362 liborcus-0.18-0                    	       0      191        3        3      185
36363 liborcus-0.6-0                     	       0        1        0        0        1
36364 liborcus-0.8-0                     	       0       20        0        0       20
36365 liborcus-bin                       	       0        1        0        1        0
36366 liborcus-dev                       	       0        2        0        0        2
36367 liborcus-doc                       	       0        1        0        0        1
36368 liborcus-mso-0.17-0                	       0        1        0        0        1
36369 liborcus-mso-0.18-0                	       0        1        0        0        1
36370 liborcus-parser-0.15-0             	       0        2        0        0        2
36371 liborcus-parser-0.16-0             	       0      460        3       22      435
36372 liborcus-parser-0.18-0             	       0      191        3        3      185
36373 liborcus-spreadsheet-model-0.14-0  	       0        1        0        0        1
36374 liborcus-spreadsheet-model-0.17-0  	       0        1        0        0        1
36375 liborcus-spreadsheet-model-0.18-0  	       0        1        0        0        1
36376 libordered-clojure                 	       0        1        0        0        1
36377 liborigin2-1v5                     	       0        2        0        0        2
36378 liborlite-migrate-perl             	       0        1        0        1        0
36379 liborlite-mirror-perl              	       0        1        0        1        0
36380 liborlite-perl                     	       0        2        0        2        0
36381 liboro-java                        	       0       36        0        0       36
36382 liboro-java-doc                    	       0        1        0        0        1
36383 liborocos-kdl-dev                  	       0        2        0        2        0
36384 liborocos-kdl1.5                   	       0        2        0        0        2
36385 libortp-dev                        	       0        4        0        4        0
36386 libortp13                          	       0       15        0        0       15
36387 libortp15                          	       0        6        0        2        4
36388 libortp16                          	       0       28        1        0       27
36389 libortp5                           	       0        1        0        0        1
36390 libortp7                           	       0        1        0        0        1
36391 libortp8                           	       0        1        0        0        1
36392 libortp9                           	       0       17        0        0       17
36393 liboscache-java                    	       0        1        0        0        1
36394 liboscpack-dev                     	       0        1        0        1        0
36395 liboscpack1                        	       0        5        0        0        5
36396 libosd-dev                         	       0        1        0        1        0
36397 libosdcpu3.4.3                     	       0       32        0        0       32
36398 libosdcpu3.5.0                     	       0      117        0        1      116
36399 libosdcpu3.5.0t64                  	       0        2        0        0        2
36400 libosdcpu3.6.0                     	       0       10        0        0       10
36401 libosdgpu3.4.3                     	       0       32        0        0       32
36402 libosdgpu3.5.0                     	       0      117        0        1      116
36403 libosdgpu3.5.0t64                  	       0        2        0        0        2
36404 libosdgpu3.6.0                     	       0       10        0        0       10
36405 libosgi-annotation-java            	       0       79        0        0       79
36406 libosgi-annotation-java-doc        	       0        7        0        0        7
36407 libosgi-compendium-java            	       0       79        0        0       79
36408 libosgi-compendium-java-doc        	       0        7        0        0        7
36409 libosgi-core-java                  	       0       79        0        0       79
36410 libosgi-core-java-doc              	       0        7        0        0        7
36411 libosgi-foundation-ee-java         	       0        6        0        0        6
36412 libosinfo-1.0-0                    	       0      595        8       13      574
36413 libosinfo-1.0-dev                  	       0        2        0        2        0
36414 libosinfo-l10n                     	       0      441        2        3      436
36415 libosip2-11                        	       0        4        0        0        4
36416 libosip2-15                        	       0        1        0        0        1
36417 libosip2-3deb                      	       0        1        0        0        1
36418 libosip2-4                         	       0        1        0        0        1
36419 libosip2-7                         	       0        2        0        0        2
36420 libosip2-dev                       	       0        1        0        1        0
36421 libosl1v5                          	       0        2        0        0        2
36422 libosmcomp5                        	       0        4        1        0        3
36423 libosmesa6                         	       0      580        0        1      579
36424 libosmgpsmap-1.0-1                 	       0      162        0        0      162
36425 libosmgpsmap-1.0-dev               	       0        3        0        3        0
36426 libosmgpsmap2                      	       0        1        0        0        1
36427 libosmium2-dev                     	       0        2        0        0        2
36428 libosmo-fl2k0                      	       0        3        0        0        3
36429 libosmocodec0                      	       0        2        0        0        2
36430 libosmocodec4                      	       0        1        0        0        1
36431 libosmocoding0                     	       0        3        0        0        3
36432 libosmocore19                      	       0        2        0        0        2
36433 libosmocore22                      	       0        1        0        0        1
36434 libosmogsm18                       	       0        2        0        0        2
36435 libosmogsm20                       	       0        1        0        0        1
36436 libosmoisdn0                       	       0        1        0        0        1
36437 libosmosccp0                       	       0        1        0        0        1
36438 libosmosdr-dev                     	       0        1        0        1        0
36439 libosmpbf-dev                      	       0        1        0        1        0
36440 libosmpbf-java                     	       0       11        0        0       11
36441 libosmpbf1                         	       0        2        0        0        2
36442 libosmvendor5                      	       0        4        1        0        3
36443 libosp-dev                         	       0        6        0        6        0
36444 libosp5                            	       0      331        1        5      325
36445 libosptk-dev                       	       0        1        0        1        0
36446 libosptk4                          	       0        1        0        0        1
36447 libossim1                          	       0        3        0        0        3
36448 libossp-uuid-dev                   	       0        5        0        5        0
36449 libossp-uuid-perl                  	       0      160        0        3      157
36450 libossp-uuid15                     	       0        1        0        0        1
36451 libossp-uuid16                     	       0      222        0        3      219
36452 libostree-dev                      	       0        5        0        5        0
36453 libostyle1c2                       	       0      163        0        0      163
36454 libostyle1t64                      	       0       24        0        0       24
36455 libotb                             	       0        2        0        0        2
36456 libotb-apps                        	       0        2        0        0        2
36457 libotb-dev                         	       0        2        0        2        0
36458 libotbapplicationengine-7.2-1      	       0        1        0        0        1
36459 libotbapplicationengine-8.1-1      	       0        3        0        0        3
36460 libotbcarto-8.1-1                  	       0        2        0        0        2
36461 libotbcommandline-8.1-1            	       0        2        0        0        2
36462 libotbcommon-7.2-1                 	       0        1        0        0        1
36463 libotbcommon-8.1-1                 	       0        3        0        0        3
36464 libotbcurladapters-8.1-1           	       0        2        0        0        2
36465 libotbextendedfilename-7.2-1       	       0        1        0        0        1
36466 libotbextendedfilename-8.1-1       	       0        3        0        0        3
36467 libotbfuzzy-8.1-1                  	       0        2        0        0        2
36468 libotbgdaladapters-7.2-1           	       0        1        0        0        1
36469 libotbgdaladapters-8.1-1           	       0        3        0        0        3
36470 libotbice-8.1-1                    	       0        2        0        0        2
36471 libotbimagebase-7.2-1              	       0        1        0        0        1
36472 libotbimagebase-8.1-1              	       0        3        0        0        3
36473 libotbimageio-7.2-1                	       0        1        0        0        1
36474 libotbimageio-8.1-1                	       0        3        0        0        3
36475 libotbimagemanipulation-8.1-1      	       0        2        0        0        2
36476 libotbiobsq-7.2-1                  	       0        1        0        0        1
36477 libotbiobsq-8.1-1                  	       0        3        0        0        3
36478 libotbiogdal-7.2-1                 	       0        1        0        0        1
36479 libotbiogdal-8.1-1                 	       0        3        0        0        3
36480 libotbiokml-8.1-1                  	       0        2        0        0        2
36481 libotbiolum-7.2-1                  	       0        1        0        0        1
36482 libotbiolum-8.1-1                  	       0        3        0        0        3
36483 libotbiomstar-7.2-1                	       0        1        0        0        1
36484 libotbiomstar-8.1-1                	       0        3        0        0        3
36485 libotbioonera-7.2-1                	       0        1        0        0        1
36486 libotbioonera-8.1-1                	       0        3        0        0        3
36487 libotbiorad-7.2-1                  	       0        1        0        0        1
36488 libotbiorad-8.1-1                  	       0        3        0        0        3
36489 libotblearningbase-8.1-1           	       0        2        0        0        2
36490 libotbmapla-8.1-1                  	       0        2        0        0        2
36491 libotbmathparser-8.1-1             	       0        2        0        0        2
36492 libotbmathparserx-8.1-1            	       0        2        0        0        2
36493 libotbmetadata-7.2-1               	       0        1        0        0        1
36494 libotbmetadata-8.1-1               	       0        3        0        0        3
36495 libotbmonteverdi-8.1-1             	       0        2        0        0        2
36496 libotbmonteverdicore-8.1-1         	       0        2        0        0        2
36497 libotbmonteverdigui-8.1-1          	       0        2        0        0        2
36498 libotbossimadapters-7.2-1          	       0        1        0        0        1
36499 libotbossimplugins-6.6-1           	       0        1        0        0        1
36500 libotbossimplugins-7.2-1           	       0        1        0        0        1
36501 libotbpolarimetry-8.1-1            	       0        2        0        0        2
36502 libotbprojection-8.1-1             	       0        2        0        0        2
36503 libotbqtadapters-8.1-1             	       0        2        0        0        2
36504 libotbqtwidget-8.1-1               	       0        2        0        0        2
36505 libotbsampling-8.1-1               	       0        2        0        0        2
36506 libotbsiftfast-8.1-1               	       0        2        0        0        2
36507 libotbstatistics-8.1-1             	       0        2        0        0        2
36508 libotbstreaming-7.2-1              	       0        1        0        0        1
36509 libotbstreaming-8.1-1              	       0        3        0        0        3
36510 libotbsupervised-8.1-1             	       0        2        0        0        2
36511 libotbtestkernel-8.1-1             	       0        3        0        0        3
36512 libotbtransform-6.6-1              	       0        1        0        0        1
36513 libotbtransform-8.1-1              	       0        3        0        0        3
36514 libotbvectordatabase-7.2-1         	       0        1        0        0        1
36515 libotbvectordatabase-8.1-1         	       0        3        0        0        3
36516 libotbvectordataio-7.2-1           	       0        1        0        0        1
36517 libotbvectordataio-8.1-1           	       0        3        0        0        3
36518 libotbwavelet-8.1-1                	       0        2        0        0        2
36519 libotcl1                           	       0        2        0        0        2
36520 libotf-bin                         	       0        5        0        5        0
36521 libotf-dev                         	       0       10        0       10        0
36522 libotf0                            	       0      110        2        4      104
36523 libotf1                            	       0      312       16       57      239
36524 libotp0-heimdal                    	       0       21        0        0       21
36525 libotp0t64-heimdal                 	       0        1        0        0        1
36526 libotr5                            	       0     1520       10       15     1495
36527 libotr5-bin                        	       0        8        0        8        0
36528 libotr5-dev                        	       0        2        0        2        0
36529 libotr5t64                         	       0      118        0        7      111
36530 libots-dev                         	       0        2        0        2        0
36531 libots0                            	       0      100        0       10       90
36532 libounit-ocaml-dev                 	       0        1        0        1        0
36533 libout123-0                        	       0      240        0        0      240
36534 libout123-0t64                     	       0       30        0        0       30
36535 liboverload-filecheck-perl         	       0        1        0        0        1
36536 libow-3.1-5                        	       0        1        0        0        1
36537 libow-3.2-3                        	       0        5        0        0        5
36538 libow-3.2-4                        	       0        7        0        3        4
36539 libow-3.2-4t64                     	       0        1        0        0        1
36540 libowasp-antisamy-java             	       0        2        0        0        2
36541 libowasp-encoder-java              	       0        2        0        0        2
36542 libowasp-esapi-java                	       0        2        0        0        2
36543 libowcapi-3.1-5                    	       0        1        0        0        1
36544 libowcapi-3.2-3                    	       0        4        0        0        4
36545 libowcapi-3.2-4                    	       0        3        0        0        3
36546 libowcapi-3.2-4t64                 	       0        1        0        0        1
36547 libowfat-dev                       	       0        1        0        1        0
36548 libowfat0                          	       0        2        0        0        2
36549 libowfat0t64                       	       0        1        0        0        1
36550 libowncloudsync0                   	       0       12        1        1       10
36551 libowncloudsync0t64                	       0        1        0        0        1
36552 libowrx-connector                  	       0        1        0        0        1
36553 liboxygenstyle5-6                  	       0        4        0        1        3
36554 liboxygenstyle6-6                  	       0       21        0        3       18
36555 liboxygenstyleconfig5-5            	       0      533        1        5      527
36556 liboxygenstyleconfig6-6            	       0       21        0        0       21
36557 libp11-2                           	       0        3        0        0        3
36558 libp11-3                           	       0        3        0        0        3
36559 libp11-dev                         	       0        3        0        3        0
36560 libp4est-2.2                       	       0        1        0        0        1
36561 libp4est-2.3                       	       0        1        0        0        1
36562 libp4est-dev                       	       0        1        0        1        0
36563 libp4est-sc-2.2                    	       0        1        0        0        1
36564 libp4est-sc-2.3                    	       0        1        0        0        1
36565 libp8-platform-dev                 	       0        2        0        2        0
36566 libp8-platform2                    	       0      108        2       12       94
36567 libpacemaker1                      	       0        2        0        2        0
36568 libpackage-deprecationmanager-perl 	       0      121        3      118        0
36569 libpackage-new-perl                	       0        4        0        4        0
36570 libpackage-stash-xs-perl           	       0     1093        4        5     1084
36571 libpackage-variant-perl            	       0        7        1        6        0
36572 libpackagekit-glib2-14             	       0        2        0        0        2
36573 libpackagekit-glib2-16             	       0        2        0        0        2
36574 libpackagekit-glib2-dev            	       0        2        0        2        0
36575 libpackagekitqt5-0                 	       0       12        0        0       12
36576 libpackagekitqt5-dev               	       0        4        0        4        0
36577 libpackagekitqt6-dev               	       0        1        0        1        0
36578 libpacket-ruby1.9.1                	       0        2        0        0        2
36579 libpacketdump3                     	       0        1        0        1        0
36580 libpadre-plugin-autoformat-perl    	       0        1        0        1        0
36581 libpadre-plugin-datawalker-perl    	       0        1        0        1        0
36582 libpadre-plugin-git-perl           	       0        1        0        1        0
36583 libpadre-plugin-moose-perl         	       0        1        0        1        0
36584 libpadre-plugin-parsertool-perl    	       0        1        0        1        0
36585 libpadre-plugin-pdl-perl           	       0        1        0        1        0
36586 libpadre-plugin-perlcritic-perl    	       0        1        0        1        0
36587 libpadre-plugin-perltidy-perl      	       0        1        0        1        0
36588 libpadre-plugin-snippet-perl       	       0        1        0        1        0
36589 libpadre-plugin-spellcheck-perl    	       0        1        0        1        0
36590 libpadre-plugin-svn-perl           	       0        1        0        1        0
36591 libpadre-plugin-yaml-perl          	       0        1        0        1        0
36592 libpadwalker-perl                  	       0      585        0        0      585
36593 libpagmo8                          	       0        2        0        0        2
36594 libpagmo9                          	       0        1        0        0        1
36595 libpaho-mqtt-dev                   	       0        1        0        1        0
36596 libpaho-mqtt1.3                    	       0        4        0        0        4
36597 libpal-java                        	       0        1        0        0        1
36598 libpalm-pdb-perl                   	       0        7        0        7        0
36599 libpalm-perl                       	       0        7        0        7        0
36600 libpam-afs-session                 	       0        2        1        1        0
36601 libpam-apparmor                    	       0        1        0        1        0
36602 libpam-blue                        	       0        3        0        3        0
36603 libpam-cap                         	       0      673      113      325      235
36604 libpam-ccreds                      	       0        1        0        1        0
36605 libpam-cgfs                        	       0       73       18       34       21
36606 libpam-cgroup                      	       0        6        0        0        6
36607 libpam-chksshpwd                   	       0        1        0        1        0
36608 libpam-chroot                      	       0        1        0        0        1
36609 libpam-ck-connector                	       0       93        5       17       71
36610 libpam-cracklib                    	       0        7        0        1        6
36611 libpam-dbus                        	       0        1        0        1        0
36612 libpam-doc                         	       0       10        0        0       10
36613 libpam-encfs                       	       0        2        0        2        0
36614 libpam-fprintd                     	       0       37        1        8       28
36615 libpam-freerdp2                    	       0        5        0        5        0
36616 libpam-freerdp2-dev                	       0        1        0        1        0
36617 libpam-fscrypt                     	       0        1        1        0        0
36618 libpam-google-authenticator        	       0       28        2       26        0
36619 libpam-heimdal                     	       0        3        0        1        2
36620 libpam-krb5                        	       0       18        4       12        2
36621 libpam-krb5-migrate-heimdal        	       0        1        0        0        1
36622 libpam-krb5-migrate-mit            	       0        1        0        0        1
36623 libpam-ldap                        	       0        9        1        1        7
36624 libpam-ldapd                       	       0       32        8       23        1
36625 libpam-mount                       	       0       19        2       12        5
36626 libpam-mysql                       	       0        1        0        0        1
36627 libpam-net                         	       0        2        0        0        2
36628 libpam-oath                        	       0        4        0        0        4
36629 libpam-opie                        	       0        1        0        1        0
36630 libpam-passwdqc                    	       0        4        1        1        2
36631 libpam-pkcs11                      	       0        4        0        4        0
36632 libpam-poldi                       	       0        3        0        1        2
36633 libpam-pwdfile                     	       0        3        0        0        3
36634 libpam-python                      	       0        9        0        6        3
36635 libpam-python-doc                  	       0        1        0        0        1
36636 libpam-radius-auth                 	       0       16        0        0       16
36637 libpam-shield                      	       0        3        1        2        0
36638 libpam-snapper                     	       0        1        0        1        0
36639 libpam-ssh                         	       0       13        2        8        3
36640 libpam-ssh-agent-auth              	       0        3        1        0        2
36641 libpam-systemd                     	       0        2        0        0        2
36642 libpam-tmpdir                      	       0       43        9       34        0
36643 libpam-u2f                         	       0        8        0        2        6
36644 libpam-unix2                       	       0        2        1        1        0
36645 libpam-winbind                     	       0       56       17       27       12
36646 libpam-x2go-dev                    	       0        1        0        1        0
36647 libpam-yubico                      	       0        4        1        3        0
36648 libpam-zfs                         	       0        1        0        1        0
36649 libpam0t64                         	       0       15        5       10        0
36650 libpandoc-elements-perl            	       0        3        0        3        0
36651 libpandoc-wrapper-perl             	       0        3        0        3        0
36652 libpanel-1-1                       	       0        3        0        0        3
36653 libpanel-applet-4-0                	       0        5        0        0        5
36654 libpanel-applet0                   	       0        6        0        0        6
36655 libpanel-applet2-0                 	       0        1        0        0        1
36656 libpanel-applet3                   	       0        2        0        0        2
36657 libpanel-common                    	       0        3        0        0        3
36658 libpanel-dev                       	       0        1        0        1        0
36659 libpanel-doc                       	       0        1        0        0        1
36660 libpango-perl                      	       0      286        0        0      286
36661 libpango1-ruby                     	       0        1        0        0        1
36662 libpango1-ruby1.8                  	       0        2        0        1        1
36663 libpango1.0-0                      	       0      207        0        0      207
36664 libpango1.0-doc                    	       0       75        0        0       75
36665 libpango3.0-cil                    	       0       60        0        0       60
36666 libpangomm-1.4-1                   	       0       10        0        1        9
36667 libpangomm-1.4-dev                 	       0       50        1       49        0
36668 libpangomm-2.48-1                  	       0       11        0        0       11
36669 libpangomm-2.48-1t64               	       0      159        1        6      152
36670 libpangomm-2.48-dev                	       0        8        0        8        0
36671 libpangox-1.0-0                    	       0      284        0        3      281
36672 libpangox-1.0-dev                  	       0        4        0        4        0
36673 libpano13-3                        	       0       52        0        0       52
36674 libpano13-3t64                     	       0        2        0        0        2
36675 libpantomime1.3                    	       0        3        0        3        0
36676 libpaperclips-java                 	       0        4        0        0        4
36677 libpapi5                           	       0        1        0        0        1
36678 libpapi6.0                         	       0        1        0        0        1
36679 libpappl-dev                       	       0        1        0        1        0
36680 libpappl1                          	       0        2        0        0        2
36681 libpappl1t64                       	       0        1        0        0        1
36682 libpaps0                           	       0        9        0        0        9
36683 libpaq-dev                         	       0        1        0        1        0
36684 libpaq0                            	       0        3        0        0        3
36685 libpar-dist-perl                   	       0        7        0        7        0
36686 libpar-packer-perl                 	       0        2        0        2        0
36687 libpar-perl                        	       0        2        0        2        0
36688 libparagui1.0c2a                   	       0        1        0        0        1
36689 libparams-classify-perl            	       0     1254        2        0     1252
36690 libparams-util-perl                	       0     1189       16       44     1129
36691 libparams-validate-perl            	       0      428        1        5      422
36692 libparanamer-java                  	       0        6        0        0        6
36693 libparanamer-maven-plugin-java     	       0        1        0        0        1
36694 libparboiled-java                  	       0        6        0        0        6
36695 libpari-dev                        	       0       12        1       11        0
36696 libpari-gmp-tls6                   	       0        1        0        0        1
36697 libpari-gmp-tls7                   	       0        1        0        0        1
36698 libpari-gmp-tls8                   	       0       15        0        0       15
36699 libpari-gmp-tls8t64                	       0        1        0        0        1
36700 libpari-gmp-tls9                   	       0        5        0        0        5
36701 libparistraceroute1                	       0        4        0        0        4
36702 libparlatype5                      	       0        2        0        0        2
36703 libparlatype7                      	       0        1        0        0        1
36704 libparmap-ocaml                    	       0       10        0        9        1
36705 libparmetis4.0                     	       0        1        0        0        1
36706 libparpack2                        	       0        4        0        0        4
36707 libparpack2-dev                    	       0        3        0        0        3
36708 libparse-ansicolor-tiny-perl       	       0        1        0        1        0
36709 libparse-binary-perl               	       0        1        0        1        0
36710 libparse-debcontrol-perl           	       0       45        0       45        0
36711 libparse-debian-packages-perl      	       0       13        1       12        0
36712 libparse-dia-sql-perl              	       0        1        0        1        0
36713 libparse-distname-perl             	       0        1        0        1        0
36714 libparse-errorstring-perl-perl     	       0        1        0        1        0
36715 libparse-exuberantctags-perl       	       0        1        0        0        1
36716 libparse-mediawikidump-perl        	       0        1        0        1        0
36717 libparse-method-signatures-perl    	       0        3        0        3        0
36718 libparse-netstat-perl              	       0        1        0        1        0
36719 libparse-pidl-perl                 	       0        1        0        1        0
36720 libparse-pmfile-perl               	       0       41        0       41        0
36721 libparse-syslog-perl               	       0       23        0       23        0
36722 libparse-win32registry-perl        	       0       17        0       17        0
36723 libparser-mgc-perl                 	       0        2        0        2        0
36724 libparted-fs-resize0t64            	       0       92        2        1       89
36725 libparted-i18n                     	       0        9        0        0        9
36726 libparted0-dev                     	       0        1        0        0        1
36727 libparted0-i18n                    	       0        1        0        0        1
36728 libparted0debian1                  	       0       15        0        0       15
36729 libparted2t64                      	       0      236        2        1      233
36730 libparu0                           	       0        1        0        0        1
36731 libparu1                           	       0        2        0        0        2
36732 libpasastro                        	       0        1        0        0        1
36733 libpasswdqc0                       	       0        2        0        0        2
36734 libpasswdqc1                       	       0        6        1        1        4
36735 libpath-class-file-stat-perl       	       0        1        0        1        0
36736 libpath-class-perl                 	       0       45        2       43        0
36737 libpath-utils1                     	       0       14        1        6        7
36738 libpathplan4                       	       0     1735        0        0     1735
36739 libpbbam1.6.0                      	       0        1        0        0        1
36740 libpbbam1.7.0                      	       0        1        0        0        1
36741 libpbbam2.3.0                      	       0        1        0        0        1
36742 libpbcopper1.8.0                   	       0        1        0        0        1
36743 libpbcopper1.9.3                   	       0        1        0        0        1
36744 libpbcopper2.0.0                   	       0        1        0        0        1
36745 libpbcopper2.2.0                   	       0        1        0        0        1
36746 libpbkdf2-tiny-perl                	       0        3        0        3        0
36747 libpbseq                           	       0        1        0        0        1
36748 libpcap-dev                        	       0       72        0        0       72
36749 libpcap0.7                         	       0        2        0        0        2
36750 libpcapnav0                        	       0        1        0        0        1
36751 libpcapnav0-dev                    	       0        1        0        1        0
36752 libpcaudio-dev                     	       0        3        0        3        0
36753 libpcc-dev                         	       0        5        0        0        5
36754 libpcg-cpp-dev                     	       0        1        0        0        1
36755 libpci-dev                         	       0       93        1       92        0
36756 libpcl-apps1.13                    	       0        1        0        0        1
36757 libpcl-common1.13                  	       0        1        0        0        1
36758 libpcl-dev                         	       0        1        0        1        0
36759 libpcl-features1.13                	       0        1        0        0        1
36760 libpcl-filters1.13                 	       0        1        0        0        1
36761 libpcl-io1.13                      	       0        1        0        0        1
36762 libpcl-kdtree1.13                  	       0        1        0        0        1
36763 libpcl-keypoints1.13               	       0        1        0        0        1
36764 libpcl-ml1.13                      	       0        1        0        0        1
36765 libpcl-msgs-dev                    	       0        1        0        1        0
36766 libpcl-octree1.13                  	       0        1        0        0        1
36767 libpcl-outofcore1.13               	       0        1        0        0        1
36768 libpcl-people1.13                  	       0        1        0        0        1
36769 libpcl-recognition1.13             	       0        1        0        0        1
36770 libpcl-registration1.13            	       0        1        0        0        1
36771 libpcl-sample-consensus1.13        	       0        1        0        0        1
36772 libpcl-search1.13                  	       0        1        0        0        1
36773 libpcl-segmentation1.13            	       0        1        0        0        1
36774 libpcl-stereo1.13                  	       0        1        0        0        1
36775 libpcl-surface1.13                 	       0        1        0        0        1
36776 libpcl-tracking1.13                	       0        1        0        0        1
36777 libpcl-visualization1.13           	       0        1        0        0        1
36778 libpcp-archive1                    	       0        5        0        0        5
36779 libpcp-gui2                        	       0        6        0        0        6
36780 libpcp-import1                     	       0        7        0        0        7
36781 libpcp-import1-dev                 	       0        1        0        1        0
36782 libpcp-mmv1                        	       0        6        0        2        4
36783 libpcp-pmda-perl                   	       0        5        0        0        5
36784 libpcp-pmda3                       	       0        7        0        2        5
36785 libpcp-pmda3-dev                   	       0        1        0        1        0
36786 libpcp-trace2                      	       0        6        0        0        6
36787 libpcp-web1                        	       0        6        0        2        4
36788 libpcp3                            	       0        7        0        2        5
36789 libpcp3-dev                        	       0        1        0        1        0
36790 libpcre-ocaml                      	       0        4        0        4        0
36791 libpcre-ocaml-dev                  	       0        3        0        3        0
36792 libpcre16-3                        	       0      437        0        0      437
36793 libpcre2-posix0                    	       0        3        0        0        3
36794 libpcre2-posix2                    	       0      162        0        0      162
36795 libpcre3-dbg                       	       0        1        0        1        0
36796 libpcre32-3                        	       0      380        0        0      380
36797 libpcrecpp0                        	       0       10        0        0       10
36798 libpcrecpp0v5                      	       0      547        5       12      530
36799 libpcsc-perl                       	       0       51        0        0       51
36800 libpcsclite-dev                    	       0       36        0       36        0
36801 libpdal-base12                     	       0       13        0        0       13
36802 libpdal-base13                     	       0        2        0        0        2
36803 libpdal-base7                      	       0        2        0        0        2
36804 libpdal-plugin-draco               	       0        1        0        0        1
36805 libpdal-plugin-e57                 	       0       13        0        0       13
36806 libpdal-plugin-faux                	       0       14        0        0       14
36807 libpdal-plugin-greyhound           	       0        2        0        0        2
36808 libpdal-plugin-hdf                 	       0       12        0        0       12
36809 libpdal-plugin-i3s                 	       0       13        0        0       13
36810 libpdal-plugin-icebridge           	       0       14        0        0       14
36811 libpdal-plugin-pgpointcloud        	       0       14        0        0       14
36812 libpdal-plugin-python              	       0        2        0        0        2
36813 libpdal-plugin-sqlite              	       0        2        0        0        2
36814 libpdal-plugins                    	       0       14        0        0       14
36815 libpdal-util12                     	       0       13        0        0       13
36816 libpdal-util13                     	       0        2        0        0        2
36817 libpdal-util7                      	       0        3        0        0        3
36818 libpdf-api2-perl                   	       0       47        1       46        0
36819 libpdf-api2-simple-perl            	       0        1        0        1        0
36820 libpdf-api2-xs-perl                	       0       33        0        0       33
36821 libpdf-builder-perl                	       0       47        1       46        0
36822 libpdf-create-perl                 	       0        4        0        4        0
36823 libpdf-fdf-simple-perl             	       0        1        0        1        0
36824 libpdf-fromhtml-perl               	       0        3        0        3        0
36825 libpdf-report-perl                 	       0        2        0        2        0
36826 libpdf-reuse-barcode-perl          	       0        3        0        3        0
36827 libpdf-reuse-perl                  	       0        3        0        3        0
36828 libpdf-table-perl                  	       0        3        0        3        0
36829 libpdf-writer-perl                 	       0        3        0        3        0
36830 libpdfbox-graphics2d-java          	       0        2        0        0        2
36831 libpdfbox-java                     	       0      412        0        0      412
36832 libpdfbox-java-doc                 	       0        3        0        0        3
36833 libpdfbox2-java                    	       0        2        0        0        2
36834 libpdfcook-dev                     	       0        1        0        1        0
36835 libpdfcook0                        	       0        1        0        0        1
36836 libpdfrenderer-java                	       0        5        0        0        5
36837 libpdl-fit-perl                    	       0        1        0        0        1
36838 libpdl-graphics-trid-perl          	       0        1        0        0        1
36839 libpdl-gsl-perl                    	       0        1        0        0        1
36840 libpdl-io-gd-perl                  	       0        1        0        0        1
36841 libpdl-io-hdf-perl                 	       0        1        0        0        1
36842 libpdl-transform-proj4-perl        	       0        1        0        0        1
36843 libpe-rules2                       	       0        4        0        0        4
36844 libpe-rules26                      	       0        2        0        2        0
36845 libpe-status10                     	       0        4        0        0        4
36846 libpe-status28                     	       0        2        0        2        0
36847 libpe1t64                          	       0        2        0        0        2
36848 libpeas-1.0-python2loader          	       0       46        0        0       46
36849 libpeas-2-0                        	       0        2        1        0        1
36850 libpeas-2-common                   	       0        3        0        0        3
36851 libpeas-common                     	       0     1096        0        1     1095
36852 libpeas-dev                        	       0       13        0       13        0
36853 libpeas-doc                        	       0        1        0        1        0
36854 libpegdown-java                    	       0        6        0        0        6
36855 libpegex-perl                      	       0       30        0       30        0
36856 libpengine10                       	       0        4        0        0        4
36857 libpentaho-reporting-flow-engine-java	       0      744        0        0      744
36858 libpentaho-reporting-flow-engine-java-doc	       0        6        0        0        6
36859 libpeony-extension1                	       0        1        0        0        1
36860 libpeony3                          	       0        6        0        0        6
36861 libperconaserverclient20           	       0        3        0        0        3
36862 libperconaserverclient20-dev       	       0        2        0        2        0
36863 libperl-destruct-level-perl        	       0        1        0        0        1
36864 libperl-dev                        	       0       64        0        0       64
36865 libperl-minimumversion-perl        	       0        1        0        1        0
36866 libperl-prereqscanner-notquitelite-perl	       0        1        0        1        0
36867 libperl5.20                        	       0       31        0        1       30
36868 libperl5.24                        	       0      178       50      128        0
36869 libperl5.30                        	       0        9        1        8        0
36870 libperl5.34                        	       0       18        1       17        0
36871 libperl5.38                        	       0        7        0        7        0
36872 libperl5.38t64                     	       0      111        6      105        0
36873 libperl6-export-attrs-perl         	       0        1        0        1        0
36874 libperl6-export-perl               	       0        3        0        3        0
36875 libperl6-slurp-perl                	       0        3        0        3        0
36876 libperldoc-search-perl             	       0        3        0        3        0
36877 libperlio-eol-perl                 	       0        1        0        0        1
36878 libperlio-gzip-perl                	       0      696        0        0      696
36879 libperlio-layers-perl              	       0        2        0        0        2
36880 libperlio-utf8-strict-perl         	       0      636        1        1      634
36881 libperlio-via-symlink-perl         	       0        1        0        1        0
36882 libperlx-maybe-perl                	       0        6        1        5        0
36883 libperlx-maybe-xs-perl             	       0        5        0        0        5
36884 libpetal-perl                      	       0        1        1        0        0
36885 libpetal-utils-perl                	       0        1        1        0        0
36886 libpetsc-real3.15                  	       0        1        0        0        1
36887 libpetsc-real3.16                  	       0        1        0        0        1
36888 libpetsc-real3.18                  	       0       54        0        0       54
36889 libpetsc-real3.18-dev              	       0        1        0        0        1
36890 libpetsc-real3.20                  	       0        1        0        0        1
36891 libpetsc3.18-dev-common            	       0        1        0        1        0
36892 libpetsc3.18-dev-examples          	       0        1        0        1        0
36893 libpff1                            	       0       28        0        0       28
36894 libpfm4                            	       0      417        0        0      417
36895 libpfm4-dev                        	       0        1        0        1        0
36896 libpfs-1.2-0                       	       0        1        0        0        1
36897 libpfs-dev                         	       0        1        0        1        0
36898 libpfs2                            	       0        3        0        0        3
36899 libpg-java                         	       0        1        0        0        1
36900 libpgf-dev                         	       0        1        0        1        0
36901 libpgf6                            	       0        5        0        0        5
36902 libpgf7                            	       0        1        0        0        1
36903 libpgm-5.1-0                       	       0       13        0        0       13
36904 libpgm-5.2-0                       	       0      264        0        0      264
36905 libpgm-5.3-0t64                    	       0      229       13       33      183
36906 libpgm-dev                         	       0       44        0       44        0
36907 libpgocaml-ocaml                   	       0        1        0        1        0
36908 libpgocaml-ocaml-dev               	       0        1        0        1        0
36909 libpgp-sign-perl                   	       0        1        0        1        0
36910 libpgplot0                         	       0        6        0        0        6
36911 libpgpool2                         	       0        2        0        0        2
36912 libpgraphutil-smlnj                	       0        1        0        0        1
36913 libpgsbox5                         	       0        1        0        0        1
36914 libpgsbox7                         	       0        5        0        0        5
36915 libpgsbox8                         	       0        2        0        0        2
36916 libpgsql-ruby1.9.1                 	       0        1        0        0        1
36917 libpgtcl                           	       0        7        0        0        7
36918 libpgtcl-dev                       	       0        1        0        1        0
36919 libpgtcl1.5                        	       0        1        0        1        0
36920 libpgtypes3                        	       0        9        0        0        9
36921 libphat-dev                        	       0        1        0        1        0
36922 libphidget22                       	       0        1        0        1        0
36923 libphobos-4.9-dev                  	       0        2        0        0        2
36924 libphobos-dev                      	       0        1        0        0        1
36925 libphobos2-ldc-shared-dev          	       0       13        0        0       13
36926 libphobos2-ldc-shared100           	       0       39        1        3       35
36927 libphobos2-ldc-shared105           	       0        1        0        0        1
36928 libphobos2-ldc-shared106           	       0        1        0        0        1
36929 libphobos2-ldc-shared110           	       0        1        0        0        1
36930 libphobos2-ldc-shared90            	       0        1        0        0        1
36931 libphobos2-ldc-shared94            	       0       16        0        1       15
36932 libphobos2-ldc72                   	       0        1        0        0        1
36933 libphodav-2.0-0                    	       0      155        2        9      144
36934 libphodav-2.0-common               	       0      155        0        0      155
36935 libphodav-2.0-dev                  	       0        1        0        1        0
36936 libphodav-3.0-0                    	       0      319        5        8      306
36937 libphodav-3.0-common               	       0      319        0        0      319
36938 libphodav-3.0-dev                  	       0        1        0        1        0
36939 libphone-utils0                    	       0        1        0        0        1
36940 libphonenumber6                    	       0        3        0        0        3
36941 libphonenumber7                    	       0       39        0        1       38
36942 libphonon-dev                      	       0        1        0        1        0
36943 libphonon-l10n                     	       0       75        6       16       53
36944 libphonon4                         	       0       59        1        0       58
36945 libphonon4qt5-4t64                 	       0       60        1       19       40
36946 libphonon4qt5-dev                  	       0       10        0       10        0
36947 libphonon4qt5experimental-dev      	       0        5        0        4        1
36948 libphonon4qt5experimental4         	       0       43        0        0       43
36949 libphonon4qt5experimental4t64      	       0        5        0        0        5
36950 libphonon4qt6experimental4t64      	       0        3        0        0        3
36951 libphononexperimental4             	       0        6        0        0        6
36952 libphp-adodb                       	       0       10        1        9        0
36953 libphp-embed                       	       0        4        0        0        4
36954 libphp-phpmailer                   	       0       19        2       17        0
36955 libphp-serialization-perl          	       0       10        0       10        0
36956 libphp-simplepie                   	       0        8        0        8        0
36957 libphp-snoopy                      	       0        1        0        1        0
36958 libphp8.2-embed                    	       0        4        0        4        0
36959 libphysfs-dev                      	       0       20        0       20        0
36960 libphysfs1                         	       0      129        0        0      129
36961 libpiano0                          	       0        2        0        0        2
36962 libpicard-java                     	       0        5        0        0        5
36963 libpiccolo-java                    	       0        1        0        0        1
36964 libpicocli-java                    	       0       19        0        0       19
36965 libpicocontainer-java              	       0        4        0        0        4
36966 libpicocv                          	       0        3        0        0        3
36967 libpicoipp                         	       0        4        0        0        4
36968 libpigment0.3-11                   	       0        1        0        1        0
36969 libpigpio-dev                      	       0        1        0        1        0
36970 libpigpio1                         	       0        1        0        0        1
36971 libpigpiod-if-dev                  	       0        2        0        2        0
36972 libpigpiod-if1                     	       0        2        0        0        2
36973 libpigpiod-if2-1                   	       0        2        0        0        2
36974 libpillowfight                     	       0        1        0        0        1
36975 libpillowfight-dev                 	       0        1        0        1        0
36976 libpillowfight0                    	       0        1        0        0        1
36977 libpils2                           	       0        9        0        2        7
36978 libpils2-dev                       	       0        1        0        1        0
36979 libpimcommon-data                  	       0        8        0        0        8
36980 libpimcommon4                      	       0        4        0        1        3
36981 libpinyin-data                     	       0        7        0        1        6
36982 libpinyin13                        	       0        1        0        0        1
36983 libpinyin15                        	       0        6        0        1        5
36984 libpipeline-dev                    	       0        3        0        3        0
36985 libpipeline1                       	       0     4125        2       22     4101
36986 libpipewire-0.2-1                  	       0       45        0        2       43
36987 libpipewire-0.2-dev                	       0        1        0        1        0
36988 libpipewire-0.2-doc                	       0        1        0        0        1
36989 libpipewire-0.3-modules-x11        	       0        5        0        3        2
36990 libpipewire-0.3-modules-xrdp       	       0        9        0        0        9
36991 libpisock9                         	       0       18        0       18        0
36992 libpisync1                         	       0        1        0        0        1
36993 libpithub-perl                     	       0        1        0        1        0
36994 libpixelif-common                  	       0        5        0        0        5
36995 libpixelif-dev                     	       0        1        0        1        0
36996 libpixelmed-imageio-java           	       0        1        0        0        1
36997 libpixelmed-java                   	       0        2        0        0        2
36998 libpixie-java                      	       0      742        0        0      742
36999 libpixman1                         	       0        1        0        0        1
37000 libpj2                             	       0        4        0        0        4
37001 libpjlib-util2                     	       0        4        0        0        4
37002 libpjmedia-audiodev2               	       0        4        0        0        4
37003 libpjmedia-codec2                  	       0        4        0        0        4
37004 libpjmedia-videodev2               	       0        3        0        0        3
37005 libpjmedia2                        	       0        4        0        0        4
37006 libpjnath2                         	       0        4        0        0        4
37007 libpjsip-simple2                   	       0        3        0        0        3
37008 libpjsip-ua2                       	       0        3        0        0        3
37009 libpjsip2                          	       0        3        0        0        3
37010 libpjsua2                          	       0        3        0        0        3
37011 libpjsua2-2v5                      	       0        2        0        0        2
37012 libpkcs11-dnie                     	       0        6        2        3        1
37013 libpkcs11-fnmtdnie                 	       0        4        0        1        3
37014 libpkcs11-helper1-dev              	       0        4        0        4        0
37015 libpkgconf3                        	       0     1030        0        0     1030
37016 libpl1000                          	       0        1        0        1        0
37017 libplacebo-dev                     	       0       26        0       26        0
37018 libplacebo120                      	       0       22        0        0       22
37019 libplacebo157                      	       0        4        0        0        4
37020 libplacebo192                      	       0       11        0        0       11
37021 libplacebo2                        	       0        9        0        0        9
37022 libplacebo264                      	       0       27        1        3       23
37023 libplacebo292                      	       0       13        0        2       11
37024 libplacebo338                      	       0       43        1        3       39
37025 libplacebo349                      	       0      210       12       28      170
37026 libplacebo7                        	       0      112        0        0      112
37027 libplacebo72                       	       0      307        1        4      302
37028 libplack-middleware-debug-perl     	       0        1        0        1        0
37029 libplack-middleware-fixmissingbodyinredirect-perl	       0        6        1        5        0
37030 libplack-middleware-logwarn-perl   	       0        2        1        1        0
37031 libplack-middleware-methodoverride-perl	       0        3        1        2        0
37032 libplack-middleware-removeredundantbody-perl	       0        3        1        2        0
37033 libplack-middleware-reverseproxy-perl	       0        5        2        3        0
37034 libplack-middleware-session-perl   	       0        6        0        6        0
37035 libplack-perl                      	       0       18        2       16        0
37036 libplack-test-externalserver-perl  	       0        3        1        2        0
37037 libplanarity-dev                   	       0        8        0        8        0
37038 libplanarity0                      	       0       11        0        0       11
37039 libplank-common                    	       0       51        2       19       30
37040 libplasma-geolocation-interface4   	       0        6        0        0        6
37041 libplasma-geolocation-interface6   	       0       24        0        0       24
37042 libplasma3                         	       0       44        1        1       42
37043 libplasma5support-data             	       0       24        0        0       24
37044 libplasmaclock4abi4                	       0        6        0        1        5
37045 libplasmagenericshell4             	       0        5        0        1        4
37046 libplayerctl-dev                   	       0        2        0        2        0
37047 libplayerctl2                      	       0       30        1        2       27
37048 libplb-dev                         	       0        1        0        1        0
37049 libplb1                            	       0        1        0        0        1
37050 libplexus-ant-factory-java         	       0       13        0        0       13
37051 libplexus-archiver-java            	       0      374        0        0      374
37052 libplexus-bsh-factory-java         	       0       13        0        0       13
37053 libplexus-build-api-java           	       0       10        0        0       10
37054 libplexus-cipher-java              	       0      467        0        0      467
37055 libplexus-cipher-java-doc          	       0        1        0        0        1
37056 libplexus-classworlds-java         	       0      468        0        0      468
37057 libplexus-classworlds-java-doc     	       0        1        0        0        1
37058 libplexus-classworlds2-java        	       0        5        0        0        5
37059 libplexus-classworlds2-java-doc    	       0        1        0        0        1
37060 libplexus-cli-java                 	       0        6        0        0        6
37061 libplexus-cli-java-doc             	       0        2        0        0        2
37062 libplexus-compiler-java            	       0       25        0        0       25
37063 libplexus-component-annotations-java	       0      467        0        0      467
37064 libplexus-component-metadata-java  	       0        6        0        0        6
37065 libplexus-container-default-java   	       0       72        0        0       72
37066 libplexus-container-default-java-doc	       0        2        0        0        2
37067 libplexus-container-default1.5-java	       0       18        0        0       18
37068 libplexus-containers-java          	       0        2        0        0        2
37069 libplexus-containers-java-doc      	       0        1        0        0        1
37070 libplexus-containers1.5-java       	       0        2        0        0        2
37071 libplexus-digest-java              	       0        3        0        0        3
37072 libplexus-i18n-java                	       0       11        0        0       11
37073 libplexus-interactivity-api-java   	       0       10        0        0       10
37074 libplexus-interactivity-api-java-doc	       0        2        0        0        2
37075 libplexus-interpolation-java       	       0      467        0        0      467
37076 libplexus-interpolation-java-doc   	       0        1        0        0        1
37077 libplexus-io-java                  	       0      374        0        0      374
37078 libplexus-languages-java           	       0       25        0        0       25
37079 libplexus-maven-plugin-java-doc    	       0        1        0        0        1
37080 libplexus-resources-java           	       0        2        0        0        2
37081 libplexus-sec-dispatcher-java      	       0      466        0        0      466
37082 libplexus-sec-dispatcher-java-doc  	       0        1        0        0        1
37083 libplexus-testing-java             	       0        1        0        0        1
37084 libplexus-utils-java               	       0        2        0        0        2
37085 libplexus-utils-java-doc           	       0        2        0        0        2
37086 libplexus-utils2-java              	       0      468        0        0      468
37087 libplexus-utils2-java-doc          	       0        3        0        0        3
37088 libplexus-velocity-java            	       0       11        0        0       11
37089 libplexus-xml-java                 	       0        3        0        0        3
37090 libplfit0                          	       0        1        0        0        1
37091 libplib-dev                        	       0        2        0        2        0
37092 libplib1                           	       0       38        0        0       38
37093 libplib1t64                        	       0        6        0        0        6
37094 libplist++-2.0-4                   	       0        2        0        0        2
37095 libplist++-dev                     	       0        4        0        4        0
37096 libplist++3v5                      	       0        4        0        0        4
37097 libplist-dev                       	       0       28        0       28        0
37098 libplist-doc                       	       0        1        0        0        1
37099 libplist-utils                     	       0        5        0        5        0
37100 libplist1                          	       0        9        0        0        9
37101 libplist2                          	       0       32        0        2       30
37102 libpload-dev                       	       0        1        0        1        0
37103 libpload4                          	       0        1        0        0        1
37104 libploop1                          	       0        1        0        0        1
37105 libplot-dev                        	       0        4        0        4        0
37106 libplot2c2                         	       0      270        0        0      270
37107 libplplot-data                     	       0        9        0        0        9
37108 libplplot-dev                      	       0        3        0        3        0
37109 libplplot-lua                      	       0        4        0        0        4
37110 libplplot-ocaml                    	       0        3        0        3        0
37111 libplplot16                        	       0        1        0        0        1
37112 libplplot17                        	       0        9        0        0        9
37113 libplplotcxx14                     	       0        1        0        0        1
37114 libplplotcxx15                     	       0        6        0        0        6
37115 libplplotfortran0                  	       0        3        0        0        3
37116 libplplotqt2                       	       0        5        0        0        5
37117 libplplotwxwidgets1                	       0        5        0        0        5
37118 libplrpc-perl                      	       0        8        0        8        0
37119 libplucene-perl                    	       0        2        0        2        0
37120 libplumb2                          	       0        9        0        0        9
37121 libplumb2-dev                      	       0        1        0        1        0
37122 libplumbgpl2                       	       0        9        0        0        9
37123 libplumbgpl2-dev                   	       0        1        0        0        1
37124 libpluto-jpl-eph-dev               	       0        2        0        2        0
37125 libpluto-lunar-dev                 	       0        2        0        2        0
37126 libplymouth-dev                    	       0        2        0        2        0
37127 libplymouth4                       	       0        4        0        0        4
37128 libplymouth5                       	       0       45        1        0       44
37129 libpm3                             	       0        1        0        1        0
37130 libpm3-extra                       	       0        1        0        1        0
37131 libpmem-dev                        	       0        4        0        4        0
37132 libpmemblk1                        	       0       56        0        0       56
37133 libpmix-dev                        	       0      109        0        0      109
37134 libpmix2                           	       0      292        0        1      291
37135 libpmix2t64                        	       0       39        0        0       39
37136 libpng                             	       0        1        0        1        0
37137 libpng++-dev                       	       0       16        0        0       16
37138 libpng-sixlegs-java                	       0        2        0        0        2
37139 libpng-sixlegs-java-doc            	       0        1        0        0        1
37140 libpng12-0                         	       0      183        0        4      179
37141 libpng12-dev                       	       0       25        2       23        0
37142 libpng3                            	       0        1        0        0        1
37143 libpnglite-dev                     	       0        6        0        6        0
37144 libpnglite0                        	       0       19        0        0       19
37145 libpocketsphinx-dev                	       0        6        0        6        0
37146 libpocl-dev                        	       0        2        0        2        0
37147 libpocl1                           	       0        1        0        0        1
37148 libpocl1-common                    	       0        1        0        1        0
37149 libpocl2                           	       0       48        0        0       48
37150 libpocl2t64                        	       0        5        0        0        5
37151 libpoco-dev                        	       0        9        0        9        0
37152 libpocoactiverecord100             	       0        1        0        0        1
37153 libpocoactiverecord80              	       0        5        0        0        5
37154 libpococrypto100                   	       0        1        0        0        1
37155 libpococrypto70                    	       0        4        0        0        4
37156 libpococrypto80                    	       0        5        0        0        5
37157 libpocodata100                     	       0        1        0        0        1
37158 libpocodata70                      	       0        3        0        0        3
37159 libpocodata80                      	       0        5        0        0        5
37160 libpocodatamysql100                	       0        1        0        0        1
37161 libpocodatamysql70                 	       0        3        0        0        3
37162 libpocodatamysql80                 	       0        5        0        0        5
37163 libpocodataodbc100                 	       0        1        0        0        1
37164 libpocodataodbc70                  	       0        3        0        0        3
37165 libpocodataodbc80                  	       0        5        0        0        5
37166 libpocodatapostgresql100           	       0        1        0        0        1
37167 libpocodatapostgresql70            	       0        3        0        0        3
37168 libpocodatapostgresql80            	       0        5        0        0        5
37169 libpocodatasqlite100               	       0        1        0        0        1
37170 libpocodatasqlite70                	       0        3        0        0        3
37171 libpocodatasqlite80                	       0        5        0        0        5
37172 libpocoencodings100                	       0        1        0        0        1
37173 libpocoencodings70                 	       0        3        0        0        3
37174 libpocoencodings80                 	       0        5        0        0        5
37175 libpocofoundation100               	       0        5        0        0        5
37176 libpocofoundation70                	       0        8        0        0        8
37177 libpocofoundation80                	       0       12        0        0       12
37178 libpocojson100                     	       0        1        0        0        1
37179 libpocojson70                      	       0        4        0        0        4
37180 libpocojson80                      	       0        6        0        0        6
37181 libpocojwt100                      	       0        1        0        0        1
37182 libpocojwt70                       	       0        3        0        0        3
37183 libpocojwt80                       	       0        5        0        0        5
37184 libpocomongodb100                  	       0        1        0        0        1
37185 libpocomongodb70                   	       0        3        0        0        3
37186 libpocomongodb80                   	       0        5        0        0        5
37187 libpoconet100                      	       0        1        0        0        1
37188 libpoconet70                       	       0        8        0        0        8
37189 libpoconet80                       	       0        9        0        0        9
37190 libpoconetssl100                   	       0        1        0        0        1
37191 libpoconetssl70                    	       0        4        0        0        4
37192 libpoconetssl80                    	       0        5        0        0        5
37193 libpocoprometheus100               	       0        1        0        0        1
37194 libpocoredis100                    	       0        1        0        0        1
37195 libpocoredis70                     	       0        3        0        0        3
37196 libpocoredis80                     	       0        5        0        0        5
37197 libpocoutil100                     	       0        1        0        0        1
37198 libpocoutil70                      	       0        4        0        0        4
37199 libpocoutil80                      	       0        6        0        0        6
37200 libpocoxml100                      	       0        5        0        0        5
37201 libpocoxml70                       	       0        8        0        0        8
37202 libpocoxml80                       	       0       10        0        0       10
37203 libpocozip100                      	       0        5        0        0        5
37204 libpocozip70                       	       0        3        0        0        3
37205 libpocozip80                       	       0        7        0        0        7
37206 libpod-2-docbook-perl              	       0        2        0        2        0
37207 libpod-abstract-perl               	       0        3        0        3        0
37208 libpod-coverage-perl               	       0       16        0       16        0
37209 libpod-coverage-trustpod-perl      	       0        1        0        1        0
37210 libpod-elemental-perl              	       0        1        0        1        0
37211 libpod-elemental-perlmunger-perl   	       0        1        0        1        0
37212 libpod-elemental-transformer-list-perl	       0        1        0        1        0
37213 libpod-eventual-perl               	       0        1        0        1        0
37214 libpod-index-perl                  	       0        1        0        1        0
37215 libpod-markdown-perl               	       0       92        2       90        0
37216 libpod-minimumversion-perl         	       0        1        0        1        0
37217 libpod-pandoc-perl                 	       0        3        0        3        0
37218 libpod-plainer-perl                	       0       14        0       14        0
37219 libpod-pom-perl                    	       0       26        1       25        0
37220 libpod-pom-view-restructured-perl  	       0        1        0        1        0
37221 libpod-projectdocs-perl            	       0        1        0        1        0
37222 libpod-pseudopod-perl              	       0        1        0        1        0
37223 libpod-sax-perl                    	       0        1        0        1        0
37224 libpod-simple-perl                 	       0        3        0        3        0
37225 libpod-simple-wiki-perl            	       0        1        0        1        0
37226 libpod-strip-perl                  	       0        2        0        2        0
37227 libpod-tests-perl                  	       0        2        0        2        0
37228 libpod-thread-perl                 	       0        3        0        3        0
37229 libpod-tree-perl                   	       0        1        0        1        0
37230 libpod-weaver-perl                 	       0        1        0        1        0
37231 libpod-weaver-plugin-ensureuniquesections-perl	       0        1        0        1        0
37232 libpod-weaver-section-contributors-perl	       0        1        0        1        0
37233 libpod-weaver-section-legal-complicated-perl	       0        1        0        1        0
37234 libpod-weaver-section-support-perl 	       0        1        0        1        0
37235 libpod-webserver-perl              	       0        1        0        1        0
37236 libpod-wordlist-hanekomu-perl      	       0        1        0        1        0
37237 libpod-wsdl-perl                   	       0        2        0        2        0
37238 libpod-xhtml-perl                  	       0        1        0        1        0
37239 libpodofo-dev                      	       0        7        0        7        0
37240 libpodofo-utils                    	       0        5        0        5        0
37241 libpodofo0.9.0                     	       0        6        0        0        6
37242 libpodofo0.9.4                     	       0       12        0        0       12
37243 libpodofo0.9.6                     	       0       24        0        0       24
37244 libpodofo0.9.7                     	       0       73        0        0       73
37245 libpodofo0.9.8                     	       0      239        0        0      239
37246 libpodofo0.9.8t64                  	       0       38        0        0       38
37247 libpoe-component-client-http-perl  	       0        1        0        1        0
37248 libpoe-component-client-keepalive-perl	       0        1        0        1        0
37249 libpoe-component-client-ping-perl  	       0        1        0        1        0
37250 libpoe-component-resolver-perl     	       0        1        0        1        0
37251 libpoe-loop-event-perl             	       0        1        0        1        0
37252 libpoet-perl                       	       0        1        0        1        0
37253 libpoke0                           	       0        1        0        0        1
37254 libpoke1                           	       0        2        0        0        2
37255 libpolarssl-dev                    	       0        2        0        2        0
37256 libpolarssl-runtime                	       0        1        0        1        0
37257 libpolarssl0                       	       0        1        0        0        1
37258 libpolarssl7                       	       0        1        0        0        1
37259 libpolkit-agent-1-0-dbgsym         	       0        1        0        1        0
37260 libpolkit-agent-1-dev              	       0        4        0        4        0
37261 libpolkit-backend-1-0              	       0      373        0        0      373
37262 libpolkit-backend-1-0-consolekit   	       0        1        0        0        1
37263 libpolkit-backend-1-0-systemd      	       0        2        0        0        2
37264 libpolkit-backend-consolekit-1-0   	       0      198        1        2      195
37265 libpolkit-backend-consolekit-1-0-dbgsym	       0        1        0        1        0
37266 libpolkit-backend-elogind-1-0      	       0      175        2        1      172
37267 libpolkit-dbus2                    	       0        1        0        0        1
37268 libpolkit-gnome0                   	       0        1        0        0        1
37269 libpolkit-gobject-1-0              	       0     3696        0        1     3695
37270 libpolkit-gobject-1-0-consolekit   	       0        1        0        0        1
37271 libpolkit-gobject-1-0-elogind      	       0        5        0        0        5
37272 libpolkit-gobject-1-0-systemd      	       0        2        0        0        2
37273 libpolkit-gobject-1-dev            	       0       34        0        0       34
37274 libpolkit-gobject-consolekit-1-0-dbgsym	       0        1        0        1        0
37275 libpolkit-gobject-consolekit-1-dev 	       0        2        0        2        0
37276 libpolkit-grant2                   	       0        1        0        0        1
37277 libpolkit-gtk-mate-1-0             	       0        4        0        0        4
37278 libpolkit-qt-1-1                   	       0       44        0        1       43
37279 libpolkit-qt5-1-dev                	       0        3        0        3        0
37280 libpolkit-qt6-1-dev                	       0        1        0        1        0
37281 libpolkit2                         	       0        1        0        0        1
37282 libpolled-camera-dev               	       0        1        0        1        0
37283 libpolled-camera0d                 	       0        1        0        0        1
37284 libpolly-16-dev                    	       0        1        0        1        0
37285 libpolyclipping-dev                	       0        1        0        0        1
37286 libpolyclipping16                  	       0        1        0        0        1
37287 libpolyclipping22                  	       0       28        0        0       28
37288 libpolyglot-maven-java             	       0       50        0        0       50
37289 libpolyglot-maven-java-doc         	       0        2        0        0        2
37290 libpolylib64-8                     	       0        1        0        0        1
37291 libpolymake-dev-common             	       0        3        0        3        0
37292 libpolyml-dev                      	       0        1        0        0        1
37293 libpolyml9                         	       0        2        0        0        2
37294 libpolyorb4                        	       0        1        0        0        1
37295 libpomp2-dev                       	       0        1        0        1        0
37296 libpoppler-cil                     	       0        1        0        1        0
37297 libpoppler-cpp0                    	       0        3        0        0        3
37298 libpoppler-cpp0t64                 	       0       25        0        0       25
37299 libpoppler-cpp0v5                  	       0     2499        0        0     2499
37300 libpoppler-cpp1                    	       0      137        0        0      137
37301 libpoppler-cpp2                    	       0       42        0        0       42
37302 libpoppler-csp                     	       0        1        0        0        1
37303 libpoppler-csp-qt5                 	       0        1        0        0        1
37304 libpoppler-dev                     	       0       88        0        0       88
37305 libpoppler-glib-doc                	       0        2        0        0        2
37306 libpoppler-glib3                   	       0        1        0        0        1
37307 libpoppler-glib6                   	       0        1        0        0        1
37308 libpoppler-glib8t64                	       0      218        4       17      197
37309 libpoppler-qt4-4                   	       0       27        0        0       27
37310 libpoppler-qt4-dev                 	       0        1        0        1        0
37311 libpoppler-qt5-1                   	       0     1107        8       29     1070
37312 libpoppler-qt5-1t64                	       0       66        0        2       64
37313 libpoppler-qt5-dev                 	       0       11        0       11        0
37314 libpoppler-qt6-3                   	       0        2        0        0        2
37315 libpoppler-qt6-3t64                	       0       47        3        0       44
37316 libpoppler-tqt                     	       0       31        0        1       30
37317 libpoppler0c2                      	       0        2        0        0        2
37318 libpoppler0c2-glib                 	       0        1        0        0        1
37319 libpoppler102                      	       0      590        5       41      544
37320 libpoppler118                      	       0       11        0        0       11
37321 libpoppler123                      	       0       14        0        1       13
37322 libpoppler126t64                   	       0        9        0        1        8
37323 libpoppler13                       	       0        2        0        0        2
37324 libpoppler134                      	       0       33        0        3       30
37325 libpoppler140                      	       0      184        3       11      170
37326 libpoppler145                      	       0       54        4        4       46
37327 libpoppler147                      	       0        3        0        0        3
37328 libpoppler19                       	       0       11        0        0       11
37329 libpoppler3                        	       0        2        0        0        2
37330 libpoppler44                       	       0        5        0        0        5
37331 libpoppler46                       	       0       41        0        0       41
37332 libpoppler5                        	       0        1        0        0        1
37333 libpoppler57                       	       0        1        0        0        1
37334 libpoppler64                       	       0      116        0        0      116
37335 libpoppler68                       	       0        1        0        0        1
37336 libpoppler72                       	       0        1        0        0        1
37337 libpoppler74                       	       0        1        0        0        1
37338 libpoppler82                       	       0      222        0        0      222
37339 libpopplerkit0                     	       0        8        0        8        0
37340 libportal-doc                      	       0        1        0        0        1
37341 libportal-gtk3-1                   	       0      359        1        6      352
37342 libportal-qt5-1                    	       0        3        0        0        3
37343 libportal-qt5-dev                  	       0        1        0        1        0
37344 libportal-tests-qt5                	       0        1        0        1        0
37345 libportaudio-dev                   	       0        3        0        3        0
37346 libportaudio-doc                   	       0        1        0        1        0
37347 libportaudio-ocaml                 	       0        2        0        2        0
37348 libportaudio-ocaml-dev             	       0        1        0        1        0
37349 libportaudio0                      	       0        6        0        0        6
37350 libportaudio2                      	       0     1653        2       10     1641
37351 libportaudiocpp0                   	       0       89        0        1       88
37352 libportlet-api-2.0-spec-java       	       0        1        0        0        1
37353 libportmidi-dev                    	       0       18        0       18        0
37354 libportmidi0                       	       0      746        1        1      744
37355 libportsmf-dev                     	       0        2        0        2        0
37356 libportsmf0                        	       0      482        1        0      481
37357 libportsmf0t64                     	       0       35        0        0       35
37358 libposix-atfork-perl               	       0        3        1        1        1
37359 libposix-strftime-compiler-perl    	       0       18        1       17        0
37360 libposix-strptime-perl             	       0      182        0        0      182
37361 libposixsignalmanager0a            	       0        1        0        0        1
37362 libpostgis-java                    	       0        6        0        0        6
37363 libpostgresql-jdbc-java            	       0       47        0        0       47
37364 libpostproc-ffmpeg53               	       0        5        0        0        5
37365 libpostproc0d                      	       0        1        0        0        1
37366 libpostproc51                      	       0        4        0        1        3
37367 libpostproc52                      	       0       46        0        0       46
37368 libpostproc53                      	       0        8        0        0        8
37369 libpostproc54                      	       0      121        0        0      121
37370 libpostproc55                      	       0      759       10       28      721
37371 libpostproc55-dbgsym               	       0        1        0        1        0
37372 libpostproc57                      	       0       98        3        7       88
37373 libpostproc58                      	       0      217       12       28      177
37374 libpostproc58-dbgsym               	       0        1        0        1        0
37375 libpostscript-file-perl            	       0        2        0        2        0
37376 libpostscript-perl                 	       0        5        0        5        0
37377 libpostscript-simple-perl          	       0        2        0        2        0
37378 libpostscriptbarcode               	       0        1        0        0        1
37379 libpotrace-dev                     	       0        3        0        3        0
37380 libpotrace0                        	       0      912        0        5      907
37381 libpowercap0                       	       0        4        0        0        4
37382 libpowerkit1                       	       0        4        0        2        2
37383 libppd-dev                         	       0        2        0        2        0
37384 libppd0                            	       0        9        0        0        9
37385 libppd0-dbgsym                     	       0        1        0        1        0
37386 libppix-documentname-perl          	       0        1        0        1        0
37387 libppix-editortools-perl           	       0        1        0        1        0
37388 libppix-utils-perl                 	       0        1        0        1        0
37389 libppl-c4                          	       0       18        0        0       18
37390 libppl-dev                         	       0       13        0       13        0
37391 libppl-doc                         	       0        1        0        0        1
37392 libppl12                           	       0        1        0        0        1
37393 libppl13                           	       0        3        0        0        3
37394 libppl14                           	       0       20        0        0       20
37395 libppsdocument-4.0-5               	       0        1        0        0        1
37396 libppsview-4.0-4                   	       0        1        0        0        1
37397 libppx-derivers-ocaml-dev          	       0        6        0        6        0
37398 libppxlib-ocaml-dev                	       0        6        0        6        0
37399 libpq5                             	       0     1815       48      105     1662
37400 libpqmarble2t64                    	       0        1        0        0        1
37401 libpqxx-2.6.9ldbl                  	       0        2        0        0        2
37402 libpqxx-3.0                        	       0        1        0        0        1
37403 libpqxx-6.2                        	       0        2        0        0        2
37404 libpqxx-6.4                        	       0        9        0        0        9
37405 libpqxx-7.10                       	       0        2        0        0        2
37406 libpqxx-dev                        	       0        5        0        5        0
37407 libpqxx-doc                        	       0        1        0        0        1
37408 libprawn-ruby1.9.1                 	       0        1        0        0        1
37409 libpreferencepanes1                	       0        9        0        9        0
37410 libprefork-perl                    	       0        2        0        2        0
37411 libprelude2                        	       0        2        0        2        0
37412 libprelude23                       	       0        1        0        0        1
37413 libprelude28                       	       0        5        0        0        5
37414 libpresage-data                    	       0       27        0        0       27
37415 libpresage1v5                      	       0       27        1       10       16
37416 libpri1.4                          	       0        2        1        1        0
37417 libprimecount7                     	       0        9        0        0        9
37418 libprimesieve11                    	       0       13        0        0       13
37419 libprimesieve12                    	       0        1        0        0        1
37420 libprimus-vk1                      	       0       18        0        0       18
37421 libprinterconf0c2a                 	       0        3        0        0        3
37422 libprintsys                        	       0        7        0        7        0
37423 libprismatic-plumbing-clojure      	       0        1        0        0        1
37424 libprismatic-schema-clojure        	       0        2        0        0        2
37425 libprison0                         	       0        7        0        1        6
37426 libprivileges-drop-perl            	       0        1        0        1        0
37427 libprobe-perl-perl                 	       0        2        0        2        0
37428 libproc-background-perl            	       0        1        0        1        0
37429 libproc-daemon-perl                	       0       17        1       16        0
37430 libproc-fastspawn-perl             	       0        6        0        0        6
37431 libproc-invokeeditor-perl          	       0        1        0        1        0
37432 libproc-pid-file-perl              	       0        4        1        3        0
37433 libproc-processtable-perl          	       0      909        6        5      898
37434 libproc-reliable-perl              	       0        1        0        1        0
37435 libproc-simple-perl                	       0       17        0       17        0
37436 libproc-wait3-perl                 	       0        1        0        0        1
37437 libproc2-dev                       	       0        1        0        1        0
37438 libprocess-cpp-doc                 	       0        1        0        0        1
37439 libprocess-cpp3                    	       0        2        0        0        2
37440 libprocesscore4abi1                	       0        6        0        1        5
37441 libprocesscore7                    	       0       29        0        0       29
37442 libprocessing-core-java            	       0        2        0        0        2
37443 libprocessui4a                     	       0        5        0        1        4
37444 libprocessui7                      	       0       28        0        0       28
37445 libprocessui9                      	       0      518        0        3      515
37446 libprocps-dev                      	       0        2        0        2        0
37447 libprocps0                         	       0       72        0        0       72
37448 libprocps3                         	       0      230        0        0      230
37449 libprocps4                         	       0      399        0        0      399
37450 libprocps5                         	       0        1        0        0        1
37451 libprocps6                         	       0      482        0        0      482
37452 libprocps7                         	       0      533        0        0      533
37453 libprocps8                         	       0     1058        3        9     1046
37454 libprocyon-java                    	       0       23        0        0       23
37455 libprogress-any-output-termprogressbarcolor-perl	       0        1        0        1        0
37456 libprogress-any-perl               	       0        1        0        1        0
37457 libproguard-java                   	       0       32        0        0       32
37458 libproj0                           	       0        6        0        0        6
37459 libproj12                          	       0       17        0        0       17
37460 libproj13                          	       0       62        1        0       61
37461 libproj15                          	       0        1        0        0        1
37462 libproj19                          	       0      138        0        2      136
37463 libproj22                          	       0        4        0        0        4
37464 libproj25                          	       0      567        3        8      556
37465 libprojectbuilder-perl             	       0        1        0        1        0
37466 libprojectm-dev                    	       0        5        0        5        0
37467 libprojectm-qt1v5                  	       0        2        0        0        2
37468 libprojectm2                       	       0        2        0        0        2
37469 libprojectm2v5                     	       0        9        0        0        9
37470 libprojectm3                       	       0       17        1        0       16
37471 libprometheus-cpp-core1.0          	       0        1        0        0        1
37472 libprometheus-cpp-pull1.0          	       0        1        0        0        1
37473 libpromises3                       	       0        8        0        0        8
37474 libproperties-cpp-dev              	       0        2        0        2        0
37475 libproperties-cpp-doc              	       0        1        0        0        1
37476 libproperties-maven-plugin-java    	       0        1        0        0        1
37477 libprotobuf-c-dev                  	       0       28        0       28        0
37478 libprotobuf-java                   	       0       23        0        0       23
37479 libprotobuf-java-format-java       	       0        2        0        0        2
37480 libprotobuf-lite10                 	       0       56        0        0       56
37481 libprotobuf-lite17                 	       0      118        0        0      118
37482 libprotobuf-lite22                 	       0        1        0        0        1
37483 libprotobuf-lite23                 	       0      344        0        1      343
37484 libprotobuf-lite32                 	       0     1095        1        4     1090
37485 libprotobuf-lite32t64              	       0      135        0        3      132
37486 libprotobuf-lite9                  	       0        2        0        0        2
37487 libprotobuf-lite9v5                	       0        1        0        0        1
37488 libprotobuf10                      	       0       50        0        0       50
37489 libprotobuf17                      	       0      104        0        1      103
37490 libprotobuf22                      	       0        2        0        1        1
37491 libprotobuf23                      	       0      363        5       51      307
37492 libprotobuf7                       	       0        4        0        0        4
37493 libprotobuf9                       	       0       12        0        0       12
37494 libprotobuf9v5                     	       0        1        0        0        1
37495 libprotoc-dev                      	       0       12        0       12        0
37496 libprotoc10                        	       0        2        0        0        2
37497 libprotoc17                        	       0        8        0        0        8
37498 libprotoc22                        	       0        1        0        0        1
37499 libprotoc23                        	       0       14        0        0       14
37500 libprotoc32                        	       0      125        0        0      125
37501 libprotoc32t64                     	       0       18        0        0       18
37502 libprotoc9                         	       0        1        0        0        1
37503 libprotocol-websocket-perl         	       0        1        0        1        0
37504 libprotozero-dev                   	       0        2        0        0        2
37505 libproxy-dev                       	       0        9        0        9        0
37506 libproxy0                          	       0       11        0        0       11
37507 libproxy1                          	       0       23        0        0       23
37508 libproxy1-plugin-kconfig           	       0        8        0        1        7
37509 libproxychains3                    	       0       22        1       21        0
37510 libproxychains4                    	       0       10        0        0       10
37511 libps2000                          	       0        5        0        5        0
37512 libps2000a                         	       0        4        0        4        0
37513 libps3000                          	       0        4        0        4        0
37514 libps3000a                         	       0        4        0        4        0
37515 libps4000                          	       0        4        0        4        0
37516 libps4000a                         	       0        4        0        4        0
37517 libps5000                          	       0        4        0        4        0
37518 libps5000a                         	       0        4        0        4        0
37519 libps6000                          	       0        4        0        4        0
37520 libps6000a                         	       0        4        0        4        0
37521 libpseudo                          	       0        4        0        0        4
37522 libpsiconv-dev                     	       0        1        0        1        0
37523 libpsiconv6                        	       0        1        0        0        1
37524 libpskc-dev                        	       0        3        0        3        0
37525 libpskc0t64                        	       0       53        0        4       49
37526 libpsl0                            	       0      208        0        0      208
37527 libpsm2-2                          	       0      326        0        0      326
37528 libpsmt2-frontend-ocaml            	       0        1        0        1        0
37529 libpsospa                          	       0        3        0        3        0
37530 libpspell-dev                      	       0        3        0        3        0
37531 libpst4                            	       0      241        1        2      238
37532 libpst4t64                         	       0       12        0        0       12
37533 libpstat1                          	       0        1        0        1        0
37534 libpstoedit0c2a                    	       0      235        0        0      235
37535 libpstoedit0t64                    	       0       30        0        0       30
37536 libpsurface0t64                    	       0        1        0        0        1
37537 libpsych-java                      	       0        1        0        0        1
37538 libpt-1.10.0                       	       0        1        0        0        1
37539 libpt-plugins-v4l2                 	       0        1        0        1        0
37540 libpt2.10.10                       	       0        2        0        2        0
37541 libpt2.10.11                       	       0        7        0        7        0
37542 libpt2.10.4                        	       0        2        0        2        0
37543 libpt2.10.9                        	       0        1        0        1        0
37544 libpt2.6.7                         	       0        1        0        1        0
37545 libptexenc-dev                     	       0        1        0        1        0
37546 libptexenc1                        	       0      638        0        0      638
37547 libpth-dev                         	       0        4        0        4        0
37548 libpth20                           	       0       98        0        2       96
37549 libpth20t64                        	       0        1        0        0        1
37550 libpthread-stubs0                  	       0       11        0        0       11
37551 libpthread-stubs0-dev              	       0     1095        0        0     1095
37552 libpthreadpool-dev                 	       0        2        0        2        0
37553 libpthreadpool0                    	       0      118        0        0      118
37554 libptl-dev                         	       0        1        0        1        0
37555 libptl2                            	       0        1        0        0        1
37556 libptscotch-5.1                    	       0        1        0        1        0
37557 libptscotch-6.0                    	       0        1        0        0        1
37558 libptscotch-6.1                    	       0        2        0        0        2
37559 libptscotch-7.0                    	       0       57        0        0       57
37560 libptscotch-dev                    	       0        4        0        4        0
37561 libptytty0                         	       0      129        9       27       93
37562 libpugixml-dev                     	       0       22        0        0       22
37563 libpugixml1                        	       0        1        0        0        1
37564 libpugixml1v5                      	       0      613        6        9      598
37565 libpugl-0-0                        	       0        1        0        0        1
37566 libpugl-dev                        	       0        1        0        1        0
37567 libpulse-java                      	       0        4        0        0        4
37568 libpulse-jni                       	       0        4        0        0        4
37569 libpulse-mainloop-glib0-dbgsym     	       0        1        0        1        0
37570 libpulse-ocaml                     	       0        4        0        3        1
37571 libpulse-ocaml-dev                 	       0        2        0        2        0
37572 libpulse0-dbgsym                   	       0        1        0        1        0
37573 libpulsecore5                      	       0        1        0        0        1
37574 libpulsedsp                        	       0     2919        0        0     2919
37575 libpulsedsp-dbgsym                 	       0        1        0        1        0
37576 libpuppetlabs-http-client-clojure  	       0        1        0        0        1
37577 libpuppetlabs-i18n-clojure         	       0        1        0        0        1
37578 libpuppetlabs-ring-middleware-clojure	       0        1        0        0        1
37579 libpurelibc1                       	       0        2        0        0        2
37580 libpurify-dev                      	       0        2        0        2        0
37581 libpurify2.0                       	       0        2        0        0        2
37582 libpurple-telegram-tdlib           	       0        1        0        1        0
37583 libpurple0-dbgsym                  	       0        1        0        1        0
37584 libpurple0t64                      	       0       28        0        3       25
37585 libpuzzle-bin                      	       0        1        0        1        0
37586 libpuzzle-dev                      	       0        1        0        1        0
37587 libpuzzle1                         	       0        1        0        0        1
37588 libpvm3                            	       0        8        0        0        8
37589 libpwiz3                           	       0        1        0        0        1
37590 libpwquality-common                	       0      933        0        0      933
37591 libpwquality-dev                   	       0        2        0        2        0
37592 libpynac-dev                       	       0        1        0        1        0
37593 libpynac18                         	       0        1        0        0        1
37594 libpynac18py3                      	       0        1        0        0        1
37595 libpyside-dev                      	       0        1        0        1        0
37596 libpyside-py3-1.2                  	       0        1        0        0        1
37597 libpyside1.2                       	       0        8        0        0        8
37598 libpyside2-5.11                    	       0        3        0        3        0
37599 libpyside2-dev                     	       0        6        0        6        0
37600 libpystring0                       	       0      213        0        1      212
37601 libpython-all-dbg                  	       0        4        0        0        4
37602 libpython-all-dev                  	       0       55        0        0       55
37603 libpython-dbg                      	       0        4        0        4        0
37604 libpython-dev                      	       0       75        2       73        0
37605 libpython-stdlib                   	       0      502        0        0      502
37606 libpython2-dbg                     	       0        6        0        6        0
37607 libpython2-dev                     	       0       77        1       76        0
37608 libpython2-stdlib                  	       0      572        0        0      572
37609 libpython2.6                       	       0        7        0        7        0
37610 libpython2.7                       	       0      500        2        4      494
37611 libpython2.7-dbg                   	       0        8        0        8        0
37612 libpython2.7-dev                   	       0      132        2      130        0
37613 libpython3-all-dbg                 	       0        8        0        0        8
37614 libpython3-all-dev                 	       0      457        0        0      457
37615 libpython3-dbg                     	       0       16        0       16        0
37616 libpython3-stdlib                  	       0     4106        0        0     4106
37617 libpython3.10                      	       0       27        0        1       26
37618 libpython3.10-dbg                  	       0        1        0        1        0
37619 libpython3.10-dev                  	       0       10        0       10        0
37620 libpython3.10-minimal              	       0       31        3       28        0
37621 libpython3.10-stdlib               	       0       31        3       28        0
37622 libpython3.11-dbg                  	       0        5        0        5        0
37623 libpython3.11t64                   	       0       41        0        3       38
37624 libpython3.11t64-dbg               	       0        1        0        1        0
37625 libpython3.12-testsuite            	       0        3        0        3        0
37626 libpython3.12t64                   	       0      185        1       14      170
37627 libpython3.12t64-dbg               	       0        1        0        1        0
37628 libpython3.13-dbg                  	       0        1        0        1        0
37629 libpython3.13-testsuite            	       0        1        0        1        0
37630 libpython3.2                       	       0        3        0        3        0
37631 libpython3.3                       	       0        1        0        0        1
37632 libpython3.3-minimal               	       0        4        0        4        0
37633 libpython3.3-stdlib                	       0        4        0        4        0
37634 libpython3.4                       	       0       20        0        0       20
37635 libpython3.4-dev                   	       0        3        0        3        0
37636 libpython3.5                       	       0      108        0        0      108
37637 libpython3.5-dbg                   	       0        3        0        3        0
37638 libpython3.5-dev                   	       0       20        0       20        0
37639 libpython3.5-minimal               	       0      224       21      203        0
37640 libpython3.5-stdlib                	       0      222       20      202        0
37641 libpython3.6                       	       0        2        0        0        2
37642 libpython3.6-dev                   	       0        1        0        1        0
37643 libpython3.6-minimal               	       0        7        0        7        0
37644 libpython3.6-stdlib                	       0        7        0        7        0
37645 libpython3.7                       	       0      226        0        1      225
37646 libpython3.7-dbg                   	       0        4        0        4        0
37647 libpython3.7-dev                   	       0       41        0       41        0
37648 libpython3.7-testsuite             	       0        1        0        1        0
37649 libpython3.8                       	       0        5        0        0        5
37650 libpython3.8-dev                   	       0        1        0        1        0
37651 libpython3.8-minimal               	       0        8        0        8        0
37652 libpython3.8-stdlib                	       0        7        0        7        0
37653 libpython3.9                       	       0      670        9       39      622
37654 libpython3.9-dbg                   	       0        7        1        6        0
37655 libpython3.9-testsuite             	       0        3        0        3        0
37656 libpythonize0-trinity              	       0        2        0        0        2
37657 libpyzy-1.0-0v5                    	       0        1        0        1        0
37658 libqaccessibilityclient-qt5-dev    	       0        1        0        1        0
37659 libqaccessibilityclient-qt6-dev    	       0        1        0        1        0
37660 libqaccessibilityclient0           	       0        8        0        0        8
37661 libqalculate-data                  	       0      514        0        1      513
37662 libqalculate-dev                   	       0        5        0        5        0
37663 libqalculate-doc                   	       0        2        0        0        2
37664 libqalculate20                     	       0      116        2       11      103
37665 libqalculate20-data                	       0      118        0        0      118
37666 libqalculate22t64                  	       0        2        0        0        2
37667 libqalculate5                      	       0        5        0        1        4
37668 libqalculate5-data                 	       0       18        0        0       18
37669 libqalculate5v5                    	       0       13        0        0       13
37670 libqapt3                           	       0       47        0        0       47
37671 libqb-dev                          	       0       13        0       13        0
37672 libqb0                             	       0       15        0        0       15
37673 libqb100                           	       0       20        1        7       12
37674 libqbscore1.12                     	       0        3        0        0        3
37675 libqbscore1.24                     	       0        2        0        0        2
37676 libqbscore1.7                      	       0        3        0        0        3
37677 libqbscore2.1                      	       0        1        0        0        1
37678 libqbsqtprofilesetup1.12           	       0        3        0        0        3
37679 libqbsqtprofilesetup1.7            	       0        3        0        0        3
37680 libqbsscriptengine1.24             	       0        3        0        0        3
37681 libqca-qt5-2-dev                   	       0        8        0        8        0
37682 libqca2                            	       0       48        1        0       47
37683 libqca2-dev                        	       0        1        0        1        0
37684 libqca2-plugin-ossl                	       0       15        0        0       15
37685 libqca2-plugins                    	       0       41        0        0       41
37686 libqcoro5core0                     	       0        2        0        0        2
37687 libqcoro5dbus0                     	       0        2        0        0        2
37688 libqcoro5network0                  	       0        2        0        0        2
37689 libqcoro5qml0                      	       0        2        0        0        2
37690 libqcoro5quick0                    	       0        1        0        0        1
37691 libqcoro5websockets0               	       0        1        0        0        1
37692 libqcoro6core0t64                  	       0       19        0        0       19
37693 libqcoro6network0t64               	       0        1        0        0        1
37694 libqcoro6qml0t64                   	       0        1        0        0        1
37695 libqcoro6quick0t64                 	       0        1        0        0        1
37696 libqcoro6websockets0t64            	       0        1        0        0        1
37697 libqcow-dev                        	       0        2        0        2        0
37698 libqcow-utils                      	       0       11        0       11        0
37699 libqcow1                           	       0       23        0        0       23
37700 libqcow1t64                        	       0        2        0        0        2
37701 libqcsxcad0                        	       0        6        0        0        6
37702 libqcustomplot-dev                 	       0        3        0        3        0
37703 libqcustomplot-doc                 	       0        1        0        1        0
37704 libqcustomplot1.2                  	       0        2        0        0        2
37705 libqcustomplot1.3                  	       0        4        0        0        4
37706 libqcustomplot2.0                  	       0        4        0        0        4
37707 libqcustomplot2.1                  	       0       26        0        0       26
37708 libqcustomplot2.1-qt6              	       0        3        0        0        3
37709 libqd-dev                          	       0        1        0        1        0
37710 libqd0v5                           	       0        1        0        0        1
37711 libqdbm-dev                        	       0        3        0        3        0
37712 libqdbm14                          	       0      117        2        6      109
37713 libqdbm14t64                       	       0        2        0        0        2
37714 libqdox-java                       	       0      138        0        0      138
37715 libqdox-java-doc                   	       0        6        0        0        6
37716 libqdox2-java                      	       0       28        0        0       28
37717 libqdox2-java-doc                  	       0        1        0        0        1
37718 libqdwizard-java                   	       0        1        0        0        1
37719 libqedje0a                         	       0        1        0        0        1
37720 libqemu-dev                        	       0        1        0        1        0
37721 libqes0                            	       0        1        0        0        1
37722 libqextserialport1                 	       0        4        0        0        4
37723 libqfits-dev                       	       0        2        0        2        0
37724 libqfits0                          	       0        4        0        0        4
37725 libqgis-3d3.10.14                  	       0        9        0        0        9
37726 libqgis-3d3.16.15                  	       0        1        0        0        1
37727 libqgis-3d3.16.16                  	       0        1        0        0        1
37728 libqgis-3d3.22.12                  	       0        1        0        0        1
37729 libqgis-3d3.22.16                  	       0       25        0        1       24
37730 libqgis-3d3.22.2                   	       0        1        0        0        1
37731 libqgis-3d3.22.4                   	       0        1        0        0        1
37732 libqgis-3d3.28.10                  	       0        1        0        0        1
37733 libqgis-3d3.28.14                  	       0        1        0        0        1
37734 libqgis-3d3.32.2                   	       0        1        0        0        1
37735 libqgis-3d3.34.10                  	       0        1        0        0        1
37736 libqgis-3d3.34.12                  	       0        1        0        0        1
37737 libqgis-3d3.34.2                   	       0        2        0        0        2
37738 libqgis-3d3.34.6                   	       0        1        0        0        1
37739 libqgis-3d3.34.7                   	       0        1        0        0        1
37740 libqgis-3d3.34.8                   	       0        1        0        0        1
37741 libqgis-3d3.38.3                   	       0        1        1        0        0
37742 libqgis-analysis2.18.28            	       0        2        0        0        2
37743 libqgis-analysis2.4.0              	       0        1        0        0        1
37744 libqgis-analysis3.10.14            	       0       10        0        0       10
37745 libqgis-analysis3.16.15            	       0        1        0        0        1
37746 libqgis-analysis3.16.16            	       0        1        0        0        1
37747 libqgis-analysis3.22.16            	       0       25        0        1       24
37748 libqgis-analysis3.22.2             	       0        1        0        0        1
37749 libqgis-analysis3.28.10            	       0        1        0        0        1
37750 libqgis-analysis3.28.11            	       0        1        0        0        1
37751 libqgis-analysis3.32.2             	       0        1        0        0        1
37752 libqgis-analysis3.34.10            	       0        1        0        0        1
37753 libqgis-analysis3.34.12            	       0        1        0        0        1
37754 libqgis-analysis3.34.2             	       0        2        0        0        2
37755 libqgis-analysis3.34.6             	       0        1        0        0        1
37756 libqgis-analysis3.34.7             	       0        1        0        0        1
37757 libqgis-analysis3.34.8             	       0        1        0        0        1
37758 libqgis-analysis3.38.3             	       0        1        1        0        0
37759 libqgis-app2.18.28                 	       0        2        0        0        2
37760 libqgis-app3.10.14                 	       0        9        0        0        9
37761 libqgis-app3.22.16                 	       0       24        0        1       23
37762 libqgis-app3.22.2                  	       0        1        0        0        1
37763 libqgis-app3.28.10                 	       0        1        0        0        1
37764 libqgis-app3.32.2                  	       0        1        0        0        1
37765 libqgis-app3.34.10                 	       0        1        0        0        1
37766 libqgis-app3.34.12                 	       0        1        0        0        1
37767 libqgis-app3.34.2                  	       0        2        0        0        2
37768 libqgis-app3.34.6                  	       0        1        0        0        1
37769 libqgis-app3.34.7                  	       0        1        0        0        1
37770 libqgis-app3.34.8                  	       0        1        0        0        1
37771 libqgis-app3.38.3                  	       0        1        1        0        0
37772 libqgis-core2.14.11                	       0        1        0        0        1
37773 libqgis-core2.18.28                	       0        2        0        0        2
37774 libqgis-core2.4.0                  	       0        1        0        0        1
37775 libqgis-core3.10.13                	       0        2        0        0        2
37776 libqgis-core3.10.14                	       0       10        0        1        9
37777 libqgis-core3.16.15                	       0        1        0        0        1
37778 libqgis-core3.16.16                	       0        1        0        0        1
37779 libqgis-core3.22.12                	       0        1        0        0        1
37780 libqgis-core3.22.16                	       0       26        0        1       25
37781 libqgis-core3.22.2                 	       0        1        0        0        1
37782 libqgis-core3.22.4                 	       0        1        0        0        1
37783 libqgis-core3.28.10                	       0        1        0        0        1
37784 libqgis-core3.28.11                	       0        1        0        0        1
37785 libqgis-core3.28.14                	       0        1        0        0        1
37786 libqgis-core3.32.2                 	       0        1        0        0        1
37787 libqgis-core3.34.10                	       0        1        0        0        1
37788 libqgis-core3.34.12                	       0        1        0        0        1
37789 libqgis-core3.34.2                 	       0        2        0        0        2
37790 libqgis-core3.34.6                 	       0        1        0        0        1
37791 libqgis-core3.34.7                 	       0        1        0        0        1
37792 libqgis-core3.34.8                 	       0        1        0        0        1
37793 libqgis-core3.38.3                 	       0        1        1        0        0
37794 libqgis-customwidgets              	       0       44        0        0       44
37795 libqgis-dev                        	       0        1        0        1        0
37796 libqgis-gui2.14.11                 	       0        1        0        0        1
37797 libqgis-gui2.18.28                 	       0        2        0        0        2
37798 libqgis-gui2.4.0                   	       0        1        0        0        1
37799 libqgis-gui3.10.13                 	       0        1        0        0        1
37800 libqgis-gui3.10.14                 	       0        9        0        1        8
37801 libqgis-gui3.16.15                 	       0        1        0        0        1
37802 libqgis-gui3.16.16                 	       0        1        0        0        1
37803 libqgis-gui3.22.12                 	       0        1        0        0        1
37804 libqgis-gui3.22.16                 	       0       26        0        1       25
37805 libqgis-gui3.22.2                  	       0        1        0        0        1
37806 libqgis-gui3.22.4                  	       0        1        0        0        1
37807 libqgis-gui3.28.10                 	       0        1        0        0        1
37808 libqgis-gui3.28.11                 	       0        1        0        0        1
37809 libqgis-gui3.28.14                 	       0        1        0        0        1
37810 libqgis-gui3.32.2                  	       0        1        0        0        1
37811 libqgis-gui3.34.10                 	       0        1        0        0        1
37812 libqgis-gui3.34.12                 	       0        1        0        0        1
37813 libqgis-gui3.34.2                  	       0        2        0        0        2
37814 libqgis-gui3.34.6                  	       0        1        0        0        1
37815 libqgis-gui3.34.7                  	       0        1        0        0        1
37816 libqgis-gui3.34.8                  	       0        1        0        0        1
37817 libqgis-gui3.38.3                  	       0        1        1        0        0
37818 libqgis-native3.10.13              	       0        2        0        0        2
37819 libqgis-native3.10.14              	       0       10        0        1        9
37820 libqgis-native3.10.4               	       0        1        0        0        1
37821 libqgis-native3.16.10              	       0        1        0        0        1
37822 libqgis-native3.16.12              	       0        1        0        0        1
37823 libqgis-native3.16.14              	       0        1        0        0        1
37824 libqgis-native3.16.15              	       0        1        0        0        1
37825 libqgis-native3.16.16              	       0        1        0        0        1
37826 libqgis-native3.22.12              	       0        1        0        0        1
37827 libqgis-native3.22.16              	       0       26        0        1       25
37828 libqgis-native3.22.2               	       0        1        0        0        1
37829 libqgis-native3.22.4               	       0        1        0        0        1
37830 libqgis-native3.28.10              	       0        1        0        0        1
37831 libqgis-native3.28.11              	       0        1        0        0        1
37832 libqgis-native3.28.14              	       0        1        0        0        1
37833 libqgis-native3.32.2               	       0        1        0        0        1
37834 libqgis-native3.34.10              	       0        1        0        0        1
37835 libqgis-native3.34.12              	       0        1        0        0        1
37836 libqgis-native3.34.2               	       0        2        0        0        2
37837 libqgis-native3.34.6               	       0        1        0        0        1
37838 libqgis-native3.34.7               	       0        1        0        0        1
37839 libqgis-native3.34.8               	       0        1        0        0        1
37840 libqgis-native3.38.3               	       0        1        1        0        0
37841 libqgis-native3.4.6                	       0        1        0        0        1
37842 libqgis-networkanalysis2.18.28     	       0        2        0        0        2
37843 libqgis-networkanalysis2.4.0       	       0        1        0        0        1
37844 libqgis-server2.18.28              	       0        2        0        0        2
37845 libqgis-server3.10.14              	       0       10        0        0       10
37846 libqgis-server3.16.15              	       0        1        0        0        1
37847 libqgis-server3.16.16              	       0        1        0        0        1
37848 libqgis-server3.22.12              	       0        1        0        0        1
37849 libqgis-server3.22.16              	       0       25        0        0       25
37850 libqgis-server3.22.2               	       0        1        0        0        1
37851 libqgis-server3.22.4               	       0        1        0        0        1
37852 libqgis-server3.28.10              	       0        1        0        0        1
37853 libqgis-server3.28.14              	       0        1        0        0        1
37854 libqgis-server3.32.2               	       0        1        0        0        1
37855 libqgis-server3.34.10              	       0        1        0        0        1
37856 libqgis-server3.34.12              	       0        1        0        0        1
37857 libqgis-server3.34.2               	       0        2        0        0        2
37858 libqgis-server3.34.6               	       0        1        0        0        1
37859 libqgis-server3.34.7               	       0        1        0        0        1
37860 libqgis-server3.34.8               	       0        1        0        0        1
37861 libqgis-server3.38.3               	       0        1        0        0        1
37862 libqgisgrass2.4.0                  	       0        1        0        0        1
37863 libqgisgrass7-2.18.28              	       0        1        0        0        1
37864 libqgisgrass7-3.10.13              	       0        1        0        0        1
37865 libqgisgrass7-3.10.14              	       0        8        0        0        8
37866 libqgisgrass7-3.16.15              	       0        1        0        0        1
37867 libqgisgrass7-3.16.16              	       0        1        0        0        1
37868 libqgisgrass7-3.22.2               	       0        1        0        0        1
37869 libqgisgrass7-3.22.4               	       0        1        0        0        1
37870 libqgisgrass8-3.22.12              	       0        1        0        0        1
37871 libqgisgrass8-3.22.16              	       0       25        0        1       24
37872 libqgisgrass8-3.28.10              	       0        1        0        0        1
37873 libqgisgrass8-3.28.14              	       0        1        0        0        1
37874 libqgisgrass8-3.34.10              	       0        1        0        0        1
37875 libqgisgrass8-3.34.12              	       0        1        0        0        1
37876 libqgisgrass8-3.34.6               	       0        1        0        0        1
37877 libqgisgrass8-3.34.7               	       0        1        0        0        1
37878 libqgisgrass8-3.34.8               	       0        1        0        0        1
37879 libqgisgrass8-3.38.3               	       0        1        0        0        1
37880 libqgispython2.18.28               	       0        2        0        0        2
37881 libqgispython3.10.14               	       0       10        0        0       10
37882 libqgispython3.16.15               	       0        1        0        0        1
37883 libqgispython3.16.16               	       0        1        0        0        1
37884 libqgispython3.22.12               	       0        1        0        0        1
37885 libqgispython3.22.16               	       0       25        0        1       24
37886 libqgispython3.22.2                	       0        1        0        0        1
37887 libqgispython3.22.4                	       0        1        0        0        1
37888 libqgispython3.28.10               	       0        1        0        0        1
37889 libqgispython3.28.14               	       0        1        0        0        1
37890 libqgispython3.32.2                	       0        1        0        0        1
37891 libqgispython3.34.10               	       0        1        0        0        1
37892 libqgispython3.34.12               	       0        1        0        0        1
37893 libqgispython3.34.2                	       0        2        0        0        2
37894 libqgispython3.34.6                	       0        1        0        0        1
37895 libqgispython3.34.7                	       0        1        0        0        1
37896 libqgispython3.34.8                	       0        1        0        0        1
37897 libqgispython3.38.3                	       0        1        1        0        0
37898 libqglviewer-dev-qt5               	       0        6        0        0        6
37899 libqglviewer-headers               	       0        6        1        5        0
37900 libqglviewer2-qt5                  	       0        9        0        1        8
37901 libqgpgme1                         	       0        4        0        1        3
37902 libqgpgme15                        	       0      384       22       59      303
37903 libqgpgme15t64                     	       0       18        0        3       15
37904 libqgpgme7                         	       0      104        0        9       95
37905 libqgpgmeqt6-15                    	       0       13        3        3        7
37906 libqgsttools-p1                    	       0       23        0        0       23
37907 libqhttpengine-doc                 	       0        1        0        0        1
37908 libqhttpengine0                    	       0        1        0        0        1
37909 libqhull-doc                       	       0        1        0        1        0
37910 libqhull-r7                        	       0        3        0        0        3
37911 libqhull-r8.0                      	       0      757        3        8      746
37912 libqhull5                          	       0        1        0        0        1
37913 libqhull6                          	       0        3        0        0        3
37914 libqhull7                          	       0       73        0        0       73
37915 libqhull7deb1                      	       0        1        0        0        1
37916 libqhull8.0                        	       0      182        0        2      180
37917 libqhullcpp8.0                     	       0       48        0        0       48
37918 libqimageblitz4                    	       0       32        0        1       31
37919 libqjdns-qt5-2                     	       0        1        0        0        1
37920 libqjson-dev                       	       0        1        0        1        0
37921 libqjson0                          	       0       29        0        1       28
37922 libqm-dsp0                         	       0       67        0        0       67
37923 libqm-dsp0t64                      	       0        2        0        0        2
37924 libqmatrixclient0.4                	       0        1        0        0        1
37925 libqmi-glib1                       	       0       25        0        0       25
37926 libqmi-proxy                       	       0     2733        3      122     2608
37927 libqmmp-misc                       	       0        1        0        1        0
37928 libqmmp0                           	       0        1        0        0        1
37929 libqmmpui0                         	       0        1        0        0        1
37930 libqmobipocket1                    	       0       17        0        0       17
37931 libqmobipocket2                    	       0      789        0        0      789
37932 libqmobipocket6-2                  	       0       38        0        0       38
37933 libqoauth1                         	       0        3        0        0        3
37934 libqoauth2                         	       0        2        0        0        2
37935 libqof2                            	       0        1        0        0        1
37936 libqof2-backend-qsf                	       0        1        0        0        1
37937 libqofono-qt5-0                    	       0        1        0        0        1
37938 libqpdf13                          	       0       29        0        0       29
37939 libqpdf17                          	       0       80        0        0       80
37940 libqpdf18                          	       0        1        0        0        1
37941 libqpdf21                          	       0      136        0        0      136
37942 libqpdf26                          	       0        1        0        0        1
37943 libqpdf28                          	       0      457        0        1      456
37944 libqpdf29                          	       0     2089        1        3     2085
37945 libqpdf29t64                       	       0      191        0        0      191
37946 libqpdf3                           	       0        1        0        0        1
37947 libqpid-proton11                   	       0        9        0        0        9
37948 libqpid-proton11-dev               	       0        1        0        1        0
37949 libqpol1                           	       0        5        0        0        5
37950 libqpx-dev                         	       0        2        0        2        0
37951 libqpx0                            	       0       13        0        0       13
37952 libqpx0t64                         	       0        3        0        0        3
37953 libqqc2breezestyle-dev             	       0        1        0        0        1
37954 libqqwing2v5                       	       0      386        0        0      386
37955 libqrcodegen-java                  	       0        4        0        0        4
37956 libqrcodegen1                      	       0       12        0        0       12
37957 libqrcodegencpp-dev                	       0        6        0        0        6
37958 libqrcodegencpp1                   	       0      611       10       44      557
37959 libqrencode-dev                    	       0       27        0       27        0
37960 libqrencode3                       	       0       66        0        1       65
37961 libqrtr1                           	       0        1        0        0        1
37962 libqrupdate-dev                    	       0        3        0        0        3
37963 libqrupdate1                       	       0       88        0        0       88
37964 libqsastime-dev                    	       0        4        0        4        0
37965 libqsastime0                       	       0       10        0        0       10
37966 libqsbr-dev                        	       0        1        0        1        0
37967 libqsbr1                           	       0        1        0        0        1
37968 libqscintilla2-11                  	       0        2        0        0        2
37969 libqscintilla2-12v5                	       0        5        0        0        5
37970 libqscintilla2-designer            	       0        1        0        0        1
37971 libqscintilla2-doc                 	       0        3        0        3        0
37972 libqscintilla2-l10n                	       0        6        0        0        6
37973 libqscintilla2-qt4-13              	       0        4        0        0        4
37974 libqscintilla2-qt4-l10n            	       0        4        0        0        4
37975 libqscintilla2-qt5-13              	       0       22        1        0       21
37976 libqscintilla2-qt5-15              	       0      271        1        7      263
37977 libqscintilla2-qt5-designer        	       0        4        0        0        4
37978 libqscintilla2-qt5-dev             	       0       11        0       11        0
37979 libqscintilla2-qt5-l10n            	       0      286        0        0      286
37980 libqscintilla2-qt6-15              	       0       15        0        0       15
37981 libqscintilla2-qt6-designer        	       0        1        0        0        1
37982 libqscintilla2-qt6-dev             	       0        1        0        1        0
37983 libqscintilla2-qt6-l10n            	       0       15        0        0       15
37984 libqsopt-ex-dev                    	       0        3        0        3        0
37985 libqsopt-ex2                       	       0       12        0        0       12
37986 libqsopt-ex2t64                    	       0        2        0        0        2
37987 libqt-jami                         	       0        5        0        5        0
37988 libqt0-ruby-trinity                	       0        2        0        2        0
37989 libqt3-compat-headers              	       0        3        0        3        0
37990 libqt3-headers                     	       0        5        0        5        0
37991 libqt3-i18n                        	       0        4        0        0        4
37992 libqt3-mt                          	       0        7        0        7        0
37993 libqt3-mt-dev                      	       0        1        0        1        0
37994 libqt3-mt-sqlite                   	       0        2        0        2        0
37995 libqt4-assistant                   	       0        2        0        0        2
37996 libqt4-dbg                         	       0        1        0        1        0
37997 libqt4-dbus                        	       0      118        0        0      118
37998 libqt4-declarative                 	       0       70        1        0       69
37999 libqt4-declarative-folderlistmodel 	       0        1        0        0        1
38000 libqt4-declarative-gestures        	       0        3        0        0        3
38001 libqt4-declarative-particles       	       0        3        0        0        3
38002 libqt4-declarative-shaders         	       0        1        0        0        1
38003 libqt4-designer                    	       0       72        0        0       72
38004 libqt4-dev                         	       0       17        0       17        0
38005 libqt4-dev-bin                     	       0       20        0       20        0
38006 libqt4-help                        	       0       41        0        0       41
38007 libqt4-network                     	       0      109        1        0      108
38008 libqt4-opengl                      	       0       78        1        0       77
38009 libqt4-opengl-dev                  	       0       14        0       14        0
38010 libqt4-qt3support                  	       0       59        0        0       59
38011 libqt4-script                      	       0       74        1        0       73
38012 libqt4-scripttools                 	       0       41        0        0       41
38013 libqt4-sql                         	       0       79        1        0       78
38014 libqt4-sql-mysql                   	       0       72        0        1       71
38015 libqt4-sql-psql                    	       0        1        0        0        1
38016 libqt4-sql-sqlite                  	       0       55        0        0       55
38017 libqt4-svg                         	       0       94        1        0       93
38018 libqt4-test                        	       0       40        0        0       40
38019 libqt4-webkit                      	       0        3        0        0        3
38020 libqt4-xml                         	       0      137        1        0      136
38021 libqt4-xmlpatterns                 	       0       76        1        0       75
38022 libqt4pas5                         	       0        2        0        0        2
38023 libqt5-ukui-style-dev              	       0        3        0        3        0
38024 libqt5-ukui-style1                 	       0        9        0        0        9
38025 libqt53danimation5                 	       0       52        0        0       52
38026 libqt53dcore5                      	       0       58        1        1       56
38027 libqt53dextras5                    	       0       55        1        1       53
38028 libqt53dinput5                     	       0       57        1        1       55
38029 libqt53dlogic5                     	       0       57        1        1       55
38030 libqt53dquick5                     	       0       10        0        0       10
38031 libqt53dquickanimation5            	       0        9        0        0        9
38032 libqt53dquickextras5               	       0       10        0        0       10
38033 libqt53dquickinput5                	       0       10        0        0       10
38034 libqt53dquickrender5               	       0       11        0        0       11
38035 libqt53dquickscene2d5              	       0       47        0        0       47
38036 libqt53drender5                    	       0       58        1        1       56
38037 libqt5bluetooth5                   	       0       16        0        0       16
38038 libqt5bluetooth5-bin               	       0       16        0       16        0
38039 libqt5charts5-dev                  	       0       18        0       18        0
38040 libqt5clucene5                     	       0       32        0        0       32
38041 libqt5concurrent5-dbgsym           	       0        1        0        1        0
38042 libqt5concurrent5t64               	       0      101        3       21       77
38043 libqt5contacts5                    	       0        1        0        0        1
38044 libqt5contacts5a                   	       0        3        0        0        3
38045 libqt5core5a-dbgsym                	       0        1        0        1        0
38046 libqt5core5t64                     	       0      202       12       64      126
38047 libqt5ct-common1.8                 	       0       12        1        8        3
38048 libqt5datavisualization5           	       0       27        0        0       27
38049 libqt5datavisualization5-dev       	       0        7        0        7        0
38050 libqt5dbus5-dbgsym                 	       0        1        0        1        0
38051 libqt5dbus5t64                     	       0      202       12       62      128
38052 libqt5designer5                    	       0     1338        2        5     1331
38053 libqt5designercomponents5          	       0      213        0        1      212
38054 libqt5feedback5                    	       0        7        0        1        6
38055 libqt5gamepad5                     	       0       94        0        3       91
38056 libqt5gamepad5-dev                 	       0       10        0       10        0
38057 libqt5glib-2.0-0                   	       0       27        0        0       27
38058 libqt5gstreamer-1.0-0              	       0       22        0        0       22
38059 libqt5gstreamer-dev                	       0        6        0        6        0
38060 libqt5gstreamerquick-1.0-0         	       0       18        0        0       18
38061 libqt5gstreamerui-1.0-0            	       0        9        0        0        9
38062 libqt5gstreamerutils-1.0-0         	       0        9        0        0        9
38063 libqt5gui5-dbgsym                  	       0        1        0        1        0
38064 libqt5gui5-gles                    	       0        2        0        0        2
38065 libqt5gui5t64                      	       0      199       12       62      125
38066 libqt5help5                        	       0     1434       11       26     1397
38067 libqt5hunspellinputmethod5         	       0       15        0        1       14
38068 libqt5location5                    	       0      165        1        1      163
38069 libqt5location5-plugin-mapboxgl    	       0        8        0        0        8
38070 libqt5location5-plugins            	       0       25        0        0       25
38071 libqt5multimedia5                  	       0     1537       14       53     1470
38072 libqt5multimedia5-plugins          	       0      911        2        4      905
38073 libqt5multimediagsttools5          	       0      905        2        4      899
38074 libqt5multimediaquick-p5           	       0       18        0        0       18
38075 libqt5multimediaquick5             	       0      872        1        2      869
38076 libqt5multimediawidgets5           	       0     1004        3        5      996
38077 libqt5network5-dbgsym              	       0        1        0        1        0
38078 libqt5network5t64                  	       0      201       12       63      126
38079 libqt5networkauth5                 	       0      537        0        0      537
38080 libqt5networkauth5-dev             	       0        6        0        6        0
38081 libqt5nfc5                         	       0       12        0        0       12
38082 libqt5opengl5                      	       0     1244        3       16     1225
38083 libqt5opengl5-dbgsym               	       0        1        0        1        0
38084 libqt5opengl5t64                   	       0      107        0        3      104
38085 libqt5organizer5                   	       0        2        0        0        2
38086 libqt5organizer5a                  	       0        3        0        0        3
38087 libqt5pas-dev                      	       0       20        0        0       20
38088 libqt5pas1                         	       0       61        1        3       57
38089 libqt5pdf5                         	       0       41        3       17       21
38090 libqt5pdfwidgets5                  	       0        7        0        0        7
38091 libqt5positioning5-plugins         	       0       72        0        0       72
38092 libqt5positioningquick5            	       0      199        1        1      197
38093 libqt5printsupport5-dbgsym         	       0        1        0        1        0
38094 libqt5printsupport5t64             	       0      151        7       36      108
38095 libqt5publishsubscribe5            	       0        7        0        0        7
38096 libqt5qevercloud3                  	       0        2        0        0        2
38097 libqt5qevercloud6                  	       0        1        0        0        1
38098 libqt5qml5-dbgsym                  	       0        1        0        1        0
38099 libqt5quentier0                    	       0        1        0        0        1
38100 libqt5quick5-dbgsym                	       0        1        0        1        0
38101 libqt5quick5-gles                  	       0        3        0        0        3
38102 libqt5quickparticles5              	       0      808        1        2      805
38103 libqt5quickshapes5                 	       0      937        1        3      933
38104 libqt5quicktest5                   	       0      142        0        0      142
38105 libqt5qxlsx0t64                    	       0        9        0        0        9
38106 libqt5remoteobjects5               	       0      113        1        1      111
38107 libqt5remoteobjects5-bin           	       0        5        0        5        0
38108 libqt5remoteobjects5-dev           	       0        5        0        5        0
38109 libqt5scintilla2-12v5              	       0        7        0        0        7
38110 libqt5scintilla2-12v5-dbg          	       0        1        0        1        0
38111 libqt5scintilla2-designer          	       0        2        0        0        2
38112 libqt5scintilla2-designer-dbg      	       0        1        0        1        0
38113 libqt5scintilla2-dev               	       0        1        0        1        0
38114 libqt5scintilla2-l10n              	       0        9        0        0        9
38115 libqt5scripttools5                 	       0      167        0        0      167
38116 libqt5scxml5                       	       0        6        0        0        6
38117 libqt5scxml5-bin                   	       0        4        0        4        0
38118 libqt5scxml5-dev                   	       0        4        0        4        0
38119 libqt5scxml5-private-dev           	       0        3        0        3        0
38120 libqt5sensors5-dev                 	       0       10        0       10        0
38121 libqt5serialbus5                   	       0        8        0        0        8
38122 libqt5serialbus5-bin               	       0        2        0        2        0
38123 libqt5serialbus5-dev               	       0        5        0        5        0
38124 libqt5serialbus5-plugins           	       0        5        0        0        5
38125 libqt5serialport5                  	       0      432        1        4      427
38126 libqt5serialport5-dev              	       0       28        0       28        0
38127 libqt5serviceframework5            	       0        6        0        0        6
38128 libqt5sql5-dbgsym                  	       0        1        0        1        0
38129 libqt5sql5-ibase                   	       0        2        0        0        2
38130 libqt5sql5-mysql                   	       0      602       20       71      511
38131 libqt5sql5-odbc                    	       0        5        0        0        5
38132 libqt5sql5-psql                    	       0       30        0        0       30
38133 libqt5sql5-sqlite-dbgsym           	       0        1        0        1        0
38134 libqt5sql5-tds                     	       0        4        0        0        4
38135 libqt5sql5t64                      	       0      148        2       33      113
38136 libqt5systeminfo5                  	       0       10        0        0       10
38137 libqt5test5-dbgsym                 	       0        1        0        1        0
38138 libqt5test5t64                     	       0      133        0        3      130
38139 libqt5texttospeech5-dev            	       0       10        0       10        0
38140 libqt5versit5                      	       0        1        0        0        1
38141 libqt5versit5a                     	       0        2        0        0        2
38142 libqt5versitorganizer5             	       0        1        0        0        1
38143 libqt5versitorganizer5a            	       0        2        0        0        2
38144 libqt5virtualkeyboard5-dev         	       0        5        0        5        0
38145 libqt5waylandclient5-dev           	       0       11        0       11        0
38146 libqt5waylandcompositor5           	       0     2004        5       34     1965
38147 libqt5waylandcompositor5-dev       	       0       11        0       11        0
38148 libqt5webchannel5-dev              	       0       48        0       48        0
38149 libqt5webkit5-dbg                  	       0        1        0        1        0
38150 libqt5webkit5-dev                  	       0       34        0       34        0
38151 libqt5websockets5                  	       0      291       11       35      245
38152 libqt5websockets5-dbgsym           	       0        1        0        1        0
38153 libqt5webview5-dev                 	       0        8        0        8        0
38154 libqt5widgets5-dbgsym              	       0        1        0        1        0
38155 libqt5widgets5t64                  	       0      199       12       62      125
38156 libqt5x11extras5-dev               	       0       64        0       64        0
38157 libqt5xdg-dev                      	       0        9        0        9        0
38158 libqt5xdg2                         	       0        6        0        0        6
38159 libqt5xdgiconloader-dev            	       0        9        0        9        0
38160 libqt5xdgiconloader2               	       0        6        0        0        6
38161 libqt5xml5-dbgsym                  	       0        1        0        1        0
38162 libqt5xml5t64                      	       0      162        8       42      112
38163 libqt5xmlpatterns5                 	       0      518        1       10      507
38164 libqt5xmlpatterns5-dev             	       0       24        0       24        0
38165 libqt63danimation6                 	       0        4        0        0        4
38166 libqt63dcore6                      	       0        5        0        0        5
38167 libqt63dextras6                    	       0        3        0        0        3
38168 libqt63dinput6                     	       0        4        0        0        4
38169 libqt63dlogic6                     	       0        4        0        0        4
38170 libqt63dquick6                     	       0        3        0        0        3
38171 libqt63dquickanimation6            	       0        2        0        0        2
38172 libqt63dquickextras6               	       0        3        0        0        3
38173 libqt63dquickinput6                	       0        2        0        0        2
38174 libqt63dquickrender6               	       0        2        0        0        2
38175 libqt63dquickscene2d6              	       0        4        0        0        4
38176 libqt63dquickscene3d6              	       0        1        0        0        1
38177 libqt63drender6                    	       0        5        0        0        5
38178 libqt6bodymovin6                   	       0       14        0        0       14
38179 libqt6charts6                      	       0       38        0        1       37
38180 libqt6chartsqml6                   	       0        5        0        0        5
38181 libqt6concurrent6                  	       0      143        1        1      141
38182 libqt6concurrent6t64               	       0        2        0        0        2
38183 libqt6core6                        	       0      440        5        9      426
38184 libqt6datavisualization6           	       0        3        0        0        3
38185 libqt6datavisualizationqml6        	       0        2        0        0        2
38186 libqt6dbus6t64                     	       0        8        0        0        8
38187 libqt6designer6                    	       0       85        0        1       84
38188 libqt6designercomponents6          	       0       80        0        1       79
38189 libqt6graphs6                      	       0        1        0        0        1
38190 libqt6graphswidgets6               	       0        1        0        0        1
38191 libqt6grpc6                        	       0        1        0        0        1
38192 libqt6grpcquick6                   	       0        1        0        0        1
38193 libqt6gui6t64                      	       0        8        0        0        8
38194 libqt6help6                        	       0       86        0        1       85
38195 libqt6httpserver6                  	       0        2        0        0        2
38196 libqt6hunspellinputmethod6         	       0        7        0        0        7
38197 libqt6jsonrpc6                     	       0       53        0        0       53
38198 libqt6keychain1                    	       0       30        4        4       22
38199 libqt6labsanimation6               	       0       12        0        0       12
38200 libqt6labsfolderlistmodel6         	       0       14        0        0       14
38201 libqt6labsplatform6                	       0        5        1        0        4
38202 libqt6labsqmlmodels6               	       0       25        0        0       25
38203 libqt6labssettings6                	       0       14        0        0       14
38204 libqt6labssharedimage6             	       0       12        0        0       12
38205 libqt6labswavefrontmesh6           	       0       12        0        0       12
38206 libqt6languageserver6              	       0       53        0        0       53
38207 libqt6location6                    	       0        1        0        0        1
38208 libqt6multimediaquick6             	       0       23        0        0       23
38209 libqt6multimediawidgets6           	       0       53        0        0       53
38210 libqt6network6t64                  	       0        8        0        0        8
38211 libqt6networkauth6                 	       0       19        0        0       19
38212 libqt6nfc6                         	       0        3        0        0        3
38213 libqt6opengl6t64                   	       0        8        0        0        8
38214 libqt6openglwidgets6               	       0      350        4        4      342
38215 libqt6openglwidgets6t64            	       0        3        0        0        3
38216 libqt6pas-dev                      	       0        1        0        0        1
38217 libqt6pas6                         	       0        3        0        0        3
38218 libqt6pas6-dev                     	       0        2        0        0        2
38219 libqt6pdf6                         	       0       18        2        1       15
38220 libqt6pdfquick6                    	       0       10        0        0       10
38221 libqt6pdfwidgets6                  	       0       12        0        0       12
38222 libqt6positioning6-plugins         	       0       32        1        0       31
38223 libqt6positioningquick6            	       0       32        0        0       32
38224 libqt6printsupport6t64             	       0        3        0        0        3
38225 libqt6protobuf6                    	       0        1        0        0        1
38226 libqt6protobufqtcoretypes6         	       0        1        0        0        1
38227 libqt6protobufqtguitypes6          	       0        1        0        0        1
38228 libqt6protobufquick6               	       0        1        0        0        1
38229 libqt6protobufwellknowntypes6      	       0        1        0        0        1
38230 libqt6qmlcompiler6                 	       0       53        0        0       53
38231 libqt6qmlcore6                     	       0       12        0        0       12
38232 libqt6qmllocalstorage6             	       0       13        0        0       13
38233 libqt6qmlnetwork6                  	       0        6        0        0        6
38234 libqt6qmlxmllistmodel6             	       0       14        0        0       14
38235 libqt6quick3d6                     	       0       67        0        0       67
38236 libqt6quick3dassetimport6          	       0       50        0        0       50
38237 libqt6quick3dassetutils6           	       0       50        0        0       50
38238 libqt6quick3deffects6              	       0        2        0        0        2
38239 libqt6quick3dglslparser6           	       0        2        0        0        2
38240 libqt6quick3dhelpers6              	       0        2        0        0        2
38241 libqt6quick3diblbaker6             	       0        2        0        0        2
38242 libqt6quick3dparticleeffects6      	       0        2        0        0        2
38243 libqt6quick3dparticles6            	       0       39        0        0       39
38244 libqt6quick3dphysics6              	       0        3        0        0        3
38245 libqt6quick3dphysicshelpers6       	       0        2        0        0        2
38246 libqt6quick3druntimerender6        	       0       67        0        0       67
38247 libqt6quick3dutils6                	       0       67        0        0       67
38248 libqt6quick3dxr6                   	       0        1        0        0        1
38249 libqt6quickcontrols2impl6          	       0       66        0        0       66
38250 libqt6quickdialogs2-6              	       0       27        0        0       27
38251 libqt6quickdialogs2quickimpl6      	       0       27        0        0       27
38252 libqt6quickdialogs2utils6          	       0       27        0        0       27
38253 libqt6quicklayouts6                	       0       65        0        0       65
38254 libqt6quickparticles6              	       0       12        0        0       12
38255 libqt6quickshapes6                 	       0      108        1        6      101
38256 libqt6quicktest6                   	       0       58        0        0       58
38257 libqt6quicktimeline6               	       0       42        0        0       42
38258 libqt6quickvectorimage6            	       0        1        0        0        1
38259 libqt6quickvectorimagegenerator6   	       0        1        0        0        1
38260 libqt6remoteobjects6               	       0        6        0        0        6
38261 libqt6remoteobjectsqml6            	       0        2        0        0        2
38262 libqt6scxml6                       	       0        3        0        0        3
38263 libqt6scxmlqml6                    	       0        2        0        0        2
38264 libqt6sensorsquick6                	       0        2        0        0        2
38265 libqt6serialbus6                   	       0        3        0        0        3
38266 libqt6serialport6                  	       0       86        0        0       86
38267 libqt6spatialaudio6                	       0       50        0        0       50
38268 libqt6sql6-ibase                   	       0        4        0        0        4
38269 libqt6sql6-mysql                   	       0       13        1        0       12
38270 libqt6sql6-odbc                    	       0        2        0        0        2
38271 libqt6sql6-psql                    	       0        3        0        0        3
38272 libqt6sql6t64                      	       0        2        0        0        2
38273 libqt6statemachine6                	       0       28        0        0       28
38274 libqt6statemachineqml6             	       0       11        0        0       11
38275 libqt6svgwidgets6                  	       0      257        1        2      254
38276 libqt6test6                        	       0      315        0        1      314
38277 libqt6test6t64                     	       0        1        0        0        1
38278 libqt6uitools6                     	       0       90        0        0       90
38279 libqt6virtualkeyboard6             	       0       39        2        1       36
38280 libqt6waylandcompositor6           	       0      285        0        0      285
38281 libqt6waylandcompositoriviapplication6	       0        1        0        0        1
38282 libqt6waylandcompositorpresentationtime6	       0        1        0        0        1
38283 libqt6waylandcompositorwlshell6    	       0        1        0        0        1
38284 libqt6waylandcompositorxdgshell6   	       0        1        0        0        1
38285 libqt6waylandeglclienthwintegration6	       0      151        0        0      151
38286 libqt6waylandeglcompositorhwintegration6	       0      151        0        0      151
38287 libqt6webchannel6                  	       0      239        7        5      227
38288 libqt6webchannelquick6             	       0       56        0        0       56
38289 libqt6webengine6-data              	       0      240        5        2      233
38290 libqt6webenginecore6               	       0      238        7        5      226
38291 libqt6webenginequick6              	       0      226        0        0      226
38292 libqt6webenginequickdelegatesqml6  	       0        9        0        0        9
38293 libqt6webenginewidgets6            	       0      234        7        5      222
38294 libqt6websockets6                  	       0       21        1        1       19
38295 libqt6webview6                     	       0       23        0        0       23
38296 libqt6webviewquick6                	       0        3        0        0        3
38297 libqt6widgets6t64                  	       0        8        0        0        8
38298 libqt6wlshellintegration6          	       0      284        0        0      284
38299 libqt6xdg4                         	       0       12        0        4        8
38300 libqt6xdgiconloader4               	       0       12        0        4        8
38301 libqt6xml6t64                      	       0        1        0        0        1
38302 libqtassistantclient4              	       0       32        0        0       32
38303 libqtav-dev                        	       0        1        0        1        0
38304 libqtav-private-dev                	       0        1        0        1        0
38305 libqtav1                           	       0       16        0        0       16
38306 libqtavwidgets1                    	       0       16        0        0       16
38307 libqtcore4                         	       0      139        1        0      138
38308 libqtcore4-perl                    	       0        4        0        0        4
38309 libqtcurve-utils2                  	       0       78        0        9       69
38310 libqtdbus4                         	       0      133        1        0      132
38311 libqtdbustest1                     	       0        1        0        0        1
38312 libqtermwidget5-1-dev              	       0        2        0        2        0
38313 libqtermwidget6-2                  	       0        9        1        3        5
38314 libqtexengine1                     	       0        1        0        0        1
38315 libqtglib-2.0-0                    	       0        2        0        1        1
38316 libqtgstreamer-0.10-0              	       0        2        0        0        2
38317 libqtgstreamerutils-0.10-0         	       0        2        0        0        2
38318 libqtgui4                          	       0      136        1        0      135
38319 libqtgui4-perl                     	       0        4        1        3        0
38320 libqthreads-12                     	       0        1        0        0        1
38321 libqtilitools-dev                  	       0        1        0        1        0
38322 libqtkeychain0                     	       0        1        0        0        1
38323 libqtlocation1                     	       0        4        0        0        4
38324 libqtmirserver1                    	       0        1        0        0        1
38325 libqtmirserver2                    	       0        1        0        0        1
38326 libqtpropertybrowser4              	       0        1        0        0        1
38327 libqtruby4shared2                  	       0        6        0        0        6
38328 libqtscript4-core                  	       0        5        0        0        5
38329 libqtscript4-gui                   	       0        5        0        0        5
38330 libqtscript4-network               	       0        5        0        0        5
38331 libqtscript4-opengl                	       0        1        0        0        1
38332 libqtscript4-phonon                	       0        1        0        0        1
38333 libqtscript4-qtbindings            	       0        1        0        0        1
38334 libqtscript4-sql                   	       0        5        0        0        5
38335 libqtscript4-svg                   	       0        1        0        0        1
38336 libqtscript4-uitools               	       0        5        0        0        5
38337 libqtscript4-webkit                	       0        1        0        0        1
38338 libqtscript4-xml                   	       0        5        0        0        5
38339 libqtscript4-xmlpatterns           	       0        1        0        0        1
38340 libqtsolutions-soap-2.7-1          	       0        1        0        0        1
38341 libqtspell-qt5-1                   	       0       18        0        0       18
38342 libqtspell-qt5-data                	       0       18        0        0       18
38343 libqtspell-qt6-1                   	       0        1        0        0        1
38344 libqtspell-qt6-data                	       0        1        0        0        1
38345 libqtspell-qt6-dev                 	       0        1        0        0        1
38346 libqtspell-qt6-html                	       0        1        0        0        1
38347 libqtwebkit-dev                    	       0        8        0        8        0
38348 libqtwebkit-qmlwebkitplugin        	       0        1        0        0        1
38349 libqtwebkit4                       	       0       61        1        0       60
38350 libquadmath0-dbgsym                	       0        1        0        1        0
38351 libquadmath0-i386-cross            	       0       13        0        0       13
38352 libquadmath0-ppc64el-cross         	       0        1        0        0        1
38353 libquadmath0-x32-cross             	       0        6        0        0        6
38354 libquadrule-dev                    	       0        1        0        1        0
38355 libquadrule1                       	       0        1        0        0        1
38356 libquantlib0v5                     	       0        1        0        1        0
38357 libquartz2-java                    	       0        1        0        0        1
38358 libquazip-dev                      	       0        1        0        0        1
38359 libquazip-doc                      	       0        2        0        0        2
38360 libquazip-headers                  	       0        1        0        1        0
38361 libquazip-qt5-1                    	       0        1        0        0        1
38362 libquazip-tulip-4.8-1              	       0        1        0        0        1
38363 libquazip1                         	       0        5        0        0        5
38364 libquazip1-qt5-1                   	       0        6        1        1        4
38365 libquazip1-qt5-1t64                	       0        7        1        0        6
38366 libquazip1-qt6-1                   	       0        2        0        0        2
38367 libquazip1-qt6-1t64                	       0        5        0        0        5
38368 libquazip1-qt6-doc                 	       0        1        0        0        1
38369 libquazip5-1                       	       0      218        1        1      216
38370 libquazip5-1t64                    	       0       22        0        0       22
38371 libquazip5-dev                     	       0       13        0       12        1
38372 libquazip5-headers                 	       0        2        0        2        0
38373 libquickfix-doc                    	       0        1        0        1        0
38374 libquicktime-dev                   	       0        3        0        3        0
38375 libquicktime1                      	       0        1        0        1        0
38376 libquicktime2                      	       0      179        0        0      179
38377 libquicktimehv-1.6.0-1             	       0        1        0        0        1
38378 libquorum-dev                      	       0        2        0        2        0
38379 libquorum5                         	       0        8        0        2        6
38380 libquota-perl                      	       0        2        0        2        0
38381 libquotient-dev                    	       0        2        0        2        0
38382 libquotient0.6                     	       0       19        0        0       19
38383 libquotientqt6-0.8                 	       0        2        0        0        2
38384 libqupzilla1                       	       0        7        0        0        7
38385 libquvi-0.9-0.9.3                  	       0      186        0        0      186
38386 libquvi-0.9-0.9.4                  	       0       12        0        0       12
38387 libquvi-0.9-dev                    	       0        1        0        1        0
38388 libquvi-0.9-doc                    	       0        1        0        0        1
38389 libquvi-dev                        	       0        1        0        1        0
38390 libquvi-scripts                    	       0       27        1       26        0
38391 libquvi0                           	       0        1        0        0        1
38392 libquvi7                           	       0       26        0        0       26
38393 libqwt-headers                     	       0        5        0        0        5
38394 libqwt-qt5-6                       	       0      137        1        2      134
38395 libqwt-qt5-dev                     	       0       17        0       17        0
38396 libqwt5-qt4                        	       0        5        0        0        5
38397 libqwt5-qt4-dev                    	       0        1        0        1        0
38398 libqwt6                            	       0        3        0        0        3
38399 libqwt6abi1                        	       0        7        0        0        7
38400 libqwtplot3d-qt5-0                 	       0        2        0        0        2
38401 libqwtplot3d-qt5-dev               	       0        1        0        1        0
38402 libqxmpp3                          	       0        3        0        0        3
38403 libqxt-core0                       	       0        4        0        0        4
38404 libqxt-gui0                        	       0        4        0        0        4
38405 libqzion0a                         	       0        1        0        0        1
38406 libr-dev                           	       0        2        0        2        0
38407 libr0                              	       0       20        1        3       16
38408 librabbitmq-dev                    	       0        8        0        8        0
38409 librabbitmq1                       	       0        1        0        0        1
38410 librack-ruby1.9.1                  	       0        1        0        0        1
38411 libradare2-1.1                     	       0        3        0        0        3
38412 libradare2-5.0.0                   	       0        1        0        0        1
38413 libradare2-5.0.0t64                	       0        6        0        0        6
38414 libradare2-common                  	       0        9        0        0        9
38415 libradare2-dev                     	       0        9        1        8        0
38416 libradcli-dev                      	       0       14        0       14        0
38417 libradcli4                         	       0       59        5        5       49
38418 libradiusclient-ng2                	       0        2        0        0        2
38419 librados-dev                       	       0        6        0        6        0
38420 librados2                          	       0      743        1        3      739
38421 libradosstriper1                   	       0        7        0        0        7
38422 libraft2                           	       0       10        2        6        2
38423 libramaze-ruby1.9.1                	       0        1        0        0        1
38424 librandom-numbers-dev              	       0        1        0        1        0
38425 librandom-numbers0d                	       0        1        0        0        1
38426 librandombytes1                    	       0        1        0        0        1
38427 librandomx-dev                     	       0        1        0        1        0
38428 librandomx0                        	       0       15        0        2       13
38429 librange-v3-dev                    	       0        3        0        3        0
38430 librange-v3-doc                    	       0        3        0        0        3
38431 libranlip-dev                      	       0        1        0        1        0
38432 libranlip1c2                       	       0        1        0        1        0
38433 libraptor1                         	       0        6        0        0        6
38434 libraptor2-doc                     	       0        2        0        0        2
38435 libraqm-dev                        	       0       11        0       11        0
38436 libraqm0                           	       0     1415        7       17     1391
38437 librarian-puppet                   	       0        1        0        1        0
38438 librarian0                         	       0       99        0        0       99
38439 libraspberrypi-bin                 	       0        1        0        1        0
38440 libraspberrypi0                    	       0        2        0        2        0
38441 libraspell-ruby1.9.1               	       0        3        0        0        3
38442 librasqal0                         	       0        1        0        0        1
38443 librasqal2                         	       0        2        0        0        2
38444 librasqal3-dev                     	       0        4        0        4        0
38445 librasqal3-doc                     	       0        1        0        0        1
38446 librasqal3t64                      	       0      189        3        4      182
38447 librasterlite2-1                   	       0        8        0        0        8
38448 librasterlite2-dev                 	       0        1        0        1        0
38449 libratbag-tools                    	       0        2        0        0        2
38450 libratpoints-2.1.3                 	       0       10        0        0       10
38451 libratpoints-dev                   	       0        9        0        9        0
38452 libraven0                          	       0        7        1        0        6
38453 libraw-doc                         	       0        2        0        0        2
38454 libraw10                           	       0       13        0        0       13
38455 libraw1394-11                      	       0     3324       25       56     3243
38456 libraw1394-8                       	       0        3        0        0        3
38457 libraw1394-doc                     	       0        5        0        0        5
38458 libraw1394-tools                   	       0       57        1       56        0
38459 libraw15                           	       0       85        0        0       85
38460 libraw19                           	       0      175        0        1      174
38461 libraw23                           	       0       18        0        5       13
38462 libraw5                            	       0        5        0        0        5
38463 libraynes-fs-clojure               	       0        1        0        0        1
38464 librazik-apt                       	       0        1        0        0        1
38465 librazik-banquesdeson-all          	       0        1        0        0        1
38466 librazik-gig-all                   	       0        1        0        0        1
38467 librazik-keyring                   	       0        1        0        0        1
38468 librazik-sf2-all                   	       0        1        0        0        1
38469 librazik-sf3-all                   	       0        1        0        0        1
38470 librazik-sfz-all                   	       0        1        0        0        1
38471 librb-inotify-ruby1.9.1            	       0        1        0        0        1
38472 librbac-client-clojure             	       0        1        0        0        1
38473 librbd-dev                         	       0        4        0        4        0
38474 librbd1                            	       0      547        1       13      533
38475 librbio2                           	       0       30        0        0       30
38476 librbio4                           	       0        4        0        0        4
38477 librbl1                            	       0       39       10       21        8
38478 librcc-dev                         	       0        2        0        2        0
38479 librccui0                          	       0        2        0        0        2
38480 librcd-dev                         	       0        2        0        2        0
38481 librcd0                            	       0      242        0        0      242
38482 librcpputils-dev                   	       0        1        0        0        1
38483 librcpputils1d                     	       0        2        0        0        2
38484 librcutils-dev                     	       0        1        0        1        0
38485 librcutils1d                       	       0        2        0        0        2
38486 librda-bin                         	       0        1        0        1        0
38487 librda-common                      	       0      508        0        0      508
38488 librda-dev                         	       0        1        0        1        0
38489 librdf-icalendar-perl              	       0        1        0        1        0
38490 librdf-ldf-perl                    	       0        1        0        1        0
38491 librdf-ns-curated-perl             	       0        2        0        2        0
38492 librdf-ns-perl                     	       0        4        0        4        0
38493 librdf-perl                        	       0        3        0        3        0
38494 librdf-prefixes-perl               	       0        2        0        2        0
38495 librdf-query-client-perl           	       0        2        0        2        0
38496 librdf-query-perl                  	       0        2        0        2        0
38497 librdf-rdfa-generator-perl         	       0        1        0        1        0
38498 librdf-rdfa-parser-perl            	       0        3        0        3        0
38499 librdf-storage-mysql               	       0        1        0        0        1
38500 librdf-storage-postgresql          	       0        1        0        0        1
38501 librdf-storage-sqlite              	       0        1        0        0        1
38502 librdf-storage-virtuoso            	       0        1        0        0        1
38503 librdf-trin3-perl                  	       0        2        0        2        0
38504 librdf-trine-node-literal-xml-perl 	       0        3        0        3        0
38505 librdf-trine-perl                  	       0        4        0        4        0
38506 librdf-trinex-functions-perl       	       0        4        0        4        0
38507 librdf-vcard-perl                  	       0        1        0        1        0
38508 librdf0-dev                        	       0        4        0        4        0
38509 librdf0t64                         	       0      190        3        4      183
38510 librdfa-java                       	       0        2        0        0        2
38511 librdkafka++1                      	       0        2        0        0        2
38512 librdkafka-dev                     	       0        2        0        2        0
38513 librdkafka1                        	       0       45        0        1       44
38514 librdmacm-dev                      	       0        6        0        6        0
38515 librdmacm1t64                      	       0       81        1        2       78
38516 librdp-taxonomy-tree-java          	       0        1        0        0        1
38517 libre                              	       0        1        0        0        1
38518 libre-dbgsym                       	       0        1        0        1        0
38519 libre-dev                          	       0        2        0        2        0
38520 libre-engine-re2-perl              	       0      182        0        0      182
38521 libre-ocaml-dev                    	       0        1        0        1        0
38522 libre0                             	       0       16        0        0       16
38523 libre2-1                           	       0        1        0        0        1
38524 libre2-10                          	       0       20        1        4       15
38525 libre2-11                          	       0      135        1        2      132
38526 libre2-2                           	       0        1        0        0        1
38527 libre2-3                           	       0       27        0        0       27
38528 libre2-5                           	       0       93        1        0       92
38529 libre2-8                           	       0        2        0        0        2
38530 libreact-ocaml                     	       0        4        0        3        1
38531 libreact-ocaml-dev                 	       0        3        0        3        0
38532 libreactive-streams-java           	       0        3        0        0        3
38533 libreactivedata-ocaml              	       0        1        0        1        0
38534 libreactivedata-ocaml-dev          	       0        1        0        1        0
38535 libreadline-gplv2-dev              	       0        1        0        1        0
38536 libreadline-java                   	       0        8        0        8        0
38537 libreadline-java-doc               	       0        1        0        0        1
38538 libreadline4                       	       0        3        0        0        3
38539 libreadline5                       	       0      287        0        0      287
38540 libreadline6                       	       0      302        0        0      302
38541 libreadline6-dev                   	       0        6        0        6        0
38542 libreadline7                       	       0      802        1        1      800
38543 libreadline8-dbgsym                	       0        1        0        1        0
38544 libreadonly-xs-perl                	       0        1        0        0        1
38545 libreadosm-dev                     	       0        1        0        1        0
38546 libreadosm1                        	       0        9        0        0        9
38547 libreadstat1                       	       0       17        0        0       17
38548 libreadstat1t64                    	       0        1        0        0        1
38549 librec-dev                         	       0        1        0        1        0
38550 librec1                            	       0       14        0        0       14
38551 librecad                           	       0      105        4      101        0
38552 librecad-data                      	       0      107        1        1      105
38553 librecast-dev                      	       0        2        0        2        0
38554 librecast1                         	       0       13        0        0       13
38555 librecode-dev                      	       0        6        0        6        0
38556 librecode0                         	       0     1289        0        0     1289
38557 librecode3                         	       0       74        0        0       74
38558 librecoll                          	       0        2        0        0        2
38559 librecoll39                        	       0        4        0        0        4
38560 libredis-fast-perl                 	       0        1        0        0        1
38561 libref-array1                      	       0       13        1        6        6
38562 libref-util-xs-perl                	       0      703        4        5      694
38563 libreflectasm-java                 	       0       50        0        0       50
38564 libreflectasm-java-doc             	       0       35        0        0       35
38565 libreflections-java                	       0        4        0        0        4
38566 libregexp-common-email-address-perl	       0        7        1        6        0
38567 libregexp-common-net-cidr-perl     	       0        2        0        2        0
38568 libregexp-common-time-perl         	       0        1        0        1        0
38569 libregexp-debugger-perl            	       0        1        0        1        0
38570 libregexp-java                     	       0       69        0        0       69
38571 libregexp-java-doc                 	       0        1        0        0        1
38572 libregexp-optimizer-perl           	       0        1        0        1        0
38573 libregexp-pattern-defhash-perl     	       0        1        0        1        0
38574 libregexp-reggrp-perl              	       0        2        0        2        0
38575 libregexp-trie-perl                	       0        1        0        1        0
38576 libregf1                           	       0       14        0        0       14
38577 libregfi-dev                       	       0        1        0        1        0
38578 libregfi1                          	       0       27        0        0       27
38579 libregfi1t64                       	       0        1        0        0        1
38580 libregina3                         	       0        7        0        0        7
38581 libregina3-dev                     	       0        1        0        1        0
38582 libreiserfscore0                   	       0        3        0        0        3
38583 libreiserfscore0t64                	       0       55        0        0       55
38584 librelaxng-datatype-java           	       0      427        0        0      427
38585 librelaxngcc-java                  	       0        2        0        0        2
38586 librelp0                           	       0       13        2        2        9
38587 librem-dev                         	       0        1        0        1        0
38588 librem0                            	       0       15        0        0       15
38589 librenderdoc                       	       0        5        0        0        5
38590 librenderdoc-dev                   	       0        1        0        1        0
38591 libreoffice                        	       0      599        0        0      599
38592 libreoffice-avmedia-backend-vlc    	       0        2        0        2        0
38593 libreoffice-base-nogui             	       0        1        0        1        0
38594 libreoffice-calc-nogui             	       0        3        0        3        0
38595 libreoffice-canzeley-client        	       0        1        0        1        0
38596 libreoffice-core-nogui             	       0       12        0       12        0
38597 libreoffice-dev                    	       0        3        1        2        0
38598 libreoffice-dmaths                 	       0       10        1        9        0
38599 libreoffice-emailmerge             	       0        6        0        1        5
38600 libreoffice-filter-binfilter       	       0        1        0        1        0
38601 libreoffice-filter-mobiledev       	       0        1        0        1        0
38602 libreoffice-grammalecte            	       0        3        1        2        0
38603 libreoffice-gtk4                   	       0       10        0       10        0
38604 libreoffice-help-ca                	       0        6        0        0        6
38605 libreoffice-help-cs                	       0       27        0        0       27
38606 libreoffice-help-da                	       0        4        0        0        4
38607 libreoffice-help-de                	       0      261        0        0      261
38608 libreoffice-help-dz                	       0        2        0        0        2
38609 libreoffice-help-el                	       0       19        0        0       19
38610 libreoffice-help-en-gb             	       0      189        0        0      189
38611 libreoffice-help-en-us             	       0     2277        0        0     2277
38612 libreoffice-help-es                	       0      186        0        0      186
38613 libreoffice-help-et                	       0        4        0        0        4
38614 libreoffice-help-eu                	       0        3        0        0        3
38615 libreoffice-help-fi                	       0        7        0        0        7
38616 libreoffice-help-fr                	       0       84        0        0       84
38617 libreoffice-help-gl                	       0        2        0        0        2
38618 libreoffice-help-hi                	       0        2        0        0        2
38619 libreoffice-help-hu                	       0       15        0        0       15
38620 libreoffice-help-id                	       0        1        0        0        1
38621 libreoffice-help-it                	       0       71        0        0       71
38622 libreoffice-help-ja                	       0       31        0        0       31
38623 libreoffice-help-km                	       0        2        0        0        2
38624 libreoffice-help-ko                	       0        7        0        0        7
38625 libreoffice-help-nl                	       0       15        0        0       15
38626 libreoffice-help-om                	       0        1        0        0        1
38627 libreoffice-help-pl                	       0       42        0        0       42
38628 libreoffice-help-pt                	       0        2        0        0        2
38629 libreoffice-help-pt-br             	       0        2        0        0        2
38630 libreoffice-help-ru                	       0      113        0        0      113
38631 libreoffice-help-sk                	       0        4        0        0        4
38632 libreoffice-help-sl                	       0        2        0        0        2
38633 libreoffice-help-sv                	       0       11        0        0       11
38634 libreoffice-help-tr                	       0        1        0        0        1
38635 libreoffice-help-vi                	       0        1        0        0        1
38636 libreoffice-help-zh-cn             	       0        4        0        0        4
38637 libreoffice-help-zh-tw             	       0        3        0        0        3
38638 libreoffice-impress-templates-all  	       0        1        0        1        0
38639 libreoffice-kde                    	       0        9        1        8        0
38640 libreoffice-kde5                   	       0       17        0       12        5
38641 libreoffice-l10n-af                	       0        1        0        1        0
38642 libreoffice-l10n-am                	       0        1        0        1        0
38643 libreoffice-l10n-ar                	       0        2        0        2        0
38644 libreoffice-l10n-as                	       0        1        0        1        0
38645 libreoffice-l10n-ast               	       0        2        0        2        0
38646 libreoffice-l10n-be                	       0        4        0        4        0
38647 libreoffice-l10n-bg                	       0        3        0        3        0
38648 libreoffice-l10n-bn                	       0        2        0        2        0
38649 libreoffice-l10n-br                	       0        1        0        1        0
38650 libreoffice-l10n-bs                	       0        2        0        2        0
38651 libreoffice-l10n-ca                	       0        8        0        8        0
38652 libreoffice-l10n-cy                	       0        2        0        2        0
38653 libreoffice-l10n-dz                	       0        3        0        3        0
38654 libreoffice-l10n-en-za             	       0       17        0       17        0
38655 libreoffice-l10n-eo                	       0        7        0        7        0
38656 libreoffice-l10n-et                	       0        5        0        5        0
38657 libreoffice-l10n-eu                	       0        4        0        4        0
38658 libreoffice-l10n-fa                	       0        2        0        2        0
38659 libreoffice-l10n-ga                	       0        2        0        2        0
38660 libreoffice-l10n-gd                	       0        1        0        1        0
38661 libreoffice-l10n-gl                	       0        3        0        3        0
38662 libreoffice-l10n-gu                	       0        2        0        2        0
38663 libreoffice-l10n-gug               	       0        1        0        1        0
38664 libreoffice-l10n-he                	       0        4        0        4        0
38665 libreoffice-l10n-hi                	       0        3        0        3        0
38666 libreoffice-l10n-hr                	       0        3        0        3        0
38667 libreoffice-l10n-hu                	       0       16        1       15        0
38668 libreoffice-l10n-id                	       0        3        0        3        0
38669 libreoffice-l10n-is                	       0        2        0        2        0
38670 libreoffice-l10n-ka                	       0        2        0        2        0
38671 libreoffice-l10n-kk                	       0        1        0        1        0
38672 libreoffice-l10n-km                	       0        3        0        3        0
38673 libreoffice-l10n-kmr               	       0        1        0        1        0
38674 libreoffice-l10n-kn                	       0        1        0        1        0
38675 libreoffice-l10n-ko                	       0        8        0        8        0
38676 libreoffice-l10n-lt                	       0        2        0        2        0
38677 libreoffice-l10n-lv                	       0        2        0        2        0
38678 libreoffice-l10n-mk                	       0        2        0        2        0
38679 libreoffice-l10n-ml                	       0        2        0        2        0
38680 libreoffice-l10n-mn                	       0        1        0        1        0
38681 libreoffice-l10n-mr                	       0        2        0        2        0
38682 libreoffice-l10n-ne                	       0        2        0        2        0
38683 libreoffice-l10n-nr                	       0        1        0        1        0
38684 libreoffice-l10n-nso               	       0        1        0        1        0
38685 libreoffice-l10n-oc                	       0        1        0        1        0
38686 libreoffice-l10n-om                	       0        2        0        2        0
38687 libreoffice-l10n-or                	       0        1        0        1        0
38688 libreoffice-l10n-pa-in             	       0        2        0        2        0
38689 libreoffice-l10n-pt                	       0        4        0        4        0
38690 libreoffice-l10n-ro                	       0        6        0        6        0
38691 libreoffice-l10n-rw                	       0        1        0        1        0
38692 libreoffice-l10n-si                	       0        2        0        2        0
38693 libreoffice-l10n-sk                	       0        9        0        9        0
38694 libreoffice-l10n-sl                	       0        3        0        3        0
38695 libreoffice-l10n-sr                	       0        2        0        2        0
38696 libreoffice-l10n-ss                	       0        1        0        1        0
38697 libreoffice-l10n-st                	       0        1        0        1        0
38698 libreoffice-l10n-sv                	       0       15        0       15        0
38699 libreoffice-l10n-szl               	       0        1        0        1        0
38700 libreoffice-l10n-ta                	       0        2        0        2        0
38701 libreoffice-l10n-te                	       0        2        0        2        0
38702 libreoffice-l10n-tg                	       0        1        0        1        0
38703 libreoffice-l10n-th                	       0        2        0        2        0
38704 libreoffice-l10n-tn                	       0        1        0        1        0
38705 libreoffice-l10n-ts                	       0        1        0        1        0
38706 libreoffice-l10n-ug                	       0        2        0        2        0
38707 libreoffice-l10n-uk                	       0       13        0       13        0
38708 libreoffice-l10n-uz                	       0        1        0        1        0
38709 libreoffice-l10n-ve                	       0        1        0        1        0
38710 libreoffice-l10n-vi                	       0        3        0        3        0
38711 libreoffice-l10n-xh                	       0        2        0        2        0
38712 libreoffice-l10n-zh-cn             	       0        5        0        5        0
38713 libreoffice-l10n-zh-tw             	       0        4        0        4        0
38714 libreoffice-l10n-zu                	       0        1        0        1        0
38715 libreoffice-lightproof-hu          	       0        4        0        4        0
38716 libreoffice-lightproof-pt-br       	       0        3        0        3        0
38717 libreoffice-lightproof-ru-ru       	       0       16        0       16        0
38718 libreoffice-mysql-connector        	       0        3        0        0        3
38719 libreoffice-numbertext             	       0       10        1        9        0
38720 libreoffice-officebean             	       0        1        0        1        0
38721 libreoffice-ogltrans               	       0       49        0       44        5
38722 libreoffice-parlatype              	       0        1        0        1        0
38723 libreoffice-pdfimport              	       0       51        1       45        5
38724 libreoffice-style-crystal          	       0        1        0        0        1
38725 libreoffice-style-hicontrast       	       0        2        0        1        1
38726 libreoffice-style-oxygen           	       0        4        1        2        1
38727 libreoffice-subsequentcheckbase    	       0        1        0        1        0
38728 libreoffice-systray                	       0        3        0        3        0
38729 libreoffice-tango-iconset          	       0        1        0        1        0
38730 libreoffice-writer-nogui           	       0        3        0        3        0
38731 libreoffice-writer2xhtml           	       0       12        1       11        0
38732 libreoffice24.2                    	       0        3        0        0        3
38733 libreoffice24.2-base               	       0        3        0        0        3
38734 libreoffice24.2-calc               	       0        3        0        0        3
38735 libreoffice24.2-de                 	       0        1        0        0        1
38736 libreoffice24.2-debian-menus       	       0        5        0        5        0
38737 libreoffice24.2-dict-de            	       0        1        0        0        1
38738 libreoffice24.2-dict-en            	       0        3        0        0        3
38739 libreoffice24.2-dict-es            	       0        3        0        0        3
38740 libreoffice24.2-dict-fr            	       0        3        0        0        3
38741 libreoffice24.2-draw               	       0        3        0        0        3
38742 libreoffice24.2-en-gb              	       0        1        0        0        1
38743 libreoffice24.2-en-us              	       0        3        0        0        3
38744 libreoffice24.2-fr                 	       0        1        0        0        1
38745 libreoffice24.2-impress            	       0        3        0        0        3
38746 libreoffice24.2-math               	       0        3        0        0        3
38747 libreoffice24.2-ure                	       0        5        0        0        5
38748 libreoffice24.2-writer             	       0        3        0        0        3
38749 libreoffice24.8                    	       0       11        0        2        9
38750 libreoffice24.8-base               	       0       10        0        0       10
38751 libreoffice24.8-calc               	       0       11        0        0       11
38752 libreoffice24.8-de                 	       0        2        0        0        2
38753 libreoffice24.8-debian-menus       	       0       11        1       10        0
38754 libreoffice24.8-dict-de            	       0        2        0        0        2
38755 libreoffice24.8-dict-el            	       0        1        0        0        1
38756 libreoffice24.8-dict-en            	       0       11        0        0       11
38757 libreoffice24.8-dict-es            	       0       10        0        0       10
38758 libreoffice24.8-dict-fr            	       0       10        0        0       10
38759 libreoffice24.8-draw               	       0       10        0        0       10
38760 libreoffice24.8-el                 	       0        1        0        0        1
38761 libreoffice24.8-en-gb              	       0        3        0        0        3
38762 libreoffice24.8-en-us              	       0       11        0        0       11
38763 libreoffice24.8-fr                 	       0        2        0        0        2
38764 libreoffice24.8-impress            	       0       10        0        0       10
38765 libreoffice24.8-math               	       0       10        0        0       10
38766 libreoffice24.8-ure                	       0       11        0        2        9
38767 libreoffice24.8-writer             	       0       11        0        0       11
38768 libreoffice25.2                    	       0        2        0        0        2
38769 libreoffice25.2-base               	       0        2        0        0        2
38770 libreoffice25.2-calc               	       0        2        0        0        2
38771 libreoffice25.2-dict-en            	       0        2        0        0        2
38772 libreoffice25.2-dict-es            	       0        2        0        0        2
38773 libreoffice25.2-dict-fr            	       0        2        0        0        2
38774 libreoffice25.2-draw               	       0        2        0        0        2
38775 libreoffice25.2-en-us              	       0        2        0        0        2
38776 libreoffice25.2-impress            	       0        2        0        0        2
38777 libreoffice25.2-math               	       0        2        0        0        2
38778 libreoffice25.2-ure                	       0        2        0        0        2
38779 libreoffice25.2-writer             	       0        2        0        0        2
38780 libreoffice4.3                     	       0        1        0        0        1
38781 libreoffice4.3-base                	       0        1        0        0        1
38782 libreoffice4.3-calc                	       0        1        0        0        1
38783 libreoffice4.3-debian-menus        	       0        1        1        0        0
38784 libreoffice4.3-dict-en             	       0        1        0        0        1
38785 libreoffice4.3-dict-es             	       0        1        0        0        1
38786 libreoffice4.3-dict-fr             	       0        1        0        0        1
38787 libreoffice4.3-draw                	       0        1        0        0        1
38788 libreoffice4.3-en-us               	       0        1        0        0        1
38789 libreoffice4.3-impress             	       0        1        0        0        1
38790 libreoffice4.3-math                	       0        1        0        0        1
38791 libreoffice4.3-ure                 	       0        1        0        0        1
38792 libreoffice4.3-writer              	       0        1        0        0        1
38793 libreoffice5.0                     	       0        1        0        0        1
38794 libreoffice5.0-base                	       0        1        0        0        1
38795 libreoffice5.0-calc                	       0        1        0        0        1
38796 libreoffice5.0-debian-menus        	       0        1        1        0        0
38797 libreoffice5.0-dict-en             	       0        1        0        0        1
38798 libreoffice5.0-dict-es             	       0        1        0        0        1
38799 libreoffice5.0-dict-fr             	       0        1        0        0        1
38800 libreoffice5.0-draw                	       0        1        0        0        1
38801 libreoffice5.0-en-us               	       0        1        0        0        1
38802 libreoffice5.0-impress             	       0        1        0        0        1
38803 libreoffice5.0-math                	       0        1        0        0        1
38804 libreoffice5.0-ure                 	       0        1        0        0        1
38805 libreoffice5.0-writer              	       0        1        0        0        1
38806 libreoffice5.1                     	       0        1        0        0        1
38807 libreoffice5.1-base                	       0        1        0        0        1
38808 libreoffice5.1-calc                	       0        1        0        0        1
38809 libreoffice5.1-debian-menus        	       0        2        1        1        0
38810 libreoffice5.1-dict-en             	       0        1        0        0        1
38811 libreoffice5.1-dict-es             	       0        1        0        0        1
38812 libreoffice5.1-dict-fr             	       0        1        0        0        1
38813 libreoffice5.1-draw                	       0        1        0        0        1
38814 libreoffice5.1-en-us               	       0        1        0        0        1
38815 libreoffice5.1-impress             	       0        1        0        0        1
38816 libreoffice5.1-math                	       0        1        0        0        1
38817 libreoffice5.1-ure                 	       0        1        0        0        1
38818 libreoffice5.1-writer              	       0        1        0        0        1
38819 libreoffice5.2                     	       0        1        0        0        1
38820 libreoffice5.2-base                	       0        1        0        0        1
38821 libreoffice5.2-calc                	       0        1        0        0        1
38822 libreoffice5.2-debian-menus        	       0        1        1        0        0
38823 libreoffice5.2-dict-en             	       0        1        0        0        1
38824 libreoffice5.2-dict-es             	       0        1        0        0        1
38825 libreoffice5.2-dict-fr             	       0        1        0        0        1
38826 libreoffice5.2-draw                	       0        1        0        0        1
38827 libreoffice5.2-en-us               	       0        1        0        0        1
38828 libreoffice5.2-impress             	       0        1        0        0        1
38829 libreoffice5.2-math                	       0        1        0        0        1
38830 libreoffice5.2-ure                 	       0        1        0        0        1
38831 libreoffice5.2-writer              	       0        1        0        0        1
38832 libreoffice5.4-debian-menus        	       0        1        0        1        0
38833 libreoffice6.0                     	       0        2        0        0        2
38834 libreoffice6.0-base                	       0        2        0        0        2
38835 libreoffice6.0-calc                	       0        2        0        0        2
38836 libreoffice6.0-debian-menus        	       0        3        1        2        0
38837 libreoffice6.0-dict-en             	       0        2        0        0        2
38838 libreoffice6.0-dict-es             	       0        2        0        0        2
38839 libreoffice6.0-dict-fr             	       0        2        0        0        2
38840 libreoffice6.0-draw                	       0        2        0        0        2
38841 libreoffice6.0-en-us               	       0        2        0        0        2
38842 libreoffice6.0-impress             	       0        2        0        0        2
38843 libreoffice6.0-math                	       0        2        0        0        2
38844 libreoffice6.0-ure                 	       0        3        0        0        3
38845 libreoffice6.0-writer              	       0        2        0        0        2
38846 libreoffice6.1-debian-menus        	       0        1        0        1        0
38847 libreoffice6.1-ure                 	       0        1        0        0        1
38848 libreoffice6.2                     	       0        2        0        0        2
38849 libreoffice6.2-base                	       0        2        0        0        2
38850 libreoffice6.2-calc                	       0        2        0        0        2
38851 libreoffice6.2-debian-menus        	       0        2        1        1        0
38852 libreoffice6.2-dict-en             	       0        2        0        0        2
38853 libreoffice6.2-dict-es             	       0        2        0        0        2
38854 libreoffice6.2-dict-fr             	       0        2        0        0        2
38855 libreoffice6.2-draw                	       0        2        0        0        2
38856 libreoffice6.2-en-us               	       0        2        0        0        2
38857 libreoffice6.2-impress             	       0        2        0        0        2
38858 libreoffice6.2-math                	       0        2        0        0        2
38859 libreoffice6.2-ure                 	       0        2        0        0        2
38860 libreoffice6.2-writer              	       0        2        0        0        2
38861 libreoffice6.3                     	       0        3        0        0        3
38862 libreoffice6.3-base                	       0        3        0        0        3
38863 libreoffice6.3-calc                	       0        3        0        0        3
38864 libreoffice6.3-debian-menus        	       0        3        1        2        0
38865 libreoffice6.3-dict-en             	       0        3        0        0        3
38866 libreoffice6.3-dict-es             	       0        2        0        0        2
38867 libreoffice6.3-dict-fr             	       0        2        0        0        2
38868 libreoffice6.3-draw                	       0        3        0        0        3
38869 libreoffice6.3-en-us               	       0        3        0        0        3
38870 libreoffice6.3-impress             	       0        3        0        0        3
38871 libreoffice6.3-math                	       0        3        0        0        3
38872 libreoffice6.3-ure                 	       0        3        0        0        3
38873 libreoffice6.3-writer              	       0        3        0        0        3
38874 libreoffice6.4                     	       0        4        0        0        4
38875 libreoffice6.4-base                	       0        4        0        0        4
38876 libreoffice6.4-calc                	       0        4        0        0        4
38877 libreoffice6.4-debian-menus        	       0        5        0        5        0
38878 libreoffice6.4-dict-en             	       0        4        0        0        4
38879 libreoffice6.4-dict-es             	       0        4        0        0        4
38880 libreoffice6.4-dict-fr             	       0        4        0        0        4
38881 libreoffice6.4-dict-ru             	       0        1        0        0        1
38882 libreoffice6.4-draw                	       0        4        0        0        4
38883 libreoffice6.4-en-us               	       0        4        0        0        4
38884 libreoffice6.4-fr                  	       0        1        0        0        1
38885 libreoffice6.4-impress             	       0        4        0        0        4
38886 libreoffice6.4-math                	       0        4        0        0        4
38887 libreoffice6.4-ru                  	       0        1        0        0        1
38888 libreoffice6.4-ure                 	       0        4        0        0        4
38889 libreoffice6.4-writer              	       0        4        0        0        4
38890 libreoffice7.1                     	       0        1        0        0        1
38891 libreoffice7.1-base                	       0        1        0        0        1
38892 libreoffice7.1-calc                	       0        1        0        0        1
38893 libreoffice7.1-debian-menus        	       0        1        0        1        0
38894 libreoffice7.1-dict-en             	       0        1        0        0        1
38895 libreoffice7.1-dict-es             	       0        1        0        0        1
38896 libreoffice7.1-dict-fr             	       0        1        0        0        1
38897 libreoffice7.1-draw                	       0        1        0        0        1
38898 libreoffice7.1-en-us               	       0        1        0        0        1
38899 libreoffice7.1-impress             	       0        1        0        0        1
38900 libreoffice7.1-math                	       0        1        0        0        1
38901 libreoffice7.1-ure                 	       0        1        0        0        1
38902 libreoffice7.1-writer              	       0        1        0        0        1
38903 libreoffice7.2-debian-menus        	       0        1        0        1        0
38904 libreoffice7.2-ure                 	       0        1        0        0        1
38905 libreoffice7.3                     	       0        1        0        0        1
38906 libreoffice7.3-base                	       0        1        0        0        1
38907 libreoffice7.3-calc                	       0        1        0        0        1
38908 libreoffice7.3-debian-menus        	       0        1        0        1        0
38909 libreoffice7.3-dict-en             	       0        1        0        0        1
38910 libreoffice7.3-dict-es             	       0        1        0        0        1
38911 libreoffice7.3-dict-fr             	       0        1        0        0        1
38912 libreoffice7.3-dict-ru             	       0        1        0        0        1
38913 libreoffice7.3-draw                	       0        1        0        0        1
38914 libreoffice7.3-en-us               	       0        1        0        0        1
38915 libreoffice7.3-impress             	       0        1        0        0        1
38916 libreoffice7.3-math                	       0        1        0        0        1
38917 libreoffice7.3-ru                  	       0        1        0        0        1
38918 libreoffice7.3-ure                 	       0        1        0        0        1
38919 libreoffice7.3-writer              	       0        1        0        0        1
38920 libreoffice7.4                     	       0       11        0        0       11
38921 libreoffice7.4-base                	       0        1        0        0        1
38922 libreoffice7.4-calc                	       0       11        0        0       11
38923 libreoffice7.4-debian-menus        	       0       11        0       11        0
38924 libreoffice7.4-dict-en             	       0        1        0        0        1
38925 libreoffice7.4-dict-fr             	       0        1        0        0        1
38926 libreoffice7.4-draw                	       0       11        0        0       11
38927 libreoffice7.4-en-us               	       0        1        0        0        1
38928 libreoffice7.4-impress             	       0       11        0        0       11
38929 libreoffice7.4-it                  	       0       10        0        0       10
38930 libreoffice7.4-math                	       0        1        0        0        1
38931 libreoffice7.4-ure                 	       0       12        0        0       12
38932 libreoffice7.4-writer              	       0       11        0        0       11
38933 libreoffice7.5                     	       0        4        0        0        4
38934 libreoffice7.5-base                	       0        4        0        0        4
38935 libreoffice7.5-calc                	       0        4        0        0        4
38936 libreoffice7.5-debian-menus        	       0        5        1        4        0
38937 libreoffice7.5-dict-en             	       0        4        0        0        4
38938 libreoffice7.5-dict-es             	       0        4        0        0        4
38939 libreoffice7.5-dict-fr             	       0        4        0        0        4
38940 libreoffice7.5-dict-nl             	       0        1        0        0        1
38941 libreoffice7.5-draw                	       0        4        0        0        4
38942 libreoffice7.5-en-us               	       0        4        0        0        4
38943 libreoffice7.5-impress             	       0        4        0        0        4
38944 libreoffice7.5-math                	       0        4        0        0        4
38945 libreoffice7.5-nl                  	       0        1        0        0        1
38946 libreoffice7.5-ure                 	       0        6        0        0        6
38947 libreoffice7.5-writer              	       0        4        0        0        4
38948 libreoffice7.6                     	       0        3        0        0        3
38949 libreoffice7.6-base                	       0        3        0        0        3
38950 libreoffice7.6-calc                	       0        3        0        0        3
38951 libreoffice7.6-debian-menus        	       0        4        0        4        0
38952 libreoffice7.6-dict-en             	       0        3        0        0        3
38953 libreoffice7.6-dict-es             	       0        3        0        0        3
38954 libreoffice7.6-dict-fr             	       0        3        0        0        3
38955 libreoffice7.6-draw                	       0        3        0        0        3
38956 libreoffice7.6-en-us               	       0        3        0        0        3
38957 libreoffice7.6-impress             	       0        3        0        0        3
38958 libreoffice7.6-math                	       0        3        0        0        3
38959 libreoffice7.6-ure                 	       0        5        0        0        5
38960 libreoffice7.6-writer              	       0        3        0        0        3
38961 libreofficebox-keyring             	       0        1        0        0        1
38962 libreofficekit-dev                 	       0        1        0        1        0
38963 librep-dev                         	       0        3        0        3        0
38964 librep16                           	       0       19        0        3       16
38965 librep9                            	       0        4        1        0        3
38966 librepfunc1                        	       0        1        0        0        1
38967 libreplaygain-dev                  	       0        2        0        2        0
38968 libreplaygain1                     	       0       35        0        0       35
38969 librepo0                           	       0        3        0        0        3
38970 librepository-java                 	       0      744        0        0      744
38971 librepository-java-doc             	       0        6        0        0        6
38972 libresample1                       	       0       25        5        6       14
38973 libresample1-dev                   	       0       12        0       12        0
38974 libresid-builder-dev               	       0       12        0       12        0
38975 libresid-builder0c2a               	       0     2192        9       18     2165
38976 libresolv-wrapper                  	       0        5        0        0        5
38977 libresource-retriever-dev          	       0        1        0        1        0
38978 libresource-retriever0d            	       0        1        0        0        1
38979 libressl                           	       0        1        0        1        0
38980 librest-application-perl           	       0        1        0        1        0
38981 librest-dev                        	       0        2        0        2        0
38982 librest-doc                        	       0        1        0        0        1
38983 librest-extras-0.7-0               	       0        7        0        0        7
38984 librest-extras-1.0-0               	       0        1        0        0        1
38985 librest-extras-dev                 	       0        1        0        1        0
38986 librestbed0                        	       0        4        0        0        4
38987 librestinio-dev                    	       0        1        0        0        1
38988 libresult-ocaml                    	       0        1        0        1        0
38989 libreswan                          	       0        1        0        1        0
38990 libretro-beetle-pce-fast           	       0        4        0        0        4
38991 libretro-beetle-psx                	       0        5        0        0        5
38992 libretro-beetle-vb                 	       0        4        0        0        4
38993 libretro-beetle-wswan              	       0        4        0        0        4
38994 libretro-bsnes-mercury-accuracy    	       0        7        0        0        7
38995 libretro-bsnes-mercury-balanced    	       0        4        0        0        4
38996 libretro-bsnes-mercury-performance 	       0        5        0        0        5
38997 libretro-core-info                 	       0       25        0        0       25
38998 libretro-desmume                   	       0        4        0        0        4
38999 libretro-gambatte                  	       0        4        0        0        4
39000 libretro-genesisplusgx             	       0        1        0        0        1
39001 libretro-gtk-1-0                   	       0        4        0        0        4
39002 libretro-gtk-1-dev                 	       0        3        0        3        0
39003 libretro-mgba                      	       0        5        0        0        5
39004 libretro-mupen64plus               	       0        1        0        0        1
39005 libretro-nestopia                  	       0        6        0        0        6
39006 libretro-snes9x                    	       0        7        0        0        7
39007 libreturn-multilevel-perl          	       0        1        0        1        0
39008 libreturn-type-perl                	       0        1        0        1        0
39009 libreturn-value-perl               	       0        1        0        1        0
39010 librevenge-dev                     	       0        7        0        7        0
39011 librevm0                           	       0        1        0        0        1
39012 librexml-ruby                      	       0        1        0        0        1
39013 librg-exception-perl               	       0        1        0        1        0
39014 librg-reprof-bundle-perl           	       0        1        0        1        0
39015 librg-utils-perl                   	       0        1        0        1        0
39016 librgw2                            	       0        5        0        0        5
39017 librgxg0                           	       0        1        0        0        1
39018 librhash-dev                       	       0       14        0       14        0
39019 librhash0                          	       0      637        0        1      636
39020 librhash0-dbgsym                   	       0        1        0        1        0
39021 librhash1                          	       0       50        0        0       50
39022 librhino-java                      	       0      273        0        0      273
39023 librhino-java-doc                  	       0        4        0        0        4
39024 librhonabwy0.9                     	       0        1        0        0        1
39025 librhonabwy1.1                     	       0        1        0        0        1
39026 librhvoice-audio2                  	       0        3        0        0        3
39027 librhvoice-core7                   	       0        3        0        0        3
39028 librhythmbox-core10                	       0      407        0        3      404
39029 librhythmbox-core8                 	       0        4        0        0        4
39030 librhythmbox-core9                 	       0        1        0        0        1
39031 libriemann-client-dev              	       0        2        0        2        0
39032 libriemann-client0                 	       0       42        0        0       42
39033 librime-bin                        	       0        6        0        6        0
39034 librime-data                       	       0        6        0        0        6
39035 librime-data-array30               	       0        1        0        0        1
39036 librime-data-bopomofo              	       0        3        0        0        3
39037 librime-data-cangjie5              	       0        2        0        0        2
39038 librime-data-combo-pinyin          	       0        1        0        0        1
39039 librime-data-double-pinyin         	       0        1        0        0        1
39040 librime-data-emoji                 	       0        1        0        0        1
39041 librime-data-ipa-xsampa            	       0        1        0        0        1
39042 librime-data-ipa-yunlong           	       0        1        0        0        1
39043 librime-data-jyutping              	       0        1        0        0        1
39044 librime-data-luna-pinyin           	       0        2        0        0        2
39045 librime-data-pinyin-simp           	       0        1        0        0        1
39046 librime-data-quick5                	       0        1        0        0        1
39047 librime-data-sampheng              	       0        1        0        0        1
39048 librime-data-scj6                  	       0        1        0        0        1
39049 librime-data-soutzoe               	       0        1        0        0        1
39050 librime-data-stenotype             	       0        1        0        0        1
39051 librime-data-stroke                	       0        2        0        0        2
39052 librime-data-terra-pinyin          	       0        2        0        0        2
39053 librime-data-wubi                  	       0        1        0        0        1
39054 librime-data-wugniu                	       0        1        0        0        1
39055 librime-data-zyenpheng             	       0        1        0        0        1
39056 librime-plugin-charcode            	       0        3        0        1        2
39057 librime-plugin-lua                 	       0        3        0        1        2
39058 librime-plugin-octagram            	       0        3        0        1        2
39059 librime1                           	       0        6        0        2        4
39060 libring-anti-forgery-clojure       	       0        1        0        0        1
39061 libring-codec-clojure              	       0        2        0        0        2
39062 libring-core-clojure               	       0        2        0        0        2
39063 libring-defaults-clojure           	       0        1        0        0        1
39064 libring-headers-clojure            	       0        1        0        0        1
39065 libring-json-clojure               	       0        1        0        0        1
39066 libring-mock-clojure               	       0        1        0        0        1
39067 libring-servlet-clojure            	       0        1        0        0        1
39068 libring-ssl-clojure                	       0        1        0        0        1
39069 librist-dev                        	       0        8        0        8        0
39070 librlog1c2a                        	       0        1        0        0        1
39071 librlog5                           	       0        2        0        0        2
39072 librlog5v5                         	       0        7        0        0        7
39073 librlottie0-1                      	       0      145        5       37      103
39074 librnd3                            	       0       10        0        0       10
39075 librnd3-cloud                      	       0        9        0        9        0
39076 librnd3-hid-gtk2-gdk               	       0        9        0        9        0
39077 librnd3-hid-gtk2-gl                	       0        9        0        9        0
39078 librnd3-lib-gl                     	       0        9        0        9        0
39079 librnd3-lib-gtk                    	       0        9        0        9        0
39080 librnd3-lib-gui                    	       0        9        0        9        0
39081 librnd3-pixmap                     	       0        9        0        9        0
39082 librnd4-cloud                      	       0        3        0        3        0
39083 librnd4-dev                        	       0        1        0        1        0
39084 librnd4-hid-gtk4-gl                	       0        3        0        3        0
39085 librnd4-lib-gl                     	       0        3        0        3        0
39086 librnd4-lib-gui                    	       0        3        0        3        0
39087 librnd4-pixmap                     	       0        3        0        3        0
39088 librnd4t64                         	       0        3        0        0        3
39089 librngom-java                      	       0      339        0        0      339
39090 librnnoise-dev                     	       0        1        0        1        0
39091 librnnoise0                        	       0        1        0        0        1
39092 librnp0                            	       0      114        5       16       93
39093 libroar-compat-tools               	       0        1        0        1        0
39094 libroar-compat1                    	       0        1        0        1        0
39095 libroar-compat2                    	       0        5        0        0        5
39096 libroar-dev                        	       0        1        0        1        0
39097 libroar-plugins-universal          	       0        1        0        0        1
39098 libroar1                           	       0        1        0        0        1
39099 libroar2                           	       0       11        0        0       11
39100 libroaring-dev                     	       0        1        0        1        0
39101 libroaring0                        	       0        1        0        0        1
39102 libroc0.3                          	       0       15        0        0       15
39103 libroc0.4                          	       0      103        0        0      103
39104 librocksdb7.8                      	       0        1        0        0        1
39105 librocm-smi-dev                    	       0        3        0        3        0
39106 librocm-smi64-1                    	       0       12        0        0       12
39107 librocrand1                        	       0        1        0        0        1
39108 librocsparse0                      	       0        1        0        0        1
39109 libroken18-heimdal                 	       0       47        0        0       47
39110 libroken19-heimdal                 	       0       46        4        6       36
39111 libroken19t64-heimdal              	       0        2        0        0        2
39112 librole-basic-perl                 	       0        1        0        1        0
39113 librole-commons-perl               	       0        3        0        3        0
39114 librole-eventemitter-perl          	       0        1        0        1        0
39115 librole-hasmessage-perl            	       0        1        0        1        0
39116 librole-identifiable-perl          	       0        1        0        1        0
39117 libroman-perl                      	       0       17        0       17        0
39118 librome-java                       	       0        1        0        0        1
39119 libros-rosgraph-msgs-dev           	       0        3        0        3        0
39120 librosbag-dev                      	       0        1        0        1        0
39121 librosbag-storage-dev              	       0        1        0        1        0
39122 librosbag-storage4d                	       0        1        0        0        1
39123 librosbag4d                        	       0        1        0        0        1
39124 librosconsole-bridge-dev           	       0        1        0        1        0
39125 librosconsole-bridge0d             	       0        1        0        0        1
39126 librosconsole-dev                  	       0        3        0        3        0
39127 librosconsole3d                    	       0        4        0        0        4
39128 libroscpp-core-dev                 	       0        3        0        3        0
39129 libroscpp-dev                      	       0        3        0        3        0
39130 libroscpp-msg-dev                  	       0        3        0        3        0
39131 libroscpp-serialization0d          	       0        4        0        0        4
39132 libroscpp3d                        	       0        2        0        0        2
39133 libroscpp4d                        	       0        3        0        0        3
39134 librose-datetime-perl              	       0        1        0        1        0
39135 librose-object-perl                	       0        2        0        2        0
39136 librose-uri-perl                   	       0        2        0        2        0
39137 libroslib-dev                      	       0        1        0        1        0
39138 libroslib0d                        	       0        2        0        0        2
39139 libroslz4-1d                       	       0        1        0        0        1
39140 libroslz4-dev                      	       0        1        0        1        0
39141 librospack-dev                     	       0        1        0        1        0
39142 librospack0d                       	       0        4        0        0        4
39143 librostest-dev                     	       0        1        0        1        0
39144 librostime1d                       	       0        4        0        0        4
39145 librouter-simple-perl              	       0        4        0        4        0
39146 libroutermanager0                  	       0        2        0        0        2
39147 libroutino-slim0                   	       0       22        0        0       22
39148 libroutino0                        	       0       22        0        0       22
39149 librpc-xml-perl                    	       0       10        0       10        0
39150 librpcsecgss-dev                   	       0        1        0        1        0
39151 librpcsecgss3                      	       0       28        0        0       28
39152 librplay3                          	       0       76        3        7       66
39153 librplay3-dev                      	       0        1        0        1        0
39154 librpm10                           	       0       42        0        0       42
39155 librpm3                            	       0       33        0        0       33
39156 librpm8                            	       0       24        0        0       24
39157 librpm9                            	       0      276        0        0      276
39158 librpm9t64                         	       0        1        0        0        1
39159 librpmbuild10                      	       0       21        0        0       21
39160 librpmbuild3                       	       0       26        0        0       26
39161 librpmbuild8                       	       0       19        0        0       19
39162 librpmbuild9                       	       0      187        0        0      187
39163 librpmbuild9t64                    	       0        1        0        0        1
39164 librpmio10                         	       0       42        0        0       42
39165 librpmio3                          	       0       33        0        0       33
39166 librpmio8                          	       0       24        0        0       24
39167 librpmio9                          	       0      278        0        0      278
39168 librpmio9t64                       	       0        1        0        0        1
39169 librpmsign1                        	       0        5        0        0        5
39170 librpmsign10                       	       0       34        0        0       34
39171 librpmsign3                        	       0       15        0        0       15
39172 librpmsign8                        	       0       19        0        0       19
39173 librpmsign9                        	       0      187        0        0      187
39174 librpmsign9t64                     	       0        1        0        0        1
39175 librqrcode-ruby1.9                 	       0        1        0        0        1
39176 librrd-dev                         	       0        5        0        5        0
39177 librrd-ruby1.9.1                   	       0        2        0        0        2
39178 librrd2                            	       0        1        0        0        1
39179 librrd4                            	       0       15        0        1       14
39180 librrd8                            	       0      134       14       22       98
39181 librrd8t64                         	       0        8        0        1        7
39182 librrdp-perl                       	       0        1        0        1        0
39183 librrds-perl                       	       0       78        6       11       61
39184 librrdtool-oo-perl                 	       0        1        0        1        0
39185 librresult-ocaml                   	       0        1        0        1        0
39186 librresult-ocaml-dev               	       0        1        0        1        0
39187 librsb-dev                         	       0        2        0        2        0
39188 librsb0                            	       0        2        0        0        2
39189 librsb0t64                         	       0        1        0        0        1
39190 librsl-dev                         	       0        1        0        1        0
39191 librsl1                            	       0        3        0        0        3
39192 librspec-ruby1.9.1                 	       0        1        0        0        1
39193 librsplib3                         	       0        2        0        0        2
39194 librss1-trinity                    	       0       26        0        0       26
39195 librsskit-dev                      	       0        1        0        1        0
39196 librsskit0d                        	       0        4        0        4        0
39197 librsvg2-doc                       	       0        3        0        0        3
39198 librsvg2-tests                     	       0        1        0        0        1
39199 librsync-dev                       	       0        5        0        5        0
39200 librsync1                          	       0       33        0        0       33
39201 librsync2                          	       0      353        1       10      342
39202 librsync2t64                       	       0       29        0        0       29
39203 librsyntaxtextarea-java            	       0       90        0        0       90
39204 librt-client-rest-perl             	       0        1        0        1        0
39205 librtas2                           	       0        3        0        0        3
39206 librtasevent2                      	       0        3        0        0        3
39207 librtaudio-dev                     	       0       14        0       14        0
39208 librtaudio5                        	       0        1        0        0        1
39209 librtaudio5a                       	       0       10        0        0       10
39210 librtaudio6                        	       0      280        0        4      276
39211 librtaudio7                        	       0       36        0        1       35
39212 librte-acl18.11                    	       0        5        0        0        5
39213 librte-acl2                        	       0        1        0        0        1
39214 librte-acl23                       	       0        2        0        0        2
39215 librte-baseband-acc23              	       0        2        0        0        2
39216 librte-baseband-fpga-5gnr-fec23    	       0        2        0        0        2
39217 librte-baseband-fpga-lte-fec23     	       0        2        0        0        2
39218 librte-baseband-la12xx23           	       0        2        0        0        2
39219 librte-baseband-null23             	       0        2        0        0        2
39220 librte-baseband-turbo-sw23         	       0        2        0        0        2
39221 librte-bbdev18.11                  	       0        5        0        0        5
39222 librte-bbdev23                     	       0        2        0        0        2
39223 librte-bitratestats18.11           	       0        5        0        0        5
39224 librte-bitratestats23              	       0        2        0        0        2
39225 librte-bpf18.11                    	       0        5        0        0        5
39226 librte-bpf23                       	       0        2        0        0        2
39227 librte-bus-auxiliary23             	       0        2        0        0        2
39228 librte-bus-dpaa18.11               	       0        1        0        0        1
39229 librte-bus-dpaa23                  	       0        2        0        0        2
39230 librte-bus-fslmc18.11              	       0        1        0        0        1
39231 librte-bus-fslmc23                 	       0        2        0        0        2
39232 librte-bus-ifpga18.11              	       0        1        0        0        1
39233 librte-bus-ifpga23                 	       0        2        0        0        2
39234 librte-bus-pci18.11                	       0        1        0        0        1
39235 librte-bus-pci23                   	       0        2        0        0        2
39236 librte-bus-vdev18.11               	       0        1        0        0        1
39237 librte-bus-vdev23                  	       0        2        0        0        2
39238 librte-bus-vmbus18.11              	       0        1        0        0        1
39239 librte-bus-vmbus23                 	       0        2        0        0        2
39240 librte-cfgfile18.11                	       0        5        0        0        5
39241 librte-cfgfile2                    	       0        1        0        0        1
39242 librte-cfgfile23                   	       0        2        0        0        2
39243 librte-cmdline18.11                	       0        5        0        0        5
39244 librte-cmdline2                    	       0        1        0        0        1
39245 librte-cmdline23                   	       0        2        0        0        2
39246 librte-common-cnxk23               	       0        2        0        0        2
39247 librte-common-cpt18.11             	       0        1        0        0        1
39248 librte-common-cpt23                	       0        2        0        0        2
39249 librte-common-dpaax18.11           	       0        1        0        0        1
39250 librte-common-dpaax23              	       0        2        0        0        2
39251 librte-common-iavf23               	       0        2        0        0        2
39252 librte-common-idpf23               	       0        2        0        0        2
39253 librte-common-mlx5-23              	       0        2        0        0        2
39254 librte-common-octeontx18.11        	       0        1        0        0        1
39255 librte-common-octeontx23           	       0        2        0        0        2
39256 librte-common-qat23                	       0        2        0        0        2
39257 librte-common-sfc-efx23            	       0        2        0        0        2
39258 librte-compress-isal23             	       0        2        0        0        2
39259 librte-compress-mlx5-23            	       0        2        0        0        2
39260 librte-compress-octeontx23         	       0        2        0        0        2
39261 librte-compress-zlib23             	       0        2        0        0        2
39262 librte-compressdev18.11            	       0        5        0        0        5
39263 librte-compressdev23               	       0        2        0        0        2
39264 librte-crypto-bcmfs23              	       0        2        0        0        2
39265 librte-crypto-caam-jr23            	       0        2        0        0        2
39266 librte-crypto-ccp23                	       0        2        0        0        2
39267 librte-crypto-cnxk23               	       0        2        0        0        2
39268 librte-crypto-dpaa-sec23           	       0        2        0        0        2
39269 librte-crypto-dpaa2-sec23          	       0        2        0        0        2
39270 librte-crypto-ipsec-mb23           	       0        2        0        0        2
39271 librte-crypto-mlx5-23              	       0        2        0        0        2
39272 librte-crypto-nitrox23             	       0        2        0        0        2
39273 librte-crypto-null23               	       0        2        0        0        2
39274 librte-crypto-octeontx23           	       0        2        0        0        2
39275 librte-crypto-openssl23            	       0        2        0        0        2
39276 librte-crypto-scheduler23          	       0        2        0        0        2
39277 librte-crypto-virtio23             	       0        2        0        0        2
39278 librte-cryptodev18.11              	       0        5        0        0        5
39279 librte-cryptodev2                  	       0        1        0        0        1
39280 librte-cryptodev20.0               	       0        1        0        0        1
39281 librte-cryptodev23                 	       0        2        0        0        2
39282 librte-distributor1                	       0        1        0        0        1
39283 librte-distributor18.11            	       0        5        0        0        5
39284 librte-distributor23               	       0        2        0        0        2
39285 librte-dma-cnxk23                  	       0        2        0        0        2
39286 librte-dma-dpaa2-23                	       0        2        0        0        2
39287 librte-dma-dpaa23                  	       0        2        0        0        2
39288 librte-dma-hisilicon23             	       0        2        0        0        2
39289 librte-dma-idxd23                  	       0        2        0        0        2
39290 librte-dma-ioat23                  	       0        2        0        0        2
39291 librte-dma-skeleton23              	       0        2        0        0        2
39292 librte-dmadev23                    	       0        2        0        0        2
39293 librte-eal18.11                    	       0        5        0        0        5
39294 librte-eal20.0                     	       0        1        0        0        1
39295 librte-eal21                       	       0        2        0        0        2
39296 librte-eal23                       	       0        7        0        0        7
39297 librte-eal3                        	       0        2        0        0        2
39298 librte-efd18.11                    	       0        5        0        0        5
39299 librte-efd23                       	       0        2        0        0        2
39300 librte-ethdev18.11                 	       0        5        0        0        5
39301 librte-ethdev21                    	       0        2        0        0        2
39302 librte-ethdev23                    	       0        7        0        0        7
39303 librte-ethdev5                     	       0        2        0        0        2
39304 librte-event-cnxk23                	       0        2        0        0        2
39305 librte-event-dlb2-23               	       0        2        0        0        2
39306 librte-event-dpaa2-23              	       0        2        0        0        2
39307 librte-event-dpaa23                	       0        2        0        0        2
39308 librte-event-dsw23                 	       0        2        0        0        2
39309 librte-event-octeontx23            	       0        2        0        0        2
39310 librte-event-opdl23                	       0        2        0        0        2
39311 librte-event-skeleton23            	       0        2        0        0        2
39312 librte-event-sw23                  	       0        2        0        0        2
39313 librte-eventdev18.11               	       0        5        0        0        5
39314 librte-eventdev23                  	       0        2        0        0        2
39315 librte-fib23                       	       0        2        0        0        2
39316 librte-flow-classify18.11          	       0        5        0        0        5
39317 librte-gpudev23                    	       0        2        0        0        2
39318 librte-graph23                     	       0        2        0        0        2
39319 librte-gro18.11                    	       0        5        0        0        5
39320 librte-gro23                       	       0        2        0        0        2
39321 librte-gso18.11                    	       0        5        0        0        5
39322 librte-gso23                       	       0        2        0        0        2
39323 librte-hash18.11                   	       0        5        0        0        5
39324 librte-hash2                       	       0        1        0        0        1
39325 librte-hash20.0                    	       0        1        0        0        1
39326 librte-hash23                      	       0        2        0        0        2
39327 librte-ip-frag1                    	       0        1        0        0        1
39328 librte-ip-frag18.11                	       0        5        0        0        5
39329 librte-ip-frag23                   	       0        2        0        0        2
39330 librte-ipsec0.200                  	       0        1        0        0        1
39331 librte-ipsec23                     	       0        2        0        0        2
39332 librte-jobstats1                   	       0        1        0        0        1
39333 librte-jobstats18.11               	       0        5        0        0        5
39334 librte-jobstats23                  	       0        2        0        0        2
39335 librte-kni18.11                    	       0        5        0        0        5
39336 librte-kni2                        	       0        1        0        0        1
39337 librte-kvargs1                     	       0        2        0        0        2
39338 librte-kvargs18.11                 	       0        5        0        0        5
39339 librte-kvargs20.0                  	       0        1        0        0        1
39340 librte-kvargs21                    	       0        2        0        0        2
39341 librte-kvargs23                    	       0        7        0        0        7
39342 librte-latencystats18.11           	       0        5        0        0        5
39343 librte-latencystats23              	       0        2        0        0        2
39344 librte-lpm18.11                    	       0        5        0        0        5
39345 librte-lpm2                        	       0        1        0        0        1
39346 librte-lpm23                       	       0        2        0        0        2
39347 librte-mbuf18.11                   	       0        5        0        0        5
39348 librte-mbuf2                       	       0        2        0        0        2
39349 librte-mbuf20.0                    	       0        1        0        0        1
39350 librte-mbuf21                      	       0        2        0        0        2
39351 librte-mbuf23                      	       0        7        0        0        7
39352 librte-member18.11                 	       0        5        0        0        5
39353 librte-member23                    	       0        2        0        0        2
39354 librte-mempool-bucket18.11         	       0        1        0        0        1
39355 librte-mempool-bucket23            	       0        2        0        0        2
39356 librte-mempool-cnxk23              	       0        2        0        0        2
39357 librte-mempool-dpaa18.11           	       0        1        0        0        1
39358 librte-mempool-dpaa2-18.11         	       0        1        0        0        1
39359 librte-mempool-dpaa2-23            	       0        2        0        0        2
39360 librte-mempool-dpaa23              	       0        2        0        0        2
39361 librte-mempool-octeontx18.11       	       0        1        0        0        1
39362 librte-mempool-octeontx23          	       0        2        0        0        2
39363 librte-mempool-ring18.11           	       0        1        0        0        1
39364 librte-mempool-ring23              	       0        2        0        0        2
39365 librte-mempool-stack18.11          	       0        1        0        0        1
39366 librte-mempool-stack23             	       0        2        0        0        2
39367 librte-mempool18.11                	       0        5        0        0        5
39368 librte-mempool2                    	       0        2        0        0        2
39369 librte-mempool20.0                 	       0        1        0        0        1
39370 librte-mempool21                   	       0        2        0        0        2
39371 librte-mempool23                   	       0        7        0        0        7
39372 librte-meta-all                    	       0        2        0        0        2
39373 librte-meta-allpmds                	       0        2        0        0        2
39374 librte-meta-baseband               	       0        2        0        0        2
39375 librte-meta-bus                    	       0        2        0        0        2
39376 librte-meta-common                 	       0        2        0        0        2
39377 librte-meta-compress               	       0        2        0        0        2
39378 librte-meta-crypto                 	       0        2        0        0        2
39379 librte-meta-dma                    	       0        2        0        0        2
39380 librte-meta-event                  	       0        2        0        0        2
39381 librte-meta-mempool                	       0        2        0        0        2
39382 librte-meta-net                    	       0        2        0        0        2
39383 librte-meta-raw                    	       0        2        0        0        2
39384 librte-meter1                      	       0        1        0        0        1
39385 librte-meter18.11                  	       0        5        0        0        5
39386 librte-meter21                     	       0        2        0        0        2
39387 librte-meter23                     	       0        7        0        0        7
39388 librte-metrics18.11                	       0        5        0        0        5
39389 librte-metrics23                   	       0        2        0        0        2
39390 librte-net-af-packet23             	       0        2        0        0        2
39391 librte-net-af-xdp23                	       0        2        0        0        2
39392 librte-net-ark23                   	       0        2        0        0        2
39393 librte-net-atlantic23              	       0        2        0        0        2
39394 librte-net-avp23                   	       0        2        0        0        2
39395 librte-net-axgbe23                 	       0        2        0        0        2
39396 librte-net-bnx2x23                 	       0        2        0        0        2
39397 librte-net-bnxt23                  	       0        2        0        0        2
39398 librte-net-bond23                  	       0        2        0        0        2
39399 librte-net-cnxk23                  	       0        2        0        0        2
39400 librte-net-cxgbe23                 	       0        2        0        0        2
39401 librte-net-dpaa2-23                	       0        2        0        0        2
39402 librte-net-dpaa23                  	       0        2        0        0        2
39403 librte-net-e1000-23                	       0        2        0        0        2
39404 librte-net-ena23                   	       0        2        0        0        2
39405 librte-net-enetc23                 	       0        2        0        0        2
39406 librte-net-enetfec23               	       0        2        0        0        2
39407 librte-net-enic23                  	       0        2        0        0        2
39408 librte-net-failsafe23              	       0        2        0        0        2
39409 librte-net-fm10k23                 	       0        2        0        0        2
39410 librte-net-gve23                   	       0        2        0        0        2
39411 librte-net-hinic23                 	       0        2        0        0        2
39412 librte-net-hns3-23                 	       0        2        0        0        2
39413 librte-net-i40e23                  	       0        2        0        0        2
39414 librte-net-iavf23                  	       0        2        0        0        2
39415 librte-net-ice23                   	       0        2        0        0        2
39416 librte-net-idpf23                  	       0        2        0        0        2
39417 librte-net-igc23                   	       0        2        0        0        2
39418 librte-net-ionic23                 	       0        2        0        0        2
39419 librte-net-ipn3ke23                	       0        2        0        0        2
39420 librte-net-ixgbe23                 	       0        2        0        0        2
39421 librte-net-liquidio23              	       0        2        0        0        2
39422 librte-net-memif23                 	       0        2        0        0        2
39423 librte-net-mlx4-23                 	       0        2        0        0        2
39424 librte-net-mlx5-23                 	       0        2        0        0        2
39425 librte-net-netvsc23                	       0        2        0        0        2
39426 librte-net-nfp23                   	       0        2        0        0        2
39427 librte-net-ngbe23                  	       0        2        0        0        2
39428 librte-net-null23                  	       0        2        0        0        2
39429 librte-net-octeon-ep23             	       0        2        0        0        2
39430 librte-net-octeontx23              	       0        2        0        0        2
39431 librte-net-pcap23                  	       0        2        0        0        2
39432 librte-net-pfe23                   	       0        2        0        0        2
39433 librte-net-qede23                  	       0        2        0        0        2
39434 librte-net-ring23                  	       0        2        0        0        2
39435 librte-net-sfc23                   	       0        2        0        0        2
39436 librte-net-softnic23               	       0        2        0        0        2
39437 librte-net-tap23                   	       0        2        0        0        2
39438 librte-net-thunderx23              	       0        2        0        0        2
39439 librte-net-txgbe23                 	       0        2        0        0        2
39440 librte-net-vdev-netvsc23           	       0        2        0        0        2
39441 librte-net-vhost23                 	       0        2        0        0        2
39442 librte-net-virtio23                	       0        2        0        0        2
39443 librte-net-vmxnet3-23              	       0        2        0        0        2
39444 librte-net1                        	       0        2        0        0        2
39445 librte-net18.11                    	       0        5        0        0        5
39446 librte-net20.0                     	       0        1        0        0        1
39447 librte-net21                       	       0        2        0        0        2
39448 librte-net23                       	       0        7        0        0        7
39449 librte-node23                      	       0        2        0        0        2
39450 librte-pcapng23                    	       0        3        0        0        3
39451 librte-pci18.11                    	       0        5        0        0        5
39452 librte-pci23                       	       0        2        0        0        2
39453 librte-pdump1                      	       0        1        0        0        1
39454 librte-pdump18.11                  	       0        5        0        0        5
39455 librte-pdump23                     	       0        2        0        0        2
39456 librte-pipeline18.11               	       0        5        0        0        5
39457 librte-pipeline23                  	       0        2        0        0        2
39458 librte-pipeline3                   	       0        1        0        0        1
39459 librte-pmd-aesni-gcm18.11          	       0        1        0        0        1
39460 librte-pmd-aesni-mb18.11           	       0        1        0        0        1
39461 librte-pmd-af-packet1              	       0        1        0        0        1
39462 librte-pmd-af-packet18.11          	       0        1        0        0        1
39463 librte-pmd-ark18.11                	       0        1        0        0        1
39464 librte-pmd-atlantic18.11           	       0        1        0        0        1
39465 librte-pmd-avf18.11                	       0        1        0        0        1
39466 librte-pmd-avp18.11                	       0        1        0        0        1
39467 librte-pmd-axgbe18.11              	       0        1        0        0        1
39468 librte-pmd-bbdev-null18.11         	       0        1        0        0        1
39469 librte-pmd-bnx2x18.11              	       0        1        0        0        1
39470 librte-pmd-bnxt1                   	       0        1        0        0        1
39471 librte-pmd-bnxt18.11               	       0        1        0        0        1
39472 librte-pmd-bond1                   	       0        1        0        0        1
39473 librte-pmd-bond18.11               	       0        1        0        0        1
39474 librte-pmd-caam-jr18.11            	       0        1        0        0        1
39475 librte-pmd-ccp18.11                	       0        1        0        0        1
39476 librte-pmd-crypto-scheduler18.11   	       0        1        0        0        1
39477 librte-pmd-cxgbe1                  	       0        1        0        0        1
39478 librte-pmd-cxgbe18.11              	       0        1        0        0        1
39479 librte-pmd-dpaa-event18.11         	       0        1        0        0        1
39480 librte-pmd-dpaa-sec18.11           	       0        1        0        0        1
39481 librte-pmd-dpaa18.11               	       0        1        0        0        1
39482 librte-pmd-dpaa2-18.11             	       0        1        0        0        1
39483 librte-pmd-dpaa2-cmdif18.11        	       0        1        0        0        1
39484 librte-pmd-dpaa2-event18.11        	       0        1        0        0        1
39485 librte-pmd-dpaa2-qdma18.11         	       0        1        0        0        1
39486 librte-pmd-dpaa2-sec18.11          	       0        1        0        0        1
39487 librte-pmd-dsw-event18.11          	       0        1        0        0        1
39488 librte-pmd-e1000-1                 	       0        1        0        0        1
39489 librte-pmd-e1000-18.11             	       0        1        0        0        1
39490 librte-pmd-ena1                    	       0        1        0        0        1
39491 librte-pmd-ena18.11                	       0        1        0        0        1
39492 librte-pmd-enetc18.11              	       0        1        0        0        1
39493 librte-pmd-enic1                   	       0        1        0        0        1
39494 librte-pmd-enic18.11               	       0        1        0        0        1
39495 librte-pmd-failsafe18.11           	       0        1        0        0        1
39496 librte-pmd-fm10k1                  	       0        1        0        0        1
39497 librte-pmd-fm10k18.11              	       0        1        0        0        1
39498 librte-pmd-i40e1                   	       0        1        0        0        1
39499 librte-pmd-i40e18.11               	       0        1        0        0        1
39500 librte-pmd-ifc18.11                	       0        1        0        0        1
39501 librte-pmd-ifpga-rawdev18.11       	       0        1        0        0        1
39502 librte-pmd-ixgbe1                  	       0        1        0        0        1
39503 librte-pmd-ixgbe18.11              	       0        1        0        0        1
39504 librte-pmd-kni18.11                	       0        1        0        0        1
39505 librte-pmd-liquidio18.11           	       0        1        0        0        1
39506 librte-pmd-mlx4-18.11              	       0        1        0        0        1
39507 librte-pmd-mlx5-18.11              	       0        1        0        0        1
39508 librte-pmd-netvsc18.11             	       0        1        0        0        1
39509 librte-pmd-nfp18.11                	       0        1        0        0        1
39510 librte-pmd-null-crypto1            	       0        1        0        0        1
39511 librte-pmd-null-crypto18.11        	       0        1        0        0        1
39512 librte-pmd-null1                   	       0        1        0        0        1
39513 librte-pmd-null18.11               	       0        1        0        0        1
39514 librte-pmd-octeontx-compress18.11  	       0        1        0        0        1
39515 librte-pmd-octeontx-crypto18.11    	       0        1        0        0        1
39516 librte-pmd-octeontx-event18.11     	       0        1        0        0        1
39517 librte-pmd-octeontx18.11           	       0        1        0        0        1
39518 librte-pmd-opdl-event18.11         	       0        1        0        0        1
39519 librte-pmd-openssl18.11            	       0        1        0        0        1
39520 librte-pmd-pcap1                   	       0        1        0        0        1
39521 librte-pmd-pcap18.11               	       0        1        0        0        1
39522 librte-pmd-qat18.11                	       0        1        0        0        1
39523 librte-pmd-qede1                   	       0        1        0        0        1
39524 librte-pmd-qede18.11               	       0        1        0        0        1
39525 librte-pmd-ring18.11               	       0        1        0        0        1
39526 librte-pmd-ring2                   	       0        1        0        0        1
39527 librte-pmd-sfc18.11                	       0        1        0        0        1
39528 librte-pmd-skeleton-event18.11     	       0        1        0        0        1
39529 librte-pmd-skeleton-rawdev18.11    	       0        1        0        0        1
39530 librte-pmd-softnic18.11            	       0        1        0        0        1
39531 librte-pmd-sw-event18.11           	       0        1        0        0        1
39532 librte-pmd-tap18.11                	       0        1        0        0        1
39533 librte-pmd-thunderx18.11           	       0        1        0        0        1
39534 librte-pmd-vdev-netvsc18.11        	       0        1        0        0        1
39535 librte-pmd-vhost1                  	       0        1        0        0        1
39536 librte-pmd-vhost18.11              	       0        1        0        0        1
39537 librte-pmd-virtio-crypto18.11      	       0        1        0        0        1
39538 librte-pmd-virtio1                 	       0        2        0        0        2
39539 librte-pmd-virtio18.11             	       0        1        0        0        1
39540 librte-pmd-vmxnet3-18.11           	       0        1        0        0        1
39541 librte-pmd-vmxnet3-uio1            	       0        1        0        0        1
39542 librte-pmd-xenvirt1                	       0        1        0        0        1
39543 librte-pmd-zlib18.11               	       0        1        0        0        1
39544 librte-port18.11                   	       0        5        0        0        5
39545 librte-port23                      	       0        2        0        0        2
39546 librte-port3                       	       0        1        0        0        1
39547 librte-power1                      	       0        1        0        0        1
39548 librte-power18.11                  	       0        5        0        0        5
39549 librte-power23                     	       0        2        0        0        2
39550 librte-raw-cnxk-bphy23             	       0        2        0        0        2
39551 librte-raw-cnxk-gpio23             	       0        2        0        0        2
39552 librte-raw-dpaa2-cmdif23           	       0        2        0        0        2
39553 librte-raw-ifpga23                 	       0        2        0        0        2
39554 librte-raw-ntb23                   	       0        2        0        0        2
39555 librte-raw-skeleton23              	       0        2        0        0        2
39556 librte-rawdev18.11                 	       0        5        0        0        5
39557 librte-rawdev23                    	       0        2        0        0        2
39558 librte-rcu23                       	       0        2        0        0        2
39559 librte-regex-cn9k23                	       0        2        0        0        2
39560 librte-regex-mlx5-23               	       0        2        0        0        2
39561 librte-regexdev23                  	       0        2        0        0        2
39562 librte-reorder1                    	       0        1        0        0        1
39563 librte-reorder18.11                	       0        5        0        0        5
39564 librte-reorder23                   	       0        2        0        0        2
39565 librte-rib23                       	       0        2        0        0        2
39566 librte-ring1                       	       0        2        0        0        2
39567 librte-ring18.11                   	       0        5        0        0        5
39568 librte-ring20.0                    	       0        1        0        0        1
39569 librte-ring21                      	       0        2        0        0        2
39570 librte-ring23                      	       0        7        0        0        7
39571 librte-sched1                      	       0        1        0        0        1
39572 librte-sched18.11                  	       0        5        0        0        5
39573 librte-sched23                     	       0        2        0        0        2
39574 librte-security18.11               	       0        5        0        0        5
39575 librte-security20.0                	       0        1        0        0        1
39576 librte-security23                  	       0        2        0        0        2
39577 librte-stack23                     	       0        2        0        0        2
39578 librte-table18.11                  	       0        5        0        0        5
39579 librte-table2                      	       0        1        0        0        1
39580 librte-table23                     	       0        2        0        0        2
39581 librte-telemetry18.11              	       0        5        0        0        5
39582 librte-telemetry21                 	       0        2        0        0        2
39583 librte-telemetry23                 	       0        7        0        0        7
39584 librte-timer1                      	       0        1        0        0        1
39585 librte-timer18.11                  	       0        5        0        0        5
39586 librte-timer23                     	       0        2        0        0        2
39587 librte-vdpa-ifc23                  	       0        2        0        0        2
39588 librte-vdpa-mlx5-23                	       0        2        0        0        2
39589 librte-vdpa-sfc23                  	       0        2        0        0        2
39590 librte-vhost18.11                  	       0        5        0        0        5
39591 librte-vhost23                     	       0        2        0        0        2
39592 librte-vhost3                      	       0        1        0        0        1
39593 librtengine3                       	       0        1        0        0        1
39594 librtklib1                         	       0        3        0        0        3
39595 librtlsdr-dev                      	       0       18        0       18        0
39596 librtmidi-dev                      	       0        8        0        8        0
39597 librtmidi2                         	       0        2        0        0        2
39598 librtmidi3                         	       0        3        0        0        3
39599 librtmidi4                         	       0       10        0        0       10
39600 librtmidi5                         	       0       20        0        0       20
39601 librtmidi6                         	       0       83        0        2       81
39602 librtmidi7                         	       0       10        0        0       10
39603 librtmp0                           	       0       31        0        0       31
39604 librtpkcs11ecp                     	       0        2        0        0        2
39605 librttopo1                         	       0      628        3       10      615
39606 librttr-core0.9.6                  	       0       29        0        1       28
39607 librubberband-dev                  	       0       35        0       35        0
39608 librubberband3                     	       0       12        0        1       11
39609 libruby                            	       0      754        0        0      754
39610 libruby1.6                         	       0        1        0        1        0
39611 libruby1.8                         	       0       17        2       15        0
39612 libruby1.9.1                       	       0       16        2       14        0
39613 libruby1.9.1-dbg                   	       0        2        0        2        0
39614 libruby2.0                         	       0        5        0        5        0
39615 libruby2.1                         	       0       73        4       69        0
39616 libruby2.2                         	       0        2        0        2        0
39617 libruby2.3                         	       0       71        6       65        0
39618 libruby3.0                         	       0       22        1       21        0
39619 libruby3.1t64                      	       0       83       12       71        0
39620 librududu0                         	       0        1        0        0        1
39621 librulexdb-dev                     	       0        1        0        1        0
39622 librulexdb0                        	       0        1        0        0        1
39623 libruli4                           	       0        1        0        0        1
39624 librun-parts-perl                  	       0        2        0        2        0
39625 librust-ab-glyph-dev               	       0        1        0        0        1
39626 librust-ab-glyph-rasterizer+libm-dev	       0        1        0        0        1
39627 librust-ab-glyph-rasterizer-dev    	       0        1        0        0        1
39628 librust-addr2line+cpp-demangle-dev 	       0        8        0        0        8
39629 librust-addr2line+default-dev      	       0        8        0        0        8
39630 librust-addr2line+object-dev       	       0        8        0        0        8
39631 librust-addr2line+rustc-demangle-dev	       0        8        0        0        8
39632 librust-addr2line+std-dev          	       0        8        0        0        8
39633 librust-addr2line+std-object-dev   	       0        8        0        0        8
39634 librust-addr2line-dev              	       0        9        0        1        8
39635 librust-adler-dev                  	       0       10        0        0       10
39636 librust-ahash-0.7-dev              	       0        1        0        0        1
39637 librust-ahash-dev                  	       0       10        0        0       10
39638 librust-aho-corasick-dev           	       0       11        0        0       11
39639 librust-allocator-api2-dev         	       0        2        0        0        2
39640 librust-anes-dev                   	       0        1        0        0        1
39641 librust-annotate-snippets-dev      	       0        1        0        0        1
39642 librust-anstream-dev               	       0        2        0        0        2
39643 librust-anstyle-dev                	       0        2        0        0        2
39644 librust-anstyle-parse-dev          	       0        2        0        0        2
39645 librust-anstyle-query-dev          	       0        2        0        0        2
39646 librust-anyhow-dev                 	       0        4        0        0        4
39647 librust-approx-dev                 	       0        1        0        0        1
39648 librust-arbitrary-dev              	       0       12        0        0       12
39649 librust-arc-swap-dev               	       0        1        0        0        1
39650 librust-arrayvec-dev               	       0        2        0        0        2
39651 librust-async-attributes-dev       	       0        1        0        0        1
39652 librust-async-channel-dev          	       0        1        0        0        1
39653 librust-async-executor-dev         	       0        1        0        0        1
39654 librust-async-fs-dev               	       0        1        0        0        1
39655 librust-async-global-executor-dev  	       0        1        0        0        1
39656 librust-async-io-dev               	       0        1        0        0        1
39657 librust-async-lock-dev             	       0        1        0        0        1
39658 librust-async-net-dev              	       0        1        0        0        1
39659 librust-async-process-dev          	       0        1        0        0        1
39660 librust-async-signal-dev           	       0        1        0        0        1
39661 librust-async-std-dev              	       0        1        0        0        1
39662 librust-async-task-dev             	       0        1        0        0        1
39663 librust-atk-dev                    	       0        1        0        0        1
39664 librust-atk-sys-dev                	       0        2        0        2        0
39665 librust-atomic-dev                 	       0        1        0        0        1
39666 librust-atomic-waker-dev           	       0        1        0        0        1
39667 librust-atty-dev                   	       0        9        0        0        9
39668 librust-autocfg-dev                	       0       11        0        0       11
39669 librust-automod-dev                	       0        1        0        0        1
39670 librust-backtrace-dev              	       0        9        0        0        9
39671 librust-bindgen+clap-dev           	       0        4        0        0        4
39672 librust-bindgen+default-dev        	       0        4        0        0        4
39673 librust-bindgen+env-logger-dev     	       0        4        0        0        4
39674 librust-bindgen+log-dev            	       0        4        0        0        4
39675 librust-bindgen+logging-dev        	       0        4        0        0        4
39676 librust-bindgen+runtime-dev        	       0        4        0        0        4
39677 librust-bindgen+which-dev          	       0        4        0        0        4
39678 librust-bindgen-dev                	       0        4        0        0        4
39679 librust-bit-field-dev              	       0        1        0        0        1
39680 librust-bit-set-dev                	       0        1        0        0        1
39681 librust-bit-vec-dev                	       0        1        0        0        1
39682 librust-bitflags-1-dev             	       0        1        0        0        1
39683 librust-bitflags-dev               	       0       13        0        0       13
39684 librust-bitvec-dev                 	       0        1        0        0        1
39685 librust-blobby-dev                 	       0        1        0        0        1
39686 librust-block-buffer-dev           	       0        1        0        0        1
39687 librust-blocking-dev               	       0        1        0        0        1
39688 librust-bstr-dev                   	       0        1        0        0        1
39689 librust-bumpalo-dev                	       0       10        0        0       10
39690 librust-bytecheck-derive-dev       	       0        1        0        0        1
39691 librust-bytecheck-dev              	       0        1        0        0        1
39692 librust-bytemuck-derive-dev        	       0        1        0        0        1
39693 librust-bytemuck-dev               	       0        1        0        0        1
39694 librust-byteorder-dev              	       0        3        0        0        3
39695 librust-bytes-dev                  	       0        6        0        0        6
39696 librust-bytesize-dev               	       0        1        0        0        1
39697 librust-cairo-rs+use-glib-dev      	       0        2        0        0        2
39698 librust-cairo-rs-dev               	       0        4        0        0        4
39699 librust-cairo-sys-rs+use-glib-dev  	       0        2        0        0        2
39700 librust-cairo-sys-rs-dev           	       0        5        0        0        5
39701 librust-capnp-dev                  	       0        1        0        0        1
39702 librust-cast-dev                   	       0        1        0        0        1
39703 librust-cc-dev                     	       0       11        0       10        1
39704 librust-cexpr-dev                  	       0        5        0        5        0
39705 librust-cfg-aliases-dev            	       0        1        0        0        1
39706 librust-cfg-expr-dev               	       0        5        0        0        5
39707 librust-cfg-if-0.1-dev             	       0        1        0        0        1
39708 librust-cfg-if-dev                 	       0       12        0        0       12
39709 librust-chrono-dev                 	       0        1        0        0        1
39710 librust-ciborium-dev               	       0        1        0        0        1
39711 librust-ciborium-io-dev            	       0        1        0        0        1
39712 librust-ciborium-ll-dev            	       0        1        0        0        1
39713 librust-clang-sys+libloading-dev   	       0        4        0        0        4
39714 librust-clang-sys-dev              	       0        4        0        4        0
39715 librust-clap-3-dev                 	       0        4        0        4        0
39716 librust-clap-builder-dev           	       0        1        0        0        1
39717 librust-clap-derive-3-dev          	       0        4        0        0        4
39718 librust-clap-derive-dev            	       0        1        0        0        1
39719 librust-clap-dev                   	       0        1        0        1        0
39720 librust-clap-lex-dev               	       0        5        0        0        5
39721 librust-cmake-dev                  	       0        2        0        0        2
39722 librust-color-quant-dev            	       0        1        0        0        1
39723 librust-colorchoice-dev            	       0        2        0        0        2
39724 librust-compiler-builtins+core-dev 	       0        2        0        0        2
39725 librust-compiler-builtins+rustc-dep-of-std-dev	       0        2        0        0        2
39726 librust-compiler-builtins-dev      	       0       13        0        0       13
39727 librust-concurrent-queue-dev       	       0        1        0        0        1
39728 librust-const-cstr-dev             	       0        2        0        0        2
39729 librust-const-oid-dev              	       0        1        0        0        1
39730 librust-const-random-dev           	       0       11        0        0       11
39731 librust-const-random-macro-dev     	       0       11        0        0       11
39732 librust-convert-case-dev           	       0        1        0        0        1
39733 librust-core-maths-dev             	       0        1        0        0        1
39734 librust-cpp-demangle-dev           	       0        9        0        0        9
39735 librust-cpufeatures-dev            	       0        1        0        0        1
39736 librust-crc32fast-dev              	       0       10        0        0       10
39737 librust-criterion-dev              	       0        1        0        0        1
39738 librust-critical-section-dev       	       0        2        0        0        2
39739 librust-crossbeam-channel-dev      	       0        9        0        0        9
39740 librust-crossbeam-deque-dev        	       0       11        0        0       11
39741 librust-crossbeam-epoch+std-dev    	       0       11        0        0       11
39742 librust-crossbeam-epoch-dev        	       0       11        0        0       11
39743 librust-crossbeam-utils-dev        	       0       11        0        0       11
39744 librust-crunchy-dev                	       0       11        0        0       11
39745 librust-crypto-common-dev          	       0        1        0        0        1
39746 librust-cssparser-dev              	       0        1        0        0        1
39747 librust-cssparser-macros-dev       	       0        1        0        0        1
39748 librust-cstr-dev                   	       0        1        0        0        1
39749 librust-csv-core-dev               	       0        1        0        0        1
39750 librust-csv-dev                    	       0        1        0        0        1
39751 librust-ctor-dev                   	       0        9        0        0        9
39752 librust-curl-sys+openssl-sys-dev   	       0        1        0        0        1
39753 librust-curl-sys-dev               	       0        1        0        0        1
39754 librust-data-url-dev               	       0        1        0        0        1
39755 librust-defmt-dev                  	       0        1        0        0        1
39756 librust-defmt-macros-dev           	       0        1        0        0        1
39757 librust-defmt-parser-dev           	       0        1        0        0        1
39758 librust-derive-arbitrary-dev       	       0       12        0        0       12
39759 librust-derive-more-0.99-dev       	       0        1        0        0        1
39760 librust-diff-dev                   	       0        1        0        0        1
39761 librust-digest-dev                 	       0        1        0        0        1
39762 librust-dirs-dev                   	       0        1        0        0        1
39763 librust-dirs-next-dev              	       0        1        0        0        1
39764 librust-dirs-sys-dev               	       0        1        0        0        1
39765 librust-dirs-sys-next-dev          	       0        1        0        0        1
39766 librust-dlib-dev                   	       0        3        0        0        3
39767 librust-dlv-list-dev               	       0        1        0        0        1
39768 librust-downcast-rs-dev            	       0        1        0        0        1
39769 librust-doxygen-rs-dev             	       0        1        0        0        1
39770 librust-dtoa-dev                   	       0        1        0        0        1
39771 librust-dtoa-short-dev             	       0        1        0        0        1
39772 librust-dunce-dev                  	       0        1        0        0        1
39773 librust-either-dev                 	       0       12        0        0       12
39774 librust-encoding-dev               	       0        1        0        0        1
39775 librust-encoding-index-japanese-dev	       0        1        0        0        1
39776 librust-encoding-index-korean-dev  	       0        1        0        0        1
39777 librust-encoding-index-simpchinese-dev	       0        1        0        0        1
39778 librust-encoding-index-singlebyte-dev	       0        1        0        0        1
39779 librust-encoding-index-tests-dev   	       0        1        0        0        1
39780 librust-encoding-index-tradchinese-dev	       0        1        0        0        1
39781 librust-encoding-rs-dev            	       0        2        0        0        2
39782 librust-env-filter-dev             	       0        2        0        0        2
39783 librust-env-logger+atty-dev        	       0        9        0        0        9
39784 librust-env-logger+default-dev     	       0        9        0        0        9
39785 librust-env-logger+humantime-dev   	       0        9        0        0        9
39786 librust-env-logger+regex-dev       	       0        9        0        0        9
39787 librust-env-logger+termcolor-dev   	       0        9        0        0        9
39788 librust-env-logger-dev             	       0       11        0        0       11
39789 librust-equivalent-dev             	       0        2        0        0        2
39790 librust-erased-serde-dev           	       0       11        0        0       11
39791 librust-errno-dev                  	       0        5        0        0        5
39792 librust-event-listener-dev         	       0        1        0        0        1
39793 librust-event-listener-strategy-dev	       0        1        0        0        1
39794 librust-exr-dev                    	       0        1        0        0        1
39795 librust-fallible-iterator-dev      	       0        9        0        0        9
39796 librust-fastrand-dev               	       0        1        0        0        1
39797 librust-field-offset-dev           	       0        1        0        0        1
39798 librust-fixedbitset-dev            	       0        5        0        0        5
39799 librust-flate2+miniz-oxide-dev     	       0        8        0        0        8
39800 librust-flate2-dev                 	       0       10        0        0       10
39801 librust-float-cmp-dev              	       0        1        0        0        1
39802 librust-float-ord-dev              	       0        2        0        0        2
39803 librust-flume-dev                  	       0        1        0        0        1
39804 librust-fnv-dev                    	       0        1        0        0        1
39805 librust-font-kit-dev               	       0        2        0        0        2
39806 librust-foreign-types-0.3-dev      	       0        1        0        0        1
39807 librust-foreign-types-shared-0.1-dev	       0        1        0        0        1
39808 librust-form-urlencoded-dev        	       0        1        0        0        1
39809 librust-fragile-dev                	       0        1        0        0        1
39810 librust-freetype-dev               	       0        3        0        3        0
39811 librust-freetype-rs-dev            	       0        2        0        0        2
39812 librust-freetype-sys-dev           	       0        3        0        0        3
39813 librust-funty-dev                  	       0        1        0        0        1
39814 librust-futf-dev                   	       0        1        0        0        1
39815 librust-futures-channel-dev        	       0        4        0        0        4
39816 librust-futures-core-dev           	       0        4        0        0        4
39817 librust-futures-dev                	       0        1        0        0        1
39818 librust-futures-executor-dev       	       0        4        0        0        4
39819 librust-futures-io-dev             	       0        4        0        0        4
39820 librust-futures-lite-dev           	       0        1        0        0        1
39821 librust-futures-macro-dev          	       0        4        0        0        4
39822 librust-futures-sink-dev           	       0        4        0        0        4
39823 librust-futures-task-dev           	       0        4        0        0        4
39824 librust-futures-util-dev           	       0        4        0        0        4
39825 librust-fxhash-dev                 	       0        1        0        0        1
39826 librust-gdk-pixbuf-dev             	       0        4        0        0        4
39827 librust-gdk-pixbuf-sys-dev         	       0        5        0        5        0
39828 librust-gdk-sys-dev                	       0        2        0        2        0
39829 librust-gdk4-dev                   	       0        1        0        0        1
39830 librust-gdk4-sys-dev               	       0        1        0        1        0
39831 librust-generic-array-dev          	       0        1        0        0        1
39832 librust-getrandom-dev              	       0       11        0        0       11
39833 librust-gif-dev                    	       0        1        0        0        1
39834 librust-gimli-dev                  	       0        9        0        0        9
39835 librust-gio-dev                    	       0        5        0        0        5
39836 librust-glib-dev                   	       0        6        0        0        6
39837 librust-glib-macros-dev            	       0        4        0        0        4
39838 librust-glob-dev                   	       0        6        0        0        6
39839 librust-graphene-rs-dev            	       0        1        0        0        1
39840 librust-graphene-sys-dev           	       0        1        0        1        0
39841 librust-gsk4-dev                   	       0        1        0        0        1
39842 librust-gsk4-sys-dev               	       0        1        0        1        0
39843 librust-gtk-sys-dev                	       0        1        0        1        0
39844 librust-gtk4-dev                   	       0        1        0        0        1
39845 librust-gtk4-macros-dev            	       0        1        0        0        1
39846 librust-gtk4-sys-dev               	       0        1        0        1        0
39847 librust-half-dev                   	       0        1        0        0        1
39848 librust-hashbrown-dev              	       0       11        0        0       11
39849 librust-heck-dev                   	       0        8        0        0        8
39850 librust-hex-dev                    	       0        1        0        0        1
39851 librust-home-dev                   	       0        1        0        0        1
39852 librust-humantime-dev              	       0       11        0        0       11
39853 librust-iana-time-zone-dev         	       0        1        0        0        1
39854 librust-idna-dev                   	       0        1        0        0        1
39855 librust-image-dev                  	       0        1        0        0        1
39856 librust-image-webp-dev             	       0        1        0        0        1
39857 librust-indexmap-dev               	       0       11        0        0       11
39858 librust-io-lifetimes-dev           	       0        4        0        0        4
39859 librust-is-terminal-dev            	       0        1        0        0        1
39860 librust-itertools-dev              	       0        3        0        0        3
39861 librust-itoa-dev                   	       0        6        0        0        6
39862 librust-jobserver-dev              	       0        1        0        0        1
39863 librust-jpeg-decoder-dev           	       0        1        0        0        1
39864 librust-js-sys-dev                 	       0        1        0        0        1
39865 librust-kstring-dev                	       0        1        0        0        1
39866 librust-kv-log-macro-dev           	       0        1        0        0        1
39867 librust-language-tags-dev          	       0        1        0        0        1
39868 librust-lazy-static-dev            	       0       13        0        0       13
39869 librust-lazycell-dev               	       0        5        0        0        5
39870 librust-lebe-dev                   	       0        1        0        0        1
39871 librust-libc-dev                   	       0       17        0        0       17
39872 librust-libdbus-sys-dev            	       0        1        0        0        1
39873 librust-libloading-dev             	       0        7        0        0        7
39874 librust-libm-dev                   	       0        1        0        0        1
39875 librust-librsvg-dev                	       0        1        0        0        1
39876 librust-libsqlite3-sys-dev         	       0        1        0        1        0
39877 librust-libudev-sys-dev            	       0        1        0        0        1
39878 librust-libz-sys-dev               	       0        2        0        0        2
39879 librust-linked-hash-map-dev        	       0        4        0        0        4
39880 librust-linux-raw-sys+compiler-builtins-dev	       0        4        0        0        4
39881 librust-linux-raw-sys+core-dev     	       0        4        0        0        4
39882 librust-linux-raw-sys+default-dev  	       0        4        0        0        4
39883 librust-linux-raw-sys+rustc-dep-of-std-dev	       0        4        0        0        4
39884 librust-linux-raw-sys-dev          	       0        5        0        0        5
39885 librust-lmdb-dev                   	       0        1        0        0        1
39886 librust-lmdb-sys-dev               	       0        1        0        0        1
39887 librust-locale-config-dev          	       0        1        0        0        1
39888 librust-lock-api-dev               	       0       11        0        0       11
39889 librust-log-dev                    	       0       11        0        0       11
39890 librust-mac-dev                    	       0        1        0        0        1
39891 librust-markup5ever-dev            	       0        1        0        0        1
39892 librust-matches-dev                	       0        1        0        0        1
39893 librust-matrixmultiply-dev         	       0        1        0        0        1
39894 librust-md-5-dev                   	       0        1        0        0        1
39895 librust-md5-asm-dev                	       0        1        0        0        1
39896 librust-memchr-dev                 	       0       11        0        0       11
39897 librust-memmap2-dev                	       0        1        0        0        1
39898 librust-memoffset-dev              	       0       11        0        0       11
39899 librust-minimal-lexical-dev        	       0        5        0        0        5
39900 librust-miniz-oxide-dev            	       0       10        0        0       10
39901 librust-mint-dev                   	       0        1        0        0        1
39902 librust-mio-dev                    	       0        6        0        0        6
39903 librust-munge-dev                  	       0        1        0        0        1
39904 librust-munge-macro-dev            	       0        1        0        0        1
39905 librust-nalgebra-dev               	       0        1        0        0        1
39906 librust-nalgebra-macros-dev        	       0        1        0        0        1
39907 librust-nanorand-dev               	       0        1        0        0        1
39908 librust-new-debug-unreachable-dev  	       0        1        0        0        1
39909 librust-nix-dev                    	       0        2        0        0        2
39910 librust-no-panic-dev               	       0        2        0        0        2
39911 librust-nom+std-dev                	       0        5        0        0        5
39912 librust-nom-dev                    	       0        5        0        0        5
39913 librust-num-bigint-dev             	       0        1        0        0        1
39914 librust-num-complex-dev            	       0        1        0        0        1
39915 librust-num-cpus-dev               	       0       10        0        0       10
39916 librust-num-integer-dev            	       0        1        0        0        1
39917 librust-num-rational-dev           	       0        1        0        0        1
39918 librust-num-traits-dev             	       0        1        0        0        1
39919 librust-object-dev                 	       0        9        0        0        9
39920 librust-once-cell-dev              	       0       12        0        0       12
39921 librust-oorandom-dev               	       0        1        0        0        1
39922 librust-openssl-dev                	       0        1        0        0        1
39923 librust-openssl-macros-dev         	       0        1        0        0        1
39924 librust-openssl-sys-dev            	       0        3        0        0        3
39925 librust-option-ext-dev             	       0        1        0        0        1
39926 librust-ordered-multimap-dev       	       0        1        0        0        1
39927 librust-os-pipe-dev                	       0        4        0        4        0
39928 librust-os-str-bytes-dev           	       0        4        0        0        4
39929 librust-osmesa-sys-dev             	       0        1        0        0        1
39930 librust-owned-ttf-parser-dev       	       0        1        0        0        1
39931 librust-owning-ref-dev             	       0       11        0        0       11
39932 librust-pango-dev                  	       0        5        0        0        5
39933 librust-pangocairo-dev             	       0        3        0        0        3
39934 librust-pangocairo-sys-dev         	       0        3        0        0        3
39935 librust-parking-dev                	       0        1        0        0        1
39936 librust-parking-lot-core+deadlock-detection-dev	       0        5        0        0        5
39937 librust-parking-lot-core+petgraph-dev	       0        5        0        0        5
39938 librust-parking-lot-core+thread-id-dev	       0        5        0        0        5
39939 librust-parking-lot-core-dev       	       0       12        0        0       12
39940 librust-parking-lot-dev            	       0        6        0        0        6
39941 librust-paste-dev                  	       0        1        0        0        1
39942 librust-pathfinder-geometry-dev    	       0        2        0        0        2
39943 librust-pathfinder-simd-dev        	       0        2        0        0        2
39944 librust-peeking-take-while-dev     	       0        5        0        0        5
39945 librust-percent-encoding-dev       	       0        1        0        0        1
39946 librust-pest-derive-dev            	       0        1        0        0        1
39947 librust-pest-dev                   	       0        1        0        0        1
39948 librust-pest-generator-dev         	       0        1        0        0        1
39949 librust-pest-meta-dev              	       0        1        0        0        1
39950 librust-petgraph-dev               	       0        5        0        0        5
39951 librust-phf+phf-macros-dev         	       0        1        0        0        1
39952 librust-phf+std-dev                	       0        1        0        0        1
39953 librust-phf-codegen-dev            	       0        1        0        0        1
39954 librust-phf-dev                    	       0        1        0        0        1
39955 librust-phf-generator-dev          	       0        1        0        1        0
39956 librust-phf-macros-dev             	       0        1        0        0        1
39957 librust-phf-shared-dev             	       0        1        0        0        1
39958 librust-pin-project-lite-dev       	       0        9        0        0        9
39959 librust-pin-utils-dev              	       0        5        0        0        5
39960 librust-pkg-config-dev             	       0       16        0        0       16
39961 librust-plotters-backend-dev       	       0        1        0        0        1
39962 librust-plotters-bitmap-dev        	       0        1        0        0        1
39963 librust-plotters-dev               	       0        1        0        0        1
39964 librust-plotters-svg-dev           	       0        1        0        0        1
39965 librust-png-dev                    	       0        1        0        0        1
39966 librust-podio-dev                  	       0        1        0        0        1
39967 librust-polling-dev                	       0        1        0        0        1
39968 librust-portable-atomic-dev        	       0        2        0        0        2
39969 librust-ppv-lite86-dev             	       0       10        0        0       10
39970 librust-precomputed-hash-dev       	       0        1        0        0        1
39971 librust-prettyplease-dev           	       0        1        0        0        1
39972 librust-print-bytes-dev            	       0        4        0        0        4
39973 librust-proc-macro-crate-dev       	       0        4        0        0        4
39974 librust-proc-macro-error-attr-dev  	       0        7        0        0        7
39975 librust-proc-macro-error-attr2-dev 	       0        1        0        0        1
39976 librust-proc-macro-error-dev       	       0        7        0        0        7
39977 librust-proc-macro-error2-dev      	       0        1        0        0        1
39978 librust-proc-macro-hack-dev        	       0        9        0        0        9
39979 librust-proc-macro2-dev            	       0       12        0        0       12
39980 librust-proptest-dev               	       0        1        0        0        1
39981 librust-ptr-meta-derive-dev        	       0        1        0        0        1
39982 librust-ptr-meta-dev               	       0        1        0        0        1
39983 librust-pure-rust-locales-dev      	       0        1        0        0        1
39984 librust-python3-dll-a-dev          	       0        1        0        0        1
39985 librust-qoi-dev                    	       0        1        0        0        1
39986 librust-quick-error-dev            	       0        1        0        0        1
39987 librust-quick-xml-dev              	       0        1        0        0        1
39988 librust-quickcheck+default-dev     	       0        9        0        0        9
39989 librust-quickcheck+regex-dev       	       0        9        0        0        9
39990 librust-quickcheck+use-logging-dev 	       0        9        0        0        9
39991 librust-quickcheck-dev             	       0       10        0        0       10
39992 librust-quote+proc-macro-dev       	       0       10        0        0       10
39993 librust-quote-dev                  	       0       12        0        0       12
39994 librust-radium-dev                 	       0        1        0        0        1
39995 librust-rancor-dev                 	       0        1        0        0        1
39996 librust-rand-chacha-dev            	       0       10        0        0       10
39997 librust-rand-core+getrandom-dev    	       0       10        0        0       10
39998 librust-rand-core+serde-dev        	       0       10        0        0       10
39999 librust-rand-core+std-dev          	       0       10        0        0       10
40000 librust-rand-core-dev              	       0       10        0        0       10
40001 librust-rand-dev                   	       0       10        0        0       10
40002 librust-rand-distr-dev             	       0        1        0        0        1
40003 librust-rand-xorshift-dev          	       0        1        0        0        1
40004 librust-rawpointer-dev             	       0        1        0        0        1
40005 librust-rayon-core-dev             	       0       11        0        0       11
40006 librust-rayon-dev                  	       0       11        0        0       11
40007 librust-rctree-dev                 	       0        1        0        0        1
40008 librust-regex+aho-corasick-dev     	       0        9        0        0        9
40009 librust-regex+default-dev          	       0        9        0        0        9
40010 librust-regex+memchr-dev           	       0        9        0        0        9
40011 librust-regex+perf-dev             	       0        9        0        0        9
40012 librust-regex+perf-literal-dev     	       0        9        0        0        9
40013 librust-regex+unicode-age-dev      	       0        9        0        0        9
40014 librust-regex+unicode-bool-dev     	       0        9        0        0        9
40015 librust-regex+unicode-case-dev     	       0        9        0        0        9
40016 librust-regex+unicode-dev          	       0        9        0        0        9
40017 librust-regex+unicode-gencat-dev   	       0        9        0        0        9
40018 librust-regex+unicode-perl-dev     	       0        9        0        0        9
40019 librust-regex+unicode-script-dev   	       0        9        0        0        9
40020 librust-regex+unicode-segment-dev  	       0        9        0        0        9
40021 librust-regex-automata-dev         	       0        2        0        0        2
40022 librust-regex-cursor-dev           	       0        1        0        0        1
40023 librust-regex-dev                  	       0       11        0        0       11
40024 librust-regex-lite-dev             	       0        1        0        0        1
40025 librust-regex-syntax+unicode-dev   	       0        9        0        0        9
40026 librust-regex-syntax-dev           	       0       11        0        0       11
40027 librust-regex-test-dev             	       0        1        0        0        1
40028 librust-rend-dev                   	       0        1        0        0        1
40029 librust-rgb-dev                    	       0        1        0        0        1
40030 librust-rkyv-derive-dev            	       0        1        0        0        1
40031 librust-rkyv-dev                   	       0        1        0        0        1
40032 librust-ropey-dev                  	       0        1        0        0        1
40033 librust-rust-ini-dev               	       0        1        0        0        1
40034 librust-rustc-demangle-dev         	       0        9        0        0        9
40035 librust-rustc-hash-dev             	       0        5        0        0        5
40036 librust-rustc-std-workspace-core-dev	       0       13        0        0       13
40037 librust-rustc-version-dev          	       0        3        0        0        3
40038 librust-rustix-dev                 	       0        5        0        0        5
40039 librust-rusty-fork+wait-timeout-dev	       0        1        0        0        1
40040 librust-rusty-fork-dev             	       0        1        0        0        1
40041 librust-ruzstd-dev                 	       0        1        0        1        0
40042 librust-ryu-dev                    	       0        2        0        0        2
40043 librust-safe-arch-dev              	       0        1        0        0        1
40044 librust-same-file-dev              	       0        2        0        0        2
40045 librust-scoped-tls-dev             	       0        1        0        0        1
40046 librust-scopeguard-dev             	       0       11        0        0       11
40047 librust-seahash-dev                	       0        1        0        0        1
40048 librust-selectors-dev              	       0        1        0        0        1
40049 librust-semver-0.9-dev             	       0        1        0        0        1
40050 librust-semver-dev                 	       0        3        0        0        3
40051 librust-semver-parser-0.7-dev      	       0        1        0        0        1
40052 librust-serde+serde-derive-dev     	       0        9        0        0        9
40053 librust-serde-derive-dev           	       0       11        0        0       11
40054 librust-serde-dev                  	       0       12        0        0       12
40055 librust-serde-fmt-dev              	       0       11        0        0       11
40056 librust-serde-json-dev             	       0        2        0        0        2
40057 librust-serde-spanned-dev          	       0        1        0        0        1
40058 librust-serde-test-dev             	       0        2        0        0        2
40059 librust-servo-arc-dev              	       0        1        0        0        1
40060 librust-sha1-asm-dev               	       0        1        0        0        1
40061 librust-sha1-dev                   	       0        1        0        0        1
40062 librust-sha2-asm-dev               	       0        1        0        0        1
40063 librust-sha2-dev                   	       0        1        0        0        1
40064 librust-shared-library-dev         	       0        1        0        0        1
40065 librust-shlex-dev                  	       0        5        0        0        5
40066 librust-signal-hook-registry-dev   	       0        6        0        0        6
40067 librust-simba-dev                  	       0        1        0        0        1
40068 librust-simd-adler32-dev           	       0        1        0        0        1
40069 librust-simdutf8-dev               	       0        1        0        0        1
40070 librust-siphasher-dev              	       0        1        0        0        1
40071 librust-slab-dev                   	       0        4        0        0        4
40072 librust-slog-dev                   	       0        1        0        0        1
40073 librust-smallvec-dev               	       0       12        0        0       12
40074 librust-smawk-dev                  	       0        4        0        0        4
40075 librust-smol-dev                   	       0        1        0        0        1
40076 librust-smol-str-dev               	       0        1        0        0        1
40077 librust-socket2-dev                	       0        6        0        0        6
40078 librust-spin-dev                   	       0       11        0        0       11
40079 librust-stable-deref-trait-dev     	       0       11        0        0       11
40080 librust-static-assertions-dev      	       0        2        0        0        2
40081 librust-str-indices-dev            	       0        1        0        0        1
40082 librust-string-cache-codegen-dev   	       0        1        0        0        1
40083 librust-string-cache-dev           	       0        1        0        0        1
40084 librust-strsim-dev                 	       0        5        0        0        5
40085 librust-subtle-dev                 	       0        1        0        0        1
40086 librust-sval+serde1-lib-dev        	       0        9        0        0        9
40087 librust-sval-buffer-dev            	       0        2        0        0        2
40088 librust-sval-derive-dev            	       0        2        0        0        2
40089 librust-sval-dev                   	       0       11        0        0       11
40090 librust-sval-dynamic-dev           	       0        2        0        0        2
40091 librust-sval-fmt-dev               	       0        2        0        0        2
40092 librust-sval-ref-dev               	       0        2        0        0        2
40093 librust-sval-serde-dev             	       0        2        0        0        2
40094 librust-syn-1-dev                  	       0        1        0        0        1
40095 librust-syn-dev                    	       0       12        0        0       12
40096 librust-syn-mid-dev                	       0        7        0        0        7
40097 librust-system-deps-dev            	       0        5        0        5        0
40098 librust-tap-dev                    	       0        1        0        0        1
40099 librust-target-lexicon-dev         	       0        5        0        0        5
40100 librust-tempfile-dev               	       0        1        0        0        1
40101 librust-tendril-dev                	       0        1        0        0        1
40102 librust-termcolor-dev              	       0       10        0        0       10
40103 librust-terminal-size-dev          	       0        5        0        0        5
40104 librust-textwrap-dev               	       0        4        0        0        4
40105 librust-thin-vec-dev               	       0        1        0        0        1
40106 librust-thiserror-1-dev            	       0        1        0        0        1
40107 librust-thiserror-dev              	       0        4        0        0        4
40108 librust-thiserror-impl-1-dev       	       0        1        0        0        1
40109 librust-thiserror-impl-dev         	       0        4        0        0        4
40110 librust-thread-id-dev              	       0        5        0        0        5
40111 librust-tiff-dev                   	       0        1        0        0        1
40112 librust-tiny-keccak-dev            	       0       11        0        0       11
40113 librust-tinytemplate-dev           	       0        1        0        0        1
40114 librust-tinyvec+tinyvec-macros-dev 	       0        1        0        0        1
40115 librust-tinyvec-dev                	       0        1        0        0        1
40116 librust-tinyvec-macros-dev         	       0        1        0        0        1
40117 librust-tokio-dev                  	       0        6        0        0        6
40118 librust-tokio-macros-dev           	       0        6        0        0        6
40119 librust-toml-datetime-dev          	       0        1        0        0        1
40120 librust-toml-dev                   	       0        5        0        0        5
40121 librust-toml-edit-dev              	       0        1        0        0        1
40122 librust-tracing-attributes-dev     	       0        6        0        0        6
40123 librust-tracing-core-dev           	       0        6        0        0        6
40124 librust-tracing-dev                	       0        6        0        0        6
40125 librust-traitobject-dev            	       0        1        0        0        1
40126 librust-trim-in-place-dev          	       0        1        0        0        1
40127 librust-triomphe-dev               	       0        1        0        0        1
40128 librust-ttf-parser-dev             	       0        1        0        0        1
40129 librust-twox-hash-dev              	       0        1        0        0        1
40130 librust-typed-arena-dev            	       0        1        0        0        1
40131 librust-typemap-dev                	       0        1        0        0        1
40132 librust-typenum-dev                	       0        1        0        0        1
40133 librust-ucd-trie-dev               	       0        1        0        0        1
40134 librust-unarray-dev                	       0        1        0        0        1
40135 librust-unicase-dev                	       0        5        0        0        5
40136 librust-unicode-bidi-dev           	       0        1        0        0        1
40137 librust-unicode-ident-dev          	       0       12        0        0       12
40138 librust-unicode-linebreak-dev      	       0        4        0        0        4
40139 librust-unicode-normalization-dev  	       0        1        0        0        1
40140 librust-unicode-segmentation-dev   	       0        8        0        0        8
40141 librust-unicode-width-0.1-dev      	       0        1        0        0        1
40142 librust-unicode-width-dev          	       0        5        0        0        5
40143 librust-uniquote-dev               	       0        4        0        0        4
40144 librust-unsafe-any-dev             	       0        1        0        0        1
40145 librust-unsize-dev                 	       0        1        0        0        1
40146 librust-url-dev                    	       0        1        0        0        1
40147 librust-utf-8-dev                  	       0        1        0        0        1
40148 librust-utf8parse-dev              	       0        2        0        0        2
40149 librust-uuid-dev                   	       0        1        0        0        1
40150 librust-valuable-derive-dev        	       0        6        0        0        6
40151 librust-valuable-dev               	       0        6        0        0        6
40152 librust-value-bag-dev              	       0       11        0        0       11
40153 librust-value-bag-serde1-dev       	       0        2        0        0        2
40154 librust-value-bag-sval2-dev        	       0        2        0        0        2
40155 librust-vcpkg-dev                  	       0        3        0        0        3
40156 librust-version-check-dev          	       0       11        0        0       11
40157 librust-version-compare-dev        	       0        5        0        0        5
40158 librust-wait-timeout-dev           	       0        1        0        1        0
40159 librust-walkdir-dev                	       0        2        0        0        2
40160 librust-wasm-bindgen-backend-dev   	       0        1        0        0        1
40161 librust-wasm-bindgen-dev           	       0        1        0        0        1
40162 librust-wasm-bindgen-macro-dev     	       0        1        0        0        1
40163 librust-wasm-bindgen-macro-support-dev	       0        1        0        0        1
40164 librust-wasm-bindgen-shared-dev    	       0        1        0        0        1
40165 librust-wayland-client+scoped-tls-dev	       0        1        0        0        1
40166 librust-wayland-client+use-system-lib-dev	       0        1        0        0        1
40167 librust-wayland-client-dev         	       0        1        0        0        1
40168 librust-wayland-commons-dev        	       0        1        0        0        1
40169 librust-wayland-egl-dev            	       0        1        0        0        1
40170 librust-wayland-scanner-dev        	       0        1        0        0        1
40171 librust-wayland-sys-dev            	       0        1        0        0        1
40172 librust-weezl-dev                  	       0        1        0        1        0
40173 librust-which-dev                  	       0        5        0        0        5
40174 librust-wide-dev                   	       0        1        0        0        1
40175 librust-winapi-dev                 	       0       13        0        0       13
40176 librust-winapi-i686-pc-windows-gnu-dev	       0       13        0        0       13
40177 librust-winapi-util-dev            	       0       10        0        0       10
40178 librust-winapi-x86-64-pc-windows-gnu-dev	       0       13        0        0       13
40179 librust-winnow-dev                 	       0        1        0        0        1
40180 librust-wyz-dev                    	       0        1        0        0        1
40181 librust-x11-dev                    	       0        4        0        0        4
40182 librust-xcb+xfixes-dev             	       0        1        0        0        1
40183 librust-xcb-dev                    	       0        1        0        0        1
40184 librust-xdg-dev                    	       0        1        0        0        1
40185 librust-xdg-home-dev               	       0        1        0        0        1
40186 librust-xml-rs-dev                 	       0        2        0        0        2
40187 librust-xml5ever-dev               	       0        1        0        0        1
40188 librust-xmlparser-dev              	       0        1        0        0        1
40189 librust-xmltree+indexmap-dev       	       0        1        0        0        1
40190 librust-xmltree-dev                	       0        1        0        0        1
40191 librust-xmlwriter-dev              	       0        1        0        0        1
40192 librust-xor-name-dev               	       0        1        0        0        1
40193 librust-xshell-macros-dev          	       0        1        0        0        1
40194 librust-xterm-query-dev            	       0        1        0        0        1
40195 librust-yaml-dev                   	       0        1        0        0        1
40196 librust-yaml-rust-dev              	       0        4        0        0        4
40197 librust-yansi-dev                  	       0        1        0        0        1
40198 librust-yansi-term-dev             	       0        1        0        0        1
40199 librust-yeslogic-fontconfig-sys-dev	       0        2        0        0        2
40200 librust-zerocopy-derive-dev        	       0        2        0        0        2
40201 librust-zerocopy-dev               	       0        2        0        0        2
40202 librust-zeroize-derive-dev         	       0        2        0        0        2
40203 librust-zeroize-dev                	       0        2        0        0        2
40204 librust-zstd-dev                   	       0        1        0        0        1
40205 librust-zstd-safe-dev              	       0        1        0        0        1
40206 librust-zstd-sys-dev               	       0        1        0        1        0
40207 librust-zune-core-dev              	       0        1        0        0        1
40208 librust-zune-inflate-dev           	       0        1        0        0        1
40209 librust-zune-jpeg-dev              	       0        1        0        0        1
40210 librviz5d                          	       0        1        0        0        1
40211 librviz6d                          	       0        1        0        0        1
40212 librviz7d                          	       0        1        0        0        1
40213 librw-dev                          	       0        9        0        9        0
40214 librw0                             	       0       10        0        0       10
40215 librxp0                            	       0        1        0        0        1
40216 librygel-core-1.0-0                	       0        1        0        0        1
40217 librygel-core-2.0-1                	       0        1        0        0        1
40218 librygel-core-2.4-2                	       0        4        0        0        4
40219 librygel-core-2.6-2                	       0      105        0        0      105
40220 librygel-core-2.8-0                	       0      324        1        2      321
40221 librygel-db-2.6-2                  	       0      105        0        0      105
40222 librygel-db-2.8-0                  	       0      324        1        2      321
40223 librygel-renderer-1.0-0            	       0        1        0        0        1
40224 librygel-renderer-2.4-2            	       0        3        0        0        3
40225 librygel-renderer-2.6-2            	       0       98        0        0       98
40226 librygel-renderer-2.8-0            	       0      324        1        2      321
40227 librygel-renderer-gst-2.4-2        	       0        2        0        0        2
40228 librygel-renderer-gst-2.6-2        	       0       18        0        0       18
40229 librygel-renderer-gst-2.8-0        	       0      119        1        2      116
40230 librygel-ruih-2.0-1                	       0        2        0        0        2
40231 librygel-ruih-2.8-0                	       0        2        0        0        2
40232 librygel-server-1.0-0              	       0        1        0        0        1
40233 librygel-server-2.4-2              	       0        3        0        0        3
40234 librygel-server-2.6-2              	       0       98        0        0       98
40235 librygel-server-2.8-0              	       0      324        1        2      321
40236 libryzom-clientsheets0             	       0        1        0        0        1
40237 libryzom-gameshare0                	       0        1        0        0        1
40238 libs3-4                            	       0        1        0        0        1
40239 libs3d2                            	       0        4        0        0        4
40240 libs3dw2                           	       0        3        0        0        3
40241 libs6-2.10                         	       0        3        0        0        3
40242 libs6-2.11                         	       0        7        0        0        7
40243 libs6-2.13                         	       0        1        0        0        1
40244 libsaaj-java                       	       0        4        0        0        4
40245 libsaaj-ri-java                    	       0        3        0        0        3
40246 libsaamf3                          	       0        2        0        0        2
40247 libsac-java                        	       0      748        0        0      748
40248 libsac-java-gcj                    	       0       50        0       50        0
40249 libsackpt3                         	       0        2        0        0        2
40250 libsaclm3                          	       0        2        0        0        2
40251 libsaevt3                          	       0        2        0        0        2
40252 libsafe-iop-dev                    	       0        1        0        1        0
40253 libsafe-iop0                       	       0        1        0        0        1
40254 libsafe-isa-perl                   	       0       10        1        9        0
40255 libsaga                            	       0        1        0        0        1
40256 libsaga-api-2.3.1                  	       0        1        0        0        1
40257 libsaga-api8                       	       0        7        0        0        7
40258 libsaga-dev                        	       0        1        0        1        0
40259 libsaga-gdi-2.3.1                  	       0        1        0        0        1
40260 libsaga-gdi8                       	       0        7        0        0        7
40261 libsage-dev                        	       0        1        0        1        0
40262 libsage2                           	       0        2        0        0        2
40263 libsail-common0t64                 	       0        2        0        0        2
40264 libsalck3                          	       0        2        0        0        2
40265 libsam-dev                         	       0        2        0        2        0
40266 libsam-java                        	       0        1        0        0        1
40267 libsam4                            	       0        2        0        0        2
40268 libsambox-java                     	       0       63        0        0       63
40269 libsaml-doc                        	       0        1        0        0        1
40270 libsaml12                          	       0        1        0        0        1
40271 libsampleicc-dev                   	       0        1        0        1        0
40272 libsampleicc2                      	       0        1        0        0        1
40273 libsamplerate0                     	       0     3551       63      184     3304
40274 libsamsg4                          	       0        2        0        0        2
40275 libsane-common                     	       0     3017        0        3     3014
40276 libsane-dev                        	       0       23        0       23        0
40277 libsane-dsseries                   	       0        1        0        0        1
40278 libsane-extras                     	       0      131        0        0      131
40279 libsane-extras-common              	       0      135        0        0      135
40280 libsane-extras-dev                 	       0        5        0        0        5
40281 libsane-perl                       	       0        2        0        0        2
40282 libsanlock-client1                 	       0       29        0        1       28
40283 libsapdbc-java                     	       0        1        0        0        1
40284 libsasl2-module-xoauth2            	       0        1        1        0        0
40285 libsasl2-modules-gssapi-heimdal    	       0        3        1        1        1
40286 libsasl2-modules-ldap              	       0        4        0        1        3
40287 libsasl2-modules-otp               	       0        2        0        0        2
40288 libsasl2-modules-sql               	       0        4        1        0        3
40289 libsass-dev                        	       0       18        1       17        0
40290 libsass0                           	       0        1        0        0        1
40291 libsass1                           	       0      133        1        2      130
40292 libsatmr3                          	       0        2        0        0        2
40293 libsavitar0                        	       0       21        0        0       21
40294 libsavitar5t64                     	       0        2        0        0        2
40295 libsaxon-java-doc                  	       0        2        0        0        2
40296 libsaxonb-java                     	       0        2        0        2        0
40297 libsaxonhe-java                    	       0      376        0        0      376
40298 libsbc-dev                         	       0       13        0       13        0
40299 libsbjson-dev                      	       0        1        0        1        0
40300 libsbjson2.3                       	       0        1        0        0        1
40301 libsbml5                           	       0        1        0        0        1
40302 libsbml5-dev                       	       0        1        0        1        0
40303 libsbsms-dev                       	       0        2        0        2        0
40304 libsbsms10                         	       0      414        1        0      413
40305 libsbt-launcher-interface-java     	       0        1        0        0        1
40306 libsbt-serialization-java          	       0        1        0        0        1
40307 libsbuf6                           	       0        3        0        0        3
40308 libsc-data                         	       0        3        0        0        3
40309 libsc7v5                           	       0        3        0        0        3
40310 libscala-pickling-java             	       0        1        0        0        1
40311 libscalapack-mpi-dev               	       0        4        0        0        4
40312 libscalapack-mpich2.2              	       0        1        0        0        1
40313 libscalapack-openmpi-dev           	       0        5        0        5        0
40314 libscalapack-openmpi1              	       0        1        0        0        1
40315 libscalapack-openmpi2.1            	       0        3        0        0        3
40316 libscalapack-openmpi2.2            	       0       57        0        0       57
40317 libscalar-does-perl                	       0        4        0        4        0
40318 libscalar-list-utils-perl          	       0      141       12       24      105
40319 libscalar-readonly-perl            	       0        1        0        0        1
40320 libscalar-string-perl              	       0        3        0        0        3
40321 libscalar-util-numeric-perl        	       0        1        0        0        1
40322 libscamperfile0                    	       0        2        0        0        2
40323 libscamperfile0t64                 	       0        1        0        0        1
40324 libscca-utils                      	       0        1        0        1        0
40325 libscca1                           	       0       15        0        0       15
40326 libschedule-at-perl                	       0        2        0        2        0
40327 libschroedinger-1.0-0              	       0       96        0        2       94
40328 libschroedinger-dev                	       0        6        0        6        0
40329 libschroedinger-doc                	       0        1        0        0        1
40330 libscim-dev                        	       0        2        0        2        0
40331 libscim8c2a                        	       0        1        0        0        1
40332 libscim8v5                         	       0      597        1        3      593
40333 libsciplot1                        	       0        1        0        0        1
40334 libscitokens-dev                   	       0        1        1        0        0
40335 libscitokens0                      	       0        2        0        1        1
40336 libscope-guard-perl                	       0       62        1       61        0
40337 libscope-upper-perl                	       0        6        0        0        6
40338 libscotch-5.1                      	       0        1        0        1        0
40339 libscotch-6.0                      	       0        2        0        0        2
40340 libscotch-6.1                      	       0        4        0        0        4
40341 libscotch-7.0                      	       0       59        0        0       59
40342 libscotch-dev                      	       0        5        0        5        0
40343 libscotchmetis-dev                 	       0        1        0        1        0
40344 libscotchparmetis-dev              	       0        1        0        1        0
40345 libscrollkeeper0                   	       0        1        0        0        1
40346 libscrypt-dev                      	       0        4        0        4        0
40347 libscrypt0                         	       0        4        0        0        4
40348 libscscp1                          	       0        1        0        0        1
40349 libscscp1-dev                      	       0        1        0        1        0
40350 libscsynth1                        	       0        9        0        0        9
40351 libsctp-dev                        	       0       60        1       59        0
40352 libsctp1                           	       0      358        9       35      314
40353 libscythestat-dev                  	       0        1        0        1        0
40354 libsdbus-c++0                      	       0       10        0        0       10
40355 libsdbus-c++1                      	       0        3        0        0        3
40356 libsdbus-c++2                      	       0        1        0        0        1
40357 libsdl-console                     	       0        9        0        0        9
40358 libsdl-console-dev                 	       0        6        0        6        0
40359 libsdl-gfx1.2-4                    	       0        3        0        0        3
40360 libsdl-gfx1.2-5                    	       0      129        0        0      129
40361 libsdl-gfx1.2-dev                  	       0       15        0       15        0
40362 libsdl-gfx1.2-doc                  	       0        5        0        0        5
40363 libsdl-gst                         	       0        2        0        2        0
40364 libsdl-image-gst                   	       0        2        0        0        2
40365 libsdl-image1.2                    	       0     1622        2        5     1615
40366 libsdl-image1.2-dev                	       0       49        1       48        0
40367 libsdl-kitchensink-dev             	       0        2        0        2        0
40368 libsdl-kitchensink1                	       0        5        0        0        5
40369 libsdl-mixer-gst                   	       0        2        0        0        2
40370 libsdl-mixer1.2                    	       0      403        2        1      400
40371 libsdl-net1.2                      	       0      257        0        0      257
40372 libsdl-net1.2-dev                  	       0       13        0       13        0
40373 libsdl-ocaml                       	       0        3        0        3        0
40374 libsdl-ocaml-dev                   	       0        3        0        3        0
40375 libsdl-pango-dev                   	       0        7        0        7        0
40376 libsdl-pango1                      	       0      133        0        0      133
40377 libsdl-perl                        	       0       91        0        0       91
40378 libsdl-sge                         	       0       16        0        0       16
40379 libsdl-sge-dev                     	       0        4        0        4        0
40380 libsdl-sound-gst                   	       0        1        0        0        1
40381 libsdl-sound1.2                    	       0      213        0        0      213
40382 libsdl-sound1.2-dev                	       0        9        0        9        0
40383 libsdl-stretch-0-3                 	       0        1        0        0        1
40384 libsdl-stretch-dev                 	       0        1        0        1        0
40385 libsdl-ttf-gst                     	       0        1        0        0        1
40386 libsdl-ttf2.0-0                    	       0      394        2        1      391
40387 libsdl-ttf2.0-dev                  	       0       25        0       25        0
40388 libsdl1.2-compat                   	       0        7        0        0        7
40389 libsdl1.2-compat-dbgsym            	       0        1        0        1        0
40390 libsdl1.2-compat-dev               	       0        2        0        2        0
40391 libsdl1.2-compat-shim              	       0        4        0        0        4
40392 libsdl1.2-compat-tests             	       0        1        0        0        1
40393 libsdl1.2debian                    	       0     1951        6       16     1929
40394 libsdl2-2.0-0                      	       0     3169       50      105     3014
40395 libsdl2-2.0-0-dbgsym               	       0        1        0        1        0
40396 libsdl2-build-deps-depends         	       0        1        0        0        1
40397 libsdl2-dev-dbgsym                 	       0        1        0        1        0
40398 libsdl2-doc                        	       0       16        0        0       16
40399 libsdl2-gfx-1.0-0                  	       0       88        0        0       88
40400 libsdl2-gfx-dev                    	       0       28        0       28        0
40401 libsdl2-gfx-doc                    	       0       12        0        0       12
40402 libsdl2-image-2.0-0                	       0      481        1        4      476
40403 libsdl2-image-2.0-0-dbgsym         	       0        1        0        1        0
40404 libsdl2-image-tests                	       0        4        0        0        4
40405 libsdl2-mixer-2.0-0                	       0      454        2        4      448
40406 libsdl2-mixer-2.0-0-dbgsym         	       0        1        0        1        0
40407 libsdl2-net-2.0-0                  	       0      153        0        3      150
40408 libsdl2-net-dev                    	       0       29        0       29        0
40409 libsdl2-pango4                     	       0        1        0        0        1
40410 libsdl2-tests                      	       0        5        0        0        5
40411 libsdl2-ttf-2.0-0                  	       0      426        0        3      423
40412 libsdl3-0                          	       0        1        0        0        1
40413 libsdl3-image0                     	       0        1        0        0        1
40414 libsdl3-ttf0                       	       0        1        0        0        1
40415 libsdo-api-java                    	       0        3        0        0        3
40416 libsdp0                            	       0        2        0        0        2
40417 libsdsl-dev                        	       0        1        0        0        1
40418 libsdsl3                           	       0        2        0        0        2
40419 libseafile0                        	       0        4        0        0        4
40420 libseafile0t64                     	       0        1        0        0        1
40421 libsearch-elasticsearch-perl       	       0        2        0        2        0
40422 libsearch-gin-perl                 	       0        1        0        1        0
40423 libsearch-queryparser-perl         	       0        1        0        1        0
40424 libsearpc-dev                      	       0        1        0        1        0
40425 libsearpc1                         	       0        6        0        0        6
40426 libsearpc1t64                      	       0        2        0        0        2
40427 libseat-dev                        	       0        4        1        3        0
40428 libseccomp-dev                     	       0       22        0       22        0
40429 libsecondstring-java               	       0        2        0        0        2
40430 libsecp256k1-0                     	       0       22        0        3       19
40431 libsecp256k1-1                     	       0       27        0        0       27
40432 libsecp256k1-2                     	       0        2        0        0        2
40433 libsecp256k1-dev                   	       0        6        0        6        0
40434 libsecret-1-dev                    	       0       42        0       42        0
40435 libsecret-tools                    	       0       75        3       72        0
40436 libsedlex-ocaml                    	       0        1        0        1        0
40437 libsedlex-ocaml-dev                	       0        1        0        1        0
40438 libseed-gtk3-0                     	       0        2        0        2        0
40439 libsejda-commons-java              	       0       52        0        0       52
40440 libsejda-eventstudio-java          	       0       62        0        0       62
40441 libsejda-injector-java             	       0       62        0        0       62
40442 libsejda-io-java                   	       0       64        0        0       64
40443 libsejda-java                      	       0       64        0        0       64
40444 libselenium-remote-driver-perl     	       0        1        0        1        0
40445 libsemanage-common                 	       0     4160        0        0     4160
40446 libsemanage-dev                    	       0        1        1        0        0
40447 libsemanage1                       	       0     1145        0        0     1145
40448 libsemanage1-dev                   	       0        1        0        1        0
40449 libsemanage2                       	       0     3040        2        5     3033
40450 libsemver-clojure                  	       0        1        0        0        1
40451 libsemver-java                     	       0       84        0        0       84
40452 libsendlater4                      	       0        4        0        1        3
40453 libsensor-msgs-dev                 	       0        1        0        1        0
40454 libsensors-applet-plugin0          	       0       26        0        0       26
40455 libsensors-config                  	       0     3739        0        0     3739
40456 libsensors-dev                     	       0       40        0       40        0
40457 libsensors3                        	       0        4        0        0        4
40458 libsensors4                        	       0      152        0        1      151
40459 libsensors4-dev                    	       0       25        0       16        9
40460 libsentencepiece0                  	       0        1        0        0        1
40461 libsepol2                          	       0     3044        2        5     3037
40462 libsequel-ruby1.9.1                	       0        1        0        0        1
40463 libsequence-library-java           	       0       12        0        0       12
40464 libserd-dev                        	       0       22        0       22        0
40465 libsereal-decoder-perl             	       0      656        1        1      654
40466 libsereal-encoder-perl             	       0      656        1        1      654
40467 libserf-0-0                        	       0        6        0        0        6
40468 libserf-1-1                        	       0      498        4        9      485
40469 libserf-dev                        	       0        1        0        1        0
40470 libserf1                           	       0        1        0        0        1
40471 libserializer-java                 	       0      743        0        0      743
40472 libserializer-java-openoffice.org  	       0        1        0        0        1
40473 libserialport-dev                  	       0        4        0        4        0
40474 libserialport0                     	       0      108        0        1      107
40475 libserver-starter-perl             	       0        7        0        7        0
40476 libservice-wrapper-java            	       0        8        0        0        8
40477 libservlet-api-java                	       0      814        0        0      814
40478 libservlet-api-java-doc            	       0        7        0        0        7
40479 libservlet2.3-java                 	       0        2        0        0        2
40480 libservlet2.4-java                 	       0        1        0        0        1
40481 libservlet2.5-java                 	       0       29        0        0       29
40482 libservlet3.0-java                 	       0       10        0        0       10
40483 libservlet3.1-java                 	       0      815        0        0      815
40484 libsession-token-perl              	       0        1        0        0        1
40485 libset-infinite-perl               	       0       10        0       10        0
40486 libset-object-perl                 	       0       23        0        0       23
40487 libset-scalar-perl                 	       0       74        2       72        0
40488 libsetools-tcl                     	       0        2        0        0        2
40489 libsexp-processor-ruby1.9.1        	       0        1        0        0        1
40490 libsexp1                           	       0       45        2        4       39
40491 libsexp2                           	       0       13        1        1       11
40492 libsexplib0-ocaml                  	       0        7        0        6        1
40493 libsexplib0-ocaml-dev              	       0        6        0        6        0
40494 libsexpp0                          	       0       84        5       16       63
40495 libsexy2                           	       0        4        0        0        4
40496 libsfark-dev                       	       0        1        0        1        0
40497 libsfark0                          	       0       10        0        0       10
40498 libsfcgal-dev                      	       0        3        0        3        0
40499 libsfcgal1                         	       0       28        1        0       27
40500 libsfcgal2                         	       0        2        0        0        2
40501 libsfcutil0                        	       0        1        0        0        1
40502 libsfml-audio2                     	       0        1        0        0        1
40503 libsfml-audio2.4                   	       0        2        0        0        2
40504 libsfml-audio2.5                   	       0       63        0        1       62
40505 libsfml-audio2.6                   	       0        9        0        0        9
40506 libsfml-dev                        	       0       24        0        0       24
40507 libsfml-doc                        	       0        2        0        2        0
40508 libsfml-graphics2                  	       0        1        0        0        1
40509 libsfml-graphics2.4                	       0        2        0        0        2
40510 libsfml-graphics2.5                	       0       66        0        2       64
40511 libsfml-graphics2.6                	       0        9        0        0        9
40512 libsfml-network2                   	       0        1        0        0        1
40513 libsfml-network2.4                 	       0        1        0        0        1
40514 libsfml-network2.5                 	       0       36        0        0       36
40515 libsfml-network2.6                 	       0        5        0        0        5
40516 libsfml-system2                    	       0        1        0        0        1
40517 libsfml-system2.4                  	       0        2        0        0        2
40518 libsfml-system2.5                  	       0       77        0        2       75
40519 libsfml-system2.6                  	       0       10        0        0       10
40520 libsfml-window2                    	       0        1        0        0        1
40521 libsfml-window2.4                  	       0        2        0        0        2
40522 libsfml-window2.5                  	       0       66        0        2       64
40523 libsfml-window2.6                  	       0        9        0        0        9
40524 libsframe1                         	       0      244        0        1      243
40525 libsgml-parser-opensp-perl         	       0        2        0        0        2
40526 libsgutils1-0                      	       0        1        0        0        1
40527 libsgutils2-1.46-2                 	       0      478        2        6      470
40528 libsgutils2-1.48                   	       0       20        0        0       20
40529 libsgutils2-2                      	       0      205        0        0      205
40530 libsgutils2-dev                    	       0        2        0        2        0
40531 libshaderc-dev                     	       0        5        0        5        0
40532 libshaderc1                        	       0       40        0        1       39
40533 libshairplay-dev                   	       0        2        0        2        0
40534 libshairplay0                      	       0       90        2       10       78
40535 libshairport-dev                   	       0        2        0        2        0
40536 libshairport1                      	       0        1        0        0        1
40537 libshairport2                      	       0        4        0        0        4
40538 libshape-msgs-dev                  	       0        1        0        1        0
40539 libshark-dev                       	       0        1        0        1        0
40540 libshark0                          	       0        1        0        0        1
40541 libsharp0                          	       0        6        0        0        6
40542 libshell-posix-select-perl         	       0        3        0        3        0
40543 libshell-utils-clojure             	       0        1        0        0        1
40544 libshhmsg1                         	       0        4        0        0        4
40545 libshhopt1                         	       0        4        0        0        4
40546 libshiboken-dev                    	       0        1        0        1        0
40547 libshiboken-py3-1.2v5              	       0        2        0        0        2
40548 libshiboken1.2v5                   	       0       10        0        0       10
40549 libshiboken2-5.11                  	       0       10        0        0       10
40550 libshiboken2-dev                   	       0        5        0        5        0
40551 libshine-dev                       	       0       19        0       19        0
40552 libshine-ocaml                     	       0        1        0        1        0
40553 libshine-ocaml-dev                 	       0        1        0        1        0
40554 libshishi-dev                      	       0        3        0        3        0
40555 libshishi0                         	       0        4        0        0        4
40556 libshogun-dev                      	       0        1        0        1        0
40557 libshogun16                        	       0        1        0        0        1
40558 libshout-dev                       	       0       17        1       16        0
40559 libshout-idjc-dev                  	       0        2        0        2        0
40560 libshout-idjc3                     	       0        8        0        0        8
40561 libshout-tools                     	       0        2        0        2        0
40562 libshout3                          	       0     3210       17       19     3174
40563 libshout3-dev                      	       0       17        0        2       15
40564 libshp-dev                         	       0       11        0       11        0
40565 libshp1                            	       0        2        0        0        2
40566 libshp2                            	       0      183        0        0      183
40567 libshp4                            	       0       27        0        0       27
40568 libshumate-1.0-1                   	       0      108        0        0      108
40569 libshumate-common                  	       0      108        0        0      108
40570 libshumate-dev                     	       0        1        0        1        0
40571 libsidplay1                        	       0       13        0        0       13
40572 libsidplay1-dev                    	       0        1        0        1        0
40573 libsidplay1v5                      	       0     2863        0        0     2863
40574 libsidplay2                        	       0     2192        9       18     2165
40575 libsidplay2-dev                    	       0       12        0       12        0
40576 libsidplayfp                       	       0        1        0        0        1
40577 libsidplayfp-dev                   	       0        2        0        2        0
40578 libsidplayfp-doc                   	       0        1        0        0        1
40579 libsidplayfp4                      	       0       31        0        0       31
40580 libsidplayfp5                      	       0       98        2        3       93
40581 libsidplayfp6                      	       0      488       14       12      462
40582 libsidutils-dev                    	       0        3        0        3        0
40583 libsidutils0                       	       0     1035        3        1     1031
40584 libsieve2-1                        	       0        1        0        0        1
40585 libsigc++-1.2-5c2                  	       0        1        0        0        1
40586 libsigc++-2.0-0c2a                 	       0       59        0        1       58
40587 libsigc++-2.0-doc                  	       0        5        0        0        5
40588 libsigc++-3.0-0                    	       0      303        7       40      256
40589 libsigc++-3.0-dev                  	       0       11        0       11        0
40590 libsigc++0c2                       	       0        1        0        0        1
40591 libsight                           	       0        1        0        1        0
40592 libsignal-protocol-c-dev           	       0        5        0        5        0
40593 libsignal-protocol-c2              	       0        4        0        0        4
40594 libsignal-protocol-c2.3.2          	       0       56        4        6       46
40595 libsignatures-java                 	       0        2        0        0        2
40596 libsignon-extension1               	       0       29        0        0       29
40597 libsignon-glib-dev                 	       0        2        0        2        0
40598 libsignon-glib1                    	       0        2        0        0        2
40599 libsignon-glib2                    	       0       12        0        6        6
40600 libsignon-plugins-common1          	       0      767        0        0      767
40601 libsignon-plugins-doc              	       0        1        0        0        1
40602 libsignon-qt6-1                    	       0       37        2        2       33
40603 libsignon-qt6-dev                  	       0        1        0        1        0
40604 libsignon-qt6-doc                  	       0        1        0        0        1
40605 libsigrok4t64                      	       0        3        0        3        0
40606 libsigrokcxx4                      	       0       21        0        0       21
40607 libsigrokcxx4t64                   	       0        3        0        0        3
40608 libsigrokdecode4                   	       0       24        0        0       24
40609 libsigscan1                        	       0       14        0        0       14
40610 libsigsegv-dev                     	       0        2        0        2        0
40611 libsigsegv0                        	       0        4        0        0        4
40612 libsigsegv2                        	       0     1640        1        5     1634
40613 libsilc-1.1-2                      	       0        2        0        0        2
40614 libsilc-1.1-2-dbg                  	       0        1        0        1        0
40615 libsilc-dev                        	       0        1        0        1        0
40616 libsilcclient-1.1-3                	       0        2        0        0        2
40617 libsilcclient-1.1-3-dbg            	       0        1        0        1        0
40618 libsilk1                           	       0        1        0        0        1
40619 libsilly                           	       0        4        0        0        4
40620 libsilly-dev                       	       0        2        0        2        0
40621 libsilo-bin                        	       0        1        0        1        0
40622 libsilo-dev                        	       0        2        0        2        0
40623 libsiloh5-0                        	       0        2        0        0        2
40624 libsiloh5-0t64                     	       0        1        0        0        1
40625 libsimage-dev                      	       0        2        0        2        0
40626 libsimage20                        	       0        2        0        0        2
40627 libsimavr-dev                      	       0        3        0        3        0
40628 libsimavr-examples                 	       0        2        0        0        2
40629 libsimavr2                         	       0        9        0        0        9
40630 libsimavrparts1                    	       0        4        0        0        4
40631 libsimbody-dev                     	       0        1        0        1        0
40632 libsimbody3.7                      	       0        1        0        0        1
40633 libsimde-dev                       	       0       10        0       10        0
40634 libsimdjson14                      	       0        3        0        0        3
40635 libsimdjson19                      	       0        1        0        0        1
40636 libsimgearcore3.0.0                	       0        2        0        0        2
40637 libsimple-http-java                	       0       50        0        0       50
40638 libsimple-validation-java          	       0        4        0        0        4
40639 libsimpleini1t64                   	       0        4        0        0        4
40640 libsinatra-ruby1.9.1               	       0        1        0        0        1
40641 libsingular4-dev                   	       0        9        0        9        0
40642 libsingular4-dev-common            	       0        9        0        9        0
40643 libsingular4m1                     	       0        1        0        0        1
40644 libsingular4m2n1                   	       0        1        0        0        1
40645 libsingular4m3n0                   	       0       12        0        0       12
40646 libsingular4m4n1                   	       0        2        0        0        2
40647 libsis-base-java                   	       0        3        0        0        3
40648 libsis-base-jni                    	       0        3        0        3        0
40649 libsis-jhdf5-java                  	       0        3        0        0        3
40650 libsis-jhdf5-jni                   	       0        3        0        3        0
40651 libsiscone-dev                     	       0        1        0        1        0
40652 libsiscone-spherical-dev           	       0        1        0        1        0
40653 libsiscone-spherical0v5            	       0        1        0        0        1
40654 libsiscone0v5                      	       0        1        0        0        1
40655 libsisimai-perl                    	       0        1        0        1        0
40656 libsisu-guice-java                 	       0      133        0        0      133
40657 libsisu-inject-java                	       0      466        0        0      466
40658 libsisu-ioc-java                   	       0      132        0        0      132
40659 libsisu-maven-plugin-java          	       0        1        0        0        1
40660 libsisu-plexus-java                	       0      466        0        0      466
40661 libsitesummary-perl                	       0        6        1        5        0
40662 libsixel-dev                       	       0       15        0       15        0
40663 libsixel-examples                  	       0        1        0        0        1
40664 libsixel1                          	       0      998       11       25      962
40665 libskarnet2.10                     	       0        4        0        0        4
40666 libskarnet2.12                     	       0        1        0        0        1
40667 libskarnet2.13                     	       0        7        0        3        4
40668 libskarnet2.14t64                  	       0        2        0        0        2
40669 libskarnet2.7                      	       0        2        0        0        2
40670 libskinlf-java                     	       0       16        0        0       16
40671 libskk-common                      	       0        2        0        0        2
40672 libskk0                            	       0        2        0        0        2
40673 libskstream-0.3-4                  	       0        1        0        0        1
40674 libskstream-0.3-7                  	       0        1        0        0        1
40675 libsl0-heimdal                     	       0       21        0        0       21
40676 libsl0t64-heimdal                  	       0        1        0        0        1
40677 libslang1                          	       0        1        0        0        1
40678 libslang2-modules                  	       0       40        0        0       40
40679 libslang2-pic                      	       0        2        0        1        1
40680 libsleef-dev                       	       0        1        0        1        0
40681 libsleef3                          	       0       14        0        0       14
40682 libslepc-real3.18                  	       0        2        0        0        2
40683 libslepc-real3.18-dev              	       0        1        0        0        1
40684 libslf4j-java                      	       0      593        0        0      593
40685 libslicot-dev                      	       0        1        0        0        1
40686 libslicot0                         	       0        8        0        0        8
40687 libslingshot-clojure               	       0        1        0        0        1
40688 libsliplu1                         	       0       29        0        0       29
40689 libslirp-dev                       	       0        8        0        8        0
40690 libslopy7.6                        	       0       30        0        0       30
40691 libslp-dev                         	       0        3        0        3        0
40692 libslurm-dev                       	       0        1        0        1        0
40693 libslurm36                         	       0        3        0        0        3
40694 libslurm38                         	       0       11        0        0       11
40695 libslurm39                         	       0        1        0        0        1
40696 libslv2-9                          	       0       21        0        0       21
40697 libslvs1                           	       0        2        0        0        2
40698 libslvs1-dev                       	       0        1        0        1        0
40699 libsm-doc                          	       0        6        0        0        6
40700 libsmallfry-dev                    	       0        1        0        1        0
40701 libsmallfry0                       	       0        1        0        0        1
40702 libsmartcols1                      	       0     4160        0        2     4158
40703 libsmartcols1-dbgsym               	       0        1        0        1        0
40704 libsmbclient0                      	       0      222        6       13      203
40705 libsmbios-bin                      	       0        2        0        2        0
40706 libsmbios-doc                      	       0        5        0        0        5
40707 libsmbios2                         	       0        3        0        0        3
40708 libsmc-dev                         	       0        1        0        1        0
40709 libsmdev1                          	       0       14        0        0       14
40710 libsmf-dev                         	       0        1        0        1        0
40711 libsmf0                            	       0       44        0        0       44
40712 libsmf0t64                         	       0        2        0        0        2
40713 libsmi2-common                     	       0        1        0        0        1
40714 libsmi2-dev                        	       0        2        0        2        0
40715 libsmi2ldbl                        	       0      343        1        0      342
40716 libsmi2t64                         	       0       25        0        0       25
40717 libsmithwaterman0                  	       0        1        0        0        1
40718 libsml1                            	       0        1        0        0        1
40719 libsmlnj-smlnj                     	       0        2        0        0        2
40720 libsmltk0                          	       0        2        0        0        2
40721 libsmltk0t64                       	       0        1        0        0        1
40722 libsmokebase3                      	       0        3        0        0        3
40723 libsmokebase3v5                    	       0        8        0        0        8
40724 libsmokekdecore4-3                 	       0        3        0        0        3
40725 libsmokekdeui4-3                   	       0        3        0        0        3
40726 libsmokekfile3                     	       0        3        0        0        3
40727 libsmokekhtml3                     	       0        3        0        0        3
40728 libsmokekio3                       	       0        3        0        0        3
40729 libsmokeknewstuff2-3               	       0        3        0        0        3
40730 libsmokeknewstuff3-3               	       0        3        0        0        3
40731 libsmokekparts3                    	       0        3        0        0        3
40732 libsmokektexteditor3               	       0        3        0        0        3
40733 libsmokekutils3                    	       0        3        0        0        3
40734 libsmokeokular3                    	       0        1        0        0        1
40735 libsmokephonon3                    	       0        2        0        0        2
40736 libsmokeplasma3                    	       0        3        0        0        3
40737 libsmokeqtcore4-3                  	       0        8        0        0        8
40738 libsmokeqtdbus4-3                  	       0        6        0        0        6
40739 libsmokeqtgui4-3                   	       0        8        0        0        8
40740 libsmokeqtnetwork4-3               	       0        8        0        0        8
40741 libsmokeqtopengl4-3                	       0        6        0        0        6
40742 libsmokeqtscript4-3                	       0        3        0        0        3
40743 libsmokeqtsql4-3                   	       0        6        0        0        6
40744 libsmokeqtsvg4-3                   	       0        6        0        0        6
40745 libsmokeqttest4-3                  	       0        3        0        0        3
40746 libsmokeqtuitools4-3               	       0        3        0        0        3
40747 libsmokeqtwebkit4-3                	       0        3        0        0        3
40748 libsmokeqtxml4-3                   	       0        6        0        0        6
40749 libsmokesolid3                     	       0        3        0        0        3
40750 libsmoketqt1-trinity               	       0        3        0        0        3
40751 libsmpeg-dev                       	       0        6        0        6        0
40752 libsmpeg0                          	       0       52        0        0       52
40753 libsmpeg0t64                       	       0        4        0        0        4
40754 libsmraw1                          	       0       14        0        0       14
40755 libsms-send-perl                   	       0        2        0        2        0
40756 libsnacc-dev                       	       0        3        0        3        0
40757 libsnacc0c2                        	       0        4        0        0        4
40758 libsnack-alsa                      	       0        8        0        8        0
40759 libsnack-oss                       	       0       16        0       16        0
40760 libsnack2                          	       0        1        0        1        0
40761 libsnapd-glib-dev                  	       0        3        0        3        0
40762 libsnapd-glib1                     	       0        5        0        0        5
40763 libsnapd-qt-2-1                    	       0        8        1        0        7
40764 libsnapd-qt-dev                    	       0        2        0        2        0
40765 libsnapd-qt1                       	       0        2        0        0        2
40766 libsnapper5                        	       0        1        0        0        1
40767 libsnapper6                        	       0       13        1        0       12
40768 libsnapper7t64                     	       0        4        0        0        4
40769 libsnappy-dev                      	       0       24        0       24        0
40770 libsnappy-java                     	       0      380        0        0      380
40771 libsnappy-jni                      	       0      382        0        0      382
40772 libsnappy1                         	       0        3        0        0        3
40773 libsndifsdl2-dev                   	       0        1        0        1        0
40774 libsndio6.0                        	       0        1        0        0        1
40775 libsndio6.1                        	       0      299        0        0      299
40776 libsndobj-dev                      	       0        1        0        1        0
40777 libsndobj2v5                       	       0        4        0        0        4
40778 libsnl0                            	       0        5        0        0        5
40779 libsnl0t64                         	       0        1        0        0        1
40780 libsnmp-base                       	       0     3188        0        0     3188
40781 libsnmp-dev                        	       0       39        1       38        0
40782 libsnmp-info-perl                  	       0        1        0        1        0
40783 libsnmp-mib-compiler-perl          	       0        2        0        2        0
40784 libsnmp-perl                       	       0       35        1        1       33
40785 libsnmp-session-perl               	       0       27        3       24        0
40786 libsnmp30                          	       0      304        1        3      300
40787 libsnmp35                          	       0        1        0        0        1
40788 libsnmp40                          	       0     2721       36      102     2583
40789 libsnmp40t64                       	       0      215        6       14      195
40790 libsnmp9                           	       0        1        0        0        1
40791 libsnmpkit2c2a                     	       0        3        0        0        3
40792 libsnowball-norwegian-perl         	       0        5        1        4        0
40793 libsnowball-swedish-perl           	       0        5        1        4        0
40794 libsnp-sites1                      	       0        1        0        0        1
40795 libsoap-wsdl-perl                  	       0       11        0       11        0
40796 libsoapysdr-dev                    	       0        4        0        4        0
40797 libsoapysdr0.6                     	       0        1        0        0        1
40798 libsoapysdr0.7                     	       0        6        0        0        6
40799 libsoapysdr0.8                     	       0       50        1        2       47
40800 libsoci-core4.0                    	       0       30        1        2       27
40801 libsoci-sqlite3-4.0                	       0       30        1        2       27
40802 libsocialweb-client2               	       0        9        0        0        9
40803 libsocialweb-common                	       0        9        0        0        9
40804 libsocialweb-service               	       0        6        0        6        0
40805 libsocialweb0                      	       0        7        0        0        7
40806 libsocket++-dev                    	       0        1        0        1        0
40807 libsocket++1                       	       0      479        0        0      479
40808 libsocket-perl                     	       0       55        2        7       46
40809 libsocket-wrapper                  	       0        1        0        1        0
40810 libsocket6-perl                    	       0     1658       50      117     1491
40811 libsocketcan2                      	       0        6        0        0        6
40812 libsocks4                          	       0        3        0        3        0
40813 libsodium13                        	       0       12        0        0       12
40814 libsodium18                        	       0      104        0        0      104
40815 libsofa1                           	       0        1        0        0        1
40816 libsofia-sip-ua-glib3              	       0       11        0        1       10
40817 libsofia-sip-ua-glib3t64           	       0        1        1        0        0
40818 libsofia-sip-ua0                   	       0       14        0        2       12
40819 libsofia-sip-ua0t64                	       0        1        1        0        0
40820 libsofthsm2                        	       0        6        0        6        0
40821 libsofthsm2-dev                    	       0        1        0        1        0
40822 libsoftware-licensemoreutils-perl  	       0       21        0       21        0
40823 libsoil-dev                        	       0        4        0        4        0
40824 libsoil1                           	       0       12        0        0       12
40825 libsolid4                          	       0       45        1        1       43
40826 libsolv-tools                      	       0        4        0        4        0
40827 libsolv1                           	       0        6        0        0        6
40828 libsolvext1                        	       0        6        0        0        6
40829 libsombok3                         	       0      543        0        2      541
40830 libsonic-dev                       	       0        1        0        1        0
40831 libsonivox3                        	       0       27        0        1       26
40832 libsoprano-dev                     	       0        1        0        1        0
40833 libsoprano4                        	       0       25        0        1       24
40834 libsopt-dev                        	       0        2        0        2        0
40835 libsopt3.0                         	       0        3        0        0        3
40836 libsoqt4-20                        	       0        6        0        0        6
40837 libsoqt520                         	       0      103        0        0      103
40838 libsoqt520t64                      	       0       15        0        0       15
40839 libsord-dev                        	       0       22        0       22        0
40840 libsort-key-perl                   	       0      170        0        0      170
40841 libsort-maker-perl                 	       0        1        0        1        0
40842 libsoundio-dev                     	       0        3        0        3        0
40843 libsoundio1                        	       0        9        0        0        9
40844 libsoundio2                        	       0       46        0        0       46
40845 libsoundtouch-dev                  	       0       13        0       13        0
40846 libsoundtouch0                     	       0       25        0        0       25
40847 libsoundtouch1                     	       0     3020        5        8     3007
40848 libsoundtouch1c2                   	       0        1        0        0        1
40849 libsoup-3.0-dev                    	       0       25        0       25        0
40850 libsoup-3.0-doc                    	       0        2        0        0        2
40851 libsoup-3.0-tests                  	       0        1        0        0        1
40852 libsoup-gnome-2.4-1                	       0       26        0        0       26
40853 libsoup-gnome2.4-dev               	       0        3        0        3        0
40854 libsoup2.4-common                  	       0     2336        0        0     2336
40855 libsoup2.4-doc                     	       0        3        0        0        3
40856 libsource-highlight-common         	       0      595        0        0      595
40857 libsource-highlight-dev            	       0        1        0        1        0
40858 libsource-highlight3               	       0        1        0        0        1
40859 libsource-highlight4               	       0        1        0        0        1
40860 libsource-highlight4t64            	       0       64        0        0       64
40861 libsource-highlight4v5             	       0      530        1        3      526
40862 libsox-dev                         	       0        6        0        6        0
40863 libsox-fmt-all                     	       0       65        0        0       65
40864 libsox-fmt-alsa                    	       0     1354        0        3     1351
40865 libsox-fmt-ao                      	       0       73        0        2       71
40866 libsox-fmt-base                    	       0     1358        0        3     1355
40867 libsox-fmt-mp3                     	       0      107        0        2      105
40868 libsox-fmt-opus                    	       0        8        0        0        8
40869 libsox-fmt-oss                     	       0       72        0        2       70
40870 libsox-fmt-pulse                   	       0       76        0        2       74
40871 libsox1b                           	       0        2        0        0        2
40872 libsox2                            	       0       73        0        0       73
40873 libsox3                            	       0     1311        0        5     1306
40874 libsoxr-dev                        	       0       26        0       26        0
40875 libsoxr-lsr0                       	       0       26        0        0       26
40876 libsp1c2                           	       0       15        0        0       15
40877 libspa-0.2-jack                    	       0       17        0        0       17
40878 libspa-0.2-libcamera               	       0       13        3        7        3
40879 libspa-bluetooth                   	       0        3        0        0        3
40880 libspa-ffmpeg                      	       0        5        0        0        5
40881 libspa-lib-0.1-dev                 	       0        1        0        1        0
40882 libspandsp-dev                     	       0       15        1       14        0
40883 libspandsp-doc                     	       0        1        0        0        1
40884 libspandsp2                        	       0     2862        8       12     2842
40885 libspandsp2t64                     	       0      202        1        1      200
40886 libsparsehash-dev                  	       0        2        0        2        0
40887 libsparskit-dev                    	       0        1        0        1        0
40888 libsparskit2.0                     	       0        1        0        0        1
40889 libspatialaudio-dev                	       0        5        0        5        0
40890 libspatialaudio0                   	       0     1415        0        3     1412
40891 libspatialaudio0t64                	       0      129        0        0      129
40892 libspatialindex-c4v5               	       0        1        0        0        1
40893 libspatialindex-c5                 	       0        1        0        0        1
40894 libspatialindex-c6                 	       0       33        0        0       33
40895 libspatialindex-c7                 	       0        4        0        0        4
40896 libspatialindex-c8                 	       0        4        0        0        4
40897 libspatialindex3                   	       0        1        0        0        1
40898 libspatialindex4v5                 	       0        4        0        0        4
40899 libspatialindex5                   	       0        6        0        0        6
40900 libspatialindex6                   	       0      108        2        6      100
40901 libspatialindex7                   	       0        8        0        0        8
40902 libspatialindex8                   	       0        5        0        0        5
40903 libspatialite5                     	       0        1        0        0        1
40904 libspatialite7                     	       0      605        3        9      593
40905 libspatialite8                     	       0        8        0        1        7
40906 libspatialite8t64                  	       0       61        0        0       61
40907 libspctag1                         	       0        1        0        0        1
40908 libspdlog1                         	       0       19        0        4       15
40909 libspdlog1.12                      	       0       48        1        5       42
40910 libspdlog1.15                      	       0       20        1        1       18
40911 libspdylay-dev                     	       0        1        0        1        0
40912 libspdylay7                        	       0        1        0        0        1
40913 libspec-alpha-clojure              	       0       18        0        0       18
40914 libspecio-library-path-tiny-perl   	       0        1        0        1        0
40915 libspectre-dev                     	       0       26        0       26        0
40916 libspectre1                        	       0     3236        1        5     3230
40917 libspectrum8                       	       0       16        0        0       16
40918 libspeechd-dev                     	       0       14        0       14        0
40919 libspeechd-module0                 	       0        4        0        0        4
40920 libspeex-dev                       	       0       73        1       72        0
40921 libspeex-ocaml                     	       0        1        0        0        1
40922 libspeexdsp-dev                    	       0       55        0       55        0
40923 libspelling-1-2                    	       0        6        0        0        6
40924 libspelling-common                 	       0        5        0        0        5
40925 libspex2                           	       0        1        0        0        1
40926 libspex3                           	       0        3        0        0        3
40927 libspf2-2                          	       0       23        6        9        8
40928 libspf2-2t64                       	       0        5        1        2        2
40929 libspf2-dev                        	       0        2        0        2        0
40930 libsphere-dev                      	       0        3        0        3        0
40931 libsphere0d                        	       0        3        0        0        3
40932 libsphinx-dev                      	       0        1        0        1        0
40933 libsphinx-search-perl              	       0        1        0        1        0
40934 libsphinx0                         	       0        1        0        0        1
40935 libsphinx2g0                       	       0        1        0        0        1
40936 libsphinxbase-dev                  	       0        6        0        6        0
40937 libsphinxbase-doc                  	       0        1        0        0        1
40938 libsphinxbase3t64                  	       0      210       13       28      169
40939 libspice-client-glib-2.0-8         	       0      460        7       17      436
40940 libspice-client-glib-2.0-dev       	       0        6        0        6        0
40941 libspice-client-gtk-2.0-4          	       0        1        0        0        1
40942 libspice-client-gtk-3.0-4          	       0       12        0        0       12
40943 libspice-client-gtk-3.0-5          	       0      450        7       17      426
40944 libspice-client-gtk-3.0-dev        	       0        2        0        2        0
40945 libspice-protocol-dev              	       0       15        0       15        0
40946 libspice-server-dev                	       0        9        0        9        0
40947 libspiffy-perl                     	       0       46        0       46        0
40948 libspin-java                       	       0       25        0        0       25
40949 libspiro-dev                       	       0        3        0        3        0
40950 libspiro0                          	       0       17        0        0       17
40951 libspiro1                          	       0      114        0        0      114
40952 libspiro1-dbgsym                   	       0        1        0        1        0
40953 libspirv-cross-c-shared-dev        	       0       14        0       14        0
40954 libspirv-cross-c-shared0           	       0       14        0        0       14
40955 libsplashy1                        	       0        2        0        0        2
40956 libspnav-dev                       	       0       13        0       13        0
40957 libspnav0                          	       0      330        0        6      324
40958 libspng-doc                        	       0        4        0        0        4
40959 libspng0                           	       0        6        1        0        5
40960 libspoa1.1.3                       	       0        1        0        0        1
40961 libspoa7.0.0                       	       0        1        0        0        1
40962 libspock-java                      	       0        1        0        0        1
40963 libspooles-dev                     	       0        1        0        1        0
40964 libspooles2.2                      	       0       99        0        0       99
40965 libspooles2.2t64                   	       0        5        0        0        5
40966 libspotify12                       	       0        1        0        0        1
40967 libspqr1.3.1                       	       0        1        0        0        1
40968 libspqr2                           	       0       82        0        0       82
40969 libspqr3                           	       0        1        0        0        1
40970 libspqr4                           	       0        9        0        0        9
40971 libspread-sheet-widget             	       0        9        0        0        9
40972 libspread-sheet-widget0            	       0        1        0        0        1
40973 libspreadsheet-parsexlsx-perl      	       0        2        1        1        0
40974 libspreadsheet-read-perl           	       0        2        1        1        0
40975 libspreadsheet-readsxc-perl        	       0        2        1        1        0
40976 libspreadsheet-ruby1.9.1           	       0        1        0        0        1
40977 libspreadsheet-wright-perl         	       0        1        0        1        0
40978 libspring-aop-java                 	       0       12        0        0       12
40979 libspring-beans-java               	       0       17        0        0       17
40980 libspring-context-java             	       0       12        0        0       12
40981 libspring-core-java                	       0       18        0        0       18
40982 libspring-expression-java          	       0       12        0        0       12
40983 libspring-instrument-java          	       0       10        0        0       10
40984 libspring-jdbc-java                	       0        6        0        0        6
40985 libspring-oxm-java                 	       0        2        0        0        2
40986 libspring-transaction-java         	       0        6        0        0        6
40987 libspring-web-java                 	       0        2        0        0        2
40988 libsprng2                          	       0        3        0        0        3
40989 libsql-abstract-classic-perl       	       0        4        0        4        0
40990 libsql-abstract-limit-perl         	       0       23        0       23        0
40991 libsql-abstract-perl               	       0       86        2       84        0
40992 libsql-abstract-pg-perl            	       0        2        0        2        0
40993 libsql-splitstatement-perl         	       0        1        0        1        0
40994 libsql-statement-perl              	       0       14        0       14        0
40995 libsql-tokenizer-perl              	       0        1        0        1        0
40996 libsql-translator-perl             	       0        7        1        6        0
40997 libsqlcipher-dev                   	       0        5        0        5        0
40998 libsqlcipher0                      	       0       60        0        4       56
40999 libsqlcipher1                      	       0       12        0        0       12
41000 libsqlite-tcl                      	       0        2        0        2        0
41001 libsqlite0                         	       0       56        0        0       56
41002 libsqlite0-dev                     	       0        9        0        9        0
41003 libsqlite3-mod-impexp              	       0        2        0        0        2
41004 libsqlite3-mod-spatialite          	       0       48        0        0       48
41005 libsqlite3-ruby1.9.1               	       0        1        0        0        1
41006 libsqliteodbc                      	       0        9        0        0        9
41007 libsqljet-java                     	       0        7        0        0        7
41008 libsquashfs-dev                    	       0        2        0        2        0
41009 libsquashfs1                       	       0       27        0        0       27
41010 libsquashfuse-dev                  	       0        2        0        2        0
41011 libsquashfuse0                     	       0      665        1        0      664
41012 libsquid-dev                       	       0        1        0        1        0
41013 libsquid1                          	       0        1        0        0        1
41014 libsquirrel3-0                     	       0        2        0        0        2
41015 libsquish-dev                      	       0        4        0        4        0
41016 libsquish0                         	       0      124        0        0      124
41017 libsquizz                          	       0        1        0        0        1
41018 libsratom-dev                      	       0       22        0       22        0
41019 libsrecord0                        	       0       12        0        0       12
41020 libsrecord0t64                     	       0        3        0        0        3
41021 libsrt-gnutls-dev                  	       0        7        0        7        0
41022 libsrt-openssl-dev                 	       0        8        0        8        0
41023 libsrt1                            	       0        1        0        0        1
41024 libsrt1-gnutls                     	       0        1        0        0        1
41025 libsrt1.5-openssl                  	       0      131        3        3      125
41026 libsrtp0                           	       0       74        0        1       73
41027 libsrtp0-dev                       	       0       10        0       10        0
41028 libsrtp2-1                         	       0     2975       13       53     2909
41029 libsrtp2-dev                       	       0       17        1       16        0
41030 libsru-perl                        	       0        2        0        2        0
41031 libss2                             	       0     4129        0        0     4129
41032 libss2t64                          	       0       23        0        0       23
41033 libss7-2.0                         	       0        2        1        1        0
41034 libssh-dev                         	       0       34        1       33        0
41035 libssh-doc                         	       0        2        0        2        0
41036 libssh-gcrypt-dev                  	       0        8        0        8        0
41037 libssh2-php                        	       0        1        0        0        1
41038 libssl-doc                         	       0      182        0        9      173
41039 libssl-utils-clojure               	       0        1        0        0        1
41040 libssl0.9.7                        	       0        1        0        1        0
41041 libssl0.9.8                        	       0       34        1       33        0
41042 libssl1.0-dev                      	       0        2        0        2        0
41043 libssl1.0.0                        	       0      332        7       16      309
41044 libssl1.0.0-dbg                    	       0        1        0        1        0
41045 libssl1.0.2                        	       0      549        2        6      541
41046 libssl1.1-dbgsym                   	       0        1        0        1        0
41047 libssm-dev                         	       0        1        0        1        0
41048 libssm2                            	       0        1        0        0        1
41049 libsss-nss-idmap0                  	       0       27        0        0       27
41050 libsss-sudo                        	       0       21        0        2       19
41051 libsstp-api-0                      	       0       22        0        0       22
41052 libssw-java                        	       0        1        0        1        0
41053 libssw0                            	       0        1        0        0        1
41054 libstaden-read14                   	       0        1        0        0        1
41055 libstarlink-ast-dev                	       0        2        0        2        0
41056 libstarlink-ast-err0               	       0        1        0        0        1
41057 libstarlink-ast-err9               	       0       13        0        0       13
41058 libstarlink-ast-grf3d9             	       0        2        0        0        2
41059 libstarlink-ast0                   	       0        1        0        0        1
41060 libstarlink-ast9                   	       0       13        0        0       13
41061 libstarlink-pal-dev                	       0        2        0        2        0
41062 libstarlink-pal-doc                	       0        2        0        0        2
41063 libstarlink-pal0                   	       0       14        0        0       14
41064 libstaroffice-doc                  	       0        1        0        0        1
41065 libstaroffice-tools                	       0        1        0        1        0
41066 libstarpu-1.2-0                    	       0        1        0        0        1
41067 libstat-lsmode-perl                	       0        1        0        1        0
41068 libstatgrab10t64                   	       0       13        0        2       11
41069 libstatgrab9                       	       0        2        0        0        2
41070 libstatistics-basic-perl           	       0        3        0        3        0
41071 libstatistics-descriptive-perl     	       0        9        0        9        0
41072 libstax-ex-java                    	       0      337        0        0      337
41073 libstax-java                       	       0        8        0        0        8
41074 libstax-java-doc                   	       0        1        0        0        1
41075 libstax2-api-java                  	       0       21        0        0       21
41076 libstb-build-deps                  	       0        1        0        0        1
41077 libstb0                            	       0      148        0        0      148
41078 libstb0-dbgsym                     	       0        1        0        1        0
41079 libstb0t64                         	       0       14        0        0       14
41080 libstd-msgs-dev                    	       0        3        0        3        0
41081 libstd-rust-1.34                   	       0        1        0        0        1
41082 libstd-rust-1.41                   	       0        4        0        0        4
41083 libstd-rust-1.48                   	       0       12        0        0       12
41084 libstd-rust-1.60                   	       0        1        0        0        1
41085 libstd-rust-1.63                   	       0       68        0        0       68
41086 libstd-rust-1.66                   	       0        1        0        0        1
41087 libstd-rust-1.70                   	       0        5        0        0        5
41088 libstd-rust-1.79                   	       0        3        0        0        3
41089 libstd-rust-1.82                   	       0        3        0        0        3
41090 libstd-rust-1.83                   	       0        4        0        0        4
41091 libstd-rust-1.84                   	       0        3        0        0        3
41092 libstd-rust-1.85                   	       0        2        0        0        2
41093 libstd-rust-dev                    	       0      101        0        0      101
41094 libstd-rust-dev-windows            	       0        1        0        0        1
41095 libstd-rust-mozilla-1.63           	       0        2        0        0        2
41096 libstd-rust-mozilla-dev            	       0        2        0        0        2
41097 libstd-rust-web-1.78               	       0        1        0        0        1
41098 libstd-rust-web-dev                	       0        1        0        0        1
41099 libstd-srvs-dev                    	       0        1        0        1        0
41100 libstdc++-10-dev-arm64-cross       	       0        6        0        6        0
41101 libstdc++-10-dev-armel-cross       	       0        1        0        1        0
41102 libstdc++-10-dev-armhf-cross       	       0        1        0        1        0
41103 libstdc++-10-dev-i386-cross        	       0        2        0        2        0
41104 libstdc++-10-dev-ppc64-cross       	       0        1        0        1        0
41105 libstdc++-10-dev-riscv64-cross     	       0        1        0        1        0
41106 libstdc++-10-doc                   	       0        7        0        0        7
41107 libstdc++-11-dev                   	       0       48        0       48        0
41108 libstdc++-11-dev-armel-cross       	       0        1        0        1        0
41109 libstdc++-11-dev-armhf-cross       	       0        1        0        1        0
41110 libstdc++-12-dev-arm64-cross       	       0        8        0        8        0
41111 libstdc++-12-dev-armel-cross       	       0        2        0        2        0
41112 libstdc++-12-dev-armhf-cross       	       0        5        0        5        0
41113 libstdc++-12-dev-mips-cross        	       0        2        0        2        0
41114 libstdc++-12-dev-mipsel-cross      	       0        2        0        2        0
41115 libstdc++-12-dev-riscv64-cross     	       0        1        0        1        0
41116 libstdc++-12-dev-x32-cross         	       0        1        0        1        0
41117 libstdc++-12-doc                   	       0        5        0        0        5
41118 libstdc++-13-dev                   	       0       92        1       91        0
41119 libstdc++-13-dev-arm64-cross       	       0        2        0        2        0
41120 libstdc++-13-dev-armhf-cross       	       0        1        0        1        0
41121 libstdc++-13-doc                   	       0        1        0        0        1
41122 libstdc++-14-dev-arm64-cross       	       0        3        0        3        0
41123 libstdc++-14-dev-armhf-cross       	       0        3        0        3        0
41124 libstdc++-14-doc                   	       0        2        0        0        2
41125 libstdc++-15-dev                   	       0        1        0        1        0
41126 libstdc++-4.8-dev                  	       0        6        0        6        0
41127 libstdc++-4.9-dev                  	       0       55        2       52        1
41128 libstdc++-5-dev                    	       0        2        0        2        0
41129 libstdc++-6-dev                    	       0      136        3      133        0
41130 libstdc++-6-doc                    	       0        2        0        0        2
41131 libstdc++-7-dev                    	       0        6        0        5        1
41132 libstdc++-8-dev                    	       0      125        1      124        0
41133 libstdc++-8-dev-arm64-cross        	       0        2        0        2        0
41134 libstdc++-8-dev-armel-cross        	       0        3        0        3        0
41135 libstdc++-8-dev-armhf-cross        	       0        2        0        2        0
41136 libstdc++-8-dev-i386-cross         	       0        2        0        2        0
41137 libstdc++-8-dev-mips-cross         	       0        1        0        1        0
41138 libstdc++-8-dev-mips64el-cross     	       0        1        0        1        0
41139 libstdc++-8-dev-mipsel-cross       	       0        1        0        1        0
41140 libstdc++-9-dev                    	       0       12        0       12        0
41141 libstdc++-9-doc                    	       0        1        0        0        1
41142 libstdc++-arm-none-eabi-newlib     	       0       48        0       14       34
41143 libstdc++-arm-none-eabi-picolibc   	       0        1        0        0        1
41144 libstdc++2.10                      	       0        1        0        0        1
41145 libstdc++5                         	       0       57        0        0       57
41146 libstdc++5-3.3-dev                 	       0        1        0        1        0
41147 libstdc++6-10-dbg                  	       0        1        0        0        1
41148 libstdc++6-4.4-dev                 	       0        6        0        6        0
41149 libstdc++6-4.6-dev                 	       0        1        0        1        0
41150 libstdc++6-4.7-dev                 	       0        4        0        4        0
41151 libstdc++6-6-dbg                   	       0        1        0        1        0
41152 libstdc++6-arm64-cross             	       0       38        0        0       38
41153 libstdc++6-armel-cross             	       0       23        0        0       23
41154 libstdc++6-armhf-cross             	       0       30        0        0       30
41155 libstdc++6-i386-cross              	       0       14        0        0       14
41156 libstdc++6-mips-cross              	       0        3        0        0        3
41157 libstdc++6-mips64el-cross          	       0        1        0        0        1
41158 libstdc++6-mipsel-cross            	       0        3        0        0        3
41159 libstdc++6-powerpc-cross           	       0        5        0        0        5
41160 libstdc++6-ppc64-cross             	       0        6        0        0        6
41161 libstdc++6-ppc64el-cross           	       0        1        0        0        1
41162 libstdc++6-riscv64-cross           	       0        4        0        0        4
41163 libstdc++6-s390x-cross             	       0        4        0        0        4
41164 libstdc++6-sparc64-cross           	       0        3        0        0        3
41165 libstdc++6-x32-cross               	       0        6        0        0        6
41166 libstdcompat-ocaml                 	       0       10        0        9        1
41167 libstderesi0                       	       0        1        0        0        1
41168 libstdlib-ocaml                    	       0       13        0        1       12
41169 libstellarsolver2                  	       0       19        0        0       19
41170 libstemmer-dev                     	       0        2        0        2        0
41171 libstereo-msgs-dev                 	       0        1        0        1        0
41172 libstfl-dev                        	       0        2        0        2        0
41173 libstfl-ruby                       	       0        4        0        0        4
41174 libstfl-ruby1.9.1                  	       0        3        0        0        3
41175 libstfl0                           	       0       47        1        3       43
41176 libstilview-dev                    	       0        1        0        1        0
41177 libstilview0                       	       0        1        0        0        1
41178 libstk-4.5.0                       	       0        6        0        0        6
41179 libstk-4.6.1                       	       0       15        0        0       15
41180 libstk-4.6.2                       	       0       61        0        0       61
41181 libstk-5.0.0                       	       0        7        0        0        7
41182 libstk-dev                         	       0        2        0        2        0
41183 libstk0-dev                        	       0        1        0        0        1
41184 libstk0c2a                         	       0        1        0        0        1
41185 libstlink-dev                      	       0        1        0        1        0
41186 libstlink1                         	       0       15        0        0       15
41187 libstlport4.6c2                    	       0        1        0        0        1
41188 libstlport4.6ldbl                  	       0        1        0        0        1
41189 libstoken-dev                      	       0        5        0        5        0
41190 libstoken1t64                      	       0       55        0        4       51
41191 libstomp-ruby1.9.1                 	       0        1        0        0        1
41192 libstonith1                        	       0        9        0        0        9
41193 libstonith1-dev                    	       0        1        0        1        0
41194 libstonithd2                       	       0        4        0        0        4
41195 libstonithd26                      	       0        2        0        2        0
41196 libstorj0                          	       0        1        0        0        1
41197 libstorj0t64                       	       0        1        0        0        1
41198 libstorm9                          	       0        2        0        0        2
41199 libstream-buffered-perl            	       0       18        1       17        0
41200 libstreamanalyzer0                 	       0        4        1        3        0
41201 libstreamanalyzer0v5               	       0        1        0        1        0
41202 libstreambuffer-java               	       0      337        0        0      337
41203 libstreams0                        	       0       15        0        1       14
41204 libstreams0v5                      	       0        2        0        0        2
41205 libstring-approx-perl              	       0        5        1        0        4
41206 libstring-camelcase-perl           	       0        4        1        3        0
41207 libstring-crc-cksum-perl           	       0        1        0        1        0
41208 libstring-crc32-perl               	       0      280        1        3      276
41209 libstring-elide-parts-perl         	       0        1        0        1        0
41210 libstring-errf-perl                	       0        1        0        1        0
41211 libstring-expand-perl              	       0        2        0        2        0
41212 libstring-flogger-perl             	       0        1        0        1        0
41213 libstring-format-perl              	       0       29        0       29        0
41214 libstring-formatter-perl           	       0        7        0        7        0
41215 libstring-print-perl               	       0        1        0        1        0
41216 libstring-random-perl              	       0        4        1        3        0
41217 libstring-rewriteprefix-perl       	       0       32        2       30        0
41218 libstring-similarity-perl          	       0        8        0        0        8
41219 libstring-template-maven-plugin-java	       0        1        0        0        1
41220 libstring-toidentifier-en-perl     	       0        4        1        3        0
41221 libstring-tokenizer-perl           	       0        3        0        3        0
41222 libstring-trim-more-perl           	       0        1        0        1        0
41223 libstring-truncate-perl            	       0        1        0        1        0
41224 libstringtemplate-java             	       0       65        0        0       65
41225 libstringtemplate4-java            	       0       49        0        0       49
41226 libstroke0                         	       0       88        4       22       62
41227 libstroke0-dev                     	       0        2        0        2        0
41228 libstrophe-dev                     	       0        4        0        4        0
41229 libstrophe0                        	       0       19        2        0       17
41230 libstruct-diff-perl                	       0        1        0        1        0
41231 libstutter-pcre                    	       0        1        0        1        0
41232 libstutter0                        	       0        1        0        1        0
41233 libstxxl-dev                       	       0        1        0        1        0
41234 libstxxl1v5                        	       0        1        0        0        1
41235 libstyx2                           	       0        1        0        0        1
41236 libsub-delete-perl                 	       0        1        0        1        0
41237 libsub-exporter-formethods-perl    	       0       15        1       14        0
41238 libsub-exporter-globexporter-perl  	       0        1        0        1        0
41239 libsub-handlesvia-perl             	       0       17        1       16        0
41240 libsub-identify-perl               	       0     1130        4        6     1120
41241 libsub-infix-perl                  	       0       32        1       31        0
41242 libsub-info-perl                   	       0        2        0        2        0
41243 libsub-name-perl                   	       0     1543        2        1     1540
41244 libsub-prototype-perl              	       0        2        0        0        2
41245 libsub-uplevel-perl                	       0       50        3       47        0
41246 libsubid-dev                       	       0        1        0        1        0
41247 libsubid4                          	       0      123        2        5      116
41248 libsubid5                          	       0       18        0        0       18
41249 libsubtitleeditor0                 	       0       24        0        0       24
41250 libsubtitles-perl                  	       0        4        0        4        0
41251 libsubunit-dev                     	       0       32        1       31        0
41252 libsubunit-perl                    	       0        5        0        5        0
41253 libsubunit0                        	       0       34        0        0       34
41254 libsugarext-data                   	       0      292        0        0      292
41255 libsugarext0                       	       0      299        0        0      299
41256 libsuil-0-0                        	       0      535        1        0      534
41257 libsuil-dev                        	       0        8        0        8        0
41258 libsuitesparse-3.1.0               	       0        2        0        0        2
41259 libsuitesparse-mongoose3           	       0        3        0        0        3
41260 libsuitesparseconfig4              	       0       78        0        0       78
41261 libsuitesparseconfig4.4.6          	       0        1        0        0        1
41262 libsuitesparseconfig5              	       0     2741        1       14     2726
41263 libsuitesparseconfig7              	       0      213        0        2      211
41264 libsuma1                           	       0        1        0        0        1
41265 libsundials-core7                  	       0        1        0        0        1
41266 libsundials-ida4                   	       0       19        0        0       19
41267 libsundials-ida6                   	       0       53        0        0       53
41268 libsundials-ida7                   	       0        1        0        0        1
41269 libsundials-nvecparallel-petsc4    	       0        1        0        0        1
41270 libsundials-nvecparallel-petsc6    	       0       54        0        0       54
41271 libsundials-nvecserial4            	       0       19        0        0       19
41272 libsundials-sunlinsol2             	       0       19        0        0       19
41273 libsundials-sunlinsol3             	       0       54        0        0       54
41274 libsundials-sunmatrix2             	       0       19        0        0       19
41275 libsundials-sunmatrix4             	       0       54        0        0       54
41276 libsundials-sunmatrix5             	       0        1        0        0        1
41277 libsunflow-java                    	       0       37        0        0       37
41278 libsunpinyin3v5                    	       0        5        0        2        3
41279 libsuper-csv-java                  	       0        4        0        0        4
41280 libsuper-perl                      	       0        6        0        6        0
41281 libsuperlu-dist-dev                	       0        1        0        1        0
41282 libsuperlu-dist6                   	       0        2        0        0        2
41283 libsuperlu-dist7                   	       0        3        0        0        3
41284 libsuperlu-dist8                   	       0       56        0        0       56
41285 libsuperlu-doc                     	       0        6        0        0        6
41286 libsuperlu3                        	       0        1        0        0        1
41287 libsuperlu4                        	       0        1        0        0        1
41288 libsuperlu5                        	       0      627        3        9      615
41289 libsuperlu6                        	       0       42        0        1       41
41290 libsuperlu7                        	       0        3        0        0        3
41291 libsurefire-java                   	       0        5        0        0        5
41292 libsv1                             	       0        1        0        0        1
41293 libsvg-graph-perl                  	       0        4        0        4        0
41294 libsvg-graph-ruby1.9.1             	       0        2        0        0        2
41295 libsvga1                           	       0       18        0        0       18
41296 libsvga1-dev                       	       0        3        0        3        0
41297 libsvgpp-dev                       	       0        1        0        0        1
41298 libsvgpp-doc                       	       0        1        0        0        1
41299 libsvgsalamander-java              	       0       29        0        0       29
41300 libsvm-dev                         	       0        6        0        6        0
41301 libsvm-tools                       	       0       32        2       30        0
41302 libsvm3                            	       0       33        0        0       33
41303 libsvmloc-dev                      	       0        1        0        0        1
41304 libsvmloc0                         	       0        1        0        0        1
41305 libsvn-class-perl                  	       0        1        0        1        0
41306 libsvn-dev                         	       0        4        0        4        0
41307 libsvn-java                        	       0        9        0        0        9
41308 libsvn-perl                        	       0      115        0        0      115
41309 libsvn1                            	       0      496        5       13      478
41310 libsvnclientadapter-java           	       0        3        0        0        3
41311 libsvncpp3                         	       0       12        0        0       12
41312 libsvnkit-java                     	       0        7        0        0        7
41313 libsvnqt4-trinity                  	       0        1        0        0        1
41314 libsvtav1-0                        	       0        5        1        1        3
41315 libsvtav1-dev                      	       0        5        0        5        0
41316 libsvtav1dec-dev                   	       0        2        0        0        2
41317 libsvtav1dec0                      	       0        5        0        0        5
41318 libsvtav1enc-dev                   	       0       12        0        8        4
41319 libsvtav1enc0                      	       0        7        0        1        6
41320 libsvtav1enc1d1                    	       0       49        4       13       32
41321 libsvtav1enc3                      	       0        2        1        0        1
41322 libsvthevcenc-dev                  	       0        1        0        1        0
41323 libsvthevcenc1                     	       0       43        0        0       43
41324 libswagger-core-java               	       0        1        0        0        1
41325 libswagger2-perl                   	       0        1        0        1        0
41326 libswami1                          	       0        7        0        0        7
41327 libswamigui1                       	       0        7        0        0        7
41328 libswe-dev                         	       0        1        0        1        0
41329 libswe0                            	       0        1        0        0        1
41330 libswe2.0                          	       0        1        0        0        1
41331 libsweble-common-java              	       0        2        0        0        2
41332 libsweble-wikitext-java            	       0        2        0        0        2
41333 libswfdec0.3                       	       0        1        0        0        1
41334 libswfdec0.3-dev                   	       0        1        0        1        0
41335 libswing-layout-java               	       0       46        0        0       46
41336 libswing-layout-java-doc           	       0        7        0        0        7
41337 libswingx-java                     	       0       49        0        0       49
41338 libswingx-java-doc                 	       0        1        0        0        1
41339 libswingx1-java                    	       0        2        0        0        2
41340 libswish-api-common-perl           	       0        4        0        4        0
41341 libswiss-perl                      	       0        1        0        1        0
41342 libsword-1.8.1                     	       0        1        0        0        1
41343 libsword-common                    	       0       30        0        0       30
41344 libsword-utils                     	       0        2        0        2        0
41345 libsword1.9.0                      	       0       25        0        0       25
41346 libsword1.9.0t64                   	       0        2        0        0        2
41347 libsword11                         	       0        1        0        0        1
41348 libsword11v5                       	       0        1        0        0        1
41349 libswresample-ffmpeg1              	       0        4        0        0        4
41350 libswresample0                     	       0        8        0        0        8
41351 libswresample1                     	       0       12        0        0       12
41352 libswresample2                     	       0      349        0        2      347
41353 libswresample3-dbgsym              	       0        1        0        1        0
41354 libswresample5-dbgsym              	       0        1        0        1        0
41355 libswscale-ffmpeg3                 	       0        5        0        0        5
41356 libswscale0                        	       0        7        0        3        4
41357 libswscale2                        	       0       24        0        0       24
41358 libswscale3                        	       0       46        0        1       45
41359 libswscale4                        	       0      144        0        0      144
41360 libswscale5                        	       0      775       13       45      717
41361 libswscale5-dbgsym                 	       0        1        0        1        0
41362 libswscale7                        	       0      109        3       13       93
41363 libswscale8                        	       0      218       14       30      174
41364 libswscale8-dbgsym                 	       0        1        0        1        0
41365 libswt-cairo-gtk-3-jni             	       0        4        0        4        0
41366 libswt-glx-gtk-3-jni               	       0        3        0        3        0
41367 libswt-gnome-gtk-3-jni             	       0        4        0        4        0
41368 libswt-gtk-3-java                  	       0        6        0        6        0
41369 libswt-gtk-3-java-gcj              	       0        1        0        1        0
41370 libswt-gtk-3-jni                   	       0        7        0        7        0
41371 libswt-gtk2-4-jni                  	       0        1        0        1        0
41372 libswt-webkit-gtk-3-jni            	       0        3        0        3        0
41373 libswtcalendar-java                	       0        4        0        0        4
41374 libsx0                             	       0        2        0        0        2
41375 libsybdb5                          	       0       62        6        7       49
41376 libsylfilter0                      	       0       40        0        0       40
41377 libsylph1                          	       0       40        0        0       40
41378 libsylph1t64                       	       0        2        0        0        2
41379 libsymbol-global-name-perl         	       0        1        0        1        0
41380 libsymmetrica-dev                  	       0        9        0        9        0
41381 libsymmetrica2                     	       0       10        0        0       10
41382 libsymmetrica2-dev                 	       0        9        0        0        9
41383 libsymspg1                         	       0       46        0        0       46
41384 libsymspg2                         	       0        8        0        0        8
41385 libsyn123-0                        	       0      212        0        0      212
41386 libsyn123-0t64                     	       0       30        0        0       30
41387 libsynce0                          	       0        2        0        0        2
41388 libsyncevo-dbus0                   	       0        1        0        0        1
41389 libsyncevolution0                  	       0        1        0        0        1
41390 libsynctex-dev                     	       0        1        0        1        0
41391 libsynctex1                        	       0       36        0        0       36
41392 libsyndication4                    	       0        9        0        0        9
41393 libsynfig-dev                      	       0        1        0        1        0
41394 libsynfig0a                        	       0       10        0        0       10
41395 libsyntax-highlight-engine-kate-perl	       0        2        0        2        0
41396 libsyntax-highlight-perl-perl      	       0        1        0        1        0
41397 libsyntax-keyword-junction-perl    	       0        3        0        3        0
41398 libsyntax-keyword-try-perl         	       0      515        0        0      515
41399 libsyntax-perl                     	       0        2        0        2        0
41400 libsynthesis0t64                   	       0        1        0        0        1
41401 libsynthesis0v5                    	       0        2        0        0        2
41402 libsys-cpu-perl                    	       0       85        2        1       82
41403 libsys-cpuaffinity-perl            	       0      198        0        0      198
41404 libsys-cpuload-perl                	       0        1        0        0        1
41405 libsys-filesystem-perl             	       0        1        0        1        0
41406 libsys-meminfo-perl                	       0       54        3        2       49
41407 libsys-mmap-perl                   	       0       11        3        2        6
41408 libsys-sigaction-perl              	       0        7        2        5        0
41409 libsys-statistics-linux-perl       	       0        1        0        1        0
41410 libsys-syscall-perl                	       0        9        1        8        0
41411 libsys-virt-perl                   	       0      100        0        0      100
41412 libsysadm-install-perl             	       0        4        0        4        0
41413 libsysfs-dev                       	       0        4        0        4        0
41414 libsysfs2                          	       0      149        8       18      123
41415 libsysprof-4                       	       0       26        0        0       26
41416 libsysprof-6-6                     	       0        1        0        0        1
41417 libsysprof-6-modules               	       0        1        0        0        1
41418 libsysprof-ui-4                    	       0        1        0        0        1
41419 libsysprof-ui-5                    	       0       25        0        0       25
41420 libsysstat-qt5-0                   	       0      254        2        8      244
41421 libsysstat-qt6-1                   	       0        5        0        1        4
41422 libsysstat-qt6-1-dev               	       0        1        0        1        0
41423 libsystem-command-perl             	       0        5        0        5        0
41424 libsystemback                      	       0        4        0        4        0
41425 libsystemback-dbg                  	       0        1        0        1        0
41426 libsystemc                         	       0       11        0        0       11
41427 libsystemc-dev                     	       0       11        0       11        0
41428 libsystemc-doc                     	       0        1        0        1        0
41429 libsystemd-daemon0                 	       0        5        0        0        5
41430 libsystemd-dev                     	       0        7        0        7        0
41431 libsystemd-id128-0                 	       0        4        0        0        4
41432 libsystemd-journal0                	       0        4        0        0        4
41433 libsystemd-login0                  	       0       18        0        0       18
41434 libsystemd-shared                  	       0       15        0        1       14
41435 libsystemu-ruby1.9.1               	       0        1        0        0        1
41436 libsz2                             	       0      807        4       11      792
41437 libt1-5                            	       0       13        0        0       13
41438 libt1-dev                          	       0        1        0        1        0
41439 libt1-doc                          	       0        2        0        0        2
41440 libt3config-dev                    	       0        1        0        1        0
41441 libt3config0                       	       0        6        0        0        6
41442 libt3highlight-dev                 	       0        1        0        1        0
41443 libt3highlight2                    	       0        6        0        0        6
41444 libt3key1                          	       0        4        0        0        4
41445 libt3widget2                       	       0        3        0        0        3
41446 libt3widget2t64                    	       0        1        0        0        1
41447 libt3window0                       	       0        4        0        0        4
41448 libt4k-common0                     	       0       28        0        0       28
41449 libt4k-common0t64                  	       0        7        0        0        7
41450 libtabixpp0                        	       0        1        0        0        1
41451 libtablelayout-java                	       0       15        0        0       15
41452 libtachyon-dev-common              	       0        1        0        1        0
41453 libtachyon-mt-0                    	       0       11        0        0       11
41454 libtachyon-openmpi-0               	       0        1        0        0        1
41455 libtachyon-openmpi-0-dev           	       0        1        0        0        1
41456 libtag-c-dev                       	       0        3        0        3        0
41457 libtag-dev                         	       0        3        0        3        0
41458 libtag-doc                         	       0        1        0        0        1
41459 libtag-extras-dev                  	       0        5        0        5        0
41460 libtag-extras1                     	       0       21        0        0       21
41461 libtag1-dev                        	       0       43        0       41        2
41462 libtag1-vanilla                    	       0       19        0        1       18
41463 libtag1c2a                         	       0       19        0        0       19
41464 libtag1v5                          	       0     3337        0        0     3337
41465 libtagc0-dev                       	       0        4        0        4        0
41466 libtaglib-cil-dev                  	       0        3        0        0        3
41467 libtaglib-ocaml                    	       0        3        0        2        1
41468 libtaglib-ocaml-dev                	       0        3        0        3        0
41469 libtaglib2.0-cil                   	       0        1        0        0        1
41470 libtaglib2.1-cil                   	       0       12        0        0       12
41471 libtaglibs-standard-impl-java      	       0       16        0        0       16
41472 libtaglibs-standard-jstlel-java    	       0        8        0        0        8
41473 libtaglibs-standard-spec-java      	       0       16        0        0       16
41474 libtagsoup-java                    	       0      102        0        0      102
41475 libtagsoup-java-doc                	       0        1        0        0        1
41476 libtaint-runtime-perl              	       0        1        0        0        1
41477 libtaint-util-perl                 	       0        4        0        0        4
41478 libtalloc1                         	       0        1        0        0        1
41479 libtamuanova-0.2                   	       0        3        0        0        3
41480 libtango9                          	       0        2        0        0        2
41481 libtaoframework-openal-cil-dev     	       0        1        0        1        0
41482 libtaoframework-openal1.1-cil      	       0        2        0        2        0
41483 libtaoframework-opengl3.0-cil      	       0        4        0        4        0
41484 libtaoframework-sdl-cil-dev        	       0        1        0        1        0
41485 libtaoframework-sdl1.2-cil         	       0        5        0        5        0
41486 libtap-formatter-junit-perl        	       0        2        0        2        0
41487 libtap-harness-archive-perl        	       0        1        0        1        0
41488 libtap-parser-sourcehandler-pgtap-perl	       0        1        0        1        0
41489 libtar                             	       0        1        0        0        1
41490 libtar-dev                         	       0        5        0        5        0
41491 libtar0                            	       0       25        0        0       25
41492 libtar0t64                         	       0        2        0        0        2
41493 libtas                             	       0        1        0        1        0
41494 libtaskmanager4abi4                	       0        6        0        1        5
41495 libtasn1-3                         	       0       81        0        0       81
41496 libtasn1-3-bin                     	       0        7        0        0        7
41497 libtasn1-3-dev                     	       0        3        0        1        2
41498 libtasn1-bin                       	       0       10        0       10        0
41499 libtasn1-doc                       	       0      201        0        0      201
41500 libtatsu0                          	       0        7        0        0        7
41501 libtbb12                           	       0      533        3       14      516
41502 libtbb2                            	       0      225        0        1      224
41503 libtbbbind-2-5                     	       0      534        0        0      534
41504 libtbbmalloc2                      	       0      533        2        7      524
41505 libtcc-dev                         	       0        4        0        4        0
41506 libtcd-dev                         	       0        1        0        1        0
41507 libtcd0                            	       0        2        0        0        2
41508 libtcl-perl                        	       0        1        0        0        1
41509 libtcl8.4                          	       0        3        0        0        3
41510 libtcl8.5                          	       0       52        0        2       50
41511 libtcl8.6                          	       0     1667       21       73     1573
41512 libtcl9.0                          	       0        3        0        0        3
41513 libtclap-dev                       	       0        2        0        2        0
41514 libtclcl1                          	       0        4        0        0        4
41515 libtclcl1-dev                      	       0        2        0        2        0
41516 libtcltk-ruby1.9.1                 	       0        2        0        2        0
41517 libtcmalloc-minimal4               	       0       63        1        3       59
41518 libtcmalloc-minimal4t64            	       0        7        0        0        7
41519 libtcnative-1                      	       0       27        4       11       12
41520 libtcod-dev                        	       0        2        0        2        0
41521 libtcod0                           	       0        1        0        0        1
41522 libtcod1                           	       0        1        0        0        1
41523 libtcod1t64                        	       0        1        0        0        1
41524 libtcpcrypt-dev                    	       0        1        0        1        0
41525 libtcpcrypt0                       	       0        1        0        0        1
41526 libtcplay                          	       0        1        0        0        1
41527 libtcplay-dev                      	       0        1        0        1        0
41528 libtdebluez-trinity                	       0        2        0        0        2
41529 libtdeedu3-trinity                 	       0       24        0        0       24
41530 libtdegames1-trinity               	       0       25        0        0       25
41531 libtdeldap-trinity                 	       0        2        0        0        2
41532 libtdeobex-trinity                 	       0        2        0        0        2
41533 libteam-utils                      	       0        9        0        9        0
41534 libteam5                           	       0        9        0        0        9
41535 libteckit0                         	       0      615        0        0      615
41536 libtecla1                          	       0       42        0        0       42
41537 libtecla1t64                       	       0        9        0        0        9
41538 libteem-dev                        	       0        1        0        1        0
41539 libteem2                           	       0        5        0        0        5
41540 libtelepathy-farstream3            	       0       13        0        0       13
41541 libtelepathy-glib-dev              	       0        2        0        2        0
41542 libtelepathy-glib-doc              	       0        1        0        1        0
41543 libtelepathy-glib0                 	       0      382        5       15      362
41544 libtelepathy-glib0t64              	       0       14        1        0       13
41545 libtelepathy-logger-qt4-1          	       0        1        0        1        0
41546 libtelepathy-logger-qt5            	       0       11        0        0       11
41547 libtelepathy-logger2               	       0        5        0        0        5
41548 libtelepathy-logger3               	       0       41        2        3       36
41549 libtelepathy-qt4-2                 	       0        2        0        1        1
41550 libtelepathy-qt5-0                 	       0       13        0        6        7
41551 libtelepathy-qt5-farstream0        	       0        6        0        0        6
41552 libtelnet-dev                      	       0        3        0        3        0
41553 libtelnet2                         	       0        6        0        0        6
41554 libtemplate-autofilter-perl        	       0        1        0        1        0
41555 libtemplate-glib-1.0-0             	       0        4        0        0        4
41556 libtemplate-glib-common            	       0        4        0        0        4
41557 libtemplate-multilingual-perl      	       0        1        0        1        0
41558 libtemplate-perl-doc               	       0        2        0        0        2
41559 libtemplate-plugin-datetime-format-perl	       0        1        0        1        0
41560 libtemplate-plugin-dbi-perl        	       0        2        0        2        0
41561 libtemplate-plugin-gettext-perl    	       0        3        0        3        0
41562 libtemplate-plugin-htmltotext-perl 	       0        2        0        2        0
41563 libtemplate-plugin-json-escape-perl	       0        2        0        2        0
41564 libtemplate-plugin-posix-perl      	       0        1        0        1        0
41565 libtemplate-plugin-stash-perl      	       0        2        0        2        0
41566 libtemplate-timer-perl             	       0        1        0        1        0
41567 libtemplate-tiny-perl              	       0        6        0        6        0
41568 libtemplateparser4                 	       0        4        0        1        3
41569 libtemplates-parser11.10.1         	       0        1        0        0        1
41570 libtemplates-parser14-dev          	       0        1        0        1        0
41571 libtemplates-parser18              	       0        1        0        0        1
41572 libtemplates-parser20              	       0        1        0        0        1
41573 libtensorpipe0                     	       0       13        0        0       13
41574 libtepl-4-0                        	       0        1        0        0        1
41575 libtepl-5-0                        	       0       77        0        3       74
41576 libtepl-6-1                        	       0        3        0        0        3
41577 libtepl-6-2                        	       0      262        1        4      257
41578 libtepl-6-4                        	       0       28        0        0       28
41579 libtepl-common                     	       0      293        0        1      292
41580 libtercpp0v5                       	       0        1        0        0        1
41581 libterm-clui-perl                  	       0        1        0        1        0
41582 libterm-encoding-perl              	       0        1        0        1        0
41583 libterm-extendedcolor-perl         	       0        1        0        1        0
41584 libterm-filter-perl                	       0        1        0        1        0
41585 libterm-progressbar-perl           	       0       26        2       24        0
41586 libterm-progressbar-quiet-perl     	       0        1        0        1        0
41587 libterm-progressbar-simple-perl    	       0        1        0        1        0
41588 libterm-prompt-perl                	       0        1        0        1        0
41589 libterm-readkey-perl               	       0     1314        5        7     1302
41590 libterm-readline-perl-perl         	       0       18        0       18        0
41591 libterm-readline-ttytter-perl      	       0        1        0        1        0
41592 libterm-readpassword-perl          	       0        7        0        7        0
41593 libterm-shell-perl                 	       0        4        0        4        0
41594 libterm-shellui-perl               	       0       10        0       10        0
41595 libterm-size-any-perl              	       0        5        1        4        0
41596 libterm-size-perl                  	       0       30        0        1       29
41597 libterm-size-perl-perl             	       0        5        1        4        0
41598 libterm-slang-perl                 	       0        1        0        0        1
41599 libterm-spinner-color-perl         	       0        1        0        1        0
41600 libterm-table-perl                 	       0       11        0       11        0
41601 libterm-termkey-perl               	       0        4        0        0        4
41602 libtermbox-dev                     	       0        2        0        2        0
41603 libtermbox1                        	       0        2        0        0        2
41604 libtermkey-dev                     	       0        3        0        3        0
41605 libtermkey1                        	       0      146        3        5      138
41606 libtermpaint0a                     	       0        1        0        0        1
41607 libterralib-dev                    	       0        2        0        2        0
41608 libterralib3                       	       0        5        0        0        5
41609 libtess2-tulip-5.4                 	       0        2        0        0        2
41610 libtesseract-data                  	       0       21        0        0       21
41611 libtesseract-dev                   	       0       24        0       24        0
41612 libtesseract3                      	       0       22        0        0       22
41613 libtesseract4                      	       0      112        0        5      107
41614 libtesseract5                      	       0      398        2        5      391
41615 libtest-abortable-perl             	       0        1        0        1        0
41616 libtest-api-perl                   	       0        1        0        1        0
41617 libtest-assertions-perl            	       0        3        0        3        0
41618 libtest-async-http-perl            	       0        1        0        1        0
41619 libtest-autoloader-perl            	       0        1        0        1        0
41620 libtest-base-perl                  	       0        2        0        2        0
41621 libtest-bdd-cucumber-perl          	       0        1        0        1        0
41622 libtest-bits-perl                  	       0        1        0        1        0
41623 libtest-block-perl                 	       0        2        0        2        0
41624 libtest-carp-perl                  	       0        1        0        1        0
41625 libtest-check-clojure              	       0        1        0        0        1
41626 libtest-checkdeps-perl             	       0        1        0        1        0
41627 libtest-checkmanifest-perl         	       0        1        0        1        0
41628 libtest-chuck-clojure              	       0        1        0        0        1
41629 libtest-class-most-perl            	       0        2        0        2        0
41630 libtest-class-perl                 	       0        2        0        2        0
41631 libtest-classapi-perl              	       0        2        0        2        0
41632 libtest-cleannamespaces-perl       	       0        1        0        1        0
41633 libtest-cmd-perl                   	       0        1        0        1        0
41634 libtest-command-perl               	       0        2        0        2        0
41635 libtest-command-simple-perl        	       0        1        0        1        0
41636 libtest-compile-perl               	       0        1        0        1        0
41637 libtest-consistentversion-perl     	       0        1        0        1        0
41638 libtest-cpan-meta-json-perl        	       0        1        0        1        0
41639 libtest-cpan-meta-perl             	       0        2        0        2        0
41640 libtest-cpan-meta-yaml-perl        	       0        2        0        2        0
41641 libtest-cukes-perl                 	       0        1        0        1        0
41642 libtest-data-perl                  	       0        1        0        1        0
41643 libtest-database-perl              	       0        1        0        1        0
41644 libtest-databaserow-perl           	       0        1        0        1        0
41645 libtest-dbic-expectedqueries-perl  	       0        1        0        1        0
41646 libtest-dbix-class-perl            	       0        2        0        2        0
41647 libtest-debian-perl                	       0        1        0        1        0
41648 libtest-deep-fuzzy-perl            	       0        2        0        2        0
41649 libtest-deep-json-perl             	       0        1        0        1        0
41650 libtest-deep-perl                  	       0       82        1       81        0
41651 libtest-deep-type-perl             	       0        1        0        1        0
41652 libtest-deep-unorderedpairs-perl   	       0        1        0        1        0
41653 libtest-dependencies-perl          	       0        1        0        1        0
41654 libtest-diaginc-perl               	       0        1        0        1        0
41655 libtest-differences-perl           	       0        8        0        8        0
41656 libtest-dir-perl                   	       0        1        0        1        0
41657 libtest-distmanifest-perl          	       0        1        0        1        0
41658 libtest-distribution-perl          	       0        1        0        1        0
41659 libtest-effects-perl               	       0        1        0        1        0
41660 libtest-email-perl                 	       0        1        0        1        0
41661 libtest-eol-perl                   	       0        1        0        1        0
41662 libtest-exception-lessclever-perl  	       0        1        0        1        0
41663 libtest-exception-perl             	       0       37        2       35        0
41664 libtest-exit-perl                  	       0        1        0        1        0
41665 libtest-expander-perl              	       0        1        0        1        0
41666 libtest-expect-perl                	       0        1        0        1        0
41667 libtest-expectandcheck-perl        	       0        1        0        1        0
41668 libtest-exports-perl               	       0        1        0        1        0
41669 libtest-failwarnings-perl          	       0        1        0        1        0
41670 libtest-fake-httpd-perl            	       0        1        0        1        0
41671 libtest-file-contents-perl         	       0        1        0        1        0
41672 libtest-file-perl                  	       0        2        0        2        0
41673 libtest-file-sharedir-perl         	       0       54        0       54        0
41674 libtest-filename-perl              	       0        2        0        2        0
41675 libtest-files-perl                 	       0        2        0        2        0
41676 libtest-fitesque-perl              	       0        1        0        1        0
41677 libtest-fitesque-rdf-perl          	       0        1        0        1        0
41678 libtest-fork-perl                  	       0        1        0        1        0
41679 libtest-future-io-impl-perl        	       0        1        0        1        0
41680 libtest-generative-clojure         	       0        1        0        0        1
41681 libtest-harness-perl               	       0        2        0        2        0
41682 libtest-hasversion-perl            	       0        1        0        1        0
41683 libtest-hexdifferences-perl        	       0        1        0        1        0
41684 libtest-hexstring-perl             	       0        1        0        1        0
41685 libtest-html-content-perl          	       0        1        0        1        0
41686 libtest-html-w3c-perl              	       0        1        0        1        0
41687 libtest-http-localserver-perl      	       0        1        0        1        0
41688 libtest-http-server-simple-perl    	       0        1        0        1        0
41689 libtest-http-server-simple-stashwarnings-perl	       0        1        0        1        0
41690 libtest-identity-perl              	       0        1        0        1        0
41691 libtest-if-perl                    	       0        1        0        1        0
41692 libtest-image-gd-perl              	       0        1        0        1        0
41693 libtest-indistdir-perl             	       0        1        0        1        0
41694 libtest-inline-perl                	       0        2        0        2        0
41695 libtest-inter-perl                 	       0        1        0        1        0
41696 libtest-is-perl                    	       0        1        0        1        0
41697 libtest-json-perl                  	       0        1        0        1        0
41698 libtest-json-schema-acceptance-perl	       0        1        0        1        0
41699 libtest-kwalitee-perl              	       0        1        0        1        0
41700 libtest-leaktrace-perl             	       0        3        0        0        3
41701 libtest-lectrotest-perl            	       0        1        0        1        0
41702 libtest-lib-perl                   	       0        1        0        1        0
41703 libtest-log-dispatch-perl          	       0        2        0        2        0
41704 libtest-log-log4perl-perl          	       0        1        0        1        0
41705 libtest-log4perl-perl              	       0        1        0        1        0
41706 libtest-longstring-perl            	       0        6        0        6        0
41707 libtest-lwp-useragent-perl         	       0        1        0        1        0
41708 libtest-manifest-perl              	       0        1        0        1        0
41709 libtest-memory-cycle-perl          	       0        2        0        2        0
41710 libtest-memorygrowth-perl          	       0        1        0        1        0
41711 libtest-metrics-any-perl           	       0       31        0       31        0
41712 libtest-minimumversion-perl        	       0        1        0        1        0
41713 libtest-mock-cmd-perl              	       0        1        0        1        0
41714 libtest-mock-guard-perl            	       0        2        0        2        0
41715 libtest-mock-lwp-perl              	       0        1        0        1        0
41716 libtest-mock-redis-perl            	       0        1        0        1        0
41717 libtest-mock-time-perl             	       0        1        0        1        0
41718 libtest-mockdatetime-perl          	       0        1        0        1        0
41719 libtest-mockdbi-perl               	       0        1        0        1        0
41720 libtest-mockfile-perl              	       0        1        0        1        0
41721 libtest-mockmodule-perl            	       0        4        0        4        0
41722 libtest-mockobject-perl            	       0       14        1       13        0
41723 libtest-mockrandom-perl            	       0        1        0        1        0
41724 libtest-mocktime-datecalc-perl     	       0        2        0        2        0
41725 libtest-mocktime-hires-perl        	       0        1        0        1        0
41726 libtest-mocktime-perl              	       0        3        0        3        0
41727 libtest-modern-perl                	       0        1        0        1        0
41728 libtest-module-used-perl           	       0        1        0        1        0
41729 libtest-mojibake-perl              	       0        1        0        1        0
41730 libtest-moose-more-perl            	       0        1        0        1        0
41731 libtest-more-utf8-perl             	       0        2        0        2        0
41732 libtest-most-perl                  	       0        7        0        7        0
41733 libtest-name-fromline-perl         	       0        1        0        1        0
41734 libtest-needs-perl                 	       0        3        0        3        0
41735 libtest-needsdisplay-perl          	       0        1        0        1        0
41736 libtest-net-ldap-perl              	       0        1        0        1        0
41737 libtest-nicedump-perl              	       0        1        0        1        0
41738 libtest-nobreakpoints-perl         	       0        1        0        1        0
41739 libtest-notabs-perl                	       0        1        0        1        0
41740 libtest-nowarnings-perl            	       0       10        0       10        0
41741 libtest-number-delta-perl          	       0        2        0        2        0
41742 libtest-object-perl                	       0        1        0        1        0
41743 libtest-perl-critic-perl           	       0        2        0        2        0
41744 libtest-perl-critic-progressive-perl	       0        1        0        1        0
41745 libtest-pod-content-perl           	       0        2        0        2        0
41746 libtest-pod-coverage-perl          	       0        4        0        4        0
41747 libtest-pod-no404s-perl            	       0        2        0        2        0
41748 libtest-pod-perl                   	       0       28        0       28        0
41749 libtest-poe-client-tcp-perl        	       0        1        0        1        0
41750 libtest-poe-server-tcp-perl        	       0        1        0        1        0
41751 libtest-portability-files-perl     	       0        1        0        1        0
41752 libtest-postgresql-perl            	       0        1        0        1        0
41753 libtest-prereq-perl                	       0        1        0        1        0
41754 libtest-randomresult-perl          	       0        1        0        1        0
41755 libtest-rdf-doap-version-perl      	       0        1        0        1        0
41756 libtest-rdf-perl                   	       0        1        0        1        0
41757 libtest-redisserver-perl           	       0        1        0        1        0
41758 libtest-regexp-pattern-perl        	       0        1        0        1        0
41759 libtest-regexp-perl                	       0        1        0        1        0
41760 libtest-regression-perl            	       0        1        0        1        0
41761 libtest-reporter-perl              	       0        1        0        1        0
41762 libtest-requires-git-perl          	       0        1        0        1        0
41763 libtest-requires-perl              	       0       12        0       12        0
41764 libtest-requiresinternet-perl      	       0        6        0        6        0
41765 libtest-roo-perl                   	       0        1        0        1        0
41766 libtest-routine-perl               	       0        1        0        1        0
41767 libtest-script-perl                	       0        1        0        1        0
41768 libtest-script-run-perl            	       0        1        0        1        0
41769 libtest-sharedfork-perl            	       0       18        1       17        0
41770 libtest-sharedobject-perl          	       0        1        0        1        0
41771 libtest-signature-perl             	       0        1        0        1        0
41772 libtest-simple-perl                	       0        5        0        5        0
41773 libtest-skip-unlessexistsexecutable-perl	       0        1        0        1        0
41774 libtest-snapshot-perl              	       0        1        0        1        0
41775 libtest-spec-perl                  	       0        1        0        1        0
41776 libtest-spelling-perl              	       0        2        0        2        0
41777 libtest-strict-perl                	       0        3        0        3        0
41778 libtest-subcalls-perl              	       0        1        0        1        0
41779 libtest-synopsis-expectation-perl  	       0        1        0        1        0
41780 libtest-synopsis-perl              	       0        2        0        2        0
41781 libtest-sys-info-perl              	       0        1        0        1        0
41782 libtest-tabledriven-perl           	       0        1        0        1        0
41783 libtest-tabs-perl                  	       0        1        0        1        0
41784 libtest-taint-perl                 	       0        4        0        0        4
41785 libtest-tcp-perl                   	       0       18        1       17        0
41786 libtest-tempdir-perl               	       0        1        0        1        0
41787 libtest-tempdir-tiny-perl          	       0        1        0        1        0
41788 libtest-time-perl                  	       0        1        0        1        0
41789 libtest-timer-perl                 	       0        1        0        1        0
41790 libtest-trap-perl                  	       0        1        0        1        0
41791 libtest-unit-perl                  	       0        1        0        1        0
41792 libtest-unixsock-perl              	       0        1        0        1        0
41793 libtest-use-ok-perl                	       0        1        0        1        0
41794 libtest-useallmodules-perl         	       0        1        0        1        0
41795 libtest-utf8-perl                  	       0        2        0        2        0
41796 libtest-valgrind-perl              	       0        1        0        1        0
41797 libtest-version-perl               	       0        1        0        1        0
41798 libtest-warn-perl                  	       0       20        0       20        0
41799 libtest-warnings-perl              	       0        3        0        3        0
41800 libtest-weaken-perl                	       0        1        0        1        0
41801 libtest-without-module-perl        	       0        1        0        1        0
41802 libtest-www-declare-perl           	       0        1        0        1        0
41803 libtest-www-mechanize-catalyst-perl	       0        2        0        2        0
41804 libtest-www-mechanize-cgiapp-perl  	       0        1        0        1        0
41805 libtest-www-mechanize-mojo-perl    	       0        1        0        1        0
41806 libtest-www-mechanize-perl         	       0        5        0        5        0
41807 libtest-www-mechanize-psgi-perl    	       0        1        0        1        0
41808 libtest-www-selenium-perl          	       0        1        0        1        0
41809 libtest-xml-perl                   	       0        1        0        1        0
41810 libtest-xml-simple-perl            	       0        1        0        1        0
41811 libtest-xpath-perl                 	       0        1        0        1        0
41812 libtest-yaml-meta-perl             	       0        1        0        1        0
41813 libtest-yaml-perl                  	       0        2        0        2        0
41814 libtest-yaml-valid-perl            	       0        4        0        4        0
41815 libtest2-harness-perl              	       0        1        0        1        0
41816 libtest2-plugin-ioevents-perl      	       0        1        0        1        0
41817 libtest2-plugin-memusage-perl      	       0        1        0        1        0
41818 libtest2-plugin-nowarnings-perl    	       0        1        0        1        0
41819 libtest2-plugin-uuid-perl          	       0        1        0        1        0
41820 libtest2-suite-perl                	       0       12        0       12        0
41821 libtest2-tools-command-perl        	       0        1        0        1        0
41822 libtest2-tools-explain-perl        	       0        1        0        1        0
41823 libtestng7-java                    	       0        1        0        0        1
41824 libtestu01-0                       	       0        1        0        0        1
41825 libtet1.5                          	       0        4        0        0        4
41826 libtexlua-dev                      	       0        3        0        3        0
41827 libtexlua52                        	       0       56        0        0       56
41828 libtexlua53                        	       0      141        0        0      141
41829 libtexlua53-5                      	       0      495        0        1      494
41830 libtexluajit-dev                   	       0        2        0        2        0
41831 libtexluajit2                      	       0      556        0        0      556
41832 libtext-aligner-perl               	       0       62        0       62        0
41833 libtext-ansi-util-perl             	       0        1        0        1        0
41834 libtext-aspell-perl                	       0        2        0        0        2
41835 libtext-autoformat-perl            	       0       33        1       32        0
41836 libtext-balanced-perl              	       0        6        0        6        0
41837 libtext-bibtex-perl                	       0      117        0        0      117
41838 libtext-bidi-perl                  	       0        7        0        0        7
41839 libtext-charwidth-perl             	       0     4129        5       11     4113
41840 libtext-context-eitherside-perl    	       0        1        0        1        0
41841 libtext-context-perl               	       0        1        0        1        0
41842 libtext-csv-encoded-perl           	       0        2        1        1        0
41843 libtext-csv-unicode-perl           	       0        1        0        1        0
41844 libtext-csv-xs-perl                	       0      305        1        2      302
41845 libtext-diff-perl                  	       0       35        0       35        0
41846 libtext-engine-0.1-0               	       0        4        0        0        4
41847 libtext-english-perl               	       0       20        2       18        0
41848 libtext-findindent-perl            	       0        1        0        1        0
41849 libtext-flow-perl                  	       0        1        0        1        0
41850 libtext-format-perl                	       0       22        0       22        0
41851 libtext-german-perl                	       0        5        1        4        0
41852 libtext-hogan-perl                 	       0       13        0       13        0
41853 libtext-hogan-perl-build-deps      	       0        1        0        0        1
41854 libtext-hunspell-perl              	       0        2        0        0        2
41855 libtext-iconv-perl                 	       0     4141        5       11     4125
41856 libtext-kakasi-perl                	       0        1        0        0        1
41857 libtext-levenshtein-damerau-perl   	       0       23        0       23        0
41858 libtext-levenshtein-perl           	       0       81        1       80        0
41859 libtext-levenshteinxs-perl         	       0      617        0        0      617
41860 libtext-lorem-perl                 	       0        3        0        3        0
41861 libtext-markdown-discount-perl     	       0      619        0        0      619
41862 libtext-markdown-perl              	       0       38        0       38        0
41863 libtext-microtemplate-perl         	       0        6        0        6        0
41864 libtext-multimarkdown-perl         	       0        2        0        2        0
41865 libtext-password-pronounceable-perl	       0        1        0        1        0
41866 libtext-patch-perl                 	       0        1        0        1        0
41867 libtext-pdf-perl                   	       0        3        0        3        0
41868 libtext-qrcode-perl                	       0        1        0        0        1
41869 libtext-quoted-perl                	       0        1        0        1        0
41870 libtext-recordparser-perl          	       0        6        1        5        0
41871 libtext-reform-perl                	       0       33        1       32        0
41872 libtext-simpletable-perl           	       0        5        1        4        0
41873 libtext-soundex-perl               	       0      104        0        0      104
41874 libtext-table-perl                 	       0       62        0       62        0
41875 libtext-tabulardisplay-perl        	       0        7        1        6        0
41876 libtext-textile-perl               	       0        2        0        2        0
41877 libtext-trim-perl                  	       0       37        0       37        0
41878 libtext-trim-perl-build-deps       	       0        1        0        0        1
41879 libtext-unaccent-perl              	       0       25        0        0       25
41880 libtext-vcard-perl                 	       0        2        0        2        0
41881 libtext-vfile-asdata-perl          	       0        9        1        8        0
41882 libtext-wikiformat-perl            	       0        1        0        1        0
41883 libtext-wrapper-perl               	       0       10        0       10        0
41884 libtextcat-data                    	       0        1        0        0        1
41885 libtextcat-data-utf8               	       0        3        0        0        3
41886 libtextcat0                        	       0        1        0        0        1
41887 libtexttools-doc                   	       0        1        0        0        1
41888 libtexttools11                     	       0        1        0        0        1
41889 libtexttools11-dev                 	       0        1        0        0        1
41890 libtextwrap1                       	       0       11        0        0       11
41891 libtf-conversions-dev              	       0        1        0        1        0
41892 libtf-conversions0d                	       0        1        0        0        1
41893 libtf-dev                          	       0        1        0        1        0
41894 libtf1d                            	       0        2        0        0        2
41895 libtf2-2d                          	       0        2        0        0        2
41896 libtf2-bullet-dev                  	       0        1        0        1        0
41897 libtf2-dev                         	       0        2        0        2        0
41898 libtf2-eigen-dev                   	       0        1        0        1        0
41899 libtf2-geometry-msgs-dev           	       0        2        0        2        0
41900 libtf2-kdl-dev                     	       0        1        0        1        0
41901 libtf2-msgs-dev                    	       0        2        0        2        0
41902 libtf2-ros-dev                     	       0        2        0        2        0
41903 libtf2-ros1d                       	       0        2        0        0        2
41904 libtf2-sensor-msgs-dev             	       0        1        0        1        0
41905 libtfm1                            	       0       73        3       17       53
41906 libtgl-0.0.0.20160623-0            	       0        7        0        0        7
41907 libtgl-0.0.0.20160623-0t64         	       0        1        0        0        1
41908 libtgowt-dev                       	       0        2        0        2        0
41909 libtgvoip-dev                      	       0        2        0        2        0
41910 libtgvoip1.0                       	       0        1        0        0        1
41911 libthai-data                       	       0     3945        0        0     3945
41912 libthai-doc                        	       0        1        0        0        1
41913 libtheora-bin                      	       0       15        0       15        0
41914 libtheora-dev                      	       0       77        1       76        0
41915 libtheora-doc                      	       0        3        0        2        1
41916 libtheora-ocaml                    	       0        1        0        1        0
41917 libtheora-ocaml-dev                	       0        1        0        1        0
41918 libtheschwartz-perl                	       0        2        0        2        0
41919 libthmap-dev                       	       0        1        0        1        0
41920 libthmap1                          	       0        1        0        0        1
41921 libthread-pool-dev                 	       0        1        0        0        1
41922 libthreadar-dev                    	       0        1        0        1        0
41923 libthreadar1000                    	       0        7        0        0        7
41924 libthreadweaver4                   	       0       45        1        1       43
41925 libthreeten-extra-java             	       0        2        0        0        2
41926 libthrift-0.13.0                   	       0       12        0        0       12
41927 libthrift-0.17.0                   	       0       33        0        2       31
41928 libthrift-0.19.0t64                	       0        8        0        0        8
41929 libthrift-dev                      	       0       40        0       40        0
41930 libthrift-java                     	       0        2        0        0        2
41931 libthrowable-perl                  	       0       78        2       76        0
41932 libthumbnailator-java              	       0       39        0        0       39
41933 libthunar-vfs-1-common             	       0        3        0        0        3
41934 libthunarx-2-0                     	       0       41        0        0       41
41935 libthunarx-3-0-dbgsym              	       0        1        0        1        0
41936 libthunarx-3-dev                   	       0        3        0        3        0
41937 libticables2-6                     	       0        1        0        1        0
41938 libticables2-7                     	       0        4        0        4        0
41939 libticables2-8                     	       0       20        2       18        0
41940 libticalcs2-12                     	       0        4        0        0        4
41941 libticalcs2-13                     	       0       20        0        0       20
41942 libticcutils5                      	       0        1        0        0        1
41943 libticcutils8                      	       0        5        0        0        5
41944 libticonv8                         	       0        5        0        0        5
41945 libticonv9                         	       0       20        0        0       20
41946 libtidy-0.99-0                     	       0       33        0        1       32
41947 libtidy-dev                        	       0        9        0        9        0
41948 libtidy5                           	       0       68        1        0       67
41949 libtidy58                          	       0       24        1        0       23
41950 libtidy5deb1                       	       0     1125        6       10     1109
41951 libtie-array-sorted-perl           	       0        2        0        2        0
41952 libtie-cache-perl                  	       0        2        1        1        0
41953 libtie-handle-offset-perl          	       0        4        0        4        0
41954 libtie-toobject-perl               	       0        7        1        6        0
41955 libtiff-doc                        	       0        4        0        0        4
41956 libtiff4                           	       0       33        0        0       33
41957 libtiff4-dev                       	       0        2        0        2        0
41958 libtiff5-dev                       	       0       94        0       27       67
41959 libtiffxx0c2                       	       0        5        0        0        5
41960 libtiffxx5                         	       0      127        0        0      127
41961 libtiffxx6                         	       0      487        0        0      487
41962 libtifiles2-10                     	       0        5        0        0        5
41963 libtifiles2-11                     	       0       20        0        0       20
41964 libtigris-clojure                  	       0        1        0        0        1
41965 libtiled1                          	       0        7        0        0        7
41966 libtimbl4                          	       0        4        0        0        4
41967 libtimblserver4                    	       0        2        0        0        2
41968 libtime-duration-parse-perl        	       0       13        1       12        0
41969 libtime-fake-perl                  	       0        2        0        2        0
41970 libtime-format-perl                	       0        1        0        1        0
41971 libtime-modules-perl               	       0        4        0        0        4
41972 libtime-moment-perl                	       0      615        0        0      615
41973 libtime-parsedate-perl             	       0       32        3       29        0
41974 libtime-period-perl                	       0       17        3       14        0
41975 libtime-piece-mysql-perl           	       0       18        1       17        0
41976 libtimezonemap-data                	       0      261        0        0      261
41977 libtimezonemap1                    	       0      261        0        0      261
41978 libtimingframework-java            	       0        2        0        0        2
41979 libtinfo-dev                       	       0      316        0       44      272
41980 libtinfo5                          	       0      940        4       10      926
41981 libtingea0                         	       0        2        0        0        2
41982 libtins4.0                         	       0        3        0        0        3
41983 libtins4.5                         	       0        1        0        0        1
41984 libtinyexr1d                       	       0        1        0        0        1
41985 libtinyframe-dev                   	       0        1        0        1        0
41986 libtinyframe0t64                   	       0        1        0        0        1
41987 libtinygltf1d                      	       0        1        0        0        1
41988 libtinygltf5d                      	       0        1        0        0        1
41989 libtinysparql-3.0-0                	       0        4        0        0        4
41990 libtinyxml-dev                     	       0       24        0       24        0
41991 libtinyxml-doc                     	       0        1        0        0        1
41992 libtinyxml2-10                     	       0       49        1        5       43
41993 libtinyxml2-2                      	       0        5        0        0        5
41994 libtinyxml2-4                      	       0       12        0        0       12
41995 libtinyxml2-6a                     	       0       18        0        0       18
41996 libtinyxml2-8                      	       0       64        0        6       58
41997 libtinyxml2-9                      	       0      187        2        8      177
41998 libtinyxml2-dev                    	       0       12        0       12        0
41999 libtinyxml2.6.2                    	       0        8        0        0        8
42000 libtinyxml2.6.2v5                  	       0      357        3       22      332
42001 libtinyxml2.6.2v5-dbg              	       0        1        0        1        0
42002 libtirpc-common                    	       0     3773        0        0     3773
42003 libtirpc1                          	       0      107        0        0      107
42004 libtitanium-json-ld-java           	       0        2        0        0        2
42005 libtk-codetext-perl                	       0        1        0        1        0
42006 libtk-dirselect-perl               	       0       19        0       19        0
42007 libtk-doubleclick-perl             	       0       19        0       19        0
42008 libtk-filedialog-perl              	       0        1        0        1        0
42009 libtk-fontdialog-perl              	       0       19        0       19        0
42010 libtk-gbarr-perl                   	       0        1        0        1        0
42011 libtk-histentry-perl               	       0       19        0       19        0
42012 libtk-img                          	       0       75        0        0       75
42013 libtk-img-dev                      	       0        3        0        3        0
42014 libtk-img-doc                      	       0        6        0        0        6
42015 libtk-objeditor-perl               	       0        1        0        1        0
42016 libtk-objscanner-perl              	       0        1        0        1        0
42017 libtk-pod-perl                     	       0       20        0       20        0
42018 libtk-splashscreen-perl            	       0        1        0        1        0
42019 libtk-tablematrix-perl             	       0        6        0        0        6
42020 libtk8.4                           	       0        1        0        0        1
42021 libtk8.5                           	       0       31        0        1       30
42022 libtk8.6                           	       0     1513       14       39     1460
42023 libtk9.0                           	       0        3        0        0        3
42024 libtkrzw1                          	       0        1        0        0        1
42025 libtlog0                           	       0        1        0        0        1
42026 libtls-dev                         	       0        4        0        4        0
42027 libtls26                           	       0        7        0        0        7
42028 libtls28t64                        	       0        2        0        0        2
42029 libtlsh0                           	       0        3        0        0        3
42030 libtmglib-dev                      	       0        4        0        0        4
42031 libtmglib3                         	       0       15        0        0       15
42032 libtmglib64-3                      	       0        1        0        0        1
42033 libtmglib64-dev                    	       0        1        0        0        1
42034 libtnt-dev                         	       0        1        0        1        0
42035 libtntdb-dev                       	       0        1        0        1        0
42036 libtntdb4                          	       0        1        0        1        0
42037 libtntnet-dev                      	       0        3        0        3        0
42038 libtntnet10                        	       0        1        0        0        1
42039 libtntnet12                        	       0        1        0        0        1
42040 libtntnet12v5                      	       0        3        0        0        3
42041 libtogl-dev                        	       0        3        0        3        0
42042 libtogl1                           	       0        2        0        0        2
42043 libtogl2                           	       0       11        0       11        0
42044 libtokyocabinet-dev                	       0        9        0        9        0
42045 libtokyocabinet8                   	       0       15        0        0       15
42046 libtokyocabinet9                   	       0     1498        6       16     1476
42047 libtokyocabinet9t64                	       0      112        2        2      108
42048 libtokyotyrant-dev                 	       0        2        0        2        0
42049 libtokyotyrant3                    	       0        7        0        1        6
42050 libtolua++5.1-dev                  	       0        1        0        1        0
42051 libtolua-dev                       	       0        1        0        1        0
42052 libtomcat10-embed-java             	       0        1        0        0        1
42053 libtomcat10-java                   	       0       13        0        0       13
42054 libtomcat7-java                    	       0        3        0        0        3
42055 libtomcat8-java                    	       0        9        0        0        9
42056 libtomcat9-embed-java              	       0        2        0        0        2
42057 libtomcat9-java                    	       0       42        0        0       42
42058 libtomcrypt-dev                    	       0        7        0        7        0
42059 libtomcrypt0                       	       0       29        0        0       29
42060 libtomlplusplus3t64                	       0        5        1        0        4
42061 libtommath-doc                     	       0        3        0        0        3
42062 libtommath0                        	       0       13        0        0       13
42063 libtomoyotools3                    	       0       29        0        2       27
42064 libtonezone2.0                     	       0        2        1        1        0
42065 libtool-build-deps                 	       0        1        0        0        1
42066 libtool-doc                        	       0       23        0        0       23
42067 libtools-analyzer-clojure          	       0        1        0        0        1
42068 libtools-analyzer-jvm-clojure      	       0        1        0        0        1
42069 libtools-cli-clojure               	       0        1        0        0        1
42070 libtools-logging-clojure           	       0        1        0        0        1
42071 libtools-macro-clojure             	       0        1        0        0        1
42072 libtools-namespace-clojure         	       0        1        0        0        1
42073 libtools-nrepl-clojure             	       0        1        0        0        1
42074 libtools-reader-clojure            	       0        2        0        0        2
42075 libtoon-dev                        	       0        1        0        1        0
42076 libtoontag-dev                     	       0        1        0        1        0
42077 libtoontag0t64                     	       0        1        0        0        1
42078 libtopcom0                         	       0       10        0        0       10
42079 libtopcom0t64                      	       0        2        0        0        2
42080 libtopic-tools-dev                 	       0        1        0        1        0
42081 libtopic-tools2d                   	       0        1        0        0        1
42082 libtopmenu-client-gtk2-0           	       0        3        0        0        3
42083 libtopmenu-client-gtk3-0           	       0        3        0        0        3
42084 libtopmenu-server-gtk2-0           	       0        3        0        0        3
42085 libtopmenu-server-gtk3-0           	       0        3        0        0        3
42086 libtorch-dev                       	       0       12        0       12        0
42087 libtorch-luat                      	       0        1        0        0        1
42088 libtorch-test                      	       0       10        0       10        0
42089 libtorch-th                        	       0        1        0        0        1
42090 libtorch-thnn                      	       0        1        0        0        1
42091 libtorch-thnn-dev                  	       0        1        0        1        0
42092 libtorch1.13                       	       0       10        0        0       10
42093 libtorch1.7                        	       0        3        0        0        3
42094 libtorque2                         	       0        4        0        0        4
42095 libtorrent-build-deps              	       0        1        0        0        1
42096 libtorrent-dev                     	       0        4        0        4        0
42097 libtorrent-rasterbar-build-deps    	       0        1        0        0        1
42098 libtorrent-rasterbar-dbg           	       0        1        0        1        0
42099 libtorrent-rasterbar-dev           	       0        4        0        4        0
42100 libtorrent-rasterbar-doc           	       0        1        0        0        1
42101 libtorrent-rasterbar10             	       0       58        1        2       55
42102 libtorrent-rasterbar2.0            	       0      305       12       22      271
42103 libtorrent-rasterbar6              	       0        1        0        0        1
42104 libtorrent-rasterbar7              	       0        8        0        0        8
42105 libtorrent-rasterbar9              	       0       28        0        0       28
42106 libtorrent14                       	       0        1        0        0        1
42107 libtorrent19                       	       0        4        0        0        4
42108 libtorrent20                       	       0        8        0        0        8
42109 libtorrent21                       	       0       74        0        4       70
42110 libtorrent21-dbgsym                	       0        1        0        1        0
42111 libtorrent21t64                    	       0       13        0        0       13
42112 libtotem-pg-dev                    	       0        1        0        1        0
42113 libtotem-pg5                       	       0        6        0        0        6
42114 libtotem-plparser-common           	       0      736        0        0      736
42115 libtotem-plparser17                	       0        1        0        1        0
42116 libtotem-plparser18                	       0      735        1       11      723
42117 libtotem0                          	       0      395        0        5      390
42118 libtoxcore-dev                     	       0        1        0        1        0
42119 libtoxcore2                        	       0       26        0        4       22
42120 libtpl-dev                         	       0        1        0        1        0
42121 libtpl0                            	       0        1        0        0        1
42122 libtpm-unseal1                     	       0        8        0        0        8
42123 libtpm-unseal1t64                  	       0        1        0        0        1
42124 libtpm2-pkcs11-1                   	       0        1        0        0        1
42125 libtpm2-pkcs11-tools               	       0        2        0        2        0
42126 libtpms0                           	       0      298        5        1      292
42127 libtqca                            	       0       26        0        0       26
42128 libtqsllib1                        	       0        1        0        0        1
42129 libtqt-perl                        	       0        1        0        1        0
42130 libtqt3-apps-dev                   	       0        3        0        0        3
42131 libtqt3-compat-headers             	       0        2        0        2        0
42132 libtqt3-headers                    	       0        3        0        3        0
42133 libtqt3-i18n                       	       0        2        0        0        2
42134 libtqt3-mt-data                    	       0       35        0        0       35
42135 libtqt3-mt-dev                     	       0        3        0        3        0
42136 libtqt3-mt-mysql                   	       0        1        0        0        1
42137 libtqt3-mt-odbc                    	       0        1        0        0        1
42138 libtqt3-mt-psql                    	       0        1        0        0        1
42139 libtqtinterface-dbg                	       0        2        0        2        0
42140 libtqtinterface-dev                	       0        3        0        3        0
42141 libtqtinterface-doc                	       0        1        0        0        1
42142 libtrace-tools                     	       0        1        0        1        0
42143 libtrace3                          	       0        1        0        0        1
42144 libtracecmd1                       	       0        2        0        0        2
42145 libtraceevent-dev                  	       0       12        0       12        0
42146 libtraceevent-doc                  	       0        1        0        0        1
42147 libtraceevent1                     	       0       36        0        1       35
42148 libtraceevent1-plugin              	       0        5        0        0        5
42149 libtracefs-dev                     	       0       12        0       12        0
42150 libtracefs1                        	       0       17        0        0       17
42151 libtracker-client-0.8-0            	       0        3        0        3        0
42152 libtracker-control-1.0-0           	       0        9        0        0        9
42153 libtracker-control-1.0-dev         	       0        1        0        1        0
42154 libtracker-control-2.0-0           	       0       60        0        0       60
42155 libtracker-control-doc             	       0        1        0        0        1
42156 libtracker-doc                     	       0        1        0        0        1
42157 libtracker-extract-0.14-0          	       0        4        0        0        4
42158 libtracker-miner-0.14-0            	       0        5        0        0        5
42159 libtracker-miner-0.14-dev          	       0        1        0        1        0
42160 libtracker-miner-1.0-0             	       0        8        0        0        8
42161 libtracker-miner-1.0-dev           	       0        3        0        3        0
42162 libtracker-miner-2.0-0             	       0       57        5       25       27
42163 libtracker-miner-doc               	       0        1        0        0        1
42164 libtracker-sparql-0.12-0           	       0        1        0        1        0
42165 libtracker-sparql-0.14-0           	       0        9        0        9        0
42166 libtracker-sparql-0.14-dev         	       0        1        0        1        0
42167 libtracker-sparql-1.0-0            	       0       27        0        0       27
42168 libtracker-sparql-1.0-dev          	       0        3        0        3        0
42169 libtracker-sparql-2.0-0            	       0      143        5       29      109
42170 libtracker-sparql-3.0-dev          	       0        2        0        2        0
42171 libtracker-sparql-doc              	       0        1        0        0        1
42172 libtrackerclient0                  	       0        1        0        0        1
42173 libtrajectory-msgs-dev             	       0        1        0        1        0
42174 libtrampoline1                     	       0        2        0        0        2
42175 libtrang-java                      	       0        4        0        0        4
42176 libtranscript1                     	       0        4        0        0        4
42177 libtransitioner2                   	       0        4        0        0        4
42178 libtrapperkeeper-authorization-clojure	       0        1        0        0        1
42179 libtrapperkeeper-clojure           	       0        1        0        0        1
42180 libtrapperkeeper-comidi-metrics-clojure	       0        1        0        0        1
42181 libtrapperkeeper-filesystem-watcher-clojure	       0        1        0        0        1
42182 libtrapperkeeper-metrics-clojure   	       0        1        0        0        1
42183 libtrapperkeeper-scheduler-clojure 	       0        1        0        0        1
42184 libtrapperkeeper-status-clojure    	       0        1        0        0        1
42185 libtrapperkeeper-webserver-jetty9-clojure	       0        1        0        0        1
42186 libtravel-routing-de-hafas-perl    	       0        1        0        1        0
42187 libtravel-routing-de-vrr-perl      	       0        1        0        1        0
42188 libtravel-status-de-deutschebahn-perl	       0        1        0        1        0
42189 libtravel-status-de-iris-perl      	       0        1        0        1        0
42190 libtre-dev                         	       0        1        0        1        0
42191 libtre5                            	       0      173        1        1      171
42192 libtree                            	       0        8        0        8        0
42193 libtree-dagnode-perl               	       0        4        0        4        0
42194 libtree-r-perl                     	       0        1        0        1        0
42195 libtree-simple-perl                	       0        5        1        4        0
42196 libtree-simple-visitorfactory-perl 	       0        3        1        2        0
42197 libtree-sitter0                    	       0      177       10       12      155
42198 libtree-xpathengine-perl           	       0        1        0        1        0
42199 libtreelayout-java                 	       0       12        0        0       12
42200 libtrident-java                    	       0        4        0        0        4
42201 libtrilead-putty-extension-java    	       0        1        0        0        1
42202 libtrilead-ssh2-java               	       0        7        0        0        7
42203 libtrilinos-amesos-13.2            	       0       57        0        0       57
42204 libtrilinos-aztecoo-13.2           	       0       57        0        0       57
42205 libtrilinos-aztecoo-dev            	       0        2        0        2        0
42206 libtrilinos-epetra-13.2            	       0       57        0        0       57
42207 libtrilinos-epetraext-13.2         	       0       57        0        0       57
42208 libtrilinos-galeri-13.2            	       0       57        0        0       57
42209 libtrilinos-ifpack-13.2            	       0       57        0        0       57
42210 libtrilinos-kokkos-13.2            	       0       58        0        0       58
42211 libtrilinos-kokkos-dev             	       0        1        0        1        0
42212 libtrilinos-ml-13.2                	       0       57        0        0       57
42213 libtrilinos-ml-dev                 	       0        2        0        2        0
42214 libtrilinos-teuchos-13.2           	       0       57        0        0       57
42215 libtrilinos-trilinosss-13.2        	       0       58        0        0       58
42216 libtrilinos-trilinosss-dev         	       0        2        0        2        0
42217 libtrilinos-triutils-13.2          	       0       57        0        0       57
42218 libtrilinos-zoltan-13.2            	       0       57        0        0       57
42219 libtrilinos-zoltan-dev             	       0        2        0        2        0
42220 libtrio-dev                        	       0        1        0        1        0
42221 libtrio2                           	       0       37        0        0       37
42222 libtritonus-java                   	       0        2        0        0        2
42223 libtritonus-jni                    	       0        2        0        2        0
42224 libtrompeloeil-cpp-dev             	       0        1        0        0        1
42225 libtrove-intellij-java             	       0        3        0        0        3
42226 libtry-tiny-byclass-perl           	       0        2        0        2        0
42227 libtry-tiny-smartcatch-perl        	       0        1        1        0        0
42228 libtrycatch-perl                   	       0        1        0        0        1
42229 libts-0.0-0                        	       0       17        0        0       17
42230 libts-bin                          	       0        1        0        1        0
42231 libts-dev                          	       0        3        0        3        0
42232 libts0                             	       0      440        0        0      440
42233 libts0t64                          	       0      154        0        0      154
42234 libtsan0                           	       0     1232        0        0     1232
42235 libtsan0-arm64-cross               	       0       15        0        0       15
42236 libtsan0-ppc64-cross               	       0        2        0        0        2
42237 libtsan2                           	       0     1871        0        0     1871
42238 libtsan2-arm64-cross               	       0       25        0        0       25
42239 libtsan2-ppc64-cross               	       0        4        0        0        4
42240 libtsan2-ppc64el-cross             	       0        1        0        0        1
42241 libtsan2-riscv64-cross             	       0        2        0        0        2
42242 libtse3-0.3.1t64                   	       0        1        0        0        1
42243 libtse3-dev                        	       0        1        0        1        0
42244 libtsk-dev                         	       0        2        0        2        0
42245 libtsk13                           	       0       21        0        0       21
42246 libtsk19                           	       0      141        0        0      141
42247 libtsk19t64                        	       0       13        0        0       13
42248 libtsk3-3                          	       0        1        0        0        1
42249 libtsm4                            	       0        2        0        1        1
42250 libtss0                            	       0        3        0        0        3
42251 libtss2-dev                        	       0        1        0        1        0
42252 libtss2-esys0                      	       0       20        0        0       20
42253 libtss2-fapi1                      	       0        7        0        7        0
42254 libtss2-fapi1t64                   	       0        2        0        2        0
42255 libtss2-mu-4.0.1-0                 	       0        1        0        0        1
42256 libtss2-policy0t64                 	       0        1        0        0        1
42257 libtss2-rc0                        	       0      297        0        1      296
42258 libtss2-rc0t64                     	       0       20        0        1       19
42259 libtss2-tcti-cmd0                  	       0      975        0        0      975
42260 libtss2-tcti-cmd0t64               	       0      114        0        0      114
42261 libtss2-tcti-device0               	       0      975        0        2      973
42262 libtss2-tcti-device0t64            	       0      114        0        2      112
42263 libtss2-tcti-libtpms0              	       0        6        0        0        6
42264 libtss2-tcti-libtpms0t64           	       0       70        0        0       70
42265 libtss2-tcti-mssim0                	       0      975        0        0      975
42266 libtss2-tcti-mssim0t64             	       0      114        0        0      114
42267 libtss2-tcti-pcap0                 	       0        1        0        0        1
42268 libtss2-tcti-spi-helper0           	       0        6        0        0        6
42269 libtss2-tcti-spi-helper0t64        	       0       70        0        0       70
42270 libtss2-tcti-swtpm0                	       0      975        0        0      975
42271 libtss2-tcti-swtpm0t64             	       0      114        0        0      114
42272 libtss2-tctildr0t64                	       0       69        0        5       64
42273 libtss2-udev                       	       0       29        1       28        0
42274 libttf2                            	       0        2        0        0        2
42275 libttfautohint1                    	       0        4        0        0        4
42276 libttfautohint1t64                 	       0        2        0        0        2
42277 libttspico-data                    	       0        9        0        0        9
42278 libttspico-utils                   	       0        9        1        8        0
42279 libttspico0                        	       0        8        0        0        8
42280 libttspico0t64                     	       0        1        0        0        1
42281 libtty1                            	       0        5        0        0        5
42282 libtuiwidgets0a                    	       0        1        0        0        1
42283 libtulip-core-5.4                  	       0        2        0        0        2
42284 libtulip-dev                       	       0        1        0        1        0
42285 libtulip-gui-5.4                   	       0        2        0        0        2
42286 libtulip-ogdf-5.4                  	       0        2        0        0        2
42287 libtulip-ogl-5.4                   	       0        2        0        0        2
42288 libtulip-python-5.4                	       0        2        0        0        2
42289 libtumbler-1-0t64                  	       0      113        2       10      101
42290 libturbojpeg0                      	       0     2670        3       16     2651
42291 libturbojpeg1                      	       0       21        0        0       21
42292 libturbojpeg1-dev                  	       0        1        0        1        0
42293 libtvcontrol0                      	       0        3        0        3        0
42294 libtwatch-perl                     	       0        3        0        3        0
42295 libtwelvemonkeys-java              	       0       64        0        0       64
42296 libtwitter-ruby1.9.1               	       0        1        0        0        1
42297 libtwolame-dev                     	       0       32        0       32        0
42298 libtxc-dxtn-s2tc                   	       0       99        0        0       99
42299 libtxc-dxtn-s2tc-bin               	       0        1        0        1        0
42300 libtxc-dxtn-s2tc-dev               	       0        1        0        1        0
42301 libtxc-dxtn-s2tc0                  	       0       67        0        0       67
42302 libtxc-dxtn0                       	       0        1        0        0        1
42303 libtxw2-java                       	       0      334        0        0      334
42304 libtype-tiny-xs-perl               	       0      356        0        1      355
42305 libtypes-path-tiny-perl            	       0        9        1        8        0
42306 libtypes-uri-perl                  	       0        3        0        3        0
42307 libtypes-uuid-perl                 	       0        3        0        3        0
42308 libtypesafe-config-clojure         	       0        1        0        0        1
42309 libtypesafe-config-java            	       0        2        0        0        2
42310 libtyxml-ocaml                     	       0        1        0        1        0
42311 libtyxml-ocaml-dev                 	       0        1        0        1        0
42312 libtzinfo-ruby1.9.1                	       0        1        0        0        1
42313 libu2f-host-dev                    	       0        1        0        1        0
42314 libu2f-host-doc                    	       0        1        0        0        1
42315 libu2f-host0                       	       0       11        0        0       11
42316 libu2f-server0                     	       0        5        0        0        5
42317 libubertooth-dev                   	       0        1        0        1        0
42318 libubertooth1                      	       0        6        0        0        6
42319 libubi-dev                         	       0        1        0        1        0
42320 libubootenv0.1                     	       0       52        0        0       52
42321 libubox1                           	       0        1        0        1        0
42322 libubsan0                          	       0      430        0        0      430
42323 libubsan0-arm64-cross              	       0        2        0        0        2
42324 libubsan0-armhf-cross              	       0        1        0        0        1
42325 libubsan0-dbg                      	       0        3        0        3        0
42326 libubsan1                          	       0     2492        0        0     2492
42327 libubsan1-arm64-cross              	       0       37        0        0       37
42328 libubsan1-armel-cross              	       0       23        0        0       23
42329 libubsan1-armhf-cross              	       0       29        0        0       29
42330 libubsan1-i386-cross               	       0       13        0        0       13
42331 libubsan1-powerpc-cross            	       0        5        0        0        5
42332 libubsan1-ppc64-cross              	       0        6        0        0        6
42333 libubsan1-ppc64el-cross            	       0        1        0        0        1
42334 libubsan1-riscv64-cross            	       0        2        0        0        2
42335 libubsan1-s390x-cross              	       0        4        0        0        4
42336 libubsan1-sparc64-cross            	       0        3        0        0        3
42337 libubsan1-x32-cross                	       0        6        0        0        6
42338 libubus1                           	       0        1        0        1        0
42339 libuchardet-dev                    	       0       26        1       25        0
42340 libuchardet0                       	       0     3905       15       34     3856
42341 libucimf0                          	       0        1        0        0        1
42342 libucl-dev                         	       0        3        0        3        0
42343 libucl1                            	       0       16        0        0       16
42344 libucommon-dev                     	       0        5        0        5        0
42345 libucommon6                        	       0        1        0        0        1
42346 libucommon7v5                      	       0        1        0        0        1
42347 libucommon8                        	       0       19        1        0       18
42348 libucommon8t64                     	       0        2        1        0        1
42349 libuconv-ruby1.9.1                 	       0        2        0        0        2
42350 libucto3                           	       0        1        0        0        1
42351 libucto5                           	       0        4        0        0        4
42352 libucx-dev                         	       0       12        0       12        0
42353 libucx0                            	       0      320        0        0      320
42354 libucx0-dbgsym                     	       0        1        0        1        0
42355 libudev-compat-dev                 	       0        1        0        1        0
42356 libudev-compat-helpers             	       0        1        0        1        0
42357 libudev-dev                        	       0      387        1        3      383
42358 libudev0                           	       0       98        0        0       98
42359 libudev1-compat                    	       0        1        0        1        0
42360 libudf0                            	       0       36        0        0       36
42361 libudf0t64                         	       0        3        0        0        3
42362 libudfread-dev                     	       0       37        0       37        0
42363 libudis86-0                        	       0        3        0        0        3
42364 libudisks2-0-dbgsym                	       0        1        0        1        0
42365 libudisks2-dev                     	       0        3        0        3        0
42366 libudisks2-qt5-0                   	       0        7        0        0        7
42367 libudns0                           	       0       23        0        2       21
42368 libudns0t64                        	       0        3        0        0        3
42369 libudp-tcl                         	       0        1        0        1        0
42370 libudunits2-0                      	       0       54        0        0       54
42371 libudunits2-data                   	       0       54        0        0       54
42372 libuecc0                           	       0        4        0        0        4
42373 libuemf0                           	       0        2        0        0        2
42374 libuev-dev                         	       0        1        0        1        0
42375 libuev2                            	       0        1        0        0        1
42376 libuev3                            	       0        2        0        0        2
42377 libufo-data                        	       0        1        0        0        1
42378 libufo1                            	       0        1        0        0        1
42379 libuhd-dev                         	       0        1        0        1        0
42380 libuhd003                          	       0        1        0        0        1
42381 libuhd3.13.1                       	       0        2        0        0        2
42382 libuhd3.15.0                       	       0        5        0        0        5
42383 libuhd4.3.0                        	       0       37        0        2       35
42384 libuhd4.6.0t64                     	       0        2        0        0        2
42385 libuhd4.7.0                        	       0       10        0        0       10
42386 libui-dialog-perl                  	       0        2        0        2        0
42387 libui-utilcpp-dev                  	       0        1        0        1        0
42388 libui-utilcpp9v5                   	       0        2        0        2        0
42389 libui0                             	       0        1        0        0        1
42390 libuid-wrapper                     	       0        2        0        0        2
42391 libuil4                            	       0       36        0        0       36
42392 libuim-data                        	       0       37        0        0       37
42393 libuim-dev                         	       0        1        0        1        0
42394 libukui-common0                    	       0        3        0        0        3
42395 libukui-gsettings0                 	       0        2        0        0        2
42396 libukui-log4qt1                    	       0       11        0        0       11
42397 libukui-menu2                      	       0        1        0        0        1
42398 libukui-panel-applet-4-1           	       0        1        0        0        1
42399 libukui-print0                     	       0        2        0        0        2
42400 libukui-screensaverclient-dev      	       0        1        0        1        0
42401 libukui-screensaverclient0         	       0        1        0        0        1
42402 libukui-touchpadclient-dev         	       0        1        0        1        0
42403 libukui-touchpadclient0            	       0        1        0        0        1
42404 libukui-usersetting-dev            	       0        1        0        1        0
42405 libukui-usersetting0               	       0        1        0        0        1
42406 libukwm-1-0                        	       0        5        0        0        5
42407 libulfius2.7                       	       0        5        0        0        5
42408 libulfius2.7t64                    	       0        1        0        0        1
42409 libumfpack5                        	       0     2593        1       14     2578
42410 libumfpack5.4.0                    	       0        7        0        0        7
42411 libumfpack5.6.2                    	       0       25        0        0       25
42412 libumfpack6                        	       0      131        0        2      129
42413 libumlib0                          	       0        5        0        0        5
42414 libumockdev0                       	       0        8        1        3        4
42415 libunac1                           	       0       41        0        0       41
42416 libunarr-dev                       	       0        2        0        2        0
42417 libunarr1                          	       0       10        1        0        9
42418 libunbescape-java-doc              	       0        1        0        0        1
42419 libunbound-dev                     	       0        9        0        9        0
42420 libunbound2                        	       0       31        0        0       31
42421 libunbound8-dbgsym                 	       0        1        0        1        0
42422 libungif-bin                       	       0        1        0        0        1
42423 libungif4-dev                      	       0        2        0        0        2
42424 libungif4g                         	       0        3        0        0        3
42425 libunibilium-dev                   	       0        1        0        1        0
42426 libunibilium4                      	       0      147        3        5      139
42427 libunibreak-dev                    	       0       12        0       12        0
42428 libunibreak-doc                    	       0        1        0        0        1
42429 libunibreak1                       	       0       93        0        0       93
42430 libunibreak5                       	       0       55        4        5       46
42431 libunibreak6                       	       0      237       12       34      191
42432 libunicap2                         	       0        7        0        1        6
42433 libunicap2-dev                     	       0        1        0        1        0
42434 libunicode-collate-perl            	       0       14        0        0       14
42435 libunicode-escape-perl             	       0        1        0        1        0
42436 libunicode-linebreak-perl          	       0      543        0        2      541
42437 libunicode-map-perl                	       0      371        0        0      371
42438 libunicode-maputf8-perl            	       0       11        2        9        0
42439 libunicode-string-perl             	       0      117        0        0      117
42440 libunicode-stringprep-perl         	       0        5        0        5        0
42441 libunicode-utf8-perl               	       0      780        0        0      780
42442 libuniconf4.6                      	       0       27        0        0       27
42443 libuniconf4.6t64                   	       0        1        0        0        1
42444 libunicorn2t64                     	       0        1        0        0        1
42445 libuninameslist-dev                	       0        1        0        1        0
42446 libuninameslist0                   	       0        4        0        0        4
42447 libuninameslist1                   	       0       37        0        0       37
42448 libuninum5                         	       0        8        0        0        8
42449 libunique-1.0-0                    	       0      146        1        5      140
42450 libunique-3.0-0                    	       0       31        0        0       31
42451 libunique-dev                      	       0        3        0        3        0
42452 libunirest-java-java               	       0       24        0        0       24
42453 libunistring-dev                   	       0       26        0       26        0
42454 libunistring0                      	       0      507        0        0      507
42455 libunittest++2                     	       0       17        0        0       17
42456 libunity-java                      	       0        1        0        0        1
42457 libunity-protocol-private0         	       0      436        6       15      415
42458 libunity-scopes-json-def-desktop   	       0      437        0        0      437
42459 libunivalue-dev                    	       0        2        0        2        0
42460 libunivalue0                       	       0        5        0        1        4
42461 libuniversal-can-perl              	       0       14        1       13        0
42462 libuniversal-isa-perl              	       0       14        1       13        0
42463 libuniversal-moniker-perl          	       0       30        1       29        0
42464 libunivocity-parsers-java          	       0        7        0        0        7
42465 libunix-fcntllock-perl             	       0        1        0        0        1
42466 libunix-mad-syslog-perl            	       0        1        0        0        1
42467 libunix-syslog-perl                	       0       36        5       14       17
42468 libunixsocket-java                 	       0        4        0        4        0
42469 libunoil-java                      	       0      154        0      154        0
42470 libunrar-headers                   	       0        1        0        0        1
42471 libunrar5                          	       0       11        0        0       11
42472 libunrar5t64                       	       0        1        0        0        1
42473 libunsafe-fences-java              	       0        2        0        0        2
42474 libunsafe-mock-java                	       0        3        0        0        3
42475 libunshield-dev                    	       0        4        0        4        0
42476 libunshield0                       	       0       42        0        0       42
42477 libunwind-13                       	       0        1        0        1        0
42478 libunwind-14                       	       0       25        0       25        0
42479 libunwind-14-dev                   	       0        5        0        5        0
42480 libunwind-16-dev                   	       0        3        0        3        0
42481 libunwind-16t64                    	       0       19        0       19        0
42482 libunwind-19                       	       0       24        1       23        0
42483 libunwind-19-dev                   	       0        3        1        2        0
42484 libunwind7                         	       0        2        0        0        2
42485 libunwind7-dev                     	       0        1        1        0        0
42486 libupb-dev                         	       0        1        0        1        0
42487 libupb0                            	       0        1        0        0        1
42488 libupnp-dev                        	       0       21        0       20        1
42489 libupnp-doc                        	       0        2        0        0        2
42490 libupnp10                          	       0        1        0        0        1
42491 libupnp13                          	       0     1459       17       32     1410
42492 libupnp17                          	       0       51        0        2       49
42493 libupnp17t64                       	       0      141        3       11      127
42494 libupnp3                           	       0        1        0        0        1
42495 libupnp6                           	       0      100        0        3       97
42496 libupnp6-dev                       	       0        3        0        3        0
42497 libupnp6-doc                       	       0        1        0        0        1
42498 libupnpp16                         	       0        1        0        0        1
42499 libupnpp4                          	       0        1        0        0        1
42500 libupnpp6                          	       0        1        0        0        1
42501 libupower-glib-dev                 	       0       12        0       12        0
42502 libupower-glib1                    	       0      303       26      115      162
42503 libups-nut-perl                    	       0        1        0        1        0
42504 libupsclient-dev                   	       0        1        0        1        0
42505 libupsclient1                      	       0        3        0        0        3
42506 libupsclient4                      	       0       32        0        5       27
42507 libupsclient6                      	       0       47        7       15       25
42508 libupsclient6t64                   	       0        4        0        1        3
42509 libupse2                           	       0        2        0        0        2
42510 libuptimed0                        	       0        7        0        0        7
42511 liburcu-dev                        	       0        6        0        6        0
42512 liburcu2                           	       0        2        0        0        2
42513 liburcu4                           	       0        6        0        0        6
42514 liburcu6                           	       0       18        0        0       18
42515 liburcu8                           	       0      295        3        5      287
42516 liburcu8t64                        	       0      250        3        9      238
42517 liburdf-dev                        	       0        1        0        1        0
42518 liburdf-parser-plugin-dev          	       0        1        0        1        0
42519 liburdf1d                          	       0        1        0        0        1
42520 liburdfdom-dev                     	       0        1        0        1        0
42521 liburdfdom-headers-dev             	       0        1        0        1        0
42522 liburdfdom-model-state3.0          	       0        1        0        0        1
42523 liburdfdom-model3.0                	       0        1        0        0        1
42524 liburdfdom-sensor3.0               	       0        1        0        0        1
42525 liburdfdom-tools                   	       0        1        0        1        0
42526 liburdfdom-world3.0                	       0        1        0        0        1
42527 liburfkill-glib-dev                	       0        1        0        1        0
42528 liburfkill-glib0                   	       0        4        0        0        4
42529 liburfkill-glib0-dbg               	       0        1        0        1        0
42530 liburi-cpan-perl                   	       0        1        0        1        0
42531 liburi-db-perl                     	       0        2        0        2        0
42532 liburi-encode-perl                 	       0       47        2       45        0
42533 liburi-escape-xs-perl              	       0       84        0        0       84
42534 liburi-fetch-perl                  	       0       13        0       13        0
42535 liburi-find-delimited-perl         	       0        1        0        1        0
42536 liburi-find-perl                   	       0        4        0        4        0
42537 liburi-find-simple-perl            	       0        1        0        1        0
42538 liburi-fromhash-perl               	       0        3        0        3        0
42539 liburi-namespacemap-perl           	       0        2        0        2        0
42540 liburi-nested-perl                 	       0        2        0        2        0
42541 liburi-normalize-perl              	       0        1        0        1        0
42542 liburi-query-perl                  	       0        1        0        1        0
42543 liburi-template-perl               	       0       13        0       13        0
42544 liburi-ws-perl                     	       0        1        0        1        0
42545 liburing-dev                       	       0        7        0        7        0
42546 liburiparser1                      	       0      772       13       21      738
42547 liburl-encode-perl                 	       0        3        0        3        0
42548 liburl-encode-xs-perl              	       0        4        0        0        4
42549 liburweb-cgi0                      	       0        1        0        0        1
42550 liburweb-fastcgi0                  	       0        1        0        0        1
42551 liburweb-http0                     	       0        1        0        0        1
42552 liburweb-static0                   	       0        1        0        0        1
42553 liburweb0                          	       0        1        0        0        1
42554 libusageenvironment1               	       0       26        0        1       25
42555 libusageenvironment2               	       0        1        0        0        1
42556 libusageenvironment3               	       0      236        0        1      235
42557 libusb-0.1-4                       	       0     1572       24       58     1490
42558 libusb-1.0-doc                     	       0      195        0        0      195
42559 libusb-java                        	       0        3        0        0        3
42560 libusb-java-doc                    	       0        3        0        0        3
42561 libusb-java-lib                    	       0        3        0        0        3
42562 libusb-libusb-perl                 	       0        1        0        0        1
42563 libusb-ocaml                       	       0        1        0        1        0
42564 libusb-ocaml-dev                   	       0        1        0        1        0
42565 libusb3380-0                       	       0       31        0        0       31
42566 libusb3380-0t64                    	       0        5        0        0        5
42567 libusb3380-dev                     	       0        1        0        1        0
42568 libusbauth-configparser-dev        	       0        1        0        1        0
42569 libusbauth-configparser1           	       0        1        0        0        1
42570 libusbdrdaq                        	       0        1        0        1        0
42571 libusbguard-dev                    	       0        1        0        0        1
42572 libusbguard1                       	       0        6        1        3        2
42573 libusbgx-dev                       	       0        1        0        1        0
42574 libusbgx-doc                       	       0        1        0        0        1
42575 libusbgx2                          	       0        2        0        0        2
42576 libusbmuxd-dev                     	       0       20        0       20        0
42577 libusbmuxd-tools                   	       0       27        0       27        0
42578 libusbmuxd-tools-dbgsym            	       0        1        0        1        0
42579 libusbmuxd1                        	       0        8        0        0        8
42580 libusbmuxd2                        	       0       31        0        2       29
42581 libusbmuxd4                        	       0      249        1        1      247
42582 libusbmuxd6-dbgsym                 	       0        1        0        1        0
42583 libusbprog0v5                      	       0        3        0        0        3
42584 libusbredirhost-dev                	       0        3        0        3        0
42585 libusbredirhost1                   	       0      432        6       17      409
42586 libusbredirhost1t64                	       0       32        1        0       31
42587 libusbredirparser-dev              	       0        7        0        7        0
42588 libusbredirparser0                 	       0        4        0        0        4
42589 libusbredirparser1t64              	       0       55        1        1       53
42590 libuser                            	       0        7        0        7        0
42591 libuser-perl                       	       0        1        0        1        0
42592 libuser1                           	       0      184        0        0      184
42593 libusplash0                        	       0        1        0        0        1
42594 libusrsctp1                        	       0        1        0        0        1
42595 libusrsctp2                        	       0        5        0        2        3
42596 libustr-1.0-1                      	       0      760        0        0      760
42597 libustr-doc                        	       0        1        0        0        1
42598 libutempter-dev                    	       0        7        0        7        0
42599 libutf8-all-perl                   	       0        4        1        3        0
42600 libutf8-locale0                    	       0        2        0        0        2
42601 libutf8.h-dev                      	       0        1        0        1        0
42602 libutf8proc-dev                    	       0        3        0        3        0
42603 libutf8proc3                       	       0       68        2        6       60
42604 libutfcpp-dev                      	       0       18        0       18        0
42605 libutfcpp-doc                      	       0        1        0        0        1
42606 libutvideo15                       	       0       12        0        0       12
42607 libutvideo15.0.2                   	       0        1        0        0        1
42608 libuu-dev                          	       0        2        0        2        0
42609 libuu0                             	       0     1026        0        0     1026
42610 libuuid-perl                       	       0      250        0        1      249
42611 libuuid-tiny-perl                  	       0       18        1       17        0
42612 libuuid-urandom-perl               	       0        5        0        5        0
42613 libuuid1-dbgsym                    	       0        2        0        2        0
42614 libuuidtools-ruby1.9.1             	       0        1        0        0        1
42615 libuutf-ocaml                      	       0        1        0        1        0
42616 libuutf-ocaml-dev                  	       0        1        0        1        0
42617 libuutf-ocaml-doc                  	       0        1        0        0        1
42618 libuutil1                          	       0        1        0        0        1
42619 libuutil1linux                     	       0        4        0        0        4
42620 libuutil3                          	       0        1        0        1        0
42621 libuv0.10                          	       0        1        0        0        1
42622 libuv0.10-dev                      	       0        1        0        1        0
42623 libuv1t64                          	       0      265        4       14      247
42624 libuvc-dev                         	       0        1        0        1        0
42625 libuvc-doc                         	       0        1        0        0        1
42626 libuvc0                            	       0       46        0        0       46
42627 libuwac0-0                         	       0        6        0        0        6
42628 libuwac0-0t64                      	       0        4        0        0        4
42629 libuwac0-dev                       	       0        1        0        1        0
42630 libv2m0                            	       0        1        0        0        1
42631 libv4l-0                           	       0     2989       17       34     2938
42632 libv4l-0t64                        	       0      222        2        4      216
42633 libv4l-dev                         	       0       49        0       49        0
42634 libv4l2rds0                        	       0      124        0        0      124
42635 libv4l2rds0t64                     	       0       16        0        0       16
42636 libv4lconvert0                     	       0     2992       17       34     2941
42637 libv4lconvert0t64                  	       0      222        2        4      216
42638 libv8-2.2.24                       	       0        1        0        0        1
42639 libv8-3.14.5                       	       0        5        0        0        5
42640 libva-amdgpu-dev                   	       0        1        0        1        0
42641 libva-amdgpu-drm2                  	       0        3        1        0        2
42642 libva-amdgpu-glx2                  	       0        3        0        0        3
42643 libva-amdgpu-wayland2              	       0        2        0        0        2
42644 libva-amdgpu-x11-2                 	       0        3        1        0        2
42645 libva-dev                          	       0       57        0       57        0
42646 libva-drm1                         	       0      359        0        1      358
42647 libva-egl1                         	       0        5        0        0        5
42648 libva-glx1                         	       0       23        0        0       23
42649 libva-glx2                         	       0      381        1        3      377
42650 libva-intel-vaapi-driver           	       0        1        0        0        1
42651 libva-tpi1                         	       0        3        0        0        3
42652 libva-wayland1                     	       0       71        0        0       71
42653 libva-wayland2                     	       0     1949       20       58     1871
42654 libva-x11-1                        	       0      366        0        1      365
42655 libva-x11-2-dbgsym                 	       0        1        0        1        0
42656 libva1                             	       0      386        0        2      384
42657 libva2-amdgpu                      	       0        3        1        0        2
42658 libval14                           	       0        3        0        0        3
42659 libvala-0.34-0                     	       0        3        0        0        3
42660 libvala-0.42-0                     	       0        3        0        0        3
42661 libvala-0.42-dev                   	       0        1        0        1        0
42662 libvala-0.46-0                     	       0        1        0        0        1
42663 libvala-0.46-dev                   	       0        1        0        1        0
42664 libvala-0.48-0                     	       0       12        0        0       12
42665 libvala-0.48-dev                   	       0        3        0        3        0
42666 libvala-0.56-0                     	       0       30        0        0       30
42667 libvala-0.56-dev                   	       0        1        0        1        0
42668 libvala-dev                        	       0        1        0        1        0
42669 libvala0                           	       0        1        0        0        1
42670 libvalacodegen-0.48-0              	       0        9        0        0        9
42671 libvalacodegen-0.56-0              	       0       28        0        0       28
42672 libvaladoc-0.56-0                  	       0        1        0        0        1
42673 libvaladoc-0.56-data               	       0        1        0        0        1
42674 libvalapanel0                      	       0        4        0        0        4
42675 libvalidatable-ruby1.9.1           	       0        1        0        0        1
42676 libvalidate-yubikey-perl           	       0        1        0        1        0
42677 libvamp-hostsdk3                   	       0        3        0        0        3
42678 libvamp-hostsdk3t64                	       0       32        0        0       32
42679 libvamp-hostsdk3v5                 	       0      497        2        0      495
42680 libvamp-sdk2t64                    	       0        2        0        0        2
42681 libvamp-sdk2v5                     	       0       80        0        0       80
42682 libvamsas-client-java              	       0        2        0        0        2
42683 libvarconf-1.0-6                   	       0        1        0        0        1
42684 libvarconf-1.0-8                   	       0        1        0        0        1
42685 libvariable-magic-perl             	       0     1129        4        6     1119
42686 libvarnishapi-dev                  	       0        1        0        1        0
42687 libvarnishapi1                     	       0        6        0        0        6
42688 libvarnishapi2                     	       0       10        0        0       10
42689 libvarnishapi3                     	       0        8        0        0        8
42690 libvavr0-java                      	       0        3        0        0        3
42691 libvbr2                            	       0       39       10       21        8
42692 libvc-dev                          	       0        2        0        2        0
42693 libvc0                             	       0        6        0        0        6
42694 libvcdinfo-dev                     	       0       10        0       10        0
42695 libvcdinfo0                        	       0      418        0        1      417
42696 libvcflib-tools                    	       0        1        0        1        0
42697 libvcflib1                         	       0        1        0        0        1
42698 libvcflib2                         	       0        1        0        0        1
42699 libvde0                            	       0       75        0        0       75
42700 libvdeplug2t64                     	       0       52        1        1       50
42701 libvdpau-dev                       	       0       77        0       77        0
42702 libvdpau-doc                       	       0       11        0        0       11
42703 libvdpau-va-gl1                    	       0     3234        4        6     3224
42704 libvdpau1-amdgpu                   	       0        2        2        0        0
42705 libvecmath-java                    	       0       76        0        0       76
42706 libvelocity-tools-java             	       0       10        0        0       10
42707 libventrilo3-0                     	       0        2        0        0        2
42708 libverbiste-0.1-0v5                	       0        3        0        0        3
42709 libversion-perl                    	       0        5        0        0        5
42710 libversioneer-clojure              	       0        1        0        0        1
42711 libverto-libev1                    	       0       15        1        3       11
42712 libverto-libev1t64                 	       0       11        0        0       11
42713 libverto1                          	       0       15        1        3       11
42714 libverto1t64                       	       0       11        0        0       11
42715 libvformat-dev                     	       0        1        0        1        0
42716 libvformat0                        	       0        5        0        0        5
42717 libvhdi-dev                        	       0        2        0        2        0
42718 libvhdi-utils                      	       0        2        0        2        0
42719 libvhdi1                           	       0      156        0        0      156
42720 libvibrant6b                       	       0        2        0        0        2
42721 libvideo-capture-v4l-perl          	       0        2        0        0        2
42722 libvidstab-dev                     	       0       11        0       11        0
42723 libvidstab0.9                      	       0        2        0        0        2
42724 libvidstab1.0                      	       0       11        0        0       11
42725 libvidstab1.2                      	       0       51        2        3       46
42726 libviennacl-dev                    	       0        1        0        1        0
42727 libview-dev                        	       0        1        0        1        0
42728 libview2                           	       0        2        0        0        2
42729 libview2-dbg                       	       0        1        0        1        0
42730 libvigraimpex-dev                  	       0        2        0        2        0
42731 libvigraimpex-doc                  	       0        1        0        0        1
42732 libvigraimpex11                    	       0       43        0        0       43
42733 libvigraimpex4                     	       0        2        0        0        2
42734 libvigraimpex6                     	       0       11        0        0       11
42735 libvips-doc                        	       0        5        0        0        5
42736 libvips42                          	       0       12        1        0       11
42737 libvips42t64                       	       0        3        0        0        3
42738 libvirglrenderer-dev               	       0        4        0        4        0
42739 libvirglrenderer0                  	       0       52        0        1       51
42740 libvirglrenderer1                  	       0      545       11       19      515
42741 libvirt-bin                        	       0       19        0        0       19
42742 libvirt-clients-qemu               	       0       10        0       10        0
42743 libvirt-common                     	       0       33        0        0       33
42744 libvirt-daemon-config-network      	       0      347        0        0      347
42745 libvirt-daemon-config-nwfilter     	       0      346        0        0      346
42746 libvirt-daemon-driver-storage-gluster	       0        6        1        3        2
42747 libvirt-daemon-driver-storage-iscsi-direct	       0        4        1        2        1
42748 libvirt-daemon-driver-storage-rbd  	       0        6        1        3        2
42749 libvirt-daemon-driver-storage-zfs  	       0        8        2        5        1
42750 libvirt-daemon-plugin-lockd        	       0       21        0        0       21
42751 libvirt-daemon-system-sysv         	       0      328        0        0      328
42752 libvirt-dbus                       	       0        8        0        8        0
42753 libvirt-doc                        	       0        8        0        0        8
42754 libvirt-glib-1.0-0                 	       0      400        7       17      376
42755 libvirt-glib-1.0-data              	       0      276        0        0      276
42756 libvirt-l10n                       	       0      345        1        5      339
42757 libvirt-ocaml                      	       0        3        0        3        0
42758 libvirt-ocaml-dev                  	       0        1        0        1        0
42759 libvirt-sandbox-1.0-5              	       0        2        0        0        2
42760 libvirt-sanlock                    	       0        3        0        3        0
42761 libvirt-wireshark                  	       0        5        0        0        5
42762 libvirtodbc0                       	       0       14        0        0       14
42763 libvirtualpg-dev                   	       0        1        0        1        0
42764 libvirtualpg0                      	       0        8        0        0        8
42765 libvisa0                           	       0        1        0        0        1
42766 libvisio-0.0-0                     	       0        5        0        0        5
42767 libvisio-dev                       	       0        3        0        3        0
42768 libvistaio14                       	       0        2        0        0        2
42769 libvisual-0.4-0                    	       0     3258        0        0     3258
42770 libvisual-0.4-dev                  	       0        5        0        5        0
42771 libvisual-0.4-plugins              	       0      167        0        0      167
42772 libvisual-projectm                 	       0        1        0        0        1
42773 libvisualization-msgs-dev          	       0        1        0        1        0
42774 libvkd3d-dev                       	       0        6        0        0        6
42775 libvkd3d-doc                       	       0        1        0        0        1
42776 libvkd3d-headers                   	       0        7        0        7        0
42777 libvkd3d-shader1                   	       0       68        0        0       68
42778 libvkd3d-utils1                    	       0       15        0        0       15
42779 libvkd3d1                          	       0      170        0        0      170
42780 libvkfft-dev                       	       0        1        0        1        0
42781 libvlc-bin                         	       0     1640        0        0     1640
42782 libvlc-bin-dbgsym                  	       0        1        0        1        0
42783 libvlc5                            	       0     1679       15       53     1611
42784 libvlc5-dbgsym                     	       0        1        0        1        0
42785 libvlccore-dev                     	       0        8        0        8        0
42786 libvlccore5                        	       0        4        0        0        4
42787 libvlccore8                        	       0       38        0        1       37
42788 libvlccore9                        	       0     1662       15       52     1595
42789 libvlccore9-dbgsym                 	       0        1        0        1        0
42790 libvldocking-java                  	       0        6        0        0        6
42791 libvlfeat-dev                      	       0        4        0        4        0
42792 libvlfeat-doc                      	       0        4        0        3        1
42793 libvlfeat1                         	       0        4        0        0        4
42794 libvm-ec2-perl                     	       0        2        0        2        0
42795 libvm-ec2-security-credentialcache-perl	       0        1        0        1        0
42796 libvmaf-dev                        	       0        7        0        7        0
42797 libvmaf1                           	       0       61       10       42        9
42798 libvmaf3                           	       0       19        3       10        6
42799 libvmdk-utils                      	       0        1        0        1        0
42800 libvmdk1                           	       0      156        0        0      156
42801 libvmime-kopano3                   	       0        1        1        0        0
42802 libvncauth0                        	       0        1        0        0        1
42803 libvncclient0                      	       0       25        0        0       25
42804 libvncclient1                      	       0     1368       14       45     1309
42805 libvncserver-config                	       0        2        0        2        0
42806 libvncserver-dev                   	       0       24        0       24        0
42807 libvncserver0                      	       0       13        0        0       13
42808 libvncserver1                      	       0      286        7       21      258
42809 libvnlog-perl                      	       0        1        0        1        0
42810 libvo-aacenc-dev                   	       0        2        0        2        0
42811 libvo-aacenc0                      	       0     3010        1        9     3000
42812 libvo-amrwbenc-dev                 	       0       13        0       13        0
42813 libvo-amrwbenc0                    	       0     3027       27      115     2885
42814 libvolk-bin                        	       0        9        0        9        0
42815 libvolk-dev                        	       0        8        0        8        0
42816 libvolk-doc                        	       0        1        0        0        1
42817 libvolk1-bin                       	       0        2        0        2        0
42818 libvolk1-dev                       	       0        2        0        2        0
42819 libvolk1.3                         	       0        2        0        0        2
42820 libvolk1.4                         	       0        5        0        0        5
42821 libvolk2-bin                       	       0       38        0       38        0
42822 libvolk2-dev                       	       0       36        0       36        0
42823 libvolk2.4                         	       0       11        0        0       11
42824 libvolk2.5                         	       0       35        0        2       33
42825 libvolk3.1t64                      	       0        9        0        0        9
42826 libvolpack1                        	       0       11        0        0       11
42827 libvolume-id0                      	       0        7        0        0        7
42828 libvolume-id1                      	       0        5        0        0        5
42829 libvomsapi1v5                      	       0        4        0        0        4
42830 libvorbis-dbg                      	       0        1        0        1        0
42831 libvorbis-ocaml                    	       0        2        0        2        0
42832 libvorbis-ocaml-dev                	       0        2        0        2        0
42833 libvorbisidec-dev                  	       0       14        1       13        0
42834 libvorbisidec1                     	       0      550        4        7      539
42835 libvorbisspi-java                  	       0        1        0        0        1
42836 libvoro++1                         	       0       13        0        0       13
42837 libvotequorum-dev                  	       0        2        0        2        0
42838 libvotequorum8                     	       0        8        0        0        8
42839 libvpb-dev                         	       0        9        0        9        0
42840 libvpb1                            	       0       11        1       10        0
42841 libvpl-dev                         	       0        6        0        6        0
42842 libvpx-doc                         	       0        5        0        0        5
42843 libvpx0                            	       0        9        0        0        9
42844 libvpx1                            	       0      142        0        3      139
42845 libvpx2                            	       0        3        0        0        3
42846 libvpx3                            	       0        2        0        0        2
42847 libvpx4                            	       0      349        0        1      348
42848 libvpx8                            	       0       37        3       12       22
42849 libvserver0                        	       0       21        0        0       21
42850 libvshadow1                        	       0       14        0        0       14
42851 libvslvm1                          	       0       14        0        0       14
42852 libvsqlitepp-dev                   	       0        2        0        0        2
42853 libvsqlitepp-doc                   	       0        1        0        0        1
42854 libvsqlitepp3v5                    	       0        4        0        1        3
42855 libvte-2.90-9                      	       0       43        0        0       43
42856 libvte-2.90-common                 	       0       45        0        0       45
42857 libvte-2.91-common                 	       0     2958        0        1     2957
42858 libvte-2.91-doc                    	       0       11        0        0       11
42859 libvte-2.91-gtk4-0                 	       0        6        0        0        6
42860 libvte-2.91-gtk4-doc               	       0        1        0        0        1
42861 libvte-common                      	       0       80        0        2       78
42862 libvte-dev                         	       0       10        0       10        0
42863 libvte-doc                         	       0        3        0        0        3
42864 libvte0.16-cil                     	       0        1        0        0        1
42865 libvte9t64                         	       0        1        0        0        1
42866 libvted-3-0                        	       0       21        1        3       17
42867 libvted-3-dev                      	       0        1        0        0        1
42868 libvterm-bin                       	       0        5        0        5        0
42869 libvterm-dev                       	       0        6        0        6        0
42870 libvterm0                          	       0      144        3        7      134
42871 libvtk-dicom-dev                   	       0        1        0        1        0
42872 libvtk6-dev                        	       0        1        0        1        0
42873 libvtk6-java                       	       0        1        0        1        0
42874 libvtk6-jni                        	       0        1        0        0        1
42875 libvtk6.3                          	       0       25        0        0       25
42876 libvtk6.3-qt                       	       0        4        0        0        4
42877 libvtk7.1                          	       0        7        0        0        7
42878 libvtk7.1-qt                       	       0        1        0        0        1
42879 libvtk7.1p                         	       0       27        0        1       26
42880 libvtk7.1p-qt                      	       0        2        0        0        2
42881 libvtk9                            	       0       11        0        0       11
42882 libvtk9-dev                        	       0       10        1        9        0
42883 libvtk9-java                       	       0       11        0       11        0
42884 libvtk9-qt                         	       0        3        0        0        3
42885 libvtk9-qt-dev                     	       0        3        0        3        0
42886 libvtk9.1                          	       0      132        0        0      132
42887 libvtk9.1-qt                       	       0       15        0        0       15
42888 libvtk9.1t64                       	       0        3        0        0        3
42889 libvtk9.3                          	       0       23        0        0       23
42890 libvtk9.3-qt                       	       0        1        0        0        1
42891 libvtkdicom0.8                     	       0        3        0        0        3
42892 libvtkgdcm-9.1                     	       0        1        0        0        1
42893 libvtkgdcm-cil                     	       0        1        0        0        1
42894 libvtkgdcm-java                    	       0        1        0        0        1
42895 libvtkgdcm-tools                   	       0        1        0        1        0
42896 libvtkgdcm2.6                      	       0        1        0        0        1
42897 libvtkgdcm2.8a                     	       0        1        0        0        1
42898 libvtkgdcm3.0                      	       0        1        0        0        1
42899 libvulkan-volk-dev                 	       0        3        0        3        0
42900 libwadseeker2                      	       0        3        0        0        3
42901 libwaei2                           	       0        2        0        0        2
42902 libwaffle-1-0                      	       0        9        0        0        9
42903 libwaffle-dev                      	       0        2        0        2        0
42904 libwagon-file-java                 	       0      129        0        0      129
42905 libwagon-ftp-java                  	       0        7        0        0        7
42906 libwagon-http-java                 	       0      375        0        0      375
42907 libwagon-http-shaded-java          	       0       95        0        0       95
42908 libwagon-java                      	       0        8        0        0        8
42909 libwagon-java-doc                  	       0        2        0        0        2
42910 libwagon-provider-api-java         	       0      463        0        0      463
42911 libwagon2-java                     	       0        2        0        0        2
42912 libwaili1c2                        	       0        5        0        0        5
42913 libwandio1                         	       0        1        0        0        1
42914 libwant-perl                       	       0      208        0        0      208
42915 libwavpack-dev                     	       0       20        0       20        0
42916 libwavpack0                        	       0        1        0        0        1
42917 libwayland-amdgpu-bin              	       0        1        0        1        0
42918 libwayland-amdgpu-client0          	       0        8        2        5        1
42919 libwayland-amdgpu-cursor0          	       0        1        1        0        0
42920 libwayland-amdgpu-dev              	       0        1        0        1        0
42921 libwayland-amdgpu-egl-backend-dev  	       0        1        0        1        0
42922 libwayland-amdgpu-egl1             	       0        6        1        1        4
42923 libwayland-amdgpu-server0          	       0        8        2        5        1
42924 libwayland-client++0               	       0       19        0        0       19
42925 libwayland-client++1               	       0       71        2       10       59
42926 libwayland-client-extra++0         	       0        1        0        0        1
42927 libwayland-client-extra++1         	       0        4        0        0        4
42928 libwayland-cursor++0               	       0       19        0        0       19
42929 libwayland-cursor++1               	       0       72        2       10       60
42930 libwayland-doc                     	       0        3        0        0        3
42931 libwayland-egl++0                  	       0       18        0        0       18
42932 libwayland-egl++1                  	       0       71        2       10       59
42933 libwayland-egl-backend-dev         	       0       11        0       11        0
42934 libwayland-egl1-mesa               	       0      171        0        0      171
42935 libwayland-server++1               	       0        4        0        0        4
42936 libwbxml2-0                        	       0        1        0        0        1
42937 libwbxml2-1                        	       0        5        0        1        4
42938 libwbxml2-dev                      	       0        1        0        1        0
42939 libwbxml2-utils                    	       0        3        0        3        0
42940 libwcat1                           	       0        1        0        1        0
42941 libwcs4                            	       0        3        0        0        3
42942 libwcs5                            	       0        6        0        0        6
42943 libwcs6                            	       0        4        0        0        4
42944 libwcs7                            	       0       36        0        0       36
42945 libwcs8                            	       0        9        0        0        9
42946 libwcstools-dev                    	       0        2        0        2        0
42947 libwcstools1                       	       0       14        0        0       14
42948 libwcstools1t64                    	       0        2        0        0        2
42949 libweather-ion6                    	       0        7        0        1        6
42950 libweather-ion7                    	       0      556        5       27      524
42951 libwebcam0                         	       0       79        0        0       79
42952 libwebcam0-dev                     	       0        2        0        2        0
42953 libwebkit-1.0-common               	       0        4        0        0        4
42954 libwebkit1.1-cil                   	       0       10        0        0       10
42955 libwebkit2-sharp-4.0-cil           	       0        1        0        0        1
42956 libwebkit2gtk-4.0-37-dbgsym        	       0        1        0        1        0
42957 libwebkit2gtk-4.0-37-gtk2          	       0        6        0        0        6
42958 libwebkit2gtk-4.0-doc              	       0        6        0        0        6
42959 libwebkit2gtk-5.0-0                	       0        1        0        0        1
42960 libwebkitgtk-1.0-0                 	       0       48        0        0       48
42961 libwebkitgtk-1.0-common            	       0        6        0        0        6
42962 libwebkitgtk-3.0-0                 	       0       45        0        0       45
42963 libwebkitgtk-3.0-common            	       0       12        0        0       12
42964 libwebkitgtk-3.0-dev               	       0        1        0        1        0
42965 libwebkitgtk-6.0-dev               	       0        4        0        4        0
42966 libwebkitgtk-dev                   	       0        3        0        3        0
42967 libwebkitgtk-doc                   	       0        2        0        0        2
42968 libwebm-tools                      	       0        1        0        1        0
42969 libwebm1                           	       0       22        0        0       22
42970 libwebp2                           	       0       11        0        0       11
42971 libwebp4                           	       0        1        0        0        1
42972 libwebp5                           	       0       82        0        0       82
42973 libwebpdecoder3                    	       0       99        6        5       88
42974 libwebpdemux1                      	       0       75        0        0       75
42975 libwebpmux1                        	       0       73        0        0       73
42976 libwebpmux2                        	       0      351        0        2      349
42977 libwebrtc-audio-processing-0       	       0       28        0        0       28
42978 libwebrtc-audio-processing-1-3     	       0       45        0        0       45
42979 libwebrtc-audio-processing-dev     	       0        6        0        6        0
42980 libwebrtc-audio-processing1        	       0     3129        1       10     3118
42981 libwebservice-ils-perl             	       0        2        0        2        0
42982 libwebservice-validator-html-w3c-perl	       0        1        0        1        0
42983 libwebsocket-api-java              	       0      796        0        0      796
42984 libwebsocketpp-dev                 	       0       14        0        0       14
42985 libwebsockets-dev                  	       0        9        0        9        0
42986 libwebsockets-evlib-ev             	       0        8        0        0        8
42987 libwebsockets-evlib-glib           	       0        8        0        0        8
42988 libwebsockets-evlib-uv             	       0        8        0        0        8
42989 libwebsockets16                    	       0       28        1        2       25
42990 libwebsockets17                    	       0       76        2        1       73
42991 libwebsockets18                    	       0        1        0        0        1
42992 libwebsockets19                    	       0        3        0        0        3
42993 libwebsockets19t64                 	       0        9        1        0        8
42994 libwebsockets8                     	       0        6        1        0        5
42995 libweed0                           	       0        6        0        0        6
42996 libwerken.xpath-java               	       0        3        0        0        3
42997 libweston-10-0                     	       0       17        0        0       17
42998 libweston-12-0                     	       0        1        0        0        1
42999 libweston-13-0                     	       0        3        0        0        3
43000 libweston-14-0                     	       0        3        0        0        3
43001 libweston-9-0                      	       0        3        0        0        3
43002 libwf-config1                      	       0        8        0        0        8
43003 libwf-utils0                       	       0        4        0        0        4
43004 libwf-utils0t64                    	       0        4        0        0        4
43005 libwfa2-0                          	       0        1        0        0        1
43006 libwfmath-0.3-4                    	       0        1        0        0        1
43007 libwfmath-1.0-1                    	       0        1        0        0        1
43008 libwfut-0.2-1                      	       0        1        0        0        1
43009 libwgdb-dev                        	       0        1        0        1        0
43010 libwgdb0                           	       0        1        0        0        1
43011 libwget0                           	       0       14        0        0       14
43012 libwget2                           	       0        2        0        0        2
43013 libwget2t64                        	       0        3        0        0        3
43014 libwget3                           	       0        1        0        0        1
43015 libwhereami0                       	       0       18        0        0       18
43016 libwhisker2-perl                   	       0        6        0        6        0
43017 libwikidata-toolkit-java           	       0        2        0        0        2
43018 libwildfly-common-java             	       0        1        0        0        1
43019 libwildmidi-config                 	       0      198        0        0      198
43020 libwildmidi-dev                    	       0        2        0        2        0
43021 libwildmidi0                       	       0        1        0        0        1
43022 libwildmidi1                       	       0       26        0        0       26
43023 libwildmidi2                       	       0     2998       16       15     2967
43024 libwim-dev                         	       0        4        0        4        0
43025 libwim15                           	       0       35        0        0       35
43026 libwim15t64                        	       0        2        0        0        2
43027 libwin32-exe-perl                  	       0        1        0        1        0
43028 libwind0-heimdal                   	       0       78        2        2       74
43029 libwind0t64-heimdal                	       0        2        0        0        2
43030 libwine-development                	       0       31        0       10       21
43031 libwine-development-dev            	       0        4        0        4        0
43032 libwine-gecko-1.4                  	       0        1        0        0        1
43033 libwine-gecko-2.21                 	       0        9        0        0        9
43034 libwine-gecko-2.24                 	       0        1        0        0        1
43035 libwings2                          	       0        3        0        0        3
43036 libwings3                          	       0       84        8       12       64
43037 libwinpr-asn1-0.1                  	       0        2        0        0        2
43038 libwinpr-bcrypt0.1                 	       0        2        0        0        2
43039 libwinpr-credentials0.1            	       0        2        0        0        2
43040 libwinpr-credui0.1                 	       0        2        0        0        2
43041 libwinpr-crt0.1                    	       0       60        0        0       60
43042 libwinpr-crypto0.1                 	       0       56        0        0       56
43043 libwinpr-dev                       	       0        2        0        2        0
43044 libwinpr-dsparse0.1                	       0       55        0        0       55
43045 libwinpr-environment0.1            	       0       60        0        0       60
43046 libwinpr-error0.1                  	       0       41        0        0       41
43047 libwinpr-file0.1                   	       0       59        0        0       59
43048 libwinpr-handle0.1                 	       0       60        0        0       60
43049 libwinpr-heap0.1                   	       0       60        0        0       60
43050 libwinpr-input0.1                  	       0       55        0        0       55
43051 libwinpr-interlocked0.1            	       0       60        0        0       60
43052 libwinpr-io0.1                     	       0        2        0        0        2
43053 libwinpr-library0.1                	       0       59        0        0       59
43054 libwinpr-path0.1                   	       0       60        0        0       60
43055 libwinpr-pipe0.1                   	       0        2        0        0        2
43056 libwinpr-pool0.1                   	       0       55        0        0       55
43057 libwinpr-registry0.1               	       0       56        0        0       56
43058 libwinpr-rpc0.1                    	       0       55        0        0       55
43059 libwinpr-sspi0.1                   	       0       56        0        0       56
43060 libwinpr-sspicli0.1                	       0        2        0        0        2
43061 libwinpr-synch0.1                  	       0       60        0        0       60
43062 libwinpr-sysinfo0.1                	       0       60        0        0       60
43063 libwinpr-thread0.1                 	       0       60        0        0       60
43064 libwinpr-timezone0.1               	       0        2        0        0        2
43065 libwinpr-tools2-2                  	       0       24        1        1       22
43066 libwinpr-tools3-3                  	       0        3        0        0        3
43067 libwinpr-utils0.1                  	       0       60        0        0       60
43068 libwinpr-winhttp0.1                	       0        2        0        0        2
43069 libwinpr-winsock0.1                	       0        2        0        0        2
43070 libwinpr2-2                        	       0      688       10       20      658
43071 libwinpr2-2t64                     	       0       15        0        0       15
43072 libwinpr2-dev                      	       0        4        0        4        0
43073 libwinpr3-3                        	       0       58        2        1       55
43074 libwirble-ruby1.9.1                	       0        2        0        0        2
43075 libwireplumber-0.4-dev             	       0        1        0        1        0
43076 libwireplumber-0.5-dev             	       0        1        0        1        0
43077 libwireshark-data                  	       0      369        0        0      369
43078 libwireshark11                     	       0       45        0        0       45
43079 libwireshark14                     	       0       80        0        0       80
43080 libwireshark15                     	       0        3        0        0        3
43081 libwireshark16                     	       0      237        1        0      236
43082 libwireshark17                     	       0        3        0        0        3
43083 libwireshark17t64                  	       0        4        0        0        4
43084 libwireshark18                     	       0       21        0        0       21
43085 libwireshark2                      	       0        2        0        2        0
43086 libwireshark5                      	       0        9        0        0        9
43087 libwireshark8                      	       0        5        0        0        5
43088 libwiretap11                       	       0       82        0        0       82
43089 libwiretap12                       	       0        3        0        0        3
43090 libwiretap13                       	       0      237        1        0      236
43091 libwiretap14                       	       0        3        0        0        3
43092 libwiretap14t64                    	       0        4        0        0        4
43093 libwiretap15                       	       0       21        0        0       21
43094 libwiretap2                        	       0        2        0        0        2
43095 libwiretap3                        	       0        2        0        0        2
43096 libwiretap4                        	       0       11        0        0       11
43097 libwiretap6                        	       0        5        0        0        5
43098 libwiretap8                        	       0       47        0        0       47
43099 libwlroots-0.18                    	       0       14        0        0       14
43100 libwlroots-dev                     	       0        1        0        1        0
43101 libwlroots-examples                	       0        1        0        1        0
43102 libwlroots11                       	       0        2        0        0        2
43103 libwlroots12t64                    	       0        8        0        1        7
43104 libwlroots6                        	       0        2        0        1        1
43105 libwlroots7                        	       0        1        0        0        1
43106 libwmaker-dev                      	       0        1        0        1        0
43107 libwmaker1                         	       0        1        0        0        1
43108 libwmf-0.2-7                       	       0     1384        0        1     1383
43109 libwmf-0.2-7-gtk                   	       0       43        0        0       43
43110 libwmf-doc                         	       0        2        0        0        2
43111 libwmf0.2-7                        	       0      760        0        0      760
43112 libwmf0.2-7-gtk                    	       0       45        0        0       45
43113 libwmflite-0.2-7                   	       0     2643        4       12     2627
43114 libwnck-3-dev                      	       0       10        0       10        0
43115 libwnck-dev                        	       0        7        0        7        0
43116 libwnck-doc                        	       0        1        0        0        1
43117 libwnck2.20-cil                    	       0        1        0        0        1
43118 libwoff-dev                        	       0        6        0        6        0
43119 libwolfssl-dev                     	       0        4        0        4        0
43120 libwolfssl24                       	       0        1        0        0        1
43121 libwolfssl35                       	       0        3        0        0        3
43122 libwolfssl42t64                    	       0        2        0        0        2
43123 libwoodstox-java                   	       0       21        0        0       21
43124 libwordnet-querydata-perl          	       0        1        0        1        0
43125 libwpa-client-dev                  	       0        2        0        2        0
43126 libwpd-0.9-9                       	       0       15        0        0       15
43127 libwpd-dev                         	       0        5        0        5        0
43128 libwpd-doc                         	       0        2        0        0        2
43129 libwpd-stream8c2a                  	       0        3        0        0        3
43130 libwpd-tools                       	       0       11        2        9        0
43131 libwpd8c2a                         	       0        6        0        0        6
43132 libwpe-1.0-dev                     	       0        3        0        3        0
43133 libwpebackend-fdo-1.0-dev          	       0        1        0        1        0
43134 libwpewebkit-1.0-3                 	       0        1        0        0        1
43135 libwpewebkit-1.0-dev               	       0        1        0        1        0
43136 libwpewebkit-1.1-0                 	       0        3        0        0        3
43137 libwpewebkit-doc                   	       0        1        0        0        1
43138 libwpg-0.1-1                       	       0        5        0        0        5
43139 libwpg-0.2-2                       	       0       13        0        0       13
43140 libwpg-dev                         	       0        4        0        4        0
43141 libwpg-doc                         	       0        1        0        0        1
43142 libwpg-tools                       	       0        1        0        1        0
43143 libwps-0.1-1                       	       0        5        0        0        5
43144 libwps-0.2-2                       	       0       11        0        0       11
43145 libwps-0.3-3                       	       0       25        0        0       25
43146 libwps-dev                         	       0        4        0        4        0
43147 libwps-doc                         	       0        1        0        0        1
43148 libwps-tools                       	       0        2        0        2        0
43149 libwraster3                        	       0        6        0        0        6
43150 libwraster5                        	       0        8        0        0        8
43151 libwraster6                        	       0       81        8       12       61
43152 libwreport-dev                     	       0        1        0        1        0
43153 libwreport3                        	       0        2        0        0        2
43154 libwriter2latex-java               	       0       22        0        0       22
43155 libwriter2latex-java-doc           	       0        2        0        0        2
43156 libws-commons-util-java            	       0        3        0        0        3
43157 libwsclean2                        	       0        4        0        0        4
43158 libwscodecs1                       	       0        5        0        0        5
43159 libwscodecs2                       	       0       48        0        0       48
43160 libwsdl4j-java                     	       0        3        0        0        3
43161 libwsdl4j-java-doc                 	       0        1        0        0        1
43162 libwslay-dev                       	       0        1        0        1        0
43163 libwslay1                          	       0        3        1        0        2
43164 libwsman-client2                   	       0        1        0        0        1
43165 libwsman-curl-client-transport1    	       0        1        0        0        1
43166 libwsman-server1                   	       0        1        0        0        1
43167 libwsman1                          	       0        1        0        0        1
43168 libwsutil10                        	       0        1        0        0        1
43169 libwsutil12                        	       0       83        0        0       83
43170 libwsutil13                        	       0        3        0        0        3
43171 libwsutil14                        	       0      237        1        0      236
43172 libwsutil15                        	       0        3        0        0        3
43173 libwsutil15t64                     	       0        4        0        0        4
43174 libwsutil16                        	       0       21        0        0       21
43175 libwsutil2                         	       0        2        0        0        2
43176 libwsutil3                         	       0        2        0        0        2
43177 libwsutil4                         	       0       11        0        0       11
43178 libwsutil7                         	       0        5        0        0        5
43179 libwsutil9                         	       0       48        0        0       48
43180 libwutil2                          	       0        2        0        0        2
43181 libwutil3                          	       0        4        0        0        4
43182 libwutil5                          	       0       84        9       17       58
43183 libwv-1.2-4                        	       0      114        0        1      113
43184 libwv-1.2-4t64                     	       0       10        0        0       10
43185 libwv-dev                          	       0        2        0        2        0
43186 libwv2-1c2                         	       0        1        0        0        1
43187 libwv2-4                           	       0        5        0        0        5
43188 libwv2-dev                         	       0        1        0        1        0
43189 libwvstreams4.6-base               	       0       27        0        0       27
43190 libwvstreams4.6-extras             	       0       27        0        0       27
43191 libwvstreams4.6t64-base            	       0        1        0        0        1
43192 libwvstreams4.6t64-extras          	       0        1        0        0        1
43193 libwww-csrf-perl                   	       0        3        1        2        0
43194 libwww-curl-perl                   	       0       33        0        0       33
43195 libwww-curl-simple-perl            	       0        2        0        2        0
43196 libwww-dev                         	       0        1        0        1        0
43197 libwww-dict-leo-org-perl           	       0        1        0        1        0
43198 libwww-finger-perl                 	       0        1        0        1        0
43199 libwww-form-urlencoded-perl        	       0       18        3       15        0
43200 libwww-form-urlencoded-xs-perl     	       0       16        1        1       14
43201 libwww-indexparser-perl            	       0        1        0        1        0
43202 libwww-mechanize-autopager-perl    	       0        1        0        1        0
43203 libwww-mechanize-formfiller-perl   	       0        2        0        2        0
43204 libwww-mechanize-gzip-perl         	       0        1        0        1        0
43205 libwww-mechanize-ruby1.9.1         	       0        1        0        0        1
43206 libwww-mechanize-shell-perl        	       0        1        0        1        0
43207 libwww-mechanize-treebuilder-perl  	       0        2        0        2        0
43208 libwww-oauth-perl                  	       0        2        1        1        0
43209 libwww-opensearch-perl             	       0       11        0       11        0
43210 libwww-search-perl                 	       0        1        0        1        0
43211 libwww-shorten-perl                	       0        1        0        1        0
43212 libwww0                            	       0        1        0        1        0
43213 libwwwbrowser-perl                 	       0        1        0        1        0
43214 libwx-glcanvas-perl                	       0       27        0       19        8
43215 libwx-perl                         	       0       54        1       53        0
43216 libwx-perl-datawalker-perl         	       0        4        0        4        0
43217 libwx-perl-processstream-perl      	       0        5        0        5        0
43218 libwx-scintilla-perl               	       0        5        0        0        5
43219 libwxbase2.6-0                     	       0        4        0        0        4
43220 libwxbase2.6-dev                   	       0        1        0        1        0
43221 libwxbase2.8-0                     	       0       13        0        1       12
43222 libwxbase2.8-dbg                   	       0        1        0        0        1
43223 libwxbase2.8-dev                   	       0        5        0        0        5
43224 libwxbase3.0-0                     	       0        6        0        0        6
43225 libwxbase3.0-0-unofficial          	       0        2        0        0        2
43226 libwxbase3.0-0v5                   	       0      382        3        7      372
43227 libwxbase3.0-dbg                   	       0        1        0        0        1
43228 libwxbase3.0-dev                   	       0       67        0        0       67
43229 libwxbase3.1-0-unofficial          	       0        1        0        0        1
43230 libwxbase3.1-0-unofficial3         	       0        1        0        0        1
43231 libwxbase3.1-dev                   	       0        1        0        1        0
43232 libwxbase3.1unofficial3-dev        	       0        1        0        1        0
43233 libwxbase3.2-0                     	       0        1        0        0        1
43234 libwxbase3.2-1                     	       0      734       10       20      704
43235 libwxgtk-gl3.2-1                   	       0      289        1        8      280
43236 libwxgtk-gl3.2-1t64                	       0       44        0        0       44
43237 libwxgtk-media3.0-0v5              	       0        9        0        0        9
43238 libwxgtk-media3.0-dev              	       0        4        0        0        4
43239 libwxgtk-media3.0-gtk3-0v5         	       0       27        0        0       27
43240 libwxgtk-media3.0-gtk3-dev         	       0       24        0        0       24
43241 libwxgtk-media3.2-1                	       0       42        0        0       42
43242 libwxgtk-media3.2-1t64             	       0        6        0        0        6
43243 libwxgtk-media3.2-dev              	       0       44        0        0       44
43244 libwxgtk-webview3.0-0v5            	       0        3        0        0        3
43245 libwxgtk-webview3.0-dev            	       0        1        0        0        1
43246 libwxgtk-webview3.0-gtk3-0v5       	       0       12        0        2       10
43247 libwxgtk-webview3.0-gtk3-dev       	       0        7        0        0        7
43248 libwxgtk-webview3.2-0              	       0        1        0        0        1
43249 libwxgtk-webview3.2-1              	       0       75        0        1       74
43250 libwxgtk-webview3.2-1t64           	       0       10        0        0       10
43251 libwxgtk-webview3.2-dev            	       0       10        0        0       10
43252 libwxgtk2.6-0                      	       0        1        0        0        1
43253 libwxgtk2.8-0                      	       0       12        0        1       11
43254 libwxgtk2.8-dbg                    	       0        1        0        0        1
43255 libwxgtk2.8-dev                    	       0        5        0        0        5
43256 libwxgtk3.0-0                      	       0        5        0        0        5
43257 libwxgtk3.0-0-unofficial           	       0        2        0        0        2
43258 libwxgtk3.0-0v5                    	       0      142        1        0      141
43259 libwxgtk3.0-dbg                    	       0        1        0        0        1
43260 libwxgtk3.0-dev                    	       0        8        0        0        8
43261 libwxgtk3.0-gtk3-0v5               	       0      275        2        6      267
43262 libwxgtk3.0-gtk3-dev               	       0       51        0        0       51
43263 libwxgtk3.1-0-unofficial3          	       0        1        0        0        1
43264 libwxgtk3.1unofficial3-dev         	       0        1        0        1        0
43265 libwxgtk3.2-0                      	       0        1        0        0        1
43266 libwxgtk3.2-1                      	       0      728       10       20      698
43267 libwxgtk3.2-dev                    	       0       64        0        0       64
43268 libwxsmithlib-dev                  	       0        5        1        4        0
43269 libwxsmithlib0                     	       0       17        0        1       16
43270 libwxsmithlib0t64                  	       0        2        0        0        2
43271 libwxsqlite3-3.0-0                 	       0        9        0        0        9
43272 libwxsqlite3-3.0-dev               	       0        5        0        1        4
43273 libwxsqlite3-3.2-0                 	       0       24        1        0       23
43274 libwxsqlite3-3.2-dev               	       0        5        0        5        0
43275 libwxsvg-dev                       	       0        6        0        6        0
43276 libwxsvg-tools                     	       0        7        0        7        0
43277 libwxsvg3                          	       0       15        0        0       15
43278 libx11-data                        	       0     4106        0        0     4106
43279 libx11-doc                         	       0      232        0        0      232
43280 libx11-freedesktop-desktopentry-perl	       0        3        0        3        0
43281 libx11-guitest-perl                	       0        3        0        0        3
43282 libx11-keyboard-perl               	       0        4        0        4        0
43283 libx11-protocol-other-perl         	       0       40        0       40        0
43284 libx11-windowhierarchy-perl        	       0        4        0        4        0
43285 libx11-xcb-perl                    	       0        6        0        0        6
43286 libx264-112                        	       0        3        0        0        3
43287 libx264-118                        	       0        5        0        0        5
43288 libx264-120                        	       0        4        0        1        3
43289 libx264-123                        	       0       23        0        0       23
43290 libx264-124                        	       0        6        0        0        6
43291 libx264-125                        	       0        1        0        0        1
43292 libx264-129                        	       0        1        0        0        1
43293 libx264-130                        	       0        2        0        0        2
43294 libx264-132                        	       0        7        0        0        7
43295 libx264-138                        	       0        1        0        0        1
43296 libx264-140                        	       0        1        0        0        1
43297 libx264-142                        	       0       81        0        2       79
43298 libx264-146                        	       0       12        0        0       12
43299 libx264-148                        	       0      336        0        2      334
43300 libx264-150                        	       0        7        0        0        7
43301 libx264-152                        	       0        6        0        0        6
43302 libx264-155                        	       0      209        2        2      205
43303 libx264-157                        	       0       13        0        2       11
43304 libx264-161                        	       0        1        0        0        1
43305 libx264-163                        	       0       11        0        0       11
43306 libx264-54                         	       0        1        0        0        1
43307 libx264-93                         	       0        1        0        0        1
43308 libx264-dev                        	       0       51        0       51        0
43309 libx265-102                        	       0        1        0        0        1
43310 libx265-116                        	       0        7        0        0        7
43311 libx265-130                        	       0        2        0        0        2
43312 libx265-146                        	       0        2        0        0        2
43313 libx265-151                        	       0        1        0        0        1
43314 libx265-160                        	       0        3        0        0        3
43315 libx265-165                        	       0      246        2        1      243
43316 libx265-169                        	       0        3        0        0        3
43317 libx265-176                        	       0       11        0        2        9
43318 libx265-179                        	       0        2        0        1        1
43319 libx265-209                        	       0      209       12      117       80
43320 libx265-212                        	       0        5        0        2        3
43321 libx265-31                         	       0        2        0        0        2
43322 libx265-43                         	       0        1        0        0        1
43323 libx265-51                         	       0       11        0        0       11
43324 libx265-59                         	       0        4        0        0        4
43325 libx265-79                         	       0        5        0        0        5
43326 libx265-87                         	       0        7        0        0        7
43327 libx265-95                         	       0      334        0        2      332
43328 libx265-doc                        	       0        4        0        0        4
43329 libx32asan1                        	       0        5        0        0        5
43330 libx32asan3                        	       0        8        0        0        8
43331 libx32asan5                        	       0       17        0        0       17
43332 libx32asan5-i386-cross             	       0        1        0        0        1
43333 libx32asan6                        	       0       93        0        0       93
43334 libx32asan6-i386-cross             	       0        1        0        0        1
43335 libx32asan8                        	       0      141        0        0      141
43336 libx32asan8-i386-cross             	       0        3        0        0        3
43337 libx32atomic1                      	       0      190        0        0      190
43338 libx32atomic1-dbgsym               	       0        1        0        1        0
43339 libx32atomic1-i386-cross           	       0        4        0        0        4
43340 libx32cilkrts5                     	       0       10        0        0       10
43341 libx32gcc-10-dev                   	       0       87        0        0       87
43342 libx32gcc-11-dev                   	       0        8        0        0        8
43343 libx32gcc-11-dev-i386-cross        	       0        1        0        0        1
43344 libx32gcc-12-dev                   	       0      128        0        0      128
43345 libx32gcc-12-dev-i386-cross        	       0        2        0        0        2
43346 libx32gcc-13-dev                   	       0       19        0        0       19
43347 libx32gcc-14-dev                   	       0       24        0        0       24
43348 libx32gcc-14-dev-i386-cross        	       0        1        0        0        1
43349 libx32gcc-4.7-dev                  	       0        1        0        0        1
43350 libx32gcc-4.9-dev                  	       0        5        0        0        5
43351 libx32gcc-6-dev                    	       0        8        0        0        8
43352 libx32gcc-8-dev                    	       0       10        0        0       10
43353 libx32gcc-8-dev-i386-cross         	       0        1        0        0        1
43354 libx32gcc-9-dev                    	       0        3        0        0        3
43355 libx32gcc-s1                       	       0      172        0        0      172
43356 libx32gcc-s1-dbgsym                	       0        1        0        1        0
43357 libx32gcc-s1-i386-cross            	       0        3        0        0        3
43358 libx32gcc1                         	       0       17        0        0       17
43359 libx32gcc1-i386-cross              	       0        1        0        0        1
43360 libx32gfortran-10-dev              	       0       13        0        0       13
43361 libx32gfortran-11-dev              	       0        2        0        0        2
43362 libx32gfortran-12-dev              	       0       15        0        0       15
43363 libx32gfortran-13-dev              	       0        3        0        0        3
43364 libx32gfortran-14-dev              	       0        5        0        0        5
43365 libx32gfortran-4.9-dev             	       0        1        0        0        1
43366 libx32gfortran-6-dev               	       0        1        0        0        1
43367 libx32gfortran-8-dev               	       0        1        0        0        1
43368 libx32gfortran3                    	       0        1        0        0        1
43369 libx32gfortran5                    	       0       22        0        0       22
43370 libx32gfortran5-dbgsym             	       0        1        0        1        0
43371 libx32go-11-dev-i386-cross         	       0        1        0        0        1
43372 libx32go-13-dev                    	       0        1        0        0        1
43373 libx32go19-i386-cross              	       0        1        0        0        1
43374 libx32go22                         	       0        1        0        0        1
43375 libx32gomp1                        	       0      191        0        0      191
43376 libx32gomp1-dbgsym                 	       0        1        0        1        0
43377 libx32gomp1-i386-cross             	       0        4        0        0        4
43378 libx32gphobos-13-dev               	       0        1        0        0        1
43379 libx32gphobos4                     	       0        1        0        0        1
43380 libx32gphobos4-dbgsym              	       0        1        0        1        0
43381 libx32itm1                         	       0      191        0        0      191
43382 libx32itm1-dbgsym                  	       0        1        0        1        0
43383 libx32itm1-i386-cross              	       0        4        0        0        4
43384 libx32objc-12-dev                  	       0        1        0        0        1
43385 libx32objc-13-dev                  	       0        1        0        0        1
43386 libx32objc-14-dev                  	       0        1        0        0        1
43387 libx32objc-4.9-dev                 	       0        1        0        0        1
43388 libx32objc-6-dev                   	       0        1        0        0        1
43389 libx32objc4                        	       0        4        0        0        4
43390 libx32objc4-dbgsym                 	       0        1        0        1        0
43391 libx32quadmath0                    	       0      190        0        0      190
43392 libx32quadmath0-dbgsym             	       0        1        0        1        0
43393 libx32quadmath0-i386-cross         	       0        4        0        0        4
43394 libx32stdc++-10-dev                	       0       34        0       34        0
43395 libx32stdc++-11-dev                	       0        5        0        5        0
43396 libx32stdc++-13-dev                	       0        9        0        9        0
43397 libx32stdc++-4.9-dev               	       0        2        0        2        0
43398 libx32stdc++-6-dev                 	       0        2        0        2        0
43399 libx32stdc++-8-dev                 	       0        5        0        5        0
43400 libx32stdc++6                      	       0      187        0        0      187
43401 libx32stdc++6-10-dbg               	       0        1        0        1        0
43402 libx32stdc++6-12-dbg               	       0        1        0        1        0
43403 libx32stdc++6-dbgsym               	       0        1        0        1        0
43404 libx32stdc++6-i386-cross           	       0        4        0        0        4
43405 libx32ubsan0                       	       0       10        0        0       10
43406 libx32ubsan1                       	       0      179        0        0      179
43407 libx32ubsan1-i386-cross            	       0        4        0        0        4
43408 libx86-1                           	       0     1588        0        0     1588
43409 libx86-dev                         	       0        1        0        1        0
43410 libx86emu1                         	       0       19        0        0       19
43411 libx86emu2                         	       0       15        0        0       15
43412 libx86emu3                         	       0      310        0        0      310
43413 libxalan-c-doc                     	       0        1        0        0        1
43414 libxalan-c111                      	       0        4        0        0        4
43415 libxalan-c112                      	       0       11        0        0       11
43416 libxalan2-java                     	       0      215        0        0      215
43417 libxalan2-java-doc                 	       0        8        0        8        0
43418 libxalan2-java-gcj                 	       0        1        0        1        0
43419 libxapian15                        	       0        5        0        0        5
43420 libxapp-dev                        	       0        2        0        2        0
43421 libxatracker-amdgpu-dev            	       0        1        0        1        0
43422 libxatracker-dev                   	       0        3        0        3        0
43423 libxatracker2-amdgpu               	       0        9        0        0        9
43424 libxavs2-13                        	       0       74       11       47       16
43425 libxavs2-dev                       	       0        1        0        1        0
43426 libxaw-doc                         	       0        3        0        0        3
43427 libxaw3dxft6                       	       0       57        0        0       57
43428 libxaw3dxft6t64                    	       0        2        0        0        2
43429 libxaw3dxft8                       	       0        5        0        0        5
43430 libxaw3dxft8-dev                   	       0        4        0        4        0
43431 libxaw6                            	       0        2        0        0        2
43432 libxbae-dev                        	       0        3        0        3        0
43433 libxbae4m                          	       0       26        0        0       26
43434 libxbase2.0-0                      	       0        2        0        0        2
43435 libxbase2.0-bin                    	       0        1        0        1        0
43436 libxbase2.0-dev                    	       0        2        0        2        0
43437 libxbase64-1                       	       0        2        0        0        2
43438 libxbase64-bin                     	       0        1        0        1        0
43439 libxbase64-dev                     	       0        2        0        2        0
43440 libxbase64-doc                     	       0        2        0        0        2
43441 libxbean-java                      	       0        7        0        0        7
43442 libxbean-reflect-java              	       0       70        0        0       70
43443 libxc-dev                          	       0        1        0        1        0
43444 libxc5                             	       0        1        0        0        1
43445 libxc9                             	       0        2        0        0        2
43446 libxcb-atom1                       	       0        6        0        0        6
43447 libxcb-aux0                        	       0        6        0        0        6
43448 libxcb-build-deps-depends          	       0        1        0        0        1
43449 libxcb-doc                         	       0       17        0        0       17
43450 libxcb-dpms0-dev                   	       0       16        0       16        0
43451 libxcb-dri2-0-dev                  	       0      113        1      112        0
43452 libxcb-dri3-dev                    	       0      120        1      119        0
43453 libxcb-errors0                     	       0       15        1        1       13
43454 libxcb-event1                      	       0        6        0        0        6
43455 libxcb-imdkit-dev                  	       0        6        0        6        0
43456 libxcb-imdkit0                     	       0        2        0        0        2
43457 libxcb-imdkit1                     	       0       29        1       14       14
43458 libxcb-record0-dev                 	       0       10        0       10        0
43459 libxcb-screensaver0                	       0      290       15       63      212
43460 libxcb-screensaver0-dev            	       0       14        0       14        0
43461 libxcb-sync0                       	       0        1        0        0        1
43462 libxcb-util0                       	       0      308        2        3      303
43463 libxcb-util0-dev                   	       0       36        0        3       33
43464 libxcb-util1-dbgsym                	       0        1        0        1        0
43465 libxcb-xf86dri0                    	       0       48        0        0       48
43466 libxcb-xf86dri0-dev                	       0       14        0       14        0
43467 libxcb-xinput-dev                  	       0       23        0       23        0
43468 libxcb-xinput0-dbgsym              	       0        1        0        1        0
43469 libxcb-xrm0                        	       0      237       20       67      150
43470 libxcb-xtest0                      	       0      291        5       15      271
43471 libxcb-xv0                         	       0     1755        0        8     1747
43472 libxcb-xv0-dev                     	       0       22        0       22        0
43473 libxcb-xvmc0                       	       0        9        0        0        9
43474 libxcb-xvmc0-dev                   	       0        8        0        8        0
43475 libxcb1-dbgsym                     	       0        1        0        1        0
43476 libxcomp-dev                       	       0        1        0        1        0
43477 libxcomp3                          	       0      113        2        5      106
43478 libxcomp3t64                       	       0        8        0        1        7
43479 libxcompext3                       	       0        2        0        0        2
43480 libxcompshad-dev                   	       0        1        0        1        0
43481 libxcompshad3                      	       0       68        2        3       63
43482 libxcompshad3t64                   	       0        4        0        1        3
43483 libxcrypt-dev                      	       0        1        0        1        0
43484 libxcrypt-source                   	       0        3        0        3        0
43485 libxcrypt1                         	       0        4        0        0        4
43486 libxdelta2                         	       0      190        0        0      190
43487 libxdelta2t64                      	       0       30        0        0       30
43488 libxdf0                            	       0        4        0        0        4
43489 libxdg-basedir-dev                 	       0        4        0        4        0
43490 libxdg-basedir1                    	       0       85        3       13       69
43491 libxdg-basedir1-dbg                	       0        1        0        1        0
43492 libxdmf2                           	       0        1        0        0        1
43493 libxdo-dev                         	       0        1        0        1        0
43494 libxdo2                            	       0        1        0        0        1
43495 libxdo3                            	       0      492       12       31      449
43496 libxdot4                           	       0      176        0        0      176
43497 libxdp-dev                         	       0        2        0        2        0
43498 libxdp1                            	       0       21        3        8       10
43499 libxen-4.1                         	       0        6        0        0        6
43500 libxen-4.3                         	       0        1        0        0        1
43501 libxen-4.4                         	       0       21        0        0       21
43502 libxen-4.8                         	       0       36        0        0       36
43503 libxen-dev                         	       0       13        0       13        0
43504 libxencall1t64                     	       0       15        4       10        1
43505 libxendevicemodel1t64              	       0       15        4       10        1
43506 libxenevtchn1t64                   	       0       15        4       10        1
43507 libxenforeignmemory1t64            	       0       15        4       10        1
43508 libxengnttab1t64                   	       0       15        4       10        1
43509 libxenhypfs1t64                    	       0       15        4       10        1
43510 libxenmisc4.11                     	       0       57        0        1       56
43511 libxenmisc4.14                     	       0      127       15       53       59
43512 libxenmisc4.16                     	       0        5        0        2        3
43513 libxenmisc4.17t64                  	       0       16        4       11        1
43514 libxenstore3.0                     	       0      228       15       54      159
43515 libxenstore4t64                    	       0       20        8       11        1
43516 libxentoolcore1t64                 	       0       15        4       10        1
43517 libxentoollog1t64                  	       0       15        4       10        1
43518 libxerces-c-dev                    	       0       48        0        0       48
43519 libxerces-c-doc                    	       0        5        0        0        5
43520 libxerces-c-samples                	       0        1        0        1        0
43521 libxerces-c2-dev                   	       0        1        0        1        0
43522 libxerces-c28                      	       0        3        0        0        3
43523 libxerces-c3.1                     	       0       39        0        0       39
43524 libxerces-c3.2                     	       0      976        4       13      959
43525 libxerces-c3.2t64                  	       0       84        0        0       84
43526 libxerces2-java                    	       0      582        0        0      582
43527 libxerces2-java-doc                	       0        5        0        0        5
43528 libxerces2-java-gcj                	       0        2        0        2        0
43529 libxerces27                        	       0        1        0        0        1
43530 libxerial-sqlite-jdbc-java         	       0        2        0        0        2
43531 libxerial-sqlite-jdbc-jni          	       0        2        0        0        2
43532 libxeus-python0                    	       0        1        0        0        1
43533 libxeus6                           	       0        1        0        0        1
43534 libxevie1                          	       0        1        0        0        1
43535 libxext-doc                        	       0       12        0        0       12
43536 libxfce4mcs-client3                	       0        2        0        0        2
43537 libxfce4mcs-manager3               	       0        2        0        0        2
43538 libxfce4menu-0.1-0                 	       0        1        0        0        1
43539 libxfce4panel-2.0-4-dbgsym         	       0        2        0        2        0
43540 libxfce4ui-1-0                     	       0      442        0        0      442
43541 libxfce4ui-1-dev                   	       0        7        0        7        0
43542 libxfce4ui-2-0-dbgsym              	       0        2        0        2        0
43543 libxfce4ui-build-deps              	       0        1        0        0        1
43544 libxfce4ui-glade                   	       0        5        0        0        5
43545 libxfce4ui-glade-dbgsym            	       0        1        0        1        0
43546 libxfce4ui-nocsd-2-0               	       0        1        0        0        1
43547 libxfce4ui-utils-dbgsym            	       0        2        0        2        0
43548 libxfce4util-bin-dbgsym            	       0        2        0        2        0
43549 libxfce4util-build-deps            	       0        1        0        0        1
43550 libxfce4util-common                	       0     2121        0        1     2120
43551 libxfce4util4                      	       0        8        0        0        8
43552 libxfce4util6                      	       0       23        0        0       23
43553 libxfce4util7-dbgsym               	       0        2        0        2        0
43554 libxfce4windowing-common           	       0       60        1        0       59
43555 libxfcegui4-4                      	       0       24        0        0       24
43556 libxfcegui4-dev                    	       0        2        0        2        0
43557 libxfconf-0-2                      	       0      422        1        7      414
43558 libxfconf-0-3-dbgsym               	       0        1        0        1        0
43559 libxfont1                          	       0      120        0        0      120
43560 libxfont1-dev                      	       0        3        0        3        0
43561 libxfontp1                         	       0        1        0        0        1
43562 libxfreerdp-client1.1              	       0       27        0        0       27
43563 libxgboost0                        	       0        1        0        0        1
43564 libxgks2                           	       0        1        0        0        1
43565 libxgks2-data                      	       0        1        0        1        0
43566 libxine-dev                        	       0        1        0        1        0
43567 libxine1                           	       0        3        0        0        3
43568 libxine1-bin                       	       0        6        0        6        0
43569 libxine1-console                   	       0        5        0        5        0
43570 libxine1-doc                       	       0        1        0        0        1
43571 libxine1-ffmpeg                    	       0        4        0        4        0
43572 libxine1-gnome                     	       0        2        0        2        0
43573 libxine1-misc-plugins              	       0        3        0        3        0
43574 libxine1-plugins                   	       0        1        0        0        1
43575 libxine1-x                         	       0        4        0        4        0
43576 libxine2                           	       0       95        0        0       95
43577 libxine2-all-plugins               	       0        2        0        0        2
43578 libxine2-console                   	       0       16        0        1       15
43579 libxine2-dev                       	       0        3        0        3        0
43580 libxine2-doc                       	       0       40        0        0       40
43581 libxine2-ffmpeg                    	       0      109        0        6      103
43582 libxine2-gnome                     	       0        5        0        1        4
43583 libxine2-misc-plugins              	       0      104        0        6       98
43584 libxine2-plugins                   	       0       94        0        0       94
43585 libxine2-x                         	       0       95        0        5       90
43586 libxine2-xvdr                      	       0        3        0        0        3
43587 libxineliboutput-sxfe              	       0        2        0        2        0
43588 libxisf0                           	       0        1        0        0        1
43589 libxkbcommon-doc                   	       0        2        0        0        2
43590 libxkbcommon-tools                 	       0        3        0        3        0
43591 libxkbregistry-dev                 	       0        7        1        6        0
43592 libxkbui1                          	       0        2        0        0        2
43593 libxklavier10                      	       0        1        0        0        1
43594 libxklavier12                      	       0        2        0        0        2
43595 libxlsxwriter-dev                  	       0        1        0        1        0
43596 libxlsxwriter4                     	       0       11        0        0       11
43597 libxlsxwriter5                     	       0        1        0        0        1
43598 libxm4                             	       0      403        3       15      385
43599 libxmhtml-dev                      	       0        2        0        2        0
43600 libxmhtml1.1                       	       0       24        0        0       24
43601 libxmhtml1.1t64                    	       0        2        0        0        2
43602 libxml++2.6-2                      	       0        1        0        0        1
43603 libxml++2.6-2v5                    	       0      377        0        1      376
43604 libxml++2.6-dbg                    	       0        1        0        1        0
43605 libxml++2.6-dev                    	       0       16        0       16        0
43606 libxml++2.6-doc                    	       0        1        0        1        0
43607 libxml-atom-owl-perl               	       0        2        0        2        0
43608 libxml-atom-perl                   	       0       12        0       12        0
43609 libxml-atom-service-perl           	       0        1        0        1        0
43610 libxml-bare-perl                   	       0        1        0        0        1
43611 libxml-catalog-perl                	       0        6        0        6        0
43612 libxml-checker-perl                	       0        2        0        2        0
43613 libxml-commonns-perl               	       0        5        0        5        0
43614 libxml-commons-external-java       	       0      935        0        0      935
43615 libxml-commons-resolver1.1-java    	       0      740        0        0      740
43616 libxml-commons-resolver1.1-java-doc	       0        5        0        0        5
43617 libxml-compacttree-perl            	       0        1        0        1        0
43618 libxml-compile-perl                	       0        1        0        1        0
43619 libxml-descent-perl                	       0        9        0        9        0
43620 libxml-dom-xpath-perl              	       0        4        0        4        0
43621 libxml-dt-perl                     	       0        2        0        2        0
43622 libxml-dtdparser-perl              	       0        2        0        2        0
43623 libxml-dumper-perl                 	       0       11        1       10        0
43624 libxml-feed-perl                   	       0       12        0       12        0
43625 libxml-feedpp-mediarss-perl        	       0        1        0        1        0
43626 libxml-feedpp-perl                 	       0        1        0        1        0
43627 libxml-filter-buffertext-perl      	       0        7        0        7        0
43628 libxml-generator-perl              	       0        3        0        3        0
43629 libxml-grove-perl                  	       0        3        0        3        0
43630 libxml-handler-trees-perl          	       0        6        0        6        0
43631 libxml-handler-yawriter-perl       	       0        1        0        1        0
43632 libxml-java                        	       0      743        0        0      743
43633 libxml-libxml-lazybuilder-perl     	       0        3        0        3        0
43634 libxml-libxml-perl                 	       0     1382       14       41     1327
43635 libxml-libxslt-perl                	       0      153        1        1      151
43636 libxml-maven-plugin-java           	       0        1        0        0        1
43637 libxml-mini-perl                   	       0        1        0        1        0
43638 libxml-namespace-perl              	       0        4        0        4        0
43639 libxml-namespacefactory-perl       	       0        5        0        5        0
43640 libxml-opml-perl                   	       0        1        0        1        0
43641 libxml-parser-easytree-perl        	       0        1        0        1        0
43642 libxml-parser-lite-perl            	       0        1        0        1        0
43643 libxml-parser-lite-tree-perl       	       0        3        1        2        0
43644 libxml-parser-perl                 	       0     3422        8       10     3404
43645 libxml-regexp-perl                 	       0       49        2       47        0
43646 libxml-rss-feed-perl               	       0        1        0        1        0
43647 libxml-rss-libxml-perl             	       0        3        0        3        0
43648 libxml-rss-simplegen-perl          	       0        1        0        1        0
43649 libxml-rsslite-perl                	       0        1        0        1        0
43650 libxml-ruby                        	       0        1        0        0        1
43651 libxml-ruby1.9.1                   	       0        1        0        0        1
43652 libxml-sax-expatxs-perl            	       0       34        0        0       34
43653 libxml-sax-writer-perl             	       0        7        0        7        0
43654 libxml-security-c17v5              	       0        7        0        0        7
43655 libxml-security-c20                	       0        3        0        0        3
43656 libxml-security-java               	       0        5        0        0        5
43657 libxml-semanticdiff-perl           	       0        1        0        1        0
43658 libxml-simpleobject-libxml-perl    	       0        9        1        8        0
43659 libxml-simpleobject-perl           	       0        1        0        1        0
43660 libxml-smart-perl                  	       0        1        0        1        0
43661 libxml-stream-perl                 	       0       10        2        8        0
43662 libxml-struct-perl                 	       0        1        0        1        0
43663 libxml-structured-perl             	       0        1        0        1        0
43664 libxml-tidy-perl                   	       0        2        0        2        0
43665 libxml-tokeparser-perl             	       0       11        0       11        0
43666 libxml-treebuilder-perl            	       0        6        0        6        0
43667 libxml-treepp-perl                 	       0       30        4       26        0
43668 libxml-treepuller-perl             	       0        1        0        1        0
43669 libxml-writer-simple-perl          	       0        2        0        2        0
43670 libxml-writer-string-perl          	       0        2        0        2        0
43671 libxml1                            	       0        1        0        0        1
43672 libxml2-dbg                        	       0        1        0        1        0
43673 libxml2-doc                        	       0        8        0        0        8
43674 libxml2-utils-dbg                  	       0        1        0        1        0
43675 libxmlada-doc                      	       0        1        0        0        1
43676 libxmlada-dom10-dev                	       0        1        0        0        1
43677 libxmlada-dom12-dev                	       0        1        0        0        1
43678 libxmlada-dom4.5.2015              	       0        2        0        0        2
43679 libxmlada-dom5                     	       0        1        0        0        1
43680 libxmlada-dom7                     	       0        2        0        0        2
43681 libxmlada-dom8                     	       0        7        0        0        7
43682 libxmlada-input-sources4.5.2015    	       0        2        0        0        2
43683 libxmlada-input10-dev              	       0        1        0        0        1
43684 libxmlada-input12-dev              	       0        1        0        0        1
43685 libxmlada-input5                   	       0        1        0        0        1
43686 libxmlada-input7                   	       0        9        0        0        9
43687 libxmlada-sax10-dev                	       0        1        0        0        1
43688 libxmlada-sax12-dev                	       0        1        0        0        1
43689 libxmlada-sax4.5.2015              	       0        2        0        0        2
43690 libxmlada-sax5                     	       0        1        0        0        1
43691 libxmlada-sax7                     	       0        9        0        0        9
43692 libxmlada-schema10-dev             	       0        1        0        0        1
43693 libxmlada-schema12-dev             	       0        1        0        0        1
43694 libxmlada-schema4.5.2015           	       0        2        0        0        2
43695 libxmlada-schema5                  	       0        1        0        0        1
43696 libxmlada-schema7                  	       0        9        0        0        9
43697 libxmlada-unicode10-dev            	       0        1        0        0        1
43698 libxmlada-unicode12-dev            	       0        1        0        0        1
43699 libxmlada-unicode4.5.2015          	       0        2        0        0        2
43700 libxmlada-unicode5                 	       0        1        0        0        1
43701 libxmlada-unicode7                 	       0        9        0        0        9
43702 libxmlb-dev                        	       0       14        0       14        0
43703 libxmlbeans-java                   	       0      363        0        0      363
43704 libxmlbeans-maven-plugin-java      	       0        1        0        0        1
43705 libxmlbird1                        	       0        7        0        0        7
43706 libxmlbird1t64                     	       0        3        0        0        3
43707 libxmlezout7                       	       0        4        0        0        4
43708 libxmlgraphics-commons-java        	       0      606        0        0      606
43709 libxmlgraphics-commons-java-doc    	       0        8        0        0        8
43710 libxmlm-ocaml-dev                  	       0        1        0        1        0
43711 libxmlplaylist-ocaml-dev           	       0        1        0        1        0
43712 libxmlrpc-c++8-dev                 	       0        1        0        1        0
43713 libxmlrpc-c++8v5                   	       0        2        0        0        2
43714 libxmlrpc-core-c3                  	       0       92        0        4       88
43715 libxmlrpc-core-c3-dbgsym           	       0        1        0        1        0
43716 libxmlrpc-core-c3-dev              	       0        5        0        5        0
43717 libxmlrpc-core-c3-dev-dbgsym       	       0        1        0        1        0
43718 libxmlrpc-core-c3t64               	       0       16        0        0       16
43719 libxmlrpc-epi-dev                  	       0        1        0        1        0
43720 libxmlrpc-epi0                     	       0       33        5        7       21
43721 libxmlrpc-epi0t64                  	       0        2        1        0        1
43722 libxmlrpc-util-dev                 	       0        1        0        0        1
43723 libxmlrpc-util4                    	       0       16        0        0       16
43724 libxmlrpc3-common-java             	       0        1        0        0        1
43725 libxmlrpcpp-dev                    	       0        3        0        3        0
43726 libxmlrpcpp3d                      	       0        4        0        0        4
43727 libxmlsec1-dev                     	       0       11        0       11        0
43728 libxmlsec1-doc                     	       0        3        0        0        3
43729 libxmlsec1-gcrypt                  	       0       26        0        0       26
43730 libxmlsec1-gnutls                  	       0       26        0        0       26
43731 libxmlsec1t64                      	       0      213        3        8      202
43732 libxmlsec1t64-gcrypt               	       0        1        0        0        1
43733 libxmlsec1t64-gnutls               	       0        1        0        0        1
43734 libxmlsec1t64-nss                  	       0      190        3        4      183
43735 libxmlsec1t64-openssl              	       0       72        0        4       68
43736 libxmltok1                         	       0        7        0        0        7
43737 libxmltok1-dev                     	       0        3        0        3        0
43738 libxmltooling10                    	       0        1        0        0        1
43739 libxmltv-perl                      	       0       17        1       16        0
43740 libxmlunit-java                    	       0       28        0        0       28
43741 libxmmsclient++-dev                	       0        1        0        1        0
43742 libxmmsclient++4v5                 	       0        2        0        0        2
43743 libxmmsclient-dev                  	       0        3        0        3        0
43744 libxmmsclient-glib1                	       0       79        0        3       76
43745 libxmmsclient6                     	       0      100        1        3       96
43746 libxmp-dev                         	       0        3        0        3        0
43747 libxmp4                            	       0       56        0        0       56
43748 libxmpcore-java                    	       0       70        0        0       70
43749 libxmpi4                           	       0        1        0        0        1
43750 libxmpi4-dev                       	       0        1        0        1        0
43751 libxmu-dev                         	       0      158        0        0      158
43752 libxmuu-dev                        	       0       60        0        0       60
43753 libxmuu1                           	       0     4034        1        0     4033
43754 libxnee0                           	       0       10        0        0       10
43755 libxneur                           	       0        1        0        0        1
43756 libxnnpack0                        	       0      104        0        0      104
43757 libxnnpack0.20241108               	       0       15        0        0       15
43758 libxnvctrl-dev                     	       0       12        0       12        0
43759 libxom-java                        	       0      445        0        0      445
43760 libxom-java-doc                    	       0        4        0        0        4
43761 libxosd-dev                        	       0        5        1        4        0
43762 libxosd2                           	       0       61        0        1       60
43763 libxp-dev                          	       0        5        0        0        5
43764 libxp6                             	       0       24        0        0       24
43765 libxp6-dbg                         	       0        1        0        1        0
43766 libxpa-dev                         	       0        1        0        1        0
43767 libxpa1                            	       0       16        0        0       16
43768 libxplc0.3.13                      	       0        3        0        0        3
43769 libxplc0.3.13-dev                  	       0        1        0        1        0
43770 libxpp2-java                       	       0      182        0        0      182
43771 libxpp3-java                       	       0      272        0        0      272
43772 libxpresent-build-deps             	       0        1        0        0        1
43773 libxpresent-dev                    	       0       21        0       21        0
43774 libxpresent1-dbgsym                	       0        1        0        1        0
43775 libxprintapputil1                  	       0        3        0        0        3
43776 libxprintutil1                     	       0        3        0        0        3
43777 libxqdbm3t64                       	       0        1        0        0        1
43778 libxrd-parser-perl                 	       0        1        0        1        0
43779 libxrdapputils2                    	       0        1        0        0        1
43780 libxrdcl3                          	       0        2        0        0        2
43781 libxrdcrypto2                      	       0        2        0        0        2
43782 libxrdcryptolite2                  	       0        2        0        0        2
43783 libxrdesktop-0.15-0                	       0        2        0        0        2
43784 libxrdffs3                         	       0        2        0        0        2
43785 libxrdhttputils2                   	       0        1        0        0        1
43786 libxrdposix3                       	       0        2        0        0        2
43787 libxrdserver3                      	       0        1        0        0        1
43788 libxrdssilib2                      	       0        1        0        0        1
43789 libxrdssishmap2                    	       0        1        0        0        1
43790 libxrdutils3                       	       0        2        0        0        2
43791 libxrdxml3                         	       0        2        0        0        2
43792 libxrootd-client-dev               	       0        1        0        0        1
43793 libxrootd-dev                      	       0        1        0        1        0
43794 libxrootd-private-dev              	       0        1        0        0        1
43795 libxrootd-server-dev               	       0        1        0        0        1
43796 libxs-object-magic-perl            	       0        6        0        0        6
43797 libxs-parse-keyword-perl           	       0      584        0        0      584
43798 libxs-parse-sublike-perl           	       0      257        0        0      257
43799 libxsettings-client-dev            	       0        1        0        1        0
43800 libxsettings-client0               	       0       42        0        0       42
43801 libxsettings-dev                   	       0        1        0        1        0
43802 libxsettings0                      	       0        1        0        0        1
43803 libxshmfence-dev                   	       0      108        1      107        0
43804 libxsimd-dev                       	       0      410        0        0      410
43805 libxsimd-doc                       	       0        1        0        0        1
43806 libxsltc-java                      	       0        9        0        0        9
43807 libxslthl-java                     	       0        5        0        0        5
43808 libxsom-java                       	       0      339        0        0      339
43809 libxstream-java                    	       0       87        0        0       87
43810 libxstring-perl                    	       0      938        4        6      928
43811 libxt-doc                          	       0        7        0        0        7
43812 libxt-java                         	       0        1        0        0        1
43813 libxtables-dev                     	       0       13        1       12        0
43814 libxtables10                       	       0      226        0        0      226
43815 libxtables11                       	       0        1        0        0        1
43816 libxtc-rats-java                   	       0        2        0        0        2
43817 libxtensor-dev                     	       0        3        0        0        3
43818 libxtrap-dev                       	       0        1        0        1        0
43819 libxtrap6                          	       0        1        0        0        1
43820 libxtrx0                           	       0       35        0        2       33
43821 libxtrxdsp0                        	       0       35        0        2       33
43822 libxtrxll0                         	       0       30        1       29        0
43823 libxtrxll0t64                      	       0        5        0        5        0
43824 libxul-common                      	       0        2        0        2        0
43825 libxul0d                           	       0        1        0        1        0
43826 libxv1                             	       0     3541       33       68     3440
43827 libxvidcore-dev                    	       0       29        0       29        0
43828 libxvmc1                           	       0     3141        3        5     3133
43829 libxwiimote2                       	       0        4        0        1        3
43830 libxxf86dga1                       	       0     3443        4        8     3431
43831 libxxf86misc-dev                   	       0        1        0        1        0
43832 libxxf86misc1                      	       0        1        0        0        1
43833 libxxf86vm1-dbg                    	       0        1        0        1        0
43834 libxxhash0-dbgsym                  	       0        1        0        1        0
43835 libxxx-perl                        	       0       27        0       27        0
43836 libxy3v5                           	       0        3        0        0        3
43837 libxz-java                         	       0      626        0        0      626
43838 liby2-14                           	       0        1        0        0        1
43839 libyahoo2-11                       	       0        1        0        0        1
43840 libyajl-doc                        	       0        1        0        0        1
43841 libyajl1                           	       0        2        0        0        2
43842 libyaml-0-2-dbg                    	       0        1        0        1        0
43843 libyaml-cpp0.3                     	       0        2        0        0        2
43844 libyaml-cpp0.3-dev                 	       0        1        0        1        0
43845 libyaml-cpp0.3v5                   	       0       10        0        0       10
43846 libyaml-cpp0.5v5                   	       0       14        1        3       10
43847 libyaml-cpp0.6                     	       0      103        1        5       97
43848 libyaml-cpp0.7                     	       0      263        0        7      256
43849 libyaml-cpp0.8                     	       0       46        0        1       45
43850 libyaml-dev                        	       0       63        2       61        0
43851 libyaml-doc                        	       0        4        0        0        4
43852 libyaml-libyaml-perl               	       0      866        1        1      864
43853 libyaml-ruby                       	       0        1        0        0        1
43854 libyaml-shell-perl                 	       0        8        0        8        0
43855 libyaml-snake-java                 	       0      104        0        0      104
43856 libyaml-snake-java-doc             	       0        2        0        0        2
43857 libyaml-syck-perl                  	       0       48        0        0       48
43858 libyanfs-java                      	       0        9        0        0        9
43859 libyang-dev                        	       0        1        0        1        0
43860 libyang-tools                      	       0        1        0        0        1
43861 libyang1                           	       0        2        0        1        1
43862 libyang2                           	       0        7        2        2        3
43863 libyang2-dev                       	       0        1        0        1        0
43864 libyang2-tools                     	       0        1        0        1        0
43865 libyara-dev                        	       0        1        0        1        0
43866 libyara10                          	       0        8        0        0        8
43867 libyara3                           	       0       17        0        0       17
43868 libyara4                           	       0       29        0        0       29
43869 libyara8                           	       0        1        0        0        1
43870 libyara9                           	       0       81        0        0       81
43871 libyascreen-dev                    	       0        4        0        4        0
43872 libyascreen0                       	       0       36        0        0       36
43873 libyascreen1                       	       0        1        0        0        1
43874 libyascreen1-dbgsym                	       0        1        0        1        0
43875 libyaz-dev                         	       0        2        0        2        0
43876 libyaz4                            	       0        4        0        0        4
43877 libyaz4-dev                        	       0        1        0        1        0
43878 libyaz5                            	       0       21        1        1       19
43879 libycadgraywolf1                   	       0        6        0        0        6
43880 libyder2.0                         	       0        6        0        0        6
43881 libyder2.0t64                      	       0        1        0        0        1
43882 libyecht-java                      	       0        1        0        0        1
43883 libyelp0                           	       0     1662        0        0     1662
43884 libykclient-dev                    	       0        1        0        1        0
43885 libykclient3                       	       0        4        1        0        3
43886 libykhsmauth2                      	       0        3        0        0        3
43887 libykneomgr0                       	       0        1        0        0        1
43888 libykpers-1-1                      	       0       92        2       13       77
43889 libykpers-1-dev                    	       0        2        0        2        0
43890 libykpiv-dev                       	       0        1        0        1        0
43891 libykpiv1                          	       0        1        0        0        1
43892 libykpiv2                          	       0       17        0        0       17
43893 libyojson-ocaml                    	       0        2        0        2        0
43894 libyojson-ocaml-dev                	       0        2        0        2        0
43895 libytnef0                          	       0      287        2       15      270
43896 libytnef0-dev                      	       0        7        0        7        0
43897 libyubihsm-http2                   	       0        3        0        0        3
43898 libyubihsm-usb2                    	       0        2        0        0        2
43899 libyubihsm2                        	       0        3        0        0        3
43900 libyubikey-dev                     	       0        2        0        2        0
43901 libyubikey-udev                    	       0       89        1       88        0
43902 libyubikey0                        	       0       92        2       10       80
43903 libyuma-base                       	       0        1        0        0        1
43904 libyuma2t64                        	       0        1        0        0        1
43905 libyuv                             	       0        1        0        0        1
43906 libyyjson0                         	       0       21        0        0       21
43907 libz-mingw-w64                     	       0      413        0        0      413
43908 libz-mingw-w64-dev                 	       0       11        0       11        0
43909 libz3-java                         	       0        1        0        0        1
43910 libz3-jni                          	       0        1        0        0        1
43911 libz80ex-dev                       	       0        2        0        2        0
43912 libz80ex1                          	       0        2        0        0        2
43913 libzadc4                           	       0        2        0        0        2
43914 libzapojit-0.0-0                   	       0       43        0        0       43
43915 libzarchive0.1                     	       0        3        0        0        3
43916 libzarith-ocaml                    	       0        5        0        4        1
43917 libzarith-ocaml-dev                	       0        2        0        2        0
43918 libzbar-dev                        	       0       11        0       11        0
43919 libzbar0                           	       0     2813        0        0     2813
43920 libzbar0t64                        	       0      194        0        0      194
43921 libzbargtk0                        	       0       15        0        0       15
43922 libzbarqt0                         	       0        5        0        0        5
43923 libzbarqt0t64                      	       0        1        0        0        1
43924 libzc6                             	       0        2        0        0        2
43925 libzck1                            	       0        4        0        0        4
43926 libze-dev                          	       0        1        0        1        0
43927 libze-intel-gpu-dev                	       0        1        0        1        0
43928 libze-intel-gpu1                   	       0        1        0        0        1
43929 libze1                             	       0        2        0        0        2
43930 libzeitgeist-1.0-1                 	       0        1        0        0        1
43931 libzeitgeist-2.0-dev               	       0        2        0        2        0
43932 libzeitgeist-2.0-doc               	       0        1        0        0        1
43933 libzeitgeist0.8-cil                	       0        2        0        0        2
43934 libzen-dev                         	       0        4        0        4        0
43935 libzen0                            	       0        1        0        0        1
43936 libzen0t64                         	       0       27        0        3       24
43937 libzen0v5                          	       0      169        1       11      157
43938 libzephyr-dev                      	       0        5        0        5        0
43939 libzephyr4                         	       0      428       13       20      395
43940 libzeroc-ice-dev                   	       0        4        0        4        0
43941 libzeroc-ice3.6                    	       0        1        0        0        1
43942 libzeroc-ice3.7                    	       0       19        2       13        4
43943 libzeus-jscl-java                  	       0        1        0        0        1
43944 libzfp1                            	       0        4        0        0        4
43945 libzfp1t64                         	       0        2        0        0        2
43946 libzfs2linux                       	       0        2        0        0        2
43947 libzfs5                            	       0        1        0        1        0
43948 libzfs5-devel                      	       0        2        0        2        0
43949 libzfs6linux                       	       0        1        1        0        0
43950 libzfsbootenv1linux                	       0        4        0        0        4
43951 libzfslinux-dev                    	       0        3        0        3        0
43952 libzia                             	       0        5        0        0        5
43953 libzim-dev                         	       0        1        0        1        0
43954 libzim-git                         	       0        1        0        1        0
43955 libzim6                            	       0        4        0        0        4
43956 libzim8                            	       0       15        0        0       15
43957 libzim9                            	       0        1        0        0        1
43958 libzimg-dev                        	       0       18        0       18        0
43959 libzinnia0                         	       0        6        0        0        6
43960 libzint2.11                        	       0        5        0        0        5
43961 libzint2.13                        	       0        2        0        0        2
43962 libzint2.15                        	       0        1        0        0        1
43963 libzip-ocaml                       	       0        3        0        3        0
43964 libzip-ocaml-dev                   	       0        1        0        1        0
43965 libzip2                            	       0       13        0        0       13
43966 libzip4t64                         	       0       43        0        6       37
43967 libzip5                            	       0       76        3        3       70
43968 libzipios++-dev                    	       0        7        0        7        0
43969 libzipios++-doc                    	       0        1        0        0        1
43970 libzipios++0c2a                    	       0        1        0        0        1
43971 libzipios++0v5                     	       0      151        0        2      149
43972 libzita-alsa-pcmi-dev              	       0        4        0        4        0
43973 libzita-alsa-pcmi0                 	       0      140        0        0      140
43974 libzita-alsa-pcmi0t64              	       0        9        0        0        9
43975 libzita-convolver-dev              	       0        2        0        2        0
43976 libzita-convolver3                 	       0        4        0        0        4
43977 libzita-convolver4                 	       0       97        2        7       88
43978 libzita-resampler-dev              	       0        6        0        6        0
43979 libzita-resampler1                 	       0      144        1        0      143
43980 libzix-0-0                         	       0      232       13       29      190
43981 libzix-dev                         	       0        2        0        2        0
43982 libzlcore-data                     	       0      105        0        0      105
43983 libzlcore-dev                      	       0        4        0        4        0
43984 libzlcore0.10                      	       0        1        0        0        1
43985 libzlcore0.13                      	       0       94        0        0       94
43986 libzlcore0.13t64                   	       0       11        0        0       11
43987 libzltext-data                     	       0      102        0        0      102
43988 libzltext-dev                      	       0        1        0        1        0
43989 libzltext0.10                      	       0        1        0        0        1
43990 libzltext0.13                      	       0       92        0        0       92
43991 libzltext0.13t64                   	       0       10        0        0       10
43992 libzlui-qt4                        	       0        7        0        7        0
43993 libzmf-tools                       	       0        1        0        1        0
43994 libzmq-dev                         	       0        1        0        1        0
43995 libzmq-ffi-perl                    	       0        2        0        2        0
43996 libzmq1                            	       0        2        0        0        2
43997 libzmq3                            	       0       12        0        0       12
43998 libzmq3-dev                        	       0       42        0       42        0
43999 libzn-poly-0.9                     	       0       10        0        0       10
44000 libzn-poly-dev                     	       0        9        0        9        0
44001 libznz-dev                         	       0        3        0        3        0
44002 libznz3                            	       0       18        0        0       18
44003 libzookeeper-java                  	       0        3        0        0        3
44004 libzopfli-dev                      	       0        2        0        2        0
44005 libzopfli1                         	       0       42        0        0       42
44006 libzpool2                          	       0        1        0        0        1
44007 libzpool2linux                     	       0        3        0        0        3
44008 libzpool4linux                     	       0        7        0        0        7
44009 libzpool5                          	       0        1        0        1        0
44010 libzpool5linux                     	       0       70        0        0       70
44011 libzpool6linux                     	       0        1        0        0        1
44012 libzrtpcpp-1.4-0                   	       0        2        0        0        2
44013 libzrtpcpp-dev                     	       0        1        0        1        0
44014 libzrtpcpp2                        	       0        2        0        0        2
44015 libzscanner1                       	       0        1        0        0        1
44016 libzscanner2                       	       0        2        0        0        2
44017 libzscanner3                       	       0        5        0        0        5
44018 libzscanner4                       	       0       12        3        4        5
44019 libzscanner4t64                    	       0        2        0        2        0
44020 libzthread-2.3-2                   	       0        4        0        0        4
44021 libzulucrypt-dev                   	       0        3        0        3        0
44022 libzulucrypt-exe-dev               	       0        2        0        2        0
44023 libzulucrypt-exe1.2.0              	       0       33        0        0       33
44024 libzulucrypt-plugins               	       0        7        0        0        7
44025 libzulucrypt1                      	       0        1        0        0        1
44026 libzulucrypt1.2.0                  	       0       33        0        0       33
44027 libzulucryptpluginmanager-dev      	       0        2        0        2        0
44028 libzulucryptpluginmanager1.0.0     	       0       33        0        2       31
44029 libzvbi-common                     	       0     3384        0        0     3384
44030 libzvbi-dev                        	       0       20        0       20        0
44031 libzvt2                            	       0        1        0        1        0
44032 libzxcvbn-dev                      	       0        1        0        1        0
44033 libzxing-dev                       	       0        1        0        1        0
44034 libzxingcore1                      	       0      106        0        2      104
44035 libzycore-dev                      	       0        1        0        1        0
44036 libzycore1.5                       	       0        1        0        0        1
44037 libzydis-dev                       	       0        1        0        1        0
44038 libzydis-doc                       	       0        1        0        0        1
44039 libzydis4.0                        	       0        4        0        0        4
44040 libzydis4.1                        	       0        1        0        0        1
44041 libzypp-bin                        	       0        2        0        2        0
44042 libzypp-common                     	       0        2        0        0        2
44043 libzypp-config                     	       0        2        0        0        2
44044 libzypp-doc                        	       0        1        0        0        1
44045 libzypp1722                        	       0        3        0        0        3
44046 libzypp1735                        	       0        1        0        0        1
44047 libzzip-0-12                       	       0        2        0        0        2
44048 libzzip-0-13                       	       0      609       13       12      584
44049 libzzip-0-13t64                    	       0       68        3        4       61
44050 libzzip-dev                        	       0       11        0       11        0
44051 license-agpl                       	       0        1        0        0        1
44052 license-apache                     	       0        1        0        0        1
44053 license-artistic                   	       0        1        0        0        1
44054 license-cecill                     	       0        1        0        0        1
44055 license-creative                   	       0        1        0        0        1
44056 license-fdl                        	       0        1        0        0        1
44057 license-gpl                        	       0        1        0        0        1
44058 license-lgpl                       	       0        1        0        0        1
44059 license-mpl                        	       0        1        0        0        1
44060 license-pdm                        	       0        1        0        0        1
44061 license-spl                        	       0        1        0        0        1
44062 license-wtfpl                      	       0        1        0        0        1
44063 license-zlib                       	       0        1        0        0        1
44064 licenserecon                       	       0        1        0        1        0
44065 licenseutils                       	       0        5        0        5        0
44066 lie                                	       0        3        1        2        0
44067 lierolibre                         	       0        3        0        3        0
44068 lierolibre-data                    	       0        3        0        0        3
44069 lifelines                          	       0        2        0        2        0
44070 lifelines-doc                      	       0        1        0        0        1
44071 lifeograph                         	       0        4        0        4        0
44072 liferea                            	       0       46        2       44        0
44073 liferea-build-deps                 	       0        1        0        0        1
44074 liferea-data                       	       0       49        0        2       47
44075 liferea-dbgsym                     	       0        1        0        1        0
44076 lightdm-autologin-greeter          	       0        5        0        0        5
44077 lightdm-remote-session-freerdp2    	       0        3        0        0        3
44078 lightdm-remote-session-x2go        	       0        4        0        0        4
44079 lightdm-vala                       	       0        3        0        0        3
44080 lightning                          	       0       58        0        3       55
44081 lightning-l10n-de                  	       0        4        0        0        4
44082 lightning-l10n-en-gb               	       0        1        0        0        1
44083 lightning-l10n-fr                  	       0        5        0        0        5
44084 lightning-l10n-it                  	       0        1        0        0        1
44085 lightscribe                        	       0        1        0        1        0
44086 lightscribeapplications            	       0        1        0        0        1
44087 lightspark                         	       0        1        0        1        0
44088 lightspark-common                  	       0        2        0        2        0
44089 lightspeed                         	       0        3        0        3        0
44090 lightsquid                         	       0        1        0        1        0
44091 lighttpd                           	       0       69       19       50        0
44092 lighttpd-doc                       	       0       16        0        0       16
44093 lighttpd-mod-mbedtls               	       0        1        0        1        0
44094 lightyears                         	       0        5        0        5        0
44095 likwid                             	       0        2        0        2        0
44096 lilo                               	       0       37        3       34        0
44097 lilo-doc                           	       0        2        0        0        2
44098 lilv-utils                         	       0        8        0        8        0
44099 lilypond-data                      	       0       71        0        0       71
44100 lilypond-doc                       	       0       22        0        0       22
44101 lilypond-doc-html                  	       0       20        0        0       20
44102 lilypond-doc-html-cs               	       0        1        0        0        1
44103 lilypond-doc-html-de               	       0        3        0        0        3
44104 lilypond-doc-html-es               	       0        2        0        0        2
44105 lilypond-doc-html-fr               	       0        2        0        0        2
44106 lilypond-doc-html-hu               	       0        1        0        0        1
44107 lilypond-doc-html-it               	       0        1        0        0        1
44108 lilypond-doc-html-ja               	       0        1        0        0        1
44109 lilypond-doc-html-nl               	       0        2        0        0        2
44110 lilypond-doc-html-zh               	       0        1        0        0        1
44111 lilypond-doc-pdf                   	       0       22        0        0       22
44112 lilypond-doc-pdf-de                	       0        2        0        0        2
44113 lilypond-doc-pdf-es                	       0        3        0        0        3
44114 lilypond-doc-pdf-fr                	       0        1        0        0        1
44115 lilypond-doc-pdf-hu                	       0        1        0        0        1
44116 lilypond-doc-pdf-it                	       0        1        0        0        1
44117 lilypond-doc-pdf-nl                	       0        2        0        0        2
44118 lilypond-fonts                     	       0       67        0        0       67
44119 lilyterm                           	       0        2        0        2        0
44120 limesuite                          	       0        5        0        5        0
44121 lincity                            	       0        7        0        7        0
44122 lincity-ng                         	       0       16        0       16        0
44123 lincity-ng-data                    	       0       16        0        0       16
44124 lincredits                         	       0        1        0        1        0
44125 lingot                             	       0       18        1       17        0
44126 link-grammar                       	       0        3        1        2        0
44127 link-grammar-dictionaries-all      	       0        1        0        0        1
44128 linkchecker                        	       0        8        0        8        0
44129 linklint                           	       0        3        0        3        0
44130 linneighborhood                    	       0        1        0        1        0
44131 linode-longview                    	       0        1        0        1        0
44132 linpac                             	       0        4        0        4        0
44133 linphone                           	       0       24        0        1       23
44134 linphone-cli                       	       0        3        0        3        0
44135 linphone-common                    	       0       32        0        0       32
44136 linphone-desktop                   	       0       28        1       27        0
44137 linphone-nogtk                     	       0        4        0        1        3
44138 linpopup                           	       0        1        0        1        0
44139 linsmith                           	       0        2        0        2        0
44140 lintex                             	       0        4        2        2        0
44141 lintian-brush                      	       0        1        0        1        0
44142 linux-buildinfo-6.11.0-1013-oem    	       0        1        0        0        1
44143 linux-buildinfo-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
44144 linux-compiler-gcc-10-x86          	       0      278        0        0      278
44145 linux-compiler-gcc-11-x86          	       0        9        0        0        9
44146 linux-compiler-gcc-12-x86          	       0      688        0        0      688
44147 linux-compiler-gcc-13-x86          	       0       13        0        0       13
44148 linux-compiler-gcc-4.6-x86         	       0        3        0        0        3
44149 linux-compiler-gcc-4.8-x86         	       0       31        0        0       31
44150 linux-compiler-gcc-4.9-x86         	       0       20        0        0       20
44151 linux-compiler-gcc-5-x86           	       0        1        0        0        1
44152 linux-compiler-gcc-6-x86           	       0       85        0        0       85
44153 linux-compiler-gcc-8-x86           	       0       62        0        0       62
44154 linux-compiler-gcc-9-x86           	       0        4        0        0        4
44155 linux-config-4.19                  	       0        9        0        0        9
44156 linux-config-5.10                  	       0       19        0        0       19
44157 linux-config-5.15                  	       0        1        0        0        1
44158 linux-config-5.16                  	       0        2        0        0        2
44159 linux-config-5.18                  	       0        1        0        0        1
44160 linux-config-5.2                   	       0        1        0        0        1
44161 linux-config-5.5                   	       0        1        0        0        1
44162 linux-config-5.6                   	       0        1        0        0        1
44163 linux-config-5.7                   	       0        1        0        0        1
44164 linux-config-6.0                   	       0        1        0        0        1
44165 linux-config-6.1                   	       0       42        0        0       42
44166 linux-config-6.10                  	       0        6        0        0        6
44167 linux-config-6.12                  	       0        4        0        0        4
44168 linux-config-6.3                   	       0        1        0        0        1
44169 linux-config-6.4                   	       0        1        0        0        1
44170 linux-config-6.5                   	       0        1        0        0        1
44171 linux-config-6.9                   	       0        1        0        0        1
44172 linux-doc                          	       0       20        0        0       20
44173 linux-doc-3.11                     	       0        1        0        0        1
44174 linux-doc-3.12                     	       0        1        0        0        1
44175 linux-doc-3.16                     	       0        1        0        0        1
44176 linux-doc-3.2                      	       0        1        0        0        1
44177 linux-doc-4.1                      	       0        1        0        0        1
44178 linux-doc-4.19                     	       0        5        0        0        5
44179 linux-doc-4.9                      	       0       11        0       11        0
44180 linux-doc-5.10                     	       0       14        0        0       14
44181 linux-doc-5.15                     	       0        2        0        0        2
44182 linux-doc-5.18                     	       0        4        0        0        4
44183 linux-doc-5.4                      	       0        1        0        0        1
44184 linux-doc-6.1                      	       0       18        0        0       18
44185 linux-doc-6.10                     	       0        6        0        0        6
44186 linux-doc-6.11                     	       0        4        0        0        4
44187 linux-doc-6.12                     	       0        4        0        0        4
44188 linux-doc-6.3                      	       0        1        0        0        1
44189 linux-doc-6.5                      	       0        1        0        0        1
44190 linux-doc-6.7                      	       0        2        0        0        2
44191 linux-doc-6.9                      	       0        2        0        0        2
44192 linux-dtb-current-sunxi64          	       0        2        0        0        2
44193 linux-dwarf-pack                   	       0        2        0        2        0
44194 linux-firewire-utils               	       0        2        0        2        0
44195 linux-firmware                     	       0        2        0        2        0
44196 linux-firmware-hauppauge           	       0        1        0        1        0
44197 linux-firmware-image               	       0        1        0        0        1
44198 linux-grsec-source-4.7             	       0        1        0        0        1
44199 linux-grsec-support-4.7.0-1        	       0        1        0        1        0
44200 linux-headers-2.6-amd64            	       0        1        0        0        1
44201 linux-headers-2.6.18-5             	       0        1        0        0        1
44202 linux-headers-2.6.25-2-common      	       0        1        0        0        1
44203 linux-headers-2.6.26-2-common-openvz	       0        1        0        1        0
44204 linux-headers-2.6.32-5-amd64       	       0        1        0        1        0
44205 linux-headers-2.6.32-5-common      	       0        4        0        3        1
44206 linux-headers-2.6.32-5-common-openvz	       0        1        0        1        0
44207 linux-headers-2.6.32-5-openvz-amd64	       0        1        0        1        0
44208 linux-headers-3.10-2-amd64         	       0        1        0        1        0
44209 linux-headers-3.10-2-common        	       0        1        0        1        0
44210 linux-headers-3.10-3-amd64         	       0        1        0        1        0
44211 linux-headers-3.10-3-common        	       0        1        0        1        0
44212 linux-headers-3.10-rc5-common      	       0        1        0        1        0
44213 linux-headers-3.12-rc7-common      	       0        1        0        1        0
44214 linux-headers-3.14-1-common        	       0        1        0        1        0
44215 linux-headers-3.16.0-0.bpo.4-amd64 	       0        1        0        1        0
44216 linux-headers-3.16.0-0.bpo.4-common	       0        1        0        1        0
44217 linux-headers-3.16.0-10-amd64      	       0        2        0        2        0
44218 linux-headers-3.16.0-10-common     	       0        2        0        2        0
44219 linux-headers-3.16.0-11-amd64      	       0        1        0        1        0
44220 linux-headers-3.16.0-11-common     	       0        1        0        1        0
44221 linux-headers-3.16.0-4-686-pae     	       0        2        0        2        0
44222 linux-headers-3.16.0-4-amd64       	       0       19        0       19        0
44223 linux-headers-3.16.0-4-common      	       0       23        0       23        0
44224 linux-headers-3.16.0-5-amd64       	       0        8        0        8        0
44225 linux-headers-3.16.0-5-common      	       0        9        0        9        0
44226 linux-headers-3.16.0-6-686-pae     	       0        1        0        1        0
44227 linux-headers-3.16.0-6-amd64       	       0        9        0        9        0
44228 linux-headers-3.16.0-6-common      	       0        9        0        9        0
44229 linux-headers-3.16.0-7-amd64       	       0        2        0        2        0
44230 linux-headers-3.16.0-7-common      	       0        2        0        2        0
44231 linux-headers-3.16.0-8-common      	       0        1        0        1        0
44232 linux-headers-3.16.0-9-amd64       	       0        1        0        1        0
44233 linux-headers-3.16.0-9-common      	       0        1        0        1        0
44234 linux-headers-3.18.0-trunk-all     	       0        1        0        0        1
44235 linux-headers-3.18.0-trunk-all-amd64	       0        1        0        0        1
44236 linux-headers-3.18.0-trunk-amd64   	       0        1        0        1        0
44237 linux-headers-3.18.0-trunk-common  	       0        1        0        1        0
44238 linux-headers-3.18.91-vs2.3.7.5-beng	       0        2        0        2        0
44239 linux-headers-3.19.0-trunk-common  	       0        1        0        1        0
44240 linux-headers-3.2.0-4-amd64        	       0       14        0       13        1
44241 linux-headers-3.2.0-4-common       	       0       16        0       15        1
44242 linux-headers-3.2.0-4-common-rt    	       0        1        0        0        1
44243 linux-headers-3.2.0-4-rt-amd64     	       0        1        0        0        1
44244 linux-headers-3.2.0-5-amd64        	       0        1        0        1        0
44245 linux-headers-3.2.0-5-common       	       0        1        0        1        0
44246 linux-headers-3.2.0-rc4-common-rt  	       0        1        0        1        0
44247 linux-headers-3.2.0-rc4-rt-amd64   	       0        1        0        1        0
44248 linux-headers-3.6.0-amd64          	       0        1        0        1        0
44249 linux-headers-3.7-trunk-common     	       0        1        0        1        0
44250 linux-headers-4.14.0-0.bpo.3-amd64 	       0        1        0        1        0
44251 linux-headers-4.14.0-0.bpo.3-common	       0        1        0        1        0
44252 linux-headers-4.14.0-3-common      	       0        1        0        1        0
44253 linux-headers-4.15.9.64            	       0        1        0        1        0
44254 linux-headers-4.16.0-0.bpo.2-amd64 	       0        1        0        1        0
44255 linux-headers-4.16.0-0.bpo.2-common	       0        1        0        1        0
44256 linux-headers-4.19-amd64           	       0        3        0        0        3
44257 linux-headers-4.19.0-0.bpo.19-amd64	       0        3        0        3        0
44258 linux-headers-4.19.0-0.bpo.19-common	       0        3        0        3        0
44259 linux-headers-4.19.0-0.bpo.6-amd64 	       0        2        0        2        0
44260 linux-headers-4.19.0-0.bpo.6-common	       0        2        0        2        0
44261 linux-headers-4.19.0-0.bpo.8-amd64 	       0        1        0        1        0
44262 linux-headers-4.19.0-0.bpo.8-common	       0        2        0        2        0
44263 linux-headers-4.19.0-0.bpo.9-amd64 	       0        2        0        2        0
44264 linux-headers-4.19.0-0.bpo.9-common	       0        2        0        2        0
44265 linux-headers-4.19.0-10-common     	       0        2        0        1        1
44266 linux-headers-4.19.0-11-amd64      	       0        1        0        1        0
44267 linux-headers-4.19.0-11-common     	       0        3        0        2        1
44268 linux-headers-4.19.0-12-all        	       0        1        0        0        1
44269 linux-headers-4.19.0-12-all-amd64  	       0        1        0        0        1
44270 linux-headers-4.19.0-12-amd64      	       0        2        0        2        0
44271 linux-headers-4.19.0-12-cloud-amd64	       0        1        0        1        0
44272 linux-headers-4.19.0-12-common     	       0        3        0        2        1
44273 linux-headers-4.19.0-12-common-rt  	       0        1        0        1        0
44274 linux-headers-4.19.0-12-rt-amd64   	       0        1        0        1        0
44275 linux-headers-4.19.0-13-all        	       0        1        0        0        1
44276 linux-headers-4.19.0-13-all-amd64  	       0        1        0        0        1
44277 linux-headers-4.19.0-13-amd64      	       0        2        0        2        0
44278 linux-headers-4.19.0-13-cloud-amd64	       0        1        0        1        0
44279 linux-headers-4.19.0-13-common     	       0        4        0        3        1
44280 linux-headers-4.19.0-13-common-rt  	       0        1        0        1        0
44281 linux-headers-4.19.0-13-rt-amd64   	       0        1        0        1        0
44282 linux-headers-4.19.0-14-amd64      	       0        4        0        4        0
44283 linux-headers-4.19.0-14-common     	       0        8        0        7        1
44284 linux-headers-4.19.0-16-amd64      	       0        7        0        7        0
44285 linux-headers-4.19.0-16-common     	       0       10        0        9        1
44286 linux-headers-4.19.0-17-amd64      	       0        5        0        5        0
44287 linux-headers-4.19.0-17-common     	       0       10        0        9        1
44288 linux-headers-4.19.0-18-amd64      	       0        7        0        7        0
44289 linux-headers-4.19.0-18-common     	       0       13        0       12        1
44290 linux-headers-4.19.0-18-common-rt  	       0        1        0        1        0
44291 linux-headers-4.19.0-18-rt-amd64   	       0        1        0        1        0
44292 linux-headers-4.19.0-19-all        	       0        1        0        0        1
44293 linux-headers-4.19.0-19-all-amd64  	       0        1        0        0        1
44294 linux-headers-4.19.0-19-amd64      	       0        5        0        5        0
44295 linux-headers-4.19.0-19-cloud-amd64	       0        1        0        1        0
44296 linux-headers-4.19.0-19-common     	       0        7        0        7        0
44297 linux-headers-4.19.0-19-common-rt  	       0        1        0        1        0
44298 linux-headers-4.19.0-19-rt-amd64   	       0        1        0        1        0
44299 linux-headers-4.19.0-20-amd64      	       0       10        0       10        0
44300 linux-headers-4.19.0-20-common     	       0       12        0       12        0
44301 linux-headers-4.19.0-20-common-rt  	       0        1        0        1        0
44302 linux-headers-4.19.0-21-amd64      	       0        6        0        6        0
44303 linux-headers-4.19.0-21-common     	       0        6        0        6        0
44304 linux-headers-4.19.0-21-common-rt  	       0        1        0        1        0
44305 linux-headers-4.19.0-21-rt-amd64   	       0        1        0        1        0
44306 linux-headers-4.19.0-22-amd64      	       0        7        0        7        0
44307 linux-headers-4.19.0-22-common     	       0        8        0        8        0
44308 linux-headers-4.19.0-22-common-rt  	       0        1        0        1        0
44309 linux-headers-4.19.0-22-rt-amd64   	       0        1        0        1        0
44310 linux-headers-4.19.0-23-amd64      	       0        4        0        4        0
44311 linux-headers-4.19.0-23-common     	       0        6        0        6        0
44312 linux-headers-4.19.0-24-amd64      	       0        4        0        4        0
44313 linux-headers-4.19.0-24-common     	       0        5        0        5        0
44314 linux-headers-4.19.0-25-amd64      	       0        9        0        9        0
44315 linux-headers-4.19.0-25-common     	       0       10        0       10        0
44316 linux-headers-4.19.0-26-686-pae    	       0        1        0        1        0
44317 linux-headers-4.19.0-26-amd64      	       0        8        0        8        0
44318 linux-headers-4.19.0-26-common     	       0        9        0        9        0
44319 linux-headers-4.19.0-27-686-pae    	       0        1        0        1        0
44320 linux-headers-4.19.0-27-amd64      	       0       10        0       10        0
44321 linux-headers-4.19.0-27-common     	       0       11        0       11        0
44322 linux-headers-4.19.0-5-amd64       	       0        2        0        2        0
44323 linux-headers-4.19.0-5-common      	       0        2        0        2        0
44324 linux-headers-4.19.0-6-amd64       	       0        1        0        1        0
44325 linux-headers-4.19.0-6-common      	       0        4        0        4        0
44326 linux-headers-4.19.0-6-common-rt   	       0        1        0        1        0
44327 linux-headers-4.19.0-8-all         	       0        1        0        0        1
44328 linux-headers-4.19.0-8-all-amd64   	       0        1        0        0        1
44329 linux-headers-4.19.0-8-amd64       	       0        2        0        2        0
44330 linux-headers-4.19.0-8-cloud-amd64 	       0        1        0        1        0
44331 linux-headers-4.19.0-8-common      	       0        5        0        5        0
44332 linux-headers-4.19.0-8-common-rt   	       0        1        0        1        0
44333 linux-headers-4.19.0-8-rt-amd64    	       0        1        0        1        0
44334 linux-headers-4.19.0-9-amd64       	       0        4        0        4        0
44335 linux-headers-4.19.0-9-common      	       0        5        0        5        0
44336 linux-headers-4.19.249             	       0        1        0        1        0
44337 linux-headers-4.19.251-proxima     	       0        1        0        1        0
44338 linux-headers-4.2.0-0.bpo.1-all-amd64	       0        1        0        0        1
44339 linux-headers-4.2.0-0.bpo.1-amd64  	       0        1        0        1        0
44340 linux-headers-4.2.0-0.bpo.1-common 	       0        1        0        1        0
44341 linux-headers-4.4.144-vs2.3.9.7.2-beng	       0        4        0        4        0
44342 linux-headers-4.4.202-vs2.3.9.8-beng	       0        1        0        1        0
44343 linux-headers-4.4.227-vs2.3.9.9-beng	       0        1        0        1        0
44344 linux-headers-4.5.0-2-common       	       0        1        0        1        0
44345 linux-headers-4.7.0-0.bpo.1-amd64  	       0        2        0        2        0
44346 linux-headers-4.7.0-0.bpo.1-common 	       0        2        0        2        0
44347 linux-headers-4.8.0-0.bpo.2-686-pae	       0        1        0        1        0
44348 linux-headers-4.8.0-0.bpo.2-amd64  	       0        2        0        2        0
44349 linux-headers-4.8.0-0.bpo.2-common 	       0        3        0        3        0
44350 linux-headers-4.9.0-0.bpo.1-amd64  	       0        1        0        1        0
44351 linux-headers-4.9.0-0.bpo.1-common 	       0        1        0        1        0
44352 linux-headers-4.9.0-0.bpo.2-common-rt	       0        1        0        1        0
44353 linux-headers-4.9.0-0.bpo.3-amd64  	       0        3        0        3        0
44354 linux-headers-4.9.0-0.bpo.3-common 	       0        3        0        3        0
44355 linux-headers-4.9.0-0.bpo.4-686-pae	       0        1        0        1        0
44356 linux-headers-4.9.0-0.bpo.4-common 	       0        1        0        1        0
44357 linux-headers-4.9.0-0.bpo.5-amd64  	       0        2        0        2        0
44358 linux-headers-4.9.0-0.bpo.5-common 	       0        2        0        2        0
44359 linux-headers-4.9.0-0.bpo.6-amd64  	       0        1        0        1        0
44360 linux-headers-4.9.0-0.bpo.6-common 	       0        1        0        1        0
44361 linux-headers-4.9.0-11-686         	       0        3        0        3        0
44362 linux-headers-4.9.0-11-686-pae     	       0        1        0        1        0
44363 linux-headers-4.9.0-11-all         	       0        2        0        0        2
44364 linux-headers-4.9.0-11-all-amd64   	       0        2        0        0        2
44365 linux-headers-4.9.0-11-all-i386    	       0        1        0        0        1
44366 linux-headers-4.9.0-11-amd64       	       0       17        0       17        0
44367 linux-headers-4.9.0-11-common      	       0       19        0       19        0
44368 linux-headers-4.9.0-11-common-rt   	       0        2        0        2        0
44369 linux-headers-4.9.0-11-rt-686-pae  	       0        1        0        1        0
44370 linux-headers-4.9.0-11-rt-amd64    	       0        2        0        2        0
44371 linux-headers-4.9.0-12-686         	       0        1        0        1        0
44372 linux-headers-4.9.0-12-686-pae     	       0        1        0        1        0
44373 linux-headers-4.9.0-12-all-amd64   	       0        1        0        0        1
44374 linux-headers-4.9.0-12-amd64       	       0       15        0       15        0
44375 linux-headers-4.9.0-12-common      	       0       17        0       17        0
44376 linux-headers-4.9.0-12-common-rt   	       0        1        0        1        0
44377 linux-headers-4.9.0-12-rt-amd64    	       0        1        0        1        0
44378 linux-headers-4.9.0-13-686-pae     	       0        7        0        7        0
44379 linux-headers-4.9.0-13-all         	       0        1        0        0        1
44380 linux-headers-4.9.0-13-all-amd64   	       0        1        0        0        1
44381 linux-headers-4.9.0-13-amd64       	       0        3        0        2        1
44382 linux-headers-4.9.0-13-common      	       0       10        0        9        1
44383 linux-headers-4.9.0-13-common-rt   	       0        1        0        1        0
44384 linux-headers-4.9.0-13-rt-amd64    	       0        1        0        1        0
44385 linux-headers-4.9.0-14-amd64       	       0        5        0        5        0
44386 linux-headers-4.9.0-14-common      	       0        5        0        5        0
44387 linux-headers-4.9.0-15-amd64       	       0        7        0        6        1
44388 linux-headers-4.9.0-15-common      	       0        7        0        6        1
44389 linux-headers-4.9.0-16-all-amd64   	       0        1        0        0        1
44390 linux-headers-4.9.0-16-amd64       	       0        6        0        6        0
44391 linux-headers-4.9.0-16-common      	       0        6        0        6        0
44392 linux-headers-4.9.0-16-common-rt   	       0        1        0        1        0
44393 linux-headers-4.9.0-16-rt-amd64    	       0        1        0        1        0
44394 linux-headers-4.9.0-17-all         	       0        1        0        0        1
44395 linux-headers-4.9.0-17-all-amd64   	       0        1        0        0        1
44396 linux-headers-4.9.0-17-amd64       	       0        7        0        7        0
44397 linux-headers-4.9.0-17-common      	       0        7        0        7        0
44398 linux-headers-4.9.0-17-common-rt   	       0        1        0        1        0
44399 linux-headers-4.9.0-17-rt-amd64    	       0        1        0        1        0
44400 linux-headers-4.9.0-18-amd64       	       0        7        0        7        0
44401 linux-headers-4.9.0-18-common      	       0        7        0        7        0
44402 linux-headers-4.9.0-19-686-pae     	       0        1        0        1        0
44403 linux-headers-4.9.0-19-amd64       	       0       11        0       11        0
44404 linux-headers-4.9.0-19-common      	       0       13        0       13        0
44405 linux-headers-4.9.0-4-amd64        	       0        2        0        2        0
44406 linux-headers-4.9.0-4-common       	       0        2        0        2        0
44407 linux-headers-4.9.0-6-686-pae      	       0        3        0        3        0
44408 linux-headers-4.9.0-6-amd64        	       0        8        0        8        0
44409 linux-headers-4.9.0-6-common       	       0       11        0       11        0
44410 linux-headers-4.9.0-7-amd64        	       0        4        0        4        0
44411 linux-headers-4.9.0-7-common       	       0        4        0        4        0
44412 linux-headers-4.9.0-8-686          	       0        1        0        1        0
44413 linux-headers-4.9.0-8-686-pae      	       0        1        0        1        0
44414 linux-headers-4.9.0-8-all          	       0        1        0        0        1
44415 linux-headers-4.9.0-8-all-amd64    	       0        2        0        0        2
44416 linux-headers-4.9.0-8-amd64        	       0       10        0       10        0
44417 linux-headers-4.9.0-8-common       	       0       12        0       12        0
44418 linux-headers-4.9.0-8-common-rt    	       0        2        0        2        0
44419 linux-headers-4.9.0-8-rt-amd64     	       0        2        0        2        0
44420 linux-headers-4.9.0-9-amd64        	       0       11        0       11        0
44421 linux-headers-4.9.0-9-common       	       0       12        0       12        0
44422 linux-headers-4.9.227-vs2.3.9.12-beng	       0        5        0        5        0
44423 linux-headers-5.0.0                	       0        1        0        1        0
44424 linux-headers-5.1.0+               	       0        1        0        1        0
44425 linux-headers-5.1.0-20.1-liquorix-amd64	       0        1        0        1        0
44426 linux-headers-5.10-amd64           	       0        5        0        0        5
44427 linux-headers-5.10-rt-amd64        	       0        1        0        0        1
44428 linux-headers-5.10.0-0.bpo.11-amd64	       0        1        0        1        0
44429 linux-headers-5.10.0-0.bpo.11-common	       0        1        0        1        0
44430 linux-headers-5.10.0-0.bpo.12-amd64	       0        2        0        2        0
44431 linux-headers-5.10.0-0.bpo.12-common	       0        2        0        2        0
44432 linux-headers-5.10.0-0.bpo.12-common-rt	       0        1        0        1        0
44433 linux-headers-5.10.0-0.bpo.12-rt-amd64	       0        1        0        1        0
44434 linux-headers-5.10.0-0.bpo.15-amd64	       0        4        0        4        0
44435 linux-headers-5.10.0-0.bpo.15-common	       0        4        0        4        0
44436 linux-headers-5.10.0-0.bpo.5-amd64 	       0        2        0        2        0
44437 linux-headers-5.10.0-0.bpo.5-common	       0        3        0        3        0
44438 linux-headers-5.10.0-0.bpo.7-amd64 	       0        2        0        2        0
44439 linux-headers-5.10.0-0.bpo.7-common	       0        3        0        3        0
44440 linux-headers-5.10.0-0.bpo.9-amd64 	       0        1        0        1        0
44441 linux-headers-5.10.0-0.bpo.9-common	       0        1        0        1        0
44442 linux-headers-5.10.0-0.deb10.16-common	       0        1        0        1        0
44443 linux-headers-5.10.0-0.deb10.17-amd64	       0        1        0        1        0
44444 linux-headers-5.10.0-0.deb10.17-common	       0        1        0        1        0
44445 linux-headers-5.10.0-0.deb10.19-amd64	       0        2        0        2        0
44446 linux-headers-5.10.0-0.deb10.19-common	       0        2        0        2        0
44447 linux-headers-5.10.0-0.deb10.19-common-rt	       0        1        0        1        0
44448 linux-headers-5.10.0-0.deb10.19-rt-amd64	       0        1        0        1        0
44449 linux-headers-5.10.0-0.deb10.20-amd64	       0        1        0        1        0
44450 linux-headers-5.10.0-0.deb10.20-common	       0        1        0        1        0
44451 linux-headers-5.10.0-0.deb10.20-common-rt	       0        1        0        1        0
44452 linux-headers-5.10.0-0.deb10.20-rt-amd64	       0        1        0        1        0
44453 linux-headers-5.10.0-0.deb10.21-amd64	       0        1        0        1        0
44454 linux-headers-5.10.0-0.deb10.21-common	       0        1        0        1        0
44455 linux-headers-5.10.0-0.deb10.28-amd64	       0        3        0        3        0
44456 linux-headers-5.10.0-0.deb10.28-common	       0        3        0        3        0
44457 linux-headers-5.10.0-0.deb10.28-common-rt	       0        1        0        1        0
44458 linux-headers-5.10.0-0.deb10.28-rt-amd64	       0        1        0        1        0
44459 linux-headers-5.10.0-0.deb10.30-amd64	       0        1        0        1        0
44460 linux-headers-5.10.0-0.deb10.30-common	       0        1        0        1        0
44461 linux-headers-5.10.0-0.deb10.30-common-rt	       0        1        0        1        0
44462 linux-headers-5.10.0-0.deb10.30-rt-amd64	       0        1        0        1        0
44463 linux-headers-5.10.0-10-amd64      	       0       18        0       17        1
44464 linux-headers-5.10.0-10-common     	       0       20        0       19        1
44465 linux-headers-5.10.0-11-686        	       0        1        0        1        0
44466 linux-headers-5.10.0-11-686-pae    	       0        1        0        1        0
44467 linux-headers-5.10.0-11-amd64      	       0       15        0       15        0
44468 linux-headers-5.10.0-11-common     	       0       16        0       16        0
44469 linux-headers-5.10.0-12-amd64      	       0       11        0       11        0
44470 linux-headers-5.10.0-12-common     	       0       12        0       12        0
44471 linux-headers-5.10.0-13-amd64      	       0       11        0       11        0
44472 linux-headers-5.10.0-13-common     	       0       12        0       12        0
44473 linux-headers-5.10.0-14-amd64      	       0       17        0       17        0
44474 linux-headers-5.10.0-14-common     	       0       18        0       18        0
44475 linux-headers-5.10.0-15-amd64      	       0       10        0       10        0
44476 linux-headers-5.10.0-15-common     	       0       10        0       10        0
44477 linux-headers-5.10.0-16-amd64      	       0       17        0       17        0
44478 linux-headers-5.10.0-16-common     	       0       17        0       17        0
44479 linux-headers-5.10.0-17-686-pae    	       0        1        0        1        0
44480 linux-headers-5.10.0-17-amd64      	       0        8        0        8        0
44481 linux-headers-5.10.0-17-common     	       0       10        0       10        0
44482 linux-headers-5.10.0-18-amd64      	       0       11        0       10        1
44483 linux-headers-5.10.0-18-common     	       0       11        0       10        1
44484 linux-headers-5.10.0-19-686-pae    	       0        1        0        1        0
44485 linux-headers-5.10.0-19-amd64      	       0       18        0       18        0
44486 linux-headers-5.10.0-19-common     	       0       21        0       21        0
44487 linux-headers-5.10.0-20-686        	       0        1        0        1        0
44488 linux-headers-5.10.0-20-amd64      	       0       24        0       24        0
44489 linux-headers-5.10.0-20-common     	       0       25        0       25        0
44490 linux-headers-5.10.0-21-amd64      	       0       27        0       27        0
44491 linux-headers-5.10.0-21-common     	       0       27        0       27        0
44492 linux-headers-5.10.0-22-amd64      	       0       12        0       12        0
44493 linux-headers-5.10.0-22-common     	       0       13        0       13        0
44494 linux-headers-5.10.0-23-686-pae    	       0        1        0        1        0
44495 linux-headers-5.10.0-23-amd64      	       0       33        0       33        0
44496 linux-headers-5.10.0-23-arm64      	       0        1        0        1        0
44497 linux-headers-5.10.0-23-common     	       0       36        0       36        0
44498 linux-headers-5.10.0-24-686-pae    	       0        1        0        1        0
44499 linux-headers-5.10.0-24-amd64      	       0       10        0       10        0
44500 linux-headers-5.10.0-24-common     	       0       11        0       11        0
44501 linux-headers-5.10.0-25-amd64      	       0       26        1       25        0
44502 linux-headers-5.10.0-25-common     	       0       27        1       26        0
44503 linux-headers-5.10.0-26-686-pae    	       0        1        0        1        0
44504 linux-headers-5.10.0-26-amd64      	       0       41        1       39        1
44505 linux-headers-5.10.0-26-common     	       0       43        1       41        1
44506 linux-headers-5.10.0-27-686-pae    	       0        1        0        1        0
44507 linux-headers-5.10.0-27-amd64      	       0       19        0       19        0
44508 linux-headers-5.10.0-27-common     	       0       21        0       21        0
44509 linux-headers-5.10.0-28-amd64      	       0       34        0       34        0
44510 linux-headers-5.10.0-28-common     	       0       35        0       35        0
44511 linux-headers-5.10.0-29-686-pae    	       0        1        0        1        0
44512 linux-headers-5.10.0-29-amd64      	       0       14        0       14        0
44513 linux-headers-5.10.0-29-common     	       0       16        0       16        0
44514 linux-headers-5.10.0-3-amd64       	       0        1        0        1        0
44515 linux-headers-5.10.0-3-common      	       0        1        0        1        0
44516 linux-headers-5.10.0-30-686-pae    	       0        2        0        2        0
44517 linux-headers-5.10.0-30-amd64      	       0       22        0       22        0
44518 linux-headers-5.10.0-30-common     	       0       24        0       24        0
44519 linux-headers-5.10.0-31-amd64      	       0       14        0       14        0
44520 linux-headers-5.10.0-31-common     	       0       15        0       15        0
44521 linux-headers-5.10.0-32-686-pae    	       0        1        0        1        0
44522 linux-headers-5.10.0-32-amd64      	       0       39        0       39        0
44523 linux-headers-5.10.0-32-common     	       0       40        0       40        0
44524 linux-headers-5.10.0-33-686        	       0        1        0        1        0
44525 linux-headers-5.10.0-33-686-pae    	       0        2        0        2        0
44526 linux-headers-5.10.0-33-amd64      	       0       53        1       52        0
44527 linux-headers-5.10.0-33-common     	       0       55        1       54        0
44528 linux-headers-5.10.0-33-common-rt  	       0        1        0        1        0
44529 linux-headers-5.10.0-33-rt-amd64   	       0        1        0        1        0
44530 linux-headers-5.10.0-4-amd64       	       0        1        0        1        0
44531 linux-headers-5.10.0-4-common      	       0        1        0        1        0
44532 linux-headers-5.10.0-6-amd64       	       0        2        0        2        0
44533 linux-headers-5.10.0-6-common      	       0        3        0        3        0
44534 linux-headers-5.10.0-6-common-rt   	       0        1        0        1        0
44535 linux-headers-5.10.0-7-amd64       	       0        3        0        3        0
44536 linux-headers-5.10.0-7-common      	       0        6        0        6        0
44537 linux-headers-5.10.0-8-amd64       	       0       11        0       11        0
44538 linux-headers-5.10.0-8-common      	       0       12        0       12        0
44539 linux-headers-5.10.0-9-amd64       	       0       26        0       26        0
44540 linux-headers-5.10.0-9-common      	       0       27        0       27        0
44541 linux-headers-5.10.41+             	       0        1        0        1        0
44542 linux-headers-5.14.0-0.bpo.2-amd64 	       0        2        0        2        0
44543 linux-headers-5.14.0-0.bpo.2-common	       0        2        0        2        0
44544 linux-headers-5.14.0-4-common      	       0        1        0        1        0
44545 linux-headers-5.15.0               	       0        1        0        1        0
44546 linux-headers-5.15.0-0.bpo.2-686-pae	       0        1        0        1        0
44547 linux-headers-5.15.0-0.bpo.2-amd64 	       0        1        0        1        0
44548 linux-headers-5.15.0-0.bpo.2-common	       0        2        0        2        0
44549 linux-headers-5.15.0-0.bpo.3-common	       0        1        0        0        1
44550 linux-headers-5.15.0-2-amd64       	       0        1        0        1        0
44551 linux-headers-5.15.0-2-common      	       0        2        0        2        0
44552 linux-headers-5.15.0-3-common      	       0        1        0        1        0
44553 linux-headers-5.15.173-gnu         	       0        1        0        1        0
44554 linux-headers-5.15.25-atom         	       0        1        0        1        0
44555 linux-headers-5.15.29-gnu          	       0        1        0        1        0
44556 linux-headers-5.15.32              	       0        1        0        1        0
44557 linux-headers-5.15.78-xanmod1      	       0        1        0        1        0
44558 linux-headers-5.15.81-xanmod1      	       0        1        0        1        0
44559 linux-headers-5.15.84-xanmod1      	       0        1        0        1        0
44560 linux-headers-5.15.87-xanmod1      	       0        1        0        1        0
44561 linux-headers-5.15.89-xanmod1      	       0        1        0        1        0
44562 linux-headers-5.16.0-0.bpo.3-amd64 	       0        1        0        1        0
44563 linux-headers-5.16.0-0.bpo.3-common	       0        1        0        1        0
44564 linux-headers-5.16.0-0.bpo.4-amd64 	       0        3        0        3        0
44565 linux-headers-5.16.0-0.bpo.4-common	       0        4        0        3        1
44566 linux-headers-5.16.0-1-amd64       	       0        1        0        1        0
44567 linux-headers-5.16.0-1-common      	       0        1        0        1        0
44568 linux-headers-5.16.0-3-amd64       	       0        1        0        1        0
44569 linux-headers-5.16.0-3-common      	       0        1        0        1        0
44570 linux-headers-5.16.0-4-amd64       	       0        1        0        1        0
44571 linux-headers-5.16.0-4-common      	       0        1        0        1        0
44572 linux-headers-5.16.0-5-amd64       	       0        1        0        1        0
44573 linux-headers-5.16.0-5-common      	       0        2        0        2        0
44574 linux-headers-5.16.0-6-amd64       	       0        2        0        2        0
44575 linux-headers-5.16.0-6-common      	       0        2        0        2        0
44576 linux-headers-5.17.0-1-amd64       	       0        1        0        1        0
44577 linux-headers-5.17.0-1-common      	       0        1        0        1        0
44578 linux-headers-5.17.0-3-amd64       	       0        1        0        1        0
44579 linux-headers-5.17.0-3-common      	       0        1        0        1        0
44580 linux-headers-5.17.8               	       0        1        0        1        0
44581 linux-headers-5.18.0-0.bpo.1-amd64 	       0        2        0        2        0
44582 linux-headers-5.18.0-0.bpo.1-common	       0        3        0        3        0
44583 linux-headers-5.18.0-0.deb11.4-amd64	       0        2        0        2        0
44584 linux-headers-5.18.0-0.deb11.4-common	       0        2        0        2        0
44585 linux-headers-5.18.0-2-amd64       	       0        3        0        3        0
44586 linux-headers-5.18.0-2-common      	       0        3        0        3        0
44587 linux-headers-5.18.0-2-common-rt   	       0        1        0        1        0
44588 linux-headers-5.18.0-2-rt-amd64    	       0        1        0        1        0
44589 linux-headers-5.18.0-3-amd64       	       0        1        0        1        0
44590 linux-headers-5.18.0-3-common      	       0        1        0        1        0
44591 linux-headers-5.18.0-4-amd64       	       0        1        0        1        0
44592 linux-headers-5.18.0-4-common      	       0        1        0        1        0
44593 linux-headers-5.18.0-4-common-rt   	       0        1        0        1        0
44594 linux-headers-5.18.0-4-rt-amd64    	       0        1        0        1        0
44595 linux-headers-5.19.0-0.deb11.2-amd64	       0        2        0        2        0
44596 linux-headers-5.19.0-0.deb11.2-common	       0        2        0        2        0
44597 linux-headers-5.19.0-2-amd64       	       0        1        0        1        0
44598 linux-headers-5.19.0-2-common      	       0        1        0        1        0
44599 linux-headers-5.2.0-2-common       	       0        1        0        1        0
44600 linux-headers-5.2.1-diglator.15    	       0        1        0        1        0
44601 linux-headers-5.2.13-19.09.09.amdgpu	       0        1        0        1        0
44602 linux-headers-5.4.0-0.bpo.2-common 	       0        1        0        1        0
44603 linux-headers-5.4.0-0.bpo.3-amd64  	       0        1        0        1        0
44604 linux-headers-5.4.0-0.bpo.3-common 	       0        1        0        1        0
44605 linux-headers-5.4.0-0.bpo.4-common 	       0        1        0        1        0
44606 linux-headers-5.4.0-0.bpo.4-common-rt	       0        1        0        1        0
44607 linux-headers-5.4.0-0.bpo.4-rt-amd64	       0        1        0        1        0
44608 linux-headers-5.4.0-1692312122121  	       0        1        0        1        0
44609 linux-headers-5.4.0-1692312122121-generic	       0        1        0        1        0
44610 linux-headers-5.4.0-1862405280420  	       0        1        0        1        0
44611 linux-headers-5.4.0-1862405280420-generic	       0        1        0        1        0
44612 linux-headers-5.4.0-4-amd64        	       0        2        0        2        0
44613 linux-headers-5.4.0-4-common       	       0        2        0        2        0
44614 linux-headers-5.4.13               	       0        1        0        1        0
44615 linux-headers-5.4.51-v8+           	       0        1        0        1        0
44616 linux-headers-5.6.0-0.bpo.2-common 	       0        1        0        1        0
44617 linux-headers-5.6.0-1-amd64        	       0        1        0        1        0
44618 linux-headers-5.6.0-1-common       	       0        1        0        1        0
44619 linux-headers-5.6.0-2-amd64        	       0        1        0        1        0
44620 linux-headers-5.6.0-2-common       	       0        1        0        1        0
44621 linux-headers-5.7.0-0.bpo.2-amd64  	       0        1        0        1        0
44622 linux-headers-5.7.0-0.bpo.2-common 	       0        2        0        2        0
44623 linux-headers-5.7.0-2-amd64        	       0        1        0        1        0
44624 linux-headers-5.7.0-2-common       	       0        1        0        1        0
44625 linux-headers-5.8.0-0.bpo.2-amd64  	       0        1        0        1        0
44626 linux-headers-5.8.0-0.bpo.2-common 	       0        1        0        1        0
44627 linux-headers-5.8.0-1-amd64        	       0        1        0        1        0
44628 linux-headers-5.8.0-1-common       	       0        1        0        1        0
44629 linux-headers-5.8.0-2-amd64        	       0        1        0        1        0
44630 linux-headers-5.8.0-2-common       	       0        1        0        1        0
44631 linux-headers-5.8.0-21             	       0        1        0        1        0
44632 linux-headers-5.9.0-0.bpo.5-common-rt	       0        1        0        1        0
44633 linux-headers-5.9.0-1-amd64        	       0        1        0        1        0
44634 linux-headers-5.9.0-1-common       	       0        1        0        1        0
44635 linux-headers-5.9.0-3-amd64        	       0        1        0        1        0
44636 linux-headers-5.9.0-3-common       	       0        1        0        1        0
44637 linux-headers-5.9.0-5-amd64        	       0        1        0        1        0
44638 linux-headers-5.9.0-5-common       	       0        1        0        1        0
44639 linux-headers-586                  	       0        1        0        0        1
44640 linux-headers-6.0.0-0.deb11.2-common	       0        1        0        1        0
44641 linux-headers-6.0.0-0.deb11.6-amd64	       0        2        0        2        0
44642 linux-headers-6.0.0-0.deb11.6-common	       0        2        0        2        0
44643 linux-headers-6.0.0-1-amd64        	       0        1        0        1        0
44644 linux-headers-6.0.0-1-common       	       0        1        0        1        0
44645 linux-headers-6.0.0-2-common       	       0        1        0        1        0
44646 linux-headers-6.0.0-3-amd64        	       0        1        0        1        0
44647 linux-headers-6.0.0-3-common       	       0        1        0        1        0
44648 linux-headers-6.0.0-6-amd64        	       0        2        0        2        0
44649 linux-headers-6.0.0-6-common       	       0        2        0        2        0
44650 linux-headers-6.0.11               	       0        1        0        1        0
44651 linux-headers-6.0.9-surface        	       0        1        0        1        0
44652 linux-headers-6.1.0-0.deb11.11-amd64	       0        2        0        2        0
44653 linux-headers-6.1.0-0.deb11.11-common	       0        2        0        2        0
44654 linux-headers-6.1.0-0.deb11.13-amd64	       0        4        0        4        0
44655 linux-headers-6.1.0-0.deb11.13-common	       0        4        0        4        0
44656 linux-headers-6.1.0-0.deb11.13-common-rt	       0        1        0        1        0
44657 linux-headers-6.1.0-0.deb11.13-rt-amd64	       0        1        0        1        0
44658 linux-headers-6.1.0-0.deb11.17-amd64	       0        5        0        5        0
44659 linux-headers-6.1.0-0.deb11.17-common	       0        5        0        5        0
44660 linux-headers-6.1.0-0.deb11.18-amd64	       0        1        0        1        0
44661 linux-headers-6.1.0-0.deb11.18-common	       0        1        0        1        0
44662 linux-headers-6.1.0-0.deb11.21-amd64	       0        4        0        4        0
44663 linux-headers-6.1.0-0.deb11.21-common	       0        4        0        4        0
44664 linux-headers-6.1.0-0.deb11.22-amd64	       0        1        0        1        0
44665 linux-headers-6.1.0-0.deb11.22-common	       0        1        0        1        0
44666 linux-headers-6.1.0-0.deb11.28-amd64	       0        1        0        1        0
44667 linux-headers-6.1.0-0.deb11.28-common	       0        1        0        1        0
44668 linux-headers-6.1.0-0.deb11.5-amd64	       0        2        0        2        0
44669 linux-headers-6.1.0-0.deb11.5-common	       0        3        0        3        0
44670 linux-headers-6.1.0-0.deb11.6-amd64	       0        1        0        1        0
44671 linux-headers-6.1.0-0.deb11.6-common	       0        2        0        2        0
44672 linux-headers-6.1.0-0.deb11.7-amd64	       0        3        0        3        0
44673 linux-headers-6.1.0-0.deb11.7-common	       0        4        0        4        0
44674 linux-headers-6.1.0-1-amd64        	       0        1        0        1        0
44675 linux-headers-6.1.0-1-common       	       0        1        0        1        0
44676 linux-headers-6.1.0-10-amd64       	       0       13        0       13        0
44677 linux-headers-6.1.0-10-common      	       0       14        0       14        0
44678 linux-headers-6.1.0-11-amd64       	       0       22        0       22        0
44679 linux-headers-6.1.0-11-common      	       0       22        0       22        0
44680 linux-headers-6.1.0-12-amd64       	       0       23        0       23        0
44681 linux-headers-6.1.0-12-cloud-amd64 	       0        1        0        1        0
44682 linux-headers-6.1.0-12-common      	       0       24        0       24        0
44683 linux-headers-6.1.0-12-common-rt   	       0        1        0        1        0
44684 linux-headers-6.1.0-12-rt-amd64    	       0        1        0        1        0
44685 linux-headers-6.1.0-13-686         	       0        1        0        1        0
44686 linux-headers-6.1.0-13-686-pae     	       0        1        0        1        0
44687 linux-headers-6.1.0-13-amd64       	       0       39        0       39        0
44688 linux-headers-6.1.0-13-cloud-amd64 	       0        1        0        1        0
44689 linux-headers-6.1.0-13-common      	       0       42        0       42        0
44690 linux-headers-6.1.0-13-common-rt   	       0        1        0        1        0
44691 linux-headers-6.1.0-13-rt-amd64    	       0        1        0        1        0
44692 linux-headers-6.1.0-14-amd64       	       0        3        0        3        0
44693 linux-headers-6.1.0-14-common      	       0        3        0        3        0
44694 linux-headers-6.1.0-15-amd64       	       0        8        0        8        0
44695 linux-headers-6.1.0-15-common      	       0        9        0        9        0
44696 linux-headers-6.1.0-16-amd64       	       0       17        0       17        0
44697 linux-headers-6.1.0-16-common      	       0       19        0       19        0
44698 linux-headers-6.1.0-17-amd64       	       0       48        0       48        0
44699 linux-headers-6.1.0-17-cloud-amd64 	       0        1        0        1        0
44700 linux-headers-6.1.0-17-common      	       0       52        0       52        0
44701 linux-headers-6.1.0-17-common-rt   	       0        2        0        2        0
44702 linux-headers-6.1.0-17-rt-amd64    	       0        2        0        2        0
44703 linux-headers-6.1.0-18-686-pae     	       0        1        0        1        0
44704 linux-headers-6.1.0-18-amd64       	       0       71        0       71        0
44705 linux-headers-6.1.0-18-common      	       0       72        0       72        0
44706 linux-headers-6.1.0-2-amd64        	       0        1        0        1        0
44707 linux-headers-6.1.0-2-common       	       0        1        0        1        0
44708 linux-headers-6.1.0-2.frea-amd64   	       0        1        0        1        0
44709 linux-headers-6.1.0-2.frea-common  	       0        1        0        1        0
44710 linux-headers-6.1.0-20-amd64       	       0       30        1       29        0
44711 linux-headers-6.1.0-20-common      	       0       30        1       29        0
44712 linux-headers-6.1.0-21-amd64       	       0       80        0       80        0
44713 linux-headers-6.1.0-21-cloud-amd64 	       0        1        0        1        0
44714 linux-headers-6.1.0-21-common      	       0       83        0       83        0
44715 linux-headers-6.1.0-21-common-rt   	       0        1        0        1        0
44716 linux-headers-6.1.0-21-rt-amd64    	       0        1        0        1        0
44717 linux-headers-6.1.0-22-686         	       0        1        0        1        0
44718 linux-headers-6.1.0-22-amd64       	       0       65        0       64        1
44719 linux-headers-6.1.0-22-cloud-amd64 	       0        1        0        1        0
44720 linux-headers-6.1.0-22-common      	       0       68        0       67        1
44721 linux-headers-6.1.0-22-common-rt   	       0        1        0        1        0
44722 linux-headers-6.1.0-22-rt-amd64    	       0        1        0        1        0
44723 linux-headers-6.1.0-23-686         	       0        1        0        1        0
44724 linux-headers-6.1.0-23-amd64       	       0      132        0      132        0
44725 linux-headers-6.1.0-23-cloud-amd64 	       0        1        0        1        0
44726 linux-headers-6.1.0-23-common      	       0      135        0      135        0
44727 linux-headers-6.1.0-23-common-rt   	       0        2        0        2        0
44728 linux-headers-6.1.0-23-rt-amd64    	       0        2        0        2        0
44729 linux-headers-6.1.0-25-686         	       0        1        0        1        0
44730 linux-headers-6.1.0-25-686-pae     	       0        2        1        1        0
44731 linux-headers-6.1.0-25-amd64       	       0      131        1      128        2
44732 linux-headers-6.1.0-25-cloud-amd64 	       0        1        0        1        0
44733 linux-headers-6.1.0-25-common      	       0      140        2      135        3
44734 linux-headers-6.1.0-25-common-rt   	       0        1        0        1        0
44735 linux-headers-6.1.0-25-powerpc64le 	       0        1        0        1        0
44736 linux-headers-6.1.0-25-rt-amd64    	       0        1        0        1        0
44737 linux-headers-6.1.0-26-686         	       0        1        0        1        0
44738 linux-headers-6.1.0-26-686-pae     	       0        2        0        2        0
44739 linux-headers-6.1.0-26-amd64       	       0      134        0      133        1
44740 linux-headers-6.1.0-26-cloud-amd64 	       0        1        0        1        0
44741 linux-headers-6.1.0-26-common      	       0      137        0      137        0
44742 linux-headers-6.1.0-26-common-rt   	       0        1        0        1        0
44743 linux-headers-6.1.0-26-rt-amd64    	       0        1        0        1        0
44744 linux-headers-6.1.0-27-686-pae     	       0        1        0        1        0
44745 linux-headers-6.1.0-27-amd64       	       0      115        0      115        0
44746 linux-headers-6.1.0-27-common      	       0      117        0      117        0
44747 linux-headers-6.1.0-28-686         	       0        1        0        1        0
44748 linux-headers-6.1.0-28-686-pae     	       0        3        0        3        0
44749 linux-headers-6.1.0-28-arm64       	       0        1        1        0        0
44750 linux-headers-6.1.0-28-common-rt   	       0        1        0        1        0
44751 linux-headers-6.1.0-29-powerpc64le 	       0        1        0        1        0
44752 linux-headers-6.1.0-3-amd64        	       0        1        0        1        0
44753 linux-headers-6.1.0-3-common       	       0        2        0        2        0
44754 linux-headers-6.1.0-30-686         	       0        1        0        1        0
44755 linux-headers-6.1.0-30-686-pae     	       0        1        0        1        0
44756 linux-headers-6.1.0-4-amd64        	       0        1        0        1        0
44757 linux-headers-6.1.0-4-common       	       0        1        0        1        0
44758 linux-headers-6.1.0-5-amd64        	       0        2        0        2        0
44759 linux-headers-6.1.0-5-common       	       0        2        0        2        0
44760 linux-headers-6.1.0-6-amd64        	       0        3        0        3        0
44761 linux-headers-6.1.0-6-common       	       0        3        0        3        0
44762 linux-headers-6.1.0-7-amd64        	       0        7        0        7        0
44763 linux-headers-6.1.0-7-common       	       0        8        0        8        0
44764 linux-headers-6.1.0-9-amd64        	       0        7        0        7        0
44765 linux-headers-6.1.0-9-common       	       0        8        0        8        0
44766 linux-headers-6.1.0-odroid-arm64   	       0        1        0        1        0
44767 linux-headers-6.1.0-odroid-common  	       0        1        0        1        0
44768 linux-headers-6.1.115-326          	       0        1        0        1        0
44769 linux-headers-6.1.119-327          	       0        1        0        1        0
44770 linux-headers-6.1.20-x64v1-xanmod1 	       0        1        0        1        0
44771 linux-headers-6.1.22-x64v1-xanmod1 	       0        1        0        1        0
44772 linux-headers-6.1.47-x64v1-xanmod1 	       0        1        0        1        0
44773 linux-headers-6.1.62-gnu           	       0        1        0        1        0
44774 linux-headers-6.1.62-x64v1-xanmod1 	       0        1        0        1        0
44775 linux-headers-6.1.63-x64v1-xanmod1 	       0        1        0        1        0
44776 linux-headers-6.1.64-x64v1-xanmod1 	       0        1        0        1        0
44777 linux-headers-6.1.96-319           	       0        1        0        1        0
44778 linux-headers-6.10.10-2-liquorix-amd64	       0        1        0        1        0
44779 linux-headers-6.10.10-x64v1-xanmod1	       0        1        0        1        0
44780 linux-headers-6.10.11+bpo-amd64    	       0       10        0       10        0
44781 linux-headers-6.10.11+bpo-common   	       0       11        0       11        0
44782 linux-headers-6.10.11+bpo-common-rt	       0        1        0        1        0
44783 linux-headers-6.10.11+bpo-rt-amd64 	       0        1        0        1        0
44784 linux-headers-6.10.11-amd64        	       0        7        0        7        0
44785 linux-headers-6.10.11-common       	       0        7        0        7        0
44786 linux-headers-6.10.11-x64v1-xanmod1	       0        1        0        1        0
44787 linux-headers-6.10.12-amd64        	       0        1        0        1        0
44788 linux-headers-6.10.12-common       	       0        1        0        1        0
44789 linux-headers-6.10.3-amd64         	       0        3        0        3        0
44790 linux-headers-6.10.3-common        	       0        4        0        4        0
44791 linux-headers-6.10.4-amd64         	       0        3        0        3        0
44792 linux-headers-6.10.4-common        	       0        3        0        3        0
44793 linux-headers-6.10.6+bpo-amd64     	       0       11        0       11        0
44794 linux-headers-6.10.6+bpo-common    	       0       11        0       11        0
44795 linux-headers-6.10.6-2-liquorix-amd64	       0        1        0        1        0
44796 linux-headers-6.10.6-amd64         	       0        5        0        5        0
44797 linux-headers-6.10.6-common        	       0        6        0        6        0
44798 linux-headers-6.10.6-custom        	       0        1        0        1        0
44799 linux-headers-6.10.6-x64v4-xanmod1 	       0        1        0        1        0
44800 linux-headers-6.10.7-amd64         	       0        1        0        1        0
44801 linux-headers-6.10.7-common        	       0        2        0        2        0
44802 linux-headers-6.10.9-amd64         	       0        9        0        9        0
44803 linux-headers-6.10.9-common        	       0        9        0        9        0
44804 linux-headers-6.10.9-custom        	       0        1        0        1        0
44805 linux-headers-6.11.10+bpo-amd64    	       0       10        0       10        0
44806 linux-headers-6.11.10+bpo-common   	       0       10        0       10        0
44807 linux-headers-6.11.10+bpo-common-rt	       0        1        0        1        0
44808 linux-headers-6.11.10+bpo-rt-amd64 	       0        1        0        1        0
44809 linux-headers-6.11.10-1-liquorix-amd64	       0        1        0        1        0
44810 linux-headers-6.11.10-amd64        	       0       12        0       12        0
44811 linux-headers-6.11.10-common       	       0       12        0       12        0
44812 linux-headers-6.11.2-amd64         	       0        7        0        7        0
44813 linux-headers-6.11.2-common        	       0        8        0        8        0
44814 linux-headers-6.11.4-amd64         	       0        7        0        7        0
44815 linux-headers-6.11.4-common        	       0        7        0        7        0
44816 linux-headers-6.11.5+bpo-amd64     	       0       10        0       10        0
44817 linux-headers-6.11.5+bpo-common    	       0       11        0       11        0
44818 linux-headers-6.11.5-1-liquorix-amd64	       0        1        0        1        0
44819 linux-headers-6.11.5-amd64         	       0        5        0        5        0
44820 linux-headers-6.11.5-common        	       0        5        0        5        0
44821 linux-headers-6.11.7-2-liquorix-amd64	       0        1        0        1        0
44822 linux-headers-6.11.7-amd64         	       0        3        0        3        0
44823 linux-headers-6.11.7-common        	       0        3        0        3        0
44824 linux-headers-6.11.9-amd64         	       0        3        0        3        0
44825 linux-headers-6.11.9-common        	       0        3        0        3        0
44826 linux-headers-6.12.10-amd64        	       0        4        1        3        0
44827 linux-headers-6.12.10-common       	       0        4        1        3        0
44828 linux-headers-6.12.11-2-liquorix-amd64	       0        1        0        1        0
44829 linux-headers-6.12.11-amd64        	       0        7        0        7        0
44830 linux-headers-6.12.11-common       	       0        7        0        7        0
44831 linux-headers-6.12.11-common-rt    	       0        1        0        1        0
44832 linux-headers-6.12.11-rt-amd64     	       0        1        0        1        0
44833 linux-headers-6.12.17-amd64        	       0        1        1        0        0
44834 linux-headers-6.12.17-common       	       0        1        1        0        0
44835 linux-headers-6.12.3-amd64         	       0        2        0        2        0
44836 linux-headers-6.12.3-common        	       0        2        0        2        0
44837 linux-headers-6.12.5-amd64         	       0        4        0        4        0
44838 linux-headers-6.12.5-common        	       0        4        0        4        0
44839 linux-headers-6.12.6-1-liquorix-amd64	       0        1        0        1        0
44840 linux-headers-6.12.6-amd64         	       0       12        0       12        0
44841 linux-headers-6.12.6-cloud-amd64   	       0        1        0        1        0
44842 linux-headers-6.12.6-common        	       0       12        0       12        0
44843 linux-headers-6.12.6-common-rt     	       0        1        0        1        0
44844 linux-headers-6.12.6-rt-amd64      	       0        1        0        1        0
44845 linux-headers-6.12.7-x64v3-xanmod1 	       0        1        0        1        0
44846 linux-headers-6.12.8-amd64         	       0        1        0        1        0
44847 linux-headers-6.12.8-common        	       0        1        0        1        0
44848 linux-headers-6.12.8-x64v3-xanmod1 	       0        1        0        1        0
44849 linux-headers-6.12.9-amd64         	       0        6        0        6        0
44850 linux-headers-6.12.9-common        	       0        6        0        6        0
44851 linux-headers-6.13.1-x64v3-xanmod1 	       0        1        0        1        0
44852 linux-headers-6.3.0-1-amd64        	       0        1        0        1        0
44853 linux-headers-6.3.0-1-common       	       0        1        0        1        0
44854 linux-headers-6.3.0-2-amd64        	       0        2        0        2        0
44855 linux-headers-6.3.0-2-common       	       0        2        0        2        0
44856 linux-headers-6.4.0-0.deb12.2-amd64	       0        2        0        2        0
44857 linux-headers-6.4.0-0.deb12.2-common	       0        2        0        2        0
44858 linux-headers-6.4.0-1-amd64        	       0        1        0        1        0
44859 linux-headers-6.4.0-1-common       	       0        1        0        1        0
44860 linux-headers-6.4.0-2-amd64        	       0        1        0        1        0
44861 linux-headers-6.4.0-2-common       	       0        1        0        1        0
44862 linux-headers-6.4.0-3-amd64        	       0        1        0        1        0
44863 linux-headers-6.4.0-3-common       	       0        1        0        1        0
44864 linux-headers-6.4.0-4-amd64        	       0        1        0        1        0
44865 linux-headers-6.4.0-4-common       	       0        1        0        1        0
44866 linux-headers-6.4.4                	       0        1        0        0        1
44867 linux-headers-6.5.0-0.deb12.1-amd64	       0        2        0        2        0
44868 linux-headers-6.5.0-0.deb12.1-common	       0        2        0        2        0
44869 linux-headers-6.5.0-0.deb12.4-amd64	       0        6        0        6        0
44870 linux-headers-6.5.0-0.deb12.4-common	       0        7        0        7        0
44871 linux-headers-6.5.0-1-amd64        	       0        5        0        5        0
44872 linux-headers-6.5.0-1-common       	       0        5        0        5        0
44873 linux-headers-6.5.0-2-amd64        	       0        1        0        1        0
44874 linux-headers-6.5.0-2-common       	       0        1        0        1        0
44875 linux-headers-6.5.0-4-amd64        	       0        1        0        1        0
44876 linux-headers-6.5.0-4-common       	       0        1        0        1        0
44877 linux-headers-6.5.0-5-amd64        	       0        1        0        1        0
44878 linux-headers-6.5.0-5-common       	       0        2        0        2        0
44879 linux-headers-6.6.0                	       0        1        0        1        0
44880 linux-headers-6.6.0-odroid-arm64   	       0        1        0        1        0
44881 linux-headers-6.6.0-odroid-common  	       0        1        0        1        0
44882 linux-headers-6.6.11-amd64         	       0        1        0        1        0
44883 linux-headers-6.6.11-common        	       0        1        0        1        0
44884 linux-headers-6.6.13+bpo-amd64     	       0        7        0        7        0
44885 linux-headers-6.6.13+bpo-common    	       0        7        0        7        0
44886 linux-headers-6.6.13-amd64         	       0        1        0        1        0
44887 linux-headers-6.6.13-common        	       0        1        0        1        0
44888 linux-headers-6.6.15-amd64         	       0        2        0        2        0
44889 linux-headers-6.6.15-common        	       0        2        0        2        0
44890 linux-headers-6.6.30-rt30-x64v3-xanmod1	       0        1        0        1        0
44891 linux-headers-6.6.30-x64v3-xanmod1 	       0        1        0        1        0
44892 linux-headers-6.6.31-rt31-x64v3-xanmod1	       0        1        0        1        0
44893 linux-headers-6.6.31-x64v3-xanmod1 	       0        1        0        1        0
44894 linux-headers-6.6.40-x64v3-xanmod1 	       0        1        0        1        0
44895 linux-headers-6.6.44-x64v1-xanmod1 	       0        1        0        1        0
44896 linux-headers-6.6.46-x64v1-xanmod1 	       0        1        0        1        0
44897 linux-headers-6.6.49-gnu           	       0        1        0        1        0
44898 linux-headers-6.6.52-rt43-x64v3-xanmod1	       0        1        0        1        0
44899 linux-headers-6.6.58               	       0        1        0        1        0
44900 linux-headers-6.6.58-x64v1-xanmod1 	       0        1        0        1        0
44901 linux-headers-6.6.63-x64v1-xanmod1 	       0        2        0        2        0
44902 linux-headers-6.6.64-x64v1-xanmod1 	       0        1        0        1        0
44903 linux-headers-6.6.65-x64v1-xanmod1 	       0        1        0        1        0
44904 linux-headers-6.6.66-x64v1-xanmod1 	       0        1        0        1        0
44905 linux-headers-6.6.70-x64v1-xanmod1 	       0        1        0        1        0
44906 linux-headers-6.6.8-amd64          	       0        1        0        1        0
44907 linux-headers-6.6.8-common         	       0        1        0        1        0
44908 linux-headers-6.6.8-yogabook1      	       0        1        0        1        0
44909 linux-headers-6.6.9-amd64          	       0        1        0        1        0
44910 linux-headers-6.6.9-common         	       0        1        0        1        0
44911 linux-headers-6.7.12+bpo-amd64     	       0        3        0        3        0
44912 linux-headers-6.7.12+bpo-common    	       0        3        0        3        0
44913 linux-headers-6.7.12-amd64         	       0        1        0        1        0
44914 linux-headers-6.7.12-common        	       0        1        0        1        0
44915 linux-headers-6.7.9-amd64          	       0        2        0        2        0
44916 linux-headers-6.7.9-common         	       0        2        0        2        0
44917 linux-headers-6.8.12-amd64         	       0        4        0        4        0
44918 linux-headers-6.8.12-common        	       0        4        0        4        0
44919 linux-headers-6.8.3                	       0        1        0        1        0
44920 linux-headers-6.8.9-amd64          	       0        1        0        1        0
44921 linux-headers-6.8.9-common         	       0        1        0        1        0
44922 linux-headers-6.8.9-x64v3-xanmod1  	       0        1        0        1        0
44923 linux-headers-6.9.10+bpo-amd64     	       0        5        0        5        0
44924 linux-headers-6.9.10+bpo-common    	       0        5        0        5        0
44925 linux-headers-6.9.10-amd64         	       0        1        0        1        0
44926 linux-headers-6.9.10-common        	       0        1        0        1        0
44927 linux-headers-6.9.10-x64v3-xanmod1 	       0        2        0        2        0
44928 linux-headers-6.9.11-1-liquorix-amd64	       0        1        0        1        0
44929 linux-headers-6.9.12-2-liquorix-amd64	       0        1        0        1        0
44930 linux-headers-6.9.12-amd64         	       0        1        0        1        0
44931 linux-headers-6.9.12-common        	       0        1        0        1        0
44932 linux-headers-6.9.6-kirkwood-tld-1 	       0        1        0        1        0
44933 linux-headers-6.9.7+bpo-amd64      	       0        9        0        9        0
44934 linux-headers-6.9.7+bpo-common     	       0        9        0        9        0
44935 linux-headers-6.9.7-amd64          	       0        2        0        2        0
44936 linux-headers-6.9.7-common         	       0        2        0        2        0
44937 linux-headers-6.9.8-amd64          	       0        2        0        2        0
44938 linux-headers-6.9.8-common         	       0        2        0        2        0
44939 linux-headers-6.9.9-x64v3-xanmod1  	       0        1        0        1        0
44940 linux-headers-686                  	       0        4        0        0        4
44941 linux-headers-686-pae              	       0       16        0        0       16
44942 linux-headers-amd64                	       0      851        0        0      851
44943 linux-headers-arm64                	       0        2        0        0        2
44944 linux-headers-bcm2709-rpi-2+3      	       0        1        0        1        0
44945 linux-headers-liquorix-amd64       	       0        5        0        0        5
44946 linux-headers-powerpc64le          	       0        1        0        0        1
44947 linux-headers-rt-amd64             	       0        1        0        0        1
44948 linux-headers-vserver-3.18-beng    	       0        2        0        0        2
44949 linux-headers-vserver-4.4-beng     	       0        6        0        0        6
44950 linux-headers-vserver-4.9-beng     	       0        5        0        0        5
44951 linux-image-2.6-amd64              	       0        8        0        0        8
44952 linux-image-2.6.26-486-voyage      	       0        1        0        1        0
44953 linux-image-2.6.32-5-486           	       0        1        0        1        0
44954 linux-image-3.12-0.bpo.1-amd64     	       0        1        0        1        0
44955 linux-image-3.14-0.bpo.2-amd64     	       0        1        0        1        0
44956 linux-image-3.16-0.bpo.2-686-pae   	       0        1        0        1        0
44957 linux-image-3.16.0-0.bpo.4-586     	       0        1        0        1        0
44958 linux-image-3.16.0-0.bpo.4-amd64   	       0        3        0        1        2
44959 linux-image-3.16.0-10-586          	       0        1        0        1        0
44960 linux-image-3.16.0-10-686-pae      	       0        2        0        2        0
44961 linux-image-3.16.0-10-amd64        	       0        9        0        9        0
44962 linux-image-3.16.0-11-686-pae      	       0        2        0        2        0
44963 linux-image-3.16.0-11-amd64        	       0        9        0        9        0
44964 linux-image-3.16.0-4-686-pae       	       0        6        0        5        1
44965 linux-image-3.16.0-4-amd64         	       0       63        1       61        1
44966 linux-image-3.16.0-4-amd64-dbg     	       0        1        0        1        0
44967 linux-image-3.16.0-5-586           	       0        1        0        1        0
44968 linux-image-3.16.0-5-686-pae       	       0        1        0        1        0
44969 linux-image-3.16.0-5-amd64         	       0       11        1       10        0
44970 linux-image-3.16.0-6-586           	       0        1        0        1        0
44971 linux-image-3.16.0-6-686-pae       	       0        3        0        3        0
44972 linux-image-3.16.0-6-amd64         	       0       23        1       22        0
44973 linux-image-3.16.0-7-586           	       0        1        0        1        0
44974 linux-image-3.16.0-7-686-pae       	       0        1        0        1        0
44975 linux-image-3.16.0-7-amd64         	       0        7        0        7        0
44976 linux-image-3.16.0-8-586           	       0        1        0        1        0
44977 linux-image-3.16.0-8-amd64         	       0        2        0        1        1
44978 linux-image-3.16.0-9-586           	       0        1        0        1        0
44979 linux-image-3.16.0-9-amd64         	       0        1        0        1        0
44980 linux-image-3.16.43-intel-server-64bit	       0        1        0        1        0
44981 linux-image-3.18.91-vs2.3.7.5-beng 	       0        2        0        2        0
44982 linux-image-3.18.98-vs2.3.7.5-beng 	       0        1        0        1        0
44983 linux-image-3.2.0-4-486            	       0        1        0        1        0
44984 linux-image-3.2.0-4-686-pae        	       0        2        0        2        0
44985 linux-image-3.2.0-4-amd64          	       0       11        2        9        0
44986 linux-image-3.2.0-5-amd64          	       0        2        1        1        0
44987 linux-image-3.9-1-amd64            	       0        1        0        1        0
44988 linux-image-4.1.39-ck2-nooesxi     	       0        2        1        1        0
44989 linux-image-4.10.0-rc6-amd64       	       0        1        0        1        0
44990 linux-image-4.14.0-0.bpo.2-amd64   	       0        1        0        1        0
44991 linux-image-4.14.0-0.bpo.3-amd64   	       0        1        0        1        0
44992 linux-image-4.14.0-rc4             	       0        1        0        0        1
44993 linux-image-4.14.0-rc6-snow        	       0        1        0        0        1
44994 linux-image-4.15.0-rc1-snow        	       0        1        0        0        1
44995 linux-image-4.15.9.64              	       0        1        0        1        0
44996 linux-image-4.16.0-0.bpo.2-amd64   	       0        1        0        1        0
44997 linux-image-4.16.0-rc5-snow        	       0        1        0        0        1
44998 linux-image-4.18.0-0.bpo.1-amd64   	       0        1        0        1        0
44999 linux-image-4.18.0-0.bpo.1-cloud-amd64	       0        1        0        1        0
45000 linux-image-4.18.0-2-amd64         	       0        2        0        2        0
45001 linux-image-4.19-amd64             	       0        3        0        0        3
45002 linux-image-4.19.0-0.bpo.1-amd64   	       0        1        0        1        0
45003 linux-image-4.19.0-0.bpo.14-amd64  	       0        1        0        1        0
45004 linux-image-4.19.0-0.bpo.19-amd64  	       0        4        0        4        0
45005 linux-image-4.19.0-0.bpo.2-amd64   	       0        1        0        1        0
45006 linux-image-4.19.0-0.bpo.2-amd64-unsigned	       0        1        0        1        0
45007 linux-image-4.19.0-0.bpo.6-amd64   	       0        2        0        2        0
45008 linux-image-4.19.0-0.bpo.8-amd64   	       0        2        0        1        1
45009 linux-image-4.19.0-0.bpo.9-amd64   	       0        3        0        3        0
45010 linux-image-4.19.0-0.bpo.9-cloud-amd64	       0        1        0        1        0
45011 linux-image-4.19.0-10-amd64        	       0       18        0       17        1
45012 linux-image-4.19.0-11-amd64        	       0       10        0        9        1
45013 linux-image-4.19.0-11-armmp        	       0        1        0        1        0
45014 linux-image-4.19.0-12-amd64        	       0       14        0       13        1
45015 linux-image-4.19.0-12-armmp        	       0        1        0        1        0
45016 linux-image-4.19.0-12-rt-amd64-unsigned	       0        1        0        1        0
45017 linux-image-4.19.0-13-amd64        	       0       28        1       26        1
45018 linux-image-4.19.0-14-rt-amd64     	       0        1        0        0        1
45019 linux-image-4.19.0-16-686          	       0        1        0        1        0
45020 linux-image-4.19.0-16-amd64        	       0       37        0       36        1
45021 linux-image-4.19.0-17-686          	       0        2        0        2        0
45022 linux-image-4.19.0-17-686-pae      	       0        1        0        1        0
45023 linux-image-4.19.0-17-amd64        	       0       43        1       41        1
45024 linux-image-4.19.0-18-686          	       0        3        0        3        0
45025 linux-image-4.19.0-18-amd64        	       0       50        0       48        2
45026 linux-image-4.19.0-19-amd64        	       0        8        0        8        0
45027 linux-image-4.19.0-20-amd64        	       0       31        1       30        0
45028 linux-image-4.19.0-20-rt-amd64-unsigned	       0        1        0        1        0
45029 linux-image-4.19.0-21-686-pae      	       0        2        0        2        0
45030 linux-image-4.19.0-21-amd64        	       0       28        1       27        0
45031 linux-image-4.19.0-21-marvell      	       0        1        0        1        0
45032 linux-image-4.19.0-22-686          	       0        1        0        1        0
45033 linux-image-4.19.0-22-686-pae      	       0        1        0        1        0
45034 linux-image-4.19.0-22-amd64        	       0       25        1       24        0
45035 linux-image-4.19.0-23-686          	       0        1        0        1        0
45036 linux-image-4.19.0-23-686-pae      	       0        2        0        2        0
45037 linux-image-4.19.0-23-amd64        	       0       30        0       29        1
45038 linux-image-4.19.0-24-686          	       0        2        0        2        0
45039 linux-image-4.19.0-24-686-pae      	       0        1        0        1        0
45040 linux-image-4.19.0-24-amd64        	       0       27        1       26        0
45041 linux-image-4.19.0-25-686          	       0        4        0        4        0
45042 linux-image-4.19.0-25-686-pae      	       0        5        0        5        0
45043 linux-image-4.19.0-25-amd64        	       0       45        2       43        0
45044 linux-image-4.19.0-26-686          	       0        7        0        7        0
45045 linux-image-4.19.0-26-686-pae      	       0        6        0        6        0
45046 linux-image-4.19.0-26-amd64        	       0       59        2       57        0
45047 linux-image-4.19.0-27-686          	       0        5        0        5        0
45048 linux-image-4.19.0-27-686-pae      	       0        7        0        7        0
45049 linux-image-4.19.0-3-amd64-unsigned	       0        1        0        1        0
45050 linux-image-4.19.0-4-amd64         	       0        1        0        1        0
45051 linux-image-4.19.0-5-686           	       0        1        0        1        0
45052 linux-image-4.19.0-5-686-pae       	       0        1        0        1        0
45053 linux-image-4.19.0-5-amd64         	       0        4        0        4        0
45054 linux-image-4.19.0-6-686-pae       	       0        1        0        1        0
45055 linux-image-4.19.0-6-amd64         	       0        9        0        8        1
45056 linux-image-4.19.0-6-amd64-dbg     	       0        1        0        1        0
45057 linux-image-4.19.0-8-686-pae       	       0        1        0        1        0
45058 linux-image-4.19.0-8-amd64         	       0       10        0       10        0
45059 linux-image-4.19.0-9-686           	       0        1        0        1        0
45060 linux-image-4.19.0-9-amd64         	       0       55        1       54        0
45061 linux-image-4.19.0-9-amd64-unsigned	       0        1        0        1        0
45062 linux-image-4.19.0-rc3-snow        	       0        1        0        0        1
45063 linux-image-4.19.0-rc6-moose       	       0        1        0        0        1
45064 linux-image-4.19.0-rc6-moosette    	       0        1        0        0        1
45065 linux-image-4.19.0-rc6-pepper      	       0        1        0        0        1
45066 linux-image-4.19.249               	       0        1        0        1        0
45067 linux-image-4.19.251-proxima       	       0        1        0        1        0
45068 linux-image-4.2.0-0.bpo.1-amd64    	       0        1        0        1        0
45069 linux-image-4.20.0-rc5-snow        	       0        1        0        0        1
45070 linux-image-4.3.0-0.bpo.1-amd64    	       0        2        0        1        1
45071 linux-image-4.4.0-0.bpo.1-amd64    	       0        1        0        0        1
45072 linux-image-4.4.144-vs2.3.9.7.2-beng	       0        4        0        4        0
45073 linux-image-4.4.202-vs2.3.9.8-beng 	       0        5        0        5        0
45074 linux-image-4.4.227-vs2.3.9.9-beng 	       0        1        0        1        0
45075 linux-image-4.5.0-0.bpo.1-amd64    	       0        1        0        0        1
45076 linux-image-4.5.0-0.bpo.2-amd64    	       0        1        0        0        1
45077 linux-image-4.6.0-0.bpo.1-amd64    	       0        1        0        0        1
45078 linux-image-4.7.0-0.bpo.1-amd64    	       0        3        0        2        1
45079 linux-image-4.8.0-0.bpo.2-amd64    	       0        2        0        1        1
45080 linux-image-4.8.0-2-amd64          	       0        1        0        1        0
45081 linux-image-4.9-amd64              	       0        1        0        0        1
45082 linux-image-4.9.0-0.bpo.1-amd64-unsigned	       0        1        0        0        1
45083 linux-image-4.9.0-0.bpo.12-686     	       0        1        0        1        0
45084 linux-image-4.9.0-0.bpo.12-amd64   	       0        1        0        1        0
45085 linux-image-4.9.0-0.bpo.2-amd64    	       0        2        0        1        1
45086 linux-image-4.9.0-0.bpo.2-rt-amd64 	       0        1        0        1        0
45087 linux-image-4.9.0-0.bpo.3-amd64    	       0        3        0        2        1
45088 linux-image-4.9.0-0.bpo.4-686-pae  	       0        1        0        0        1
45089 linux-image-4.9.0-0.bpo.4-amd64    	       0        2        0        1        1
45090 linux-image-4.9.0-0.bpo.5-amd64    	       0        5        0        4        1
45091 linux-image-4.9.0-0.bpo.6-amd64    	       0        4        0        4        0
45092 linux-image-4.9.0-1-amd64          	       0        1        0        1        0
45093 linux-image-4.9.0-11-686           	       0        3        0        3        0
45094 linux-image-4.9.0-11-686-pae       	       0        6        0        6        0
45095 linux-image-4.9.0-11-amd64         	       0       53        2       49        2
45096 linux-image-4.9.0-12-686           	       0        3        0        2        1
45097 linux-image-4.9.0-12-686-dbg       	       0        1        0        1        0
45098 linux-image-4.9.0-12-686-pae       	       0        4        0        3        1
45099 linux-image-4.9.0-12-686-pae-dbg   	       0        1        0        1        0
45100 linux-image-4.9.0-12-amd64         	       0       34        0       32        2
45101 linux-image-4.9.0-13-686           	       0        2        0        2        0
45102 linux-image-4.9.0-13-686-pae       	       0        7        0        7        0
45103 linux-image-4.9.0-13-amd64         	       0       24        1       21        2
45104 linux-image-4.9.0-14-686           	       0        1        0        1        0
45105 linux-image-4.9.0-14-686-pae       	       0        2        0        2        0
45106 linux-image-4.9.0-14-amd64         	       0       23        0       21        2
45107 linux-image-4.9.0-15-686           	       0        1        0        1        0
45108 linux-image-4.9.0-15-amd64         	       0       22        1       19        2
45109 linux-image-4.9.0-16-686           	       0        2        0        2        0
45110 linux-image-4.9.0-16-686-pae       	       0        1        0        1        0
45111 linux-image-4.9.0-16-amd64         	       0       25        1       23        1
45112 linux-image-4.9.0-17-686           	       0        2        0        2        0
45113 linux-image-4.9.0-17-amd64         	       0       18        1       17        0
45114 linux-image-4.9.0-18-686           	       0        1        0        1        0
45115 linux-image-4.9.0-18-amd64         	       0       29        1       27        1
45116 linux-image-4.9.0-19-686           	       0        3        0        3        0
45117 linux-image-4.9.0-19-686-pae       	       0        5        0        5        0
45118 linux-image-4.9.0-19-amd64         	       0       52        1       51        0
45119 linux-image-4.9.0-2-amd64          	       0        3        0        3        0
45120 linux-image-4.9.0-3-amd64          	       0        1        0        1        0
45121 linux-image-4.9.0-3-rt-amd64       	       0        1        0        1        0
45122 linux-image-4.9.0-4-686-pae        	       0        1        0        1        0
45123 linux-image-4.9.0-4-amd64          	       0        5        0        5        0
45124 linux-image-4.9.0-5-686-pae        	       0        1        0        1        0
45125 linux-image-4.9.0-5-amd64          	       0        6        0        6        0
45126 linux-image-4.9.0-6-686            	       0        3        0        3        0
45127 linux-image-4.9.0-6-686-pae        	       0       15        0       14        1
45128 linux-image-4.9.0-6-amd64          	       0       74        0       71        3
45129 linux-image-4.9.0-7-amd64          	       0       14        1       13        0
45130 linux-image-4.9.0-8-686            	       0        1        0        1        0
45131 linux-image-4.9.0-8-686-pae        	       0        4        0        4        0
45132 linux-image-4.9.0-8-amd64          	       0       38        0       36        2
45133 linux-image-4.9.0-9-686            	       0        1        0        1        0
45134 linux-image-4.9.0-9-686-pae        	       0        1        0        1        0
45135 linux-image-4.9.0-9-amd64          	       0       18        0       17        1
45136 linux-image-4.9.164-vs2.3.9.8-beng 	       0        1        0        1        0
45137 linux-image-4.9.18-phenom          	       0        1        0        1        0
45138 linux-image-4.9.202-vs2.3.9.9-beng 	       0        3        0        3        0
45139 linux-image-4.9.227-vs2.3.9.12-beng	       0        7        0        7        0
45140 linux-image-486                    	       0        2        0        0        2
45141 linux-image-5.0.0-rc5-moose        	       0        1        0        0        1
45142 linux-image-5.0.0-rc5-pepper       	       0        1        0        0        1
45143 linux-image-5.0.0-rc5-snow         	       0        1        0        0        1
45144 linux-image-5.1.0+                 	       0        1        0        1        0
45145 linux-image-5.1.0+-dbg             	       0        1        0        1        0
45146 linux-image-5.10-amd64             	       0        8        0        0        8
45147 linux-image-5.10-rt-amd64          	       0        1        0        0        1
45148 linux-image-5.10.0-0.bpo.12-amd64  	       0        4        0        4        0
45149 linux-image-5.10.0-0.bpo.15-amd64  	       0        4        0        4        0
45150 linux-image-5.10.0-0.bpo.3-amd64   	       0        2        0        2        0
45151 linux-image-5.10.0-0.bpo.4-686     	       0        1        0        1        0
45152 linux-image-5.10.0-0.bpo.4-amd64   	       0        1        0        1        0
45153 linux-image-5.10.0-0.bpo.5-amd64   	       0        6        0        6        0
45154 linux-image-5.10.0-0.bpo.7-amd64   	       0        6        0        6        0
45155 linux-image-5.10.0-0.bpo.7-arm64   	       0        1        0        1        0
45156 linux-image-5.10.0-0.bpo.8-amd64   	       0        1        0        1        0
45157 linux-image-5.10.0-0.bpo.9-amd64   	       0        2        0        2        0
45158 linux-image-5.10.0-0.deb10.16-amd64	       0        5        0        5        0
45159 linux-image-5.10.0-0.deb10.16-arm64	       0        1        0        1        0
45160 linux-image-5.10.0-0.deb10.17-amd64	       0        1        0        1        0
45161 linux-image-5.10.0-0.deb10.19-amd64	       0        2        0        2        0
45162 linux-image-5.10.0-0.deb10.20-amd64	       0        1        0        1        0
45163 linux-image-5.10.0-0.deb10.20-rt-amd64	       0        1        0        1        0
45164 linux-image-5.10.0-0.deb10.21-amd64	       0        1        0        1        0
45165 linux-image-5.10.0-0.deb10.23-amd64	       0        1        0        1        0
45166 linux-image-5.10.0-0.deb10.24-amd64	       0        1        0        1        0
45167 linux-image-5.10.0-0.deb10.26-amd64	       0        2        0        2        0
45168 linux-image-5.10.0-0.deb10.28-amd64	       0        4        0        4        0
45169 linux-image-5.10.0-0.deb10.30-amd64	       0        1        0        1        0
45170 linux-image-5.10.0-1-amd64         	       0        1        0        1        0
45171 linux-image-5.10.0-10-686          	       0        1        0        1        0
45172 linux-image-5.10.0-10-amd64        	       0       26        0       25        1
45173 linux-image-5.10.0-11-686          	       0        1        0        1        0
45174 linux-image-5.10.0-11-686-pae      	       0        1        0        1        0
45175 linux-image-5.10.0-11-amd64        	       0       37        1       35        1
45176 linux-image-5.10.0-12-686          	       0        3        0        3        0
45177 linux-image-5.10.0-12-amd64        	       0       17        0       16        1
45178 linux-image-5.10.0-13-686          	       0        4        0        4        0
45179 linux-image-5.10.0-13-686-pae      	       0        1        0        1        0
45180 linux-image-5.10.0-13-amd64        	       0       31        0       29        2
45181 linux-image-5.10.0-14-686          	       0        1        0        1        0
45182 linux-image-5.10.0-14-amd64        	       0       28        0       27        1
45183 linux-image-5.10.0-14-amd64-unsigned	       0        1        1        0        0
45184 linux-image-5.10.0-15-686          	       0        3        0        3        0
45185 linux-image-5.10.0-15-amd64        	       0       25        0       24        1
45186 linux-image-5.10.0-15-cloud-amd64  	       0        1        0        1        0
45187 linux-image-5.10.0-15-cloud-amd64-unsigned	       0        1        0        1        0
45188 linux-image-5.10.0-16-686          	       0        2        0        2        0
45189 linux-image-5.10.0-16-amd64        	       0       27        0       26        1
45190 linux-image-5.10.0-16-amd64-dbg    	       0        1        0        1        0
45191 linux-image-5.10.0-16-powerpc64le  	       0        1        0        1        0
45192 linux-image-5.10.0-17-686          	       0        2        0        2        0
45193 linux-image-5.10.0-17-686-pae      	       0        2        0        2        0
45194 linux-image-5.10.0-17-amd64        	       0       26        0       25        1
45195 linux-image-5.10.0-17-powerpc64le  	       0        1        0        1        0
45196 linux-image-5.10.0-18-686          	       0        2        0        2        0
45197 linux-image-5.10.0-18-amd64        	       0       42        0       41        1
45198 linux-image-5.10.0-18-cloud-amd64  	       0        1        0        1        0
45199 linux-image-5.10.0-18-powerpc64le  	       0        1        0        1        0
45200 linux-image-5.10.0-19-686          	       0        3        0        3        0
45201 linux-image-5.10.0-19-686-pae      	       0        1        0        1        0
45202 linux-image-5.10.0-19-amd64        	       0       58        0       57        1
45203 linux-image-5.10.0-19-powerpc64le  	       0        1        0        1        0
45204 linux-image-5.10.0-2-amd64         	       0        2        0        2        0
45205 linux-image-5.10.0-20-686          	       0        4        0        4        0
45206 linux-image-5.10.0-20-686-pae      	       0        2        0        2        0
45207 linux-image-5.10.0-20-amd64        	       0       57        0       56        1
45208 linux-image-5.10.0-20-cloud-amd64  	       0        1        0        1        0
45209 linux-image-5.10.0-21-686          	       0        5        0        5        0
45210 linux-image-5.10.0-21-686-pae      	       0        1        0        1        0
45211 linux-image-5.10.0-21-amd64        	       0       96        0       96        0
45212 linux-image-5.10.0-21-cloud-amd64  	       0        1        0        1        0
45213 linux-image-5.10.0-22-686          	       0        2        0        2        0
45214 linux-image-5.10.0-22-amd64        	       0       27        0       27        0
45215 linux-image-5.10.0-23-686          	       0        8        0        7        1
45216 linux-image-5.10.0-23-amd64        	       0       91        1       89        1
45217 linux-image-5.10.0-23-amd64-dbg    	       0        1        0        1        0
45218 linux-image-5.10.0-23-rt-amd64     	       0        2        0        2        0
45219 linux-image-5.10.0-24-686          	       0        2        0        2        0
45220 linux-image-5.10.0-24-amd64        	       0       25        1       24        0
45221 linux-image-5.10.0-25-686          	       0        3        0        3        0
45222 linux-image-5.10.0-25-686-pae      	       0        3        0        3        0
45223 linux-image-5.10.0-25-amd64        	       0       70        3       66        1
45224 linux-image-5.10.0-25-arm64        	       0        1        0        1        0
45225 linux-image-5.10.0-26-686          	       0        7        0        7        0
45226 linux-image-5.10.0-26-686-pae      	       0        2        0        2        0
45227 linux-image-5.10.0-26-amd64        	       0      123        1      121        1
45228 linux-image-5.10.0-26-amd64-unsigned	       0        1        0        1        0
45229 linux-image-5.10.0-26-arm64        	       0        1        0        1        0
45230 linux-image-5.10.0-26-rt-amd64     	       0        2        0        2        0
45231 linux-image-5.10.0-27-686          	       0        2        0        2        0
45232 linux-image-5.10.0-27-amd64        	       0       64        1       62        1
45233 linux-image-5.10.0-27-rt-amd64     	       0        1        0        1        0
45234 linux-image-5.10.0-28-686          	       0        6        0        6        0
45235 linux-image-5.10.0-28-686-pae      	       0        2        0        2        0
45236 linux-image-5.10.0-28-amd64        	       0      124        2      121        1
45237 linux-image-5.10.0-28-rt-amd64     	       0        1        0        1        0
45238 linux-image-5.10.0-29-686          	       0        2        0        2        0
45239 linux-image-5.10.0-29-686-pae      	       0        1        0        1        0
45240 linux-image-5.10.0-29-amd64        	       0       67        2       65        0
45241 linux-image-5.10.0-3-amd64         	       0        3        0        3        0
45242 linux-image-5.10.0-30-686          	       0        2        0        2        0
45243 linux-image-5.10.0-30-686-pae      	       0        1        0        1        0
45244 linux-image-5.10.0-30-amd64        	       0       92        4       88        0
45245 linux-image-5.10.0-30-cloud-amd64  	       0        1        0        1        0
45246 linux-image-5.10.0-31-686          	       0        2        0        2        0
45247 linux-image-5.10.0-31-686-pae      	       0        2        0        2        0
45248 linux-image-5.10.0-31-amd64        	       0       66        3       63        0
45249 linux-image-5.10.0-32-686          	       0        7        0        7        0
45250 linux-image-5.10.0-32-686-pae      	       0        4        0        4        0
45251 linux-image-5.10.0-32-amd64        	       0      156        1      154        1
45252 linux-image-5.10.0-32-cloud-amd64  	       0        1        1        0        0
45253 linux-image-5.10.0-33-686-pae      	       0        4        0        4        0
45254 linux-image-5.10.0-33-amd64-dbg    	       0        1        0        1        0
45255 linux-image-5.10.0-33-cloud-amd64  	       0        1        1        0        0
45256 linux-image-5.10.0-33-rt-amd64     	       0        2        0        2        0
45257 linux-image-5.10.0-4-amd64         	       0        2        0        2        0
45258 linux-image-5.10.0-5-686           	       0        1        0        0        1
45259 linux-image-5.10.0-5-686-pae-unsigned	       0        1        0        0        1
45260 linux-image-5.10.0-6-686           	       0        1        0        0        1
45261 linux-image-5.10.0-6-amd64         	       0        3        0        3        0
45262 linux-image-5.10.0-6-rt-amd64      	       0        1        0        1        0
45263 linux-image-5.10.0-7-amd64         	       0        1        0        1        0
45264 linux-image-5.10.0-8-686-pae       	       0        1        0        1        0
45265 linux-image-5.10.0-8-amd64         	       0       17        0       17        0
45266 linux-image-5.10.0-9-686-pae       	       0        1        0        1        0
45267 linux-image-5.10.0-9-amd64-unsigned	       0        1        0        1        0
45268 linux-image-5.10.1-gnu             	       0        1        0        1        0
45269 linux-image-5.10.106               	       0        1        0        1        0
45270 linux-image-5.10.113               	       0        1        1        0        0
45271 linux-image-5.10.180-olimex        	       0        1        0        1        0
45272 linux-image-5.10.191               	       0        1        0        1        0
45273 linux-image-5.10.22                	       0        1        0        0        1
45274 linux-image-5.10.30-m              	       0        1        0        0        1
45275 linux-image-5.10.30-moose          	       0        1        0        0        1
45276 linux-image-5.10.36-moose          	       0        1        0        0        1
45277 linux-image-5.10.41+               	       0        1        0        1        0
45278 linux-image-5.10.9-castillo        	       0        1        0        1        0
45279 linux-image-5.11.1-moose           	       0        1        0        0        1
45280 linux-image-5.11.11                	       0        1        0        0        1
45281 linux-image-5.11.15-moose          	       0        1        0        0        1
45282 linux-image-5.12.0-moose           	       0        1        0        0        1
45283 linux-image-5.12.0-pepper          	       0        1        0        0        1
45284 linux-image-5.12.0-rc4             	       0        1        0        0        1
45285 linux-image-5.12.0-rc4-moose       	       0        1        0        0        1
45286 linux-image-5.12.0-rc4-moosette    	       0        1        0        0        1
45287 linux-image-5.12.0-rc5-moose       	       0        1        0        0        1
45288 linux-image-5.12.0-rc6-moose       	       0        1        0        0        1
45289 linux-image-5.12.0-rc8-pepper      	       0        1        0        0        1
45290 linux-image-5.13.0-rc4-pepper      	       0        1        0        0        1
45291 linux-image-5.13.0-rc5-pepper      	       0        1        0        0        1
45292 linux-image-5.14.0-0.bpo.2-amd64   	       0        3        0        2        1
45293 linux-image-5.14.0-rc5-pepper      	       0        1        0        0        1
45294 linux-image-5.15.0                 	       0        1        1        0        0
45295 linux-image-5.15.0-0.bpo.2-686-pae-unsigned	       0        1        0        1        0
45296 linux-image-5.15.0-0.bpo.2-amd64   	       0        4        0        4        0
45297 linux-image-5.15.0-1-amd64         	       0        1        0        1        0
45298 linux-image-5.15.0-2-amd64         	       0        3        0        3        0
45299 linux-image-5.15.0-2-rt-amd64      	       0        1        0        1        0
45300 linux-image-5.15.0-3-amd64         	       0        2        0        2        0
45301 linux-image-5.15.0-rc5-pepper      	       0        1        0        1        0
45302 linux-image-5.15.0-rc6-moose       	       0        1        0        0        1
45303 linux-image-5.15.173-gnu           	       0        1        0        1        0
45304 linux-image-5.15.25-atom           	       0        1        0        1        0
45305 linux-image-5.15.32                	       0        1        0        1        0
45306 linux-image-5.15.4-moose           	       0        1        0        0        1
45307 linux-image-5.15.4-pepper          	       0        1        0        1        0
45308 linux-image-5.15.4-pogo            	       0        1        0        0        1
45309 linux-image-5.15.5-jae             	       0        1        0        1        0
45310 linux-image-5.15.78-xanmod1        	       0        1        0        1        0
45311 linux-image-5.15.81-xanmod1        	       0        1        0        1        0
45312 linux-image-5.15.84-xanmod1        	       0        1        0        1        0
45313 linux-image-5.15.87-xanmod1        	       0        1        0        1        0
45314 linux-image-5.15.89-xanmod1        	       0        1        0        1        0
45315 linux-image-5.16.0-0.bpo.4-amd64   	       0        1        0        1        0
45316 linux-image-5.16.0-0.bpo.4-amd64-unsigned	       0        1        0        1        0
45317 linux-image-5.16.0-0.bpo.4-rt-amd64	       0        1        0        1        0
45318 linux-image-5.16.0-0.bpo.4-rt-amd64-unsigned	       0        1        0        1        0
45319 linux-image-5.16.0-1-amd64         	       0        2        0        2        0
45320 linux-image-5.16.0-2-amd64         	       0        1        0        1        0
45321 linux-image-5.16.0-3-amd64         	       0        2        0        2        0
45322 linux-image-5.16.0-4-amd64         	       0        3        0        3        0
45323 linux-image-5.16.0-5-amd64         	       0        4        0        4        0
45324 linux-image-5.16.0-6-amd64         	       0        4        0        4        0
45325 linux-image-5.17.0-1-686           	       0        1        0        1        0
45326 linux-image-5.17.0-1-amd64         	       0        3        0        3        0
45327 linux-image-5.17.0-2-amd64         	       0        1        0        1        0
45328 linux-image-5.17.0-3-amd64         	       0        1        0        1        0
45329 linux-image-5.17.0-moose           	       0        1        0        0        1
45330 linux-image-5.17.0-pepper          	       0        1        0        1        0
45331 linux-image-5.17.0-rc6-moose       	       0        1        0        0        1
45332 linux-image-5.17.0-rc6-pepper      	       0        1        0        1        0
45333 linux-image-5.17.8                 	       0        1        0        1        0
45334 linux-image-5.18.0-0.bpo.1-amd64   	       0        3        0        3        0
45335 linux-image-5.18.0-0.deb11.4-amd64 	       0        1        0        1        0
45336 linux-image-5.18.0-1-amd64         	       0        1        0        1        0
45337 linux-image-5.18.0-1-rt-amd64-unsigned	       0        1        0        1        0
45338 linux-image-5.18.0-2-686           	       0        1        0        1        0
45339 linux-image-5.18.0-2-amd64         	       0        4        0        4        0
45340 linux-image-5.18.0-3-amd64         	       0        5        0        5        0
45341 linux-image-5.18.0-4-amd64         	       0        3        0        3        0
45342 linux-image-5.19.0-0.deb11.2-686-pae	       0        1        0        1        0
45343 linux-image-5.19.0-0.deb11.2-amd64 	       0        5        0        5        0
45344 linux-image-5.19.0-1-amd64         	       0        3        0        3        0
45345 linux-image-5.19.0-2-amd64         	       0        5        0        4        1
45346 linux-image-5.2.0-2-amd64          	       0        1        0        1        0
45347 linux-image-5.2.0-3-amd64          	       0        1        0        1        0
45348 linux-image-5.2.1-diglator.15      	       0        1        0        1        0
45349 linux-image-5.2.13-19.09.09.amdgpu 	       0        1        0        1        0
45350 linux-image-5.3.0-2-amd64          	       0        1        0        1        0
45351 linux-image-5.4.0-0.bpo.3-amd64    	       0        1        0        1        0
45352 linux-image-5.4.0-0.bpo.4-amd64-unsigned	       0        2        0        2        0
45353 linux-image-5.4.0-1862405280420-generic	       0        1        0        0        1
45354 linux-image-5.4.0-4-amd64          	       0        1        0        1        0
45355 linux-image-5.4.111-moose          	       0        1        0        0        1
45356 linux-image-5.4.111-mse            	       0        1        0        0        1
45357 linux-image-5.4.13                 	       0        1        0        1        0
45358 linux-image-5.5.0-0.bpo.2-amd64    	       0        1        0        1        0
45359 linux-image-5.5.0-rc2-snow         	       0        1        0        0        1
45360 linux-image-5.6.0-0.bpo.2-amd64    	       0        1        0        1        0
45361 linux-image-5.6.0-2-amd64-unsigned 	       0        1        0        1        0
45362 linux-image-5.6.0-rc4-snow         	       0        1        0        0        1
45363 linux-image-5.6.4-rt3              	       0        1        0        1        0
45364 linux-image-5.7.0-0.bpo.2-amd64    	       0        1        0        1        0
45365 linux-image-5.7.0-1-amd64-unsigned 	       0        1        0        1        0
45366 linux-image-5.7.0-3-amd64          	       0        1        0        1        0
45367 linux-image-5.7.19-moose           	       0        1        0        0        1
45368 linux-image-5.8.0-0.bpo.2-amd64    	       0        2        0        2        0
45369 linux-image-5.9.0-0.bpo.5-amd64-dbg	       0        1        0        1        0
45370 linux-image-5.9.0-0.bpo.5-arm64    	       0        1        0        1        0
45371 linux-image-5.9.0-0.bpo.5-rt-amd64 	       0        1        0        1        0
45372 linux-image-5.9.0-1-amd64          	       0        2        0        2        0
45373 linux-image-5.9.0-4-amd64          	       0        1        0        1        0
45374 linux-image-5.9.0-5-amd64          	       0        2        0        2        0
45375 linux-image-586                    	       0        4        0        0        4
45376 linux-image-6.0.0-0.deb11.2-amd64-unsigned	       0        1        0        1        0
45377 linux-image-6.0.0-0.deb11.6-amd64  	       0        6        0        6        0
45378 linux-image-6.0.0-0.deb11.6-amd64-unsigned	       0        1        0        1        0
45379 linux-image-6.0.0-1-amd64          	       0        1        0        1        0
45380 linux-image-6.0.0-3-amd64          	       0        1        0        1        0
45381 linux-image-6.0.0-4-amd64          	       0        1        0        1        0
45382 linux-image-6.0.0-5-amd64          	       0        2        0        2        0
45383 linux-image-6.0.0-6-686            	       0        1        0        1        0
45384 linux-image-6.0.0-6-amd64          	       0       10        0       10        0
45385 linux-image-6.0.0-rc1-moose        	       0        1        0        0        1
45386 linux-image-6.0.0-rc6-moose        	       0        1        0        0        1
45387 linux-image-6.0.11                 	       0        1        0        1        0
45388 linux-image-6.0.7-moose            	       0        1        0        0        1
45389 linux-image-6.0.9-surface          	       0        1        0        1        0
45390 linux-image-6.1.0-0.deb11.11-amd64 	       0        4        0        4        0
45391 linux-image-6.1.0-0.deb11.13-amd64 	       0        6        0        6        0
45392 linux-image-6.1.0-0.deb11.13-rt-amd64	       0        1        0        1        0
45393 linux-image-6.1.0-0.deb11.17-amd64 	       0        8        1        7        0
45394 linux-image-6.1.0-0.deb11.17-amd64-unsigned	       0        1        0        1        0
45395 linux-image-6.1.0-0.deb11.18-amd64 	       0        2        0        2        0
45396 linux-image-6.1.0-0.deb11.21-686-pae	       0        1        0        1        0
45397 linux-image-6.1.0-0.deb11.21-amd64 	       0       11        1       10        0
45398 linux-image-6.1.0-0.deb11.21-rt-amd64	       0        1        0        1        0
45399 linux-image-6.1.0-0.deb11.26-amd64-unsigned	       0        1        0        1        0
45400 linux-image-6.1.0-0.deb11.28-amd64 	       0        1        0        1        0
45401 linux-image-6.1.0-0.deb11.5-amd64  	       0        4        0        4        0
45402 linux-image-6.1.0-0.deb11.6-amd64  	       0        1        0        1        0
45403 linux-image-6.1.0-0.deb11.7-amd64  	       0        6        0        6        0
45404 linux-image-6.1.0-0.deb11.7-amd64-unsigned	       0        2        0        2        0
45405 linux-image-6.1.0-0.deb11.9-amd64  	       0        2        0        2        0
45406 linux-image-6.1.0-1-amd64          	       0        1        0        1        0
45407 linux-image-6.1.0-10-686-pae       	       0        4        0        4        0
45408 linux-image-6.1.0-10-rt-amd64      	       0        1        0        1        0
45409 linux-image-6.1.0-11-686           	       0        1        0        1        0
45410 linux-image-6.1.0-11-amd64         	       0       48        1       47        0
45411 linux-image-6.1.0-11-amd64-dbg     	       0        1        0        1        0
45412 linux-image-6.1.0-12-686           	       0        2        0        2        0
45413 linux-image-6.1.0-12-686-pae       	       0        2        0        2        0
45414 linux-image-6.1.0-12-amd64         	       0       44        2       42        0
45415 linux-image-6.1.0-12-amd64-dbg     	       0        1        0        1        0
45416 linux-image-6.1.0-12-cloud-amd64   	       0        1        0        1        0
45417 linux-image-6.1.0-12-cloud-amd64-dbg	       0        1        0        1        0
45418 linux-image-6.1.0-12-rt-amd64      	       0        1        0        1        0
45419 linux-image-6.1.0-12-rt-amd64-dbg  	       0        1        0        1        0
45420 linux-image-6.1.0-13-686           	       0        5        0        5        0
45421 linux-image-6.1.0-13-amd64         	       0      113        1      111        1
45422 linux-image-6.1.0-13-amd64-dbg     	       0        1        0        1        0
45423 linux-image-6.1.0-13-cloud-amd64   	       0        1        0        1        0
45424 linux-image-6.1.0-13-cloud-amd64-dbg	       0        1        0        1        0
45425 linux-image-6.1.0-13-rt-amd64      	       0        2        0        2        0
45426 linux-image-6.1.0-13-rt-amd64-dbg  	       0        1        0        1        0
45427 linux-image-6.1.0-14-amd64         	       0        6        0        6        0
45428 linux-image-6.1.0-15-686-pae       	       0        1        0        1        0
45429 linux-image-6.1.0-15-amd64         	       0       34        1       33        0
45430 linux-image-6.1.0-15-arm64         	       0        1        0        1        0
45431 linux-image-6.1.0-16-amd64         	       0       70        1       68        1
45432 linux-image-6.1.0-17-686           	       0        2        0        2        0
45433 linux-image-6.1.0-17-686-pae       	       0        1        0        1        0
45434 linux-image-6.1.0-17-amd64         	       0      131        4      126        1
45435 linux-image-6.1.0-17-amd64-dbg     	       0        1        0        1        0
45436 linux-image-6.1.0-17-amd64-unsigned	       0        1        0        1        0
45437 linux-image-6.1.0-17-rt-amd64      	       0        1        0        1        0
45438 linux-image-6.1.0-18-686           	       0        5        0        5        0
45439 linux-image-6.1.0-18-686-pae       	       0        5        0        4        1
45440 linux-image-6.1.0-18-amd64         	       0      184        2      181        1
45441 linux-image-6.1.0-18-amd64-unsigned	       0        5        0        5        0
45442 linux-image-6.1.0-18-arm64         	       0        1        0        1        0
45443 linux-image-6.1.0-18-cloud-amd64   	       0        1        0        1        0
45444 linux-image-6.1.0-18-cloud-amd64-unsigned	       0        5        0        5        0
45445 linux-image-6.1.0-18-powerpc64le   	       0        1        0        1        0
45446 linux-image-6.1.0-18-rpi           	       0        1        0        1        0
45447 linux-image-6.1.0-18-rt-amd64      	       0        1        0        1        0
45448 linux-image-6.1.0-18-rt-amd64-unsigned	       0        5        0        5        0
45449 linux-image-6.1.0-19-amd64         	       0        4        0        4        0
45450 linux-image-6.1.0-2-amd64          	       0        2        0        2        0
45451 linux-image-6.1.0-2.frea-amd64-unsigned	       0        1        0        1        0
45452 linux-image-6.1.0-20-686           	       0        3        0        3        0
45453 linux-image-6.1.0-20-686-pae       	       0        1        0        1        0
45454 linux-image-6.1.0-20-amd64         	       0      119        0      119        0
45455 linux-image-6.1.0-20-amd64-dbg     	       0        1        0        1        0
45456 linux-image-6.1.0-21-686           	       0        7        0        7        0
45457 linux-image-6.1.0-21-686-pae       	       0        2        0        2        0
45458 linux-image-6.1.0-21-amd64         	       0      262        2      259        1
45459 linux-image-6.1.0-21-amd64-unsigned	       0        1        0        1        0
45460 linux-image-6.1.0-21-arm64         	       0        1        0        1        0
45461 linux-image-6.1.0-21-powerpc64le   	       0        1        0        1        0
45462 linux-image-6.1.0-21-rt-amd64      	       0        2        0        2        0
45463 linux-image-6.1.0-21-rt-amd64-dbg  	       0        1        0        1        0
45464 linux-image-6.1.0-22-686           	       0        5        0        5        0
45465 linux-image-6.1.0-22-686-pae       	       0        2        0        2        0
45466 linux-image-6.1.0-22-amd64         	       0      200        1      199        0
45467 linux-image-6.1.0-22-cloud-amd64   	       0        2        0        2        0
45468 linux-image-6.1.0-22-rt-amd64      	       0        1        0        1        0
45469 linux-image-6.1.0-23-686           	       0       16        0       16        0
45470 linux-image-6.1.0-23-686-pae       	       0        4        0        3        1
45471 linux-image-6.1.0-23-amd64         	       0      413        3      410        0
45472 linux-image-6.1.0-23-arm64         	       0        2        0        2        0
45473 linux-image-6.1.0-23-rpi           	       0        1        0        1        0
45474 linux-image-6.1.0-23-rt-amd64      	       0        2        0        2        0
45475 linux-image-6.1.0-24-amd64         	       0        2        0        2        0
45476 linux-image-6.1.0-25-686           	       0       23        1       22        0
45477 linux-image-6.1.0-25-686-pae       	       0        4        1        1        2
45478 linux-image-6.1.0-25-amd64         	       0      541        5      536        0
45479 linux-image-6.1.0-25-amd64-dbg     	       0        1        0        1        0
45480 linux-image-6.1.0-25-amd64-unsigned	       0        1        0        1        0
45481 linux-image-6.1.0-25-armmp         	       0        1        0        1        0
45482 linux-image-6.1.0-25-cloud-amd64   	       0        1        0        1        0
45483 linux-image-6.1.0-25-cloud-amd64-unsigned	       0        1        0        1        0
45484 linux-image-6.1.0-25-powerpc64le   	       0        2        0        2        0
45485 linux-image-6.1.0-25-rpi           	       0        3        0        3        0
45486 linux-image-6.1.0-25-rt-amd64      	       0        5        0        4        1
45487 linux-image-6.1.0-25-rt-amd64-unsigned	       0        1        0        1        0
45488 linux-image-6.1.0-26-686           	       0       20        1       19        0
45489 linux-image-6.1.0-26-686-pae       	       0        4        0        4        0
45490 linux-image-6.1.0-26-amd64         	       0      456        6      450        0
45491 linux-image-6.1.0-26-amd64-unsigned	       0        1        0        1        0
45492 linux-image-6.1.0-26-armmp         	       0        1        0        1        0
45493 linux-image-6.1.0-26-armmp-lpae    	       0        1        0        1        0
45494 linux-image-6.1.0-26-rpi           	       0        2        0        2        0
45495 linux-image-6.1.0-26-rt-amd64      	       0        3        0        3        0
45496 linux-image-6.1.0-27-686           	       0       11        0       11        0
45497 linux-image-6.1.0-27-686-pae       	       0        6        0        6        0
45498 linux-image-6.1.0-27-amd64         	       0      337        5      331        1
45499 linux-image-6.1.0-27-cloud-amd64   	       0        1        0        1        0
45500 linux-image-6.1.0-27-rpi           	       0        2        0        2        0
45501 linux-image-6.1.0-27-rt-amd64      	       0        3        0        3        0
45502 linux-image-6.1.0-28-686           	       0       18        0       18        0
45503 linux-image-6.1.0-28-686-pae       	       0        7        0        7        0
45504 linux-image-6.1.0-28-arm64         	       0        2        1        1        0
45505 linux-image-6.1.0-28-armmp         	       0        2        0        2        0
45506 linux-image-6.1.0-28-armmp-lpae    	       0        1        0        1        0
45507 linux-image-6.1.0-28-cloud-amd64   	       0        2        0        2        0
45508 linux-image-6.1.0-28-rpi           	       0        2        0        2        0
45509 linux-image-6.1.0-28-rt-amd64      	       0        5        0        5        0
45510 linux-image-6.1.0-29-686           	       0        4        0        4        0
45511 linux-image-6.1.0-29-686-pae       	       0        1        0        1        0
45512 linux-image-6.1.0-29-powerpc64le   	       0        1        0        1        0
45513 linux-image-6.1.0-3-amd64          	       0        5        0        5        0
45514 linux-image-6.1.0-30-686-pae       	       0        3        0        3        0
45515 linux-image-6.1.0-30-amd64-unsigned	       0        1        0        1        0
45516 linux-image-6.1.0-30-armmp         	       0        1        0        1        0
45517 linux-image-6.1.0-30-armmp-lpae    	       0        1        0        1        0
45518 linux-image-6.1.0-30-cloud-amd64   	       0        2        1        1        0
45519 linux-image-6.1.0-30-rpi           	       0        1        0        1        0
45520 linux-image-6.1.0-30-rt-amd64      	       0        3        0        3        0
45521 linux-image-6.1.0-31-cloud-amd64   	       0        1        1        0        0
45522 linux-image-6.1.0-4-amd64          	       0        2        0        2        0
45523 linux-image-6.1.0-5-amd64          	       0        3        0        2        1
45524 linux-image-6.1.0-6-amd64          	       0        8        0        8        0
45525 linux-image-6.1.0-7-amd64          	       0       11        0       11        0
45526 linux-image-6.1.0-7-arm64          	       0        1        0        1        0
45527 linux-image-6.1.0-8-amd64          	       0        1        0        1        0
45528 linux-image-6.1.0-9-amd64          	       0       16        0       16        0
45529 linux-image-6.1.0-moose            	       0        1        0        0        1
45530 linux-image-6.1.0-odroid-arm64     	       0        1        0        1        0
45531 linux-image-6.1.115-326            	       0        1        0        1        0
45532 linux-image-6.1.119-327            	       0        1        1        0        0
45533 linux-image-6.1.20-x64v1-xanmod1   	       0        1        0        1        0
45534 linux-image-6.1.22-x64v1-xanmod1   	       0        1        0        1        0
45535 linux-image-6.1.47-x64v1-xanmod1   	       0        1        0        1        0
45536 linux-image-6.1.62-gnu             	       0        1        0        1        0
45537 linux-image-6.1.62-x64v1-xanmod1   	       0        1        0        1        0
45538 linux-image-6.1.63-x64v1-xanmod1   	       0        1        0        1        0
45539 linux-image-6.1.64-x64v1-xanmod1   	       0        1        0        1        0
45540 linux-image-6.1.69-1-custom-mm41   	       0        1        0        0        1
45541 linux-image-6.1.69-1-custom-ryzen9 	       0        1        0        1        0
45542 linux-image-6.1.8-pepper           	       0        1        0        1        0
45543 linux-image-6.1.96-319             	       0        1        0        1        0
45544 linux-image-6.10.0                 	       0        3        0        2        1
45545 linux-image-6.10.0-rc1             	       0        1        0        1        0
45546 linux-image-6.10.0-rc3             	       0        1        0        1        0
45547 linux-image-6.10.0-rc7             	       0        1        0        0        1
45548 linux-image-6.10.10-2-liquorix-amd64	       0        1        0        1        0
45549 linux-image-6.10.10-x64v1-xanmod1  	       0        1        0        1        0
45550 linux-image-6.10.11+bpo-amd64      	       0       24        0       24        0
45551 linux-image-6.10.11+bpo-amd64-dbg  	       0        1        0        1        0
45552 linux-image-6.10.11+bpo-rt-amd64   	       0        2        0        2        0
45553 linux-image-6.10.11-686            	       0        2        1        1        0
45554 linux-image-6.10.11-686-pae        	       0        2        0        2        0
45555 linux-image-6.10.11-amd64          	       0       23        0       23        0
45556 linux-image-6.10.11-kirkwood-tld-1 	       0        1        1        0        0
45557 linux-image-6.10.11-x64v1-xanmod1  	       0        1        0        1        0
45558 linux-image-6.10.12-686-pae        	       0        1        0        1        0
45559 linux-image-6.10.12-amd64          	       0        4        0        4        0
45560 linux-image-6.10.2                 	       0        1        0        0        1
45561 linux-image-6.10.3-amd64           	       0       12        0       12        0
45562 linux-image-6.10.4-686             	       0        1        0        1        0
45563 linux-image-6.10.4-amd64           	       0       13        0       13        0
45564 linux-image-6.10.4-amd64-unsigned  	       0        1        0        1        0
45565 linux-image-6.10.5                 	       0        1        0        0        1
45566 linux-image-6.10.6                 	       0        1        0        0        1
45567 linux-image-6.10.6+bpo-amd64       	       0       21        0       21        0
45568 linux-image-6.10.6+bpo-amd64-dbg   	       0        1        0        1        0
45569 linux-image-6.10.6+bpo-amd64-unsigned	       0        1        0        1        0
45570 linux-image-6.10.6-2-liquorix-amd64	       0        1        0        1        0
45571 linux-image-6.10.6-686             	       0        3        0        3        0
45572 linux-image-6.10.6-amd64           	       0       23        0       22        1
45573 linux-image-6.10.6-custom          	       0        1        0        1        0
45574 linux-image-6.10.6-x64v4-xanmod1   	       0        1        0        1        0
45575 linux-image-6.10.7                 	       0        1        0        0        1
45576 linux-image-6.10.7-amd64           	       0        8        0        8        0
45577 linux-image-6.10.9-686             	       0        1        0        1        0
45578 linux-image-6.10.9-686-pae         	       0        1        0        1        0
45579 linux-image-6.10.9-amd64           	       0       31        1       30        0
45580 linux-image-6.10.9-custom          	       0        1        0        1        0
45581 linux-image-6.11.0                 	       0       11        0       10        1
45582 linux-image-6.11.0-1013-oem        	       0        1        0        0        1
45583 linux-image-6.11.0-rc4             	       0        3        0        1        2
45584 linux-image-6.11.0-rc5             	       0        2        0        0        2
45585 linux-image-6.11.10+bpo-amd64      	       0       24        0       24        0
45586 linux-image-6.11.10+bpo-rt-amd64   	       0        2        0        2        0
45587 linux-image-6.11.10-1-liquorix-amd64	       0        1        0        1        0
45588 linux-image-6.11.10-amd64          	       0       36        1       35        0
45589 linux-image-6.11.4-amd64           	       0       17        0       17        0
45590 linux-image-6.11.5+bpo-amd64       	       0       22        1       21        0
45591 linux-image-6.11.5+bpo-amd64-unsigned	       0        1        0        1        0
45592 linux-image-6.11.5+bpo-rt-amd64    	       0        2        0        2        0
45593 linux-image-6.11.5-1-liquorix-amd64	       0        1        0        1        0
45594 linux-image-6.11.5-amd64           	       0       22        0       22        0
45595 linux-image-6.11.6-amd64           	       0        4        0        4        0
45596 linux-image-6.11.7-2-liquorix-amd64	       0        1        0        1        0
45597 linux-image-6.11.7-amd64           	       0       11        0       11        0
45598 linux-image-6.11.9-amd64           	       0       13        0       13        0
45599 linux-image-6.12.0                 	       0        6        0        5        1
45600 linux-image-6.12.0-rc2             	       0        1        0        1        0
45601 linux-image-6.12.0-rc3             	       0        3        0        1        2
45602 linux-image-6.12.0-rc4             	       0        1        0        1        0
45603 linux-image-6.12.0-rc5             	       0        1        0        1        0
45604 linux-image-6.12.0-rc6             	       0        2        0        1        1
45605 linux-image-6.12.0-rc7             	       0        1        0        1        0
45606 linux-image-6.12.10-amd64          	       0       15        6        9        0
45607 linux-image-6.12.10-amd64-unsigned 	       0        1        0        1        0
45608 linux-image-6.12.11-2-liquorix-amd64	       0        1        0        1        0
45609 linux-image-6.12.11-rt-amd64       	       0        1        0        1        0
45610 linux-image-6.12.15-amd64          	       0        1        1        0        0
45611 linux-image-6.12.3-amd64           	       0        5        1        4        0
45612 linux-image-6.12.5-amd64           	       0       17        0       17        0
45613 linux-image-6.12.6                 	       0        1        0        1        0
45614 linux-image-6.12.6-1-liquorix-amd64	       0        1        0        1        0
45615 linux-image-6.12.6-amd64           	       0       37        3       34        0
45616 linux-image-6.12.6-amd64-unsigned  	       0        1        0        0        1
45617 linux-image-6.12.6-cloud-amd64     	       0        1        0        1        0
45618 linux-image-6.12.6-rt-amd64        	       0        1        0        1        0
45619 linux-image-6.12.7-x64v3-xanmod1   	       0        1        0        1        0
45620 linux-image-6.12.8-amd64           	       0        5        1        4        0
45621 linux-image-6.12.8-gnu             	       0        1        0        1        0
45622 linux-image-6.12.8-x64v3-xanmod1   	       0        1        0        1        0
45623 linux-image-6.12.9+bpo-rt-amd64    	       0        1        0        1        0
45624 linux-image-6.12.9-amd64           	       0       17        2       15        0
45625 linux-image-6.13-rc7-amd64-unsigned	       0        1        0        1        0
45626 linux-image-6.13.0                 	       0        2        1        1        0
45627 linux-image-6.13.0+                	       0        1        0        1        0
45628 linux-image-6.13.0-dirty           	       0        1        0        1        0
45629 linux-image-6.13.0-rc6             	       0        1        0        0        1
45630 linux-image-6.13.1-x64v3-xanmod1   	       0        1        0        1        0
45631 linux-image-6.2.0-rc3-moose        	       0        1        0        0        1
45632 linux-image-6.2.0-rc3-pepper       	       0        1        0        1        0
45633 linux-image-6.2.12                 	       0        4        0        3        1
45634 linux-image-6.2.12-8821cu          	       0        1        0        0        1
45635 linux-image-6.2.12-snd             	       0        1        0        0        1
45636 linux-image-6.2.12-snd2            	       0        1        0        0        1
45637 linux-image-6.2.2                  	       0        1        0        1        0
45638 linux-image-6.2.2-moose            	       0        1        0        0        1
45639 linux-image-6.2.2-pepper           	       0        1        0        1        0
45640 linux-image-6.3.0-1-amd64          	       0        2        0        2        0
45641 linux-image-6.3.0-2-amd64          	       0        2        0        2        0
45642 linux-image-6.3.0-2-amd64-unsigned 	       0        1        0        1        0
45643 linux-image-6.3.1                  	       0        1        0        0        1
45644 linux-image-6.3.5                  	       0        1        0        0        1
45645 linux-image-6.3.5-new              	       0        1        0        0        1
45646 linux-image-6.3.5-new-3            	       0        1        0        0        1
45647 linux-image-6.3.5new2              	       0        1        0        0        1
45648 linux-image-6.3.6                  	       0        1        0        0        1
45649 linux-image-6.3.6-snd              	       0        1        0        0        1
45650 linux-image-6.3.8                  	       0        1        0        0        1
45651 linux-image-6.3.8-snd              	       0        1        0        0        1
45652 linux-image-6.3.8snd               	       0        1        0        0        1
45653 linux-image-6.4.0                  	       0        1        0        0        1
45654 linux-image-6.4.0-0.deb12.2-amd64  	       0        2        0        2        0
45655 linux-image-6.4.0-1-amd64          	       0        3        0        3        0
45656 linux-image-6.4.0-2-amd64          	       0        1        0        1        0
45657 linux-image-6.4.0-3-amd64          	       0        1        0        1        0
45658 linux-image-6.4.0-3-rt-amd64       	       0        1        0        1        0
45659 linux-image-6.4.0-4-amd64          	       0        4        0        4        0
45660 linux-image-6.4.0-new              	       0        1        0        0        1
45661 linux-image-6.4.0-rc5              	       0        1        0        0        1
45662 linux-image-6.4.0-rc5-bt           	       0        1        0        0        1
45663 linux-image-6.4.0-rc5-new          	       0        1        0        0        1
45664 linux-image-6.4.0-rc7              	       0        1        0        0        1
45665 linux-image-6.4.0-rc7-new          	       0        1        0        0        1
45666 linux-image-6.4.1                  	       0        1        0        1        0
45667 linux-image-6.4.10                 	       0        1        0        0        1
45668 linux-image-6.4.12                 	       0        1        0        0        1
45669 linux-image-6.4.2                  	       0        1        0        0        1
45670 linux-image-6.4.2-crc32            	       0        1        0        0        1
45671 linux-image-6.4.2-kbd              	       0        1        0        0        1
45672 linux-image-6.4.4                  	       0        1        0        1        0
45673 linux-image-6.5.0-0.deb12.1-amd64  	       0        4        0        4        0
45674 linux-image-6.5.0-0.deb12.1-rt-amd64	       0        1        0        1        0
45675 linux-image-6.5.0-0.deb12.4-amd64  	       0        5        1        4        0
45676 linux-image-6.5.0-1-amd64          	       0        5        0        5        0
45677 linux-image-6.5.0-2-amd64          	       0        3        0        3        0
45678 linux-image-6.5.0-3-amd64          	       0        1        0        1        0
45679 linux-image-6.5.0-4-amd64          	       0        3        0        3        0
45680 linux-image-6.5.0-5-amd64          	       0        5        0        5        0
45681 linux-image-6.5.0-rc5              	       0        1        0        1        0
45682 linux-image-6.5.3                  	       0        1        0        0        1
45683 linux-image-6.5.3-w1               	       0        1        0        0        1
45684 linux-image-6.5.3-w2               	       0        1        0        0        1
45685 linux-image-6.5.5                  	       0        1        0        0        1
45686 linux-image-6.6.0                  	       0        2        1        1        0
45687 linux-image-6.6.0-dbg              	       0        1        0        1        0
45688 linux-image-6.6.0-odroid-arm64     	       0        1        0        1        0
45689 linux-image-6.6.0-rc2              	       0        2        0        0        2
45690 linux-image-6.6.0-rc5              	       0        1        0        0        1
45691 linux-image-6.6.1                  	       0        1        0        0        1
45692 linux-image-6.6.11-amd64           	       0        1        0        1        0
45693 linux-image-6.6.13+bpo-amd64       	       0        7        1        6        0
45694 linux-image-6.6.13+bpo-amd64-unsigned	       0        2        0        2        0
45695 linux-image-6.6.13-amd64           	       0        3        0        3        0
45696 linux-image-6.6.15-amd64           	       0       10        1        9        0
45697 linux-image-6.6.3                  	       0        1        0        0        1
45698 linux-image-6.6.30-rt30-x64v3-xanmod1	       0        1        0        1        0
45699 linux-image-6.6.30-x64v3-xanmod1   	       0        1        0        1        0
45700 linux-image-6.6.31-rt31-x64v3-xanmod1	       0        1        0        0        1
45701 linux-image-6.6.34-gnu             	       0        1        0        1        0
45702 linux-image-6.6.4                  	       0        1        0        0        1
45703 linux-image-6.6.40-x64v3-xanmod1   	       0        1        1        0        0
45704 linux-image-6.6.44-x64v1-xanmod1   	       0        1        0        1        0
45705 linux-image-6.6.46-x64v1-xanmod1   	       0        1        0        1        0
45706 linux-image-6.6.49-gnu             	       0        1        0        1        0
45707 linux-image-6.6.52-rt43-x64v3-xanmod1	       0        1        0        1        0
45708 linux-image-6.6.58                 	       0        1        1        0        0
45709 linux-image-6.6.58-x64v1-xanmod1   	       0        1        0        1        0
45710 linux-image-6.6.6                  	       0        1        0        0        1
45711 linux-image-6.6.62-x64v1-xanmod1   	       0        1        0        1        0
45712 linux-image-6.6.63-x64v1-xanmod1   	       0        2        0        2        0
45713 linux-image-6.6.64-x64v1-xanmod1   	       0        1        0        1        0
45714 linux-image-6.6.65-x64v1-xanmod1   	       0        1        0        1        0
45715 linux-image-6.6.66-x64v1-xanmod1   	       0        1        0        1        0
45716 linux-image-6.6.70-x64v1-xanmod1   	       0        1        0        1        0
45717 linux-image-6.6.8                  	       0        1        0        0        1
45718 linux-image-6.6.8-amd64            	       0        1        0        1        0
45719 linux-image-6.6.8-yogabook1        	       0        1        0        1        0
45720 linux-image-6.6.9-amd64            	       0        1        0        1        0
45721 linux-image-6.6.9-amd64-unsigned   	       0        1        0        1        0
45722 linux-image-6.7.0                  	       0        3        0        1        2
45723 linux-image-6.7.0-rc3              	       0        1        0        0        1
45724 linux-image-6.7.10                 	       0        2        0        0        2
45725 linux-image-6.7.11                 	       0        2        0        1        1
45726 linux-image-6.7.12+bpo-amd64       	       0        5        0        5        0
45727 linux-image-6.7.12-1-liquorix-amd64	       0        1        0        1        0
45728 linux-image-6.7.12-amd64           	       0       17        1       16        0
45729 linux-image-6.7.7-amd64            	       0        8        0        8        0
45730 linux-image-6.7.9-amd64            	       0       24        1       23        0
45731 linux-image-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45732 linux-image-6.8.11-amd64           	       0        1        0        1        0
45733 linux-image-6.8.12-amd64           	       0       12        1       11        0
45734 linux-image-6.8.3                  	       0        1        0        1        0
45735 linux-image-6.8.9-amd64            	       0        1        0        1        0
45736 linux-image-6.8.9-x64v3-xanmod1    	       0        1        0        1        0
45737 linux-image-6.9.0                  	       0        3        0        2        1
45738 linux-image-6.9.0-rc2              	       0        1        0        0        1
45739 linux-image-6.9.0-rc3              	       0        4        0        1        3
45740 linux-image-6.9.0-rc4              	       0        1        0        0        1
45741 linux-image-6.9.0-rc5              	       0        1        0        0        1
45742 linux-image-6.9.0-rc6              	       0        2        0        2        0
45743 linux-image-6.9.0-rc7              	       0        1        0        0        1
45744 linux-image-6.9.1                  	       0        1        0        1        0
45745 linux-image-6.9.10+bpo-amd64       	       0       10        0       10        0
45746 linux-image-6.9.10-amd64           	       0        9        0        9        0
45747 linux-image-6.9.10-x64v3-xanmod1   	       0        2        0        2        0
45748 linux-image-6.9.11-1-liquorix-amd64	       0        1        0        1        0
45749 linux-image-6.9.11-amd64           	       0        2        0        2        0
45750 linux-image-6.9.12-2-liquorix-amd64	       0        1        0        1        0
45751 linux-image-6.9.12-amd64           	       0       11        1       10        0
45752 linux-image-6.9.6-kirkwood-tld-1   	       0        1        0        0        1
45753 linux-image-6.9.7+bpo-amd64        	       0       13        1       12        0
45754 linux-image-6.9.7+bpo-amd64-dbg    	       0        1        0        1        0
45755 linux-image-6.9.7+bpo-amd64-unsigned	       0        1        0        1        0
45756 linux-image-6.9.7+bpo-rt-amd64     	       0        2        0        2        0
45757 linux-image-6.9.7-amd64            	       0        8        0        8        0
45758 linux-image-6.9.8-amd64            	       0        7        0        7        0
45759 linux-image-6.9.9-amd64            	       0        3        0        3        0
45760 linux-image-6.9.9-x64v3-xanmod1    	       0        1        0        1        0
45761 linux-image-686                    	       0      162        0        0      162
45762 linux-image-686-pae                	       0       61        0        0       61
45763 linux-image-amd64                  	       0     3559        0        0     3559
45764 linux-image-amd64-dbg              	       0        2        0        0        2
45765 linux-image-amd64-signed-template  	       0        4        0        0        4
45766 linux-image-arm64                  	       0        9        0        0        9
45767 linux-image-armmp                  	       0        2        0        0        2
45768 linux-image-armmp-lpae             	       0        1        0        0        1
45769 linux-image-bcm2709-rpi-2+3        	       0        1        0        1        0
45770 linux-image-cloud-amd64            	       0       10        0        0       10
45771 linux-image-current-sunxi64        	       0        2        0        2        0
45772 linux-image-i386-signed-template   	       0        1        0        0        1
45773 linux-image-liquorix-amd64         	       0        4        0        0        4
45774 linux-image-marvell                	       0        1        0        0        1
45775 linux-image-odroidc4               	       0        1        0        0        1
45776 linux-image-olimex                 	       0        1        0        0        1
45777 linux-image-powerpc64le            	       0        3        0        0        3
45778 linux-image-rpi                    	       0        4        0        0        4
45779 linux-image-rt-amd64               	       0       15        0        0       15
45780 linux-image-uc-6.11.0-1013-oem     	       0        1        0        0        1
45781 linux-image-uc-6.8.0-1021-nvidia-lowlatency	       0        1        0        0        1
45782 linux-image-vserver-3.18-beng      	       0        3        0        0        3
45783 linux-image-vserver-4.4-beng       	       0       10        0        0       10
45784 linux-image-vserver-4.9-beng       	       0       11        0        0       11
45785 linux-kbuild-2.6.18                	       0        1        0        0        1
45786 linux-kbuild-2.6.25                	       0        1        0        0        1
45787 linux-kbuild-2.6.26                	       0        1        0        0        1
45788 linux-kbuild-2.6.32                	       0        4        0        0        4
45789 linux-kbuild-3.0.0                 	       0        1        0        0        1
45790 linux-kbuild-3.10                  	       0        1        0        0        1
45791 linux-kbuild-3.11                  	       0        1        0        0        1
45792 linux-kbuild-3.14                  	       0        1        0        0        1
45793 linux-kbuild-3.16                  	       0       37        0        0       37
45794 linux-kbuild-3.18                  	       0        1        0        0        1
45795 linux-kbuild-3.2                   	       0       22        0        0       22
45796 linux-kbuild-4.14                  	       0        2        0        0        2
45797 linux-kbuild-4.16                  	       0        1        0        0        1
45798 linux-kbuild-4.19                  	       0       78        0        0       78
45799 linux-kbuild-4.2                   	       0        1        0        0        1
45800 linux-kbuild-4.5                   	       0        1        0        0        1
45801 linux-kbuild-4.6                   	       0        1        0        0        1
45802 linux-kbuild-4.7                   	       0        2        0        0        2
45803 linux-kbuild-4.8                   	       0        3        0        0        3
45804 linux-kbuild-4.9                   	       0       84        0        0       84
45805 linux-kbuild-5.10                  	       0      274        0        0      274
45806 linux-kbuild-5.14                  	       0        4        0        0        4
45807 linux-kbuild-5.15                  	       0        5        0        0        5
45808 linux-kbuild-5.16                  	       0       10        0        0       10
45809 linux-kbuild-5.17                  	       0        3        0        0        3
45810 linux-kbuild-5.18                  	       0       10        0        0       10
45811 linux-kbuild-5.19                  	       0        3        0        0        3
45812 linux-kbuild-5.2                   	       0        2        0        0        2
45813 linux-kbuild-5.4                   	       0        5        0        0        5
45814 linux-kbuild-5.6                   	       0        2        0        0        2
45815 linux-kbuild-5.7                   	       0        3        0        0        3
45816 linux-kbuild-5.8                   	       0        6        0        0        6
45817 linux-kbuild-5.9                   	       0        5        0        0        5
45818 linux-kbuild-6.0                   	       0        7        0        0        7
45819 linux-kbuild-6.1                   	       0      684        0        0      684
45820 linux-kbuild-6.10.11               	       0        7        0        0        7
45821 linux-kbuild-6.10.11+bpo           	       0       12        0        0       12
45822 linux-kbuild-6.10.12               	       0        1        0        0        1
45823 linux-kbuild-6.10.3                	       0        4        0        0        4
45824 linux-kbuild-6.10.4                	       0        3        0        0        3
45825 linux-kbuild-6.10.6                	       0        6        0        0        6
45826 linux-kbuild-6.10.6+bpo            	       0       11        0        0       11
45827 linux-kbuild-6.10.7                	       0        1        0        0        1
45828 linux-kbuild-6.10.9                	       0        9        0        0        9
45829 linux-kbuild-6.11.10               	       0       12        0        0       12
45830 linux-kbuild-6.11.10+bpo           	       0       11        0        0       11
45831 linux-kbuild-6.11.2                	       0        8        0        0        8
45832 linux-kbuild-6.11.4                	       0        7        0        0        7
45833 linux-kbuild-6.11.5                	       0        5        0        0        5
45834 linux-kbuild-6.11.5+bpo            	       0       11        0        0       11
45835 linux-kbuild-6.11.7                	       0        3        0        0        3
45836 linux-kbuild-6.11.9                	       0        3        0        0        3
45837 linux-kbuild-6.12.10               	       0        4        0        0        4
45838 linux-kbuild-6.12.11               	       0        8        0        0        8
45839 linux-kbuild-6.12.12               	       0        8        0        0        8
45840 linux-kbuild-6.12.12+bpo           	       0        2        0        0        2
45841 linux-kbuild-6.12.13               	       0        2        0        0        2
45842 linux-kbuild-6.12.16               	       0        1        0        0        1
45843 linux-kbuild-6.12.17               	       0        1        0        0        1
45844 linux-kbuild-6.12.3                	       0        2        0        0        2
45845 linux-kbuild-6.12.5                	       0        4        0        0        4
45846 linux-kbuild-6.12.6                	       0       12        0        0       12
45847 linux-kbuild-6.12.8                	       0        2        0        0        2
45848 linux-kbuild-6.12.9                	       0        6        0        0        6
45849 linux-kbuild-6.12.9+bpo            	       0       16        0        0       16
45850 linux-kbuild-6.3                   	       0        3        0        0        3
45851 linux-kbuild-6.4.0-0.deb12.2       	       0        2        0        0        2
45852 linux-kbuild-6.4.0-1               	       0        1        0        0        1
45853 linux-kbuild-6.4.0-2               	       0        1        0        0        1
45854 linux-kbuild-6.4.0-3               	       0        1        0        0        1
45855 linux-kbuild-6.4.0-4               	       0        1        0        0        1
45856 linux-kbuild-6.5.0-0.deb12.1       	       0        2        0        0        2
45857 linux-kbuild-6.5.0-0.deb12.4       	       0        7        0        0        7
45858 linux-kbuild-6.5.0-1               	       0        5        0        0        5
45859 linux-kbuild-6.5.0-2               	       0        1        0        0        1
45860 linux-kbuild-6.5.0-4               	       0        1        0        0        1
45861 linux-kbuild-6.5.0-5               	       0        2        0        0        2
45862 linux-kbuild-6.6                   	       0        1        0        0        1
45863 linux-kbuild-6.6.11                	       0        1        0        0        1
45864 linux-kbuild-6.6.13                	       0        1        0        0        1
45865 linux-kbuild-6.6.13+bpo            	       0        7        0        0        7
45866 linux-kbuild-6.6.15                	       0        2        0        0        2
45867 linux-kbuild-6.6.8                 	       0        1        0        0        1
45868 linux-kbuild-6.6.9                 	       0        1        0        0        1
45869 linux-kbuild-6.7.12                	       0        1        0        0        1
45870 linux-kbuild-6.7.12+bpo            	       0        3        0        0        3
45871 linux-kbuild-6.7.9                 	       0        2        0        0        2
45872 linux-kbuild-6.8.12                	       0        4        0        0        4
45873 linux-kbuild-6.8.9                 	       0        1        0        0        1
45874 linux-kbuild-6.9.10                	       0        1        0        0        1
45875 linux-kbuild-6.9.10+bpo            	       0        5        0        0        5
45876 linux-kbuild-6.9.12                	       0        1        0        0        1
45877 linux-kbuild-6.9.7                 	       0        2        0        0        2
45878 linux-kbuild-6.9.7+bpo             	       0        9        0        0        9
45879 linux-kbuild-6.9.8                 	       0        2        0        0        2
45880 linux-libc-dev-alpha-cross         	       0        1        0        1        0
45881 linux-libc-dev-amd64-cross         	       0        4        0        4        0
45882 linux-libc-dev-arm64-cross         	       0       36        1       35        0
45883 linux-libc-dev-armhf-cross         	       0       27        1       26        0
45884 linux-libc-dev-i386-cross          	       0       13        1       12        0
45885 linux-libc-dev-m68k-cross          	       0        1        0        1        0
45886 linux-libc-dev-mips-cross          	       0        5        0        5        0
45887 linux-libc-dev-mips64-cross        	       0        1        0        1        0
45888 linux-libc-dev-mips64el-cross      	       0        1        0        1        0
45889 linux-libc-dev-mips64r6el-cross    	       0        1        0        1        0
45890 linux-libc-dev-mipsel-cross        	       0        5        0        5        0
45891 linux-libc-dev-powerpc-cross       	       0        2        0        2        0
45892 linux-libc-dev-ppc64-cross         	       0        3        0        3        0
45893 linux-libc-dev-ppc64el-cross       	       0        1        0        1        0
45894 linux-libc-dev-riscv64-cross       	       0        6        1        5        0
45895 linux-libc-dev-s390x-cross         	       0        1        0        1        0
45896 linux-libc-dev-x32-cross           	       0        6        0        6        0
45897 linux-libre                        	       0        1        0        0        1
45898 linux-libre-5.15                   	       0        1        0        0        1
45899 linux-libre-5.15-headers           	       0        1        0        0        1
45900 linux-libre-lts                    	       0        3        0        0        3
45901 linux-libre-lts-headers            	       0        1        0        0        1
45902 linux-manual-2.6.32                	       0        1        0        0        1
45903 linux-manual-4.9                   	       0        2        0        0        2
45904 linux-mediatree                    	       0        1        0        0        1
45905 linux-modules-5.4.0-1862405280420-generic	       0        1        0        1        0
45906 linux-modules-6.11.0-1013-oem      	       0        1        1        0        0
45907 linux-modules-extra-5.4.0-1862405280420-generic	       0        1        0        0        1
45908 linux-modules-extra-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45909 linux-modules-ipu6-6.11.0-1013-oem 	       0        1        0        0        1
45910 linux-modules-ipu7-6.11.0-1013-oem 	       0        1        0        0        1
45911 linux-modules-iwlwifi-6.11.0-1013-oem	       0        1        0        0        1
45912 linux-modules-nvidia-fs-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45913 linux-modules-usbio-6.11.0-1013-oem	       0        1        0        0        1
45914 linux-modules-vision-6.11.0-1013-oem	       0        1        0        0        1
45915 linux-objects-nvidia-470-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45916 linux-objects-nvidia-470-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45917 linux-objects-nvidia-535-6.11.0-1013-oem	       0        1        0        0        1
45918 linux-objects-nvidia-535-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45919 linux-objects-nvidia-535-open-6.11.0-1013-oem	       0        1        0        0        1
45920 linux-objects-nvidia-535-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45921 linux-objects-nvidia-535-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45922 linux-objects-nvidia-535-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45923 linux-objects-nvidia-550-6.11.0-1013-oem	       0        1        0        0        1
45924 linux-objects-nvidia-550-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45925 linux-objects-nvidia-550-open-6.11.0-1013-oem	       0        1        0        0        1
45926 linux-objects-nvidia-550-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45927 linux-objects-nvidia-550-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45928 linux-objects-nvidia-550-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45929 linux-objects-nvidia-565-server-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45930 linux-objects-nvidia-565-server-open-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45931 linux-patch-debian-3.0.0           	       0        1        0        0        1
45932 linux-patch-debianlogo             	       0        1        0        0        1
45933 linux-patch-nfs-ngroups            	       0        1        0        0        1
45934 linux-patch-tuxonice               	       0        1        0        0        1
45935 linux-perf-4.19                    	       0        5        0        5        0
45936 linux-perf-4.9                     	       0        5        0        5        0
45937 linux-perf-5.10                    	       0       10        0       10        0
45938 linux-perf-5.15                    	       0        1        0        1        0
45939 linux-show-player                  	       0        2        0        2        0
45940 linux-signatures-nvidia-6.11.0-1013-oem	       0        1        0        0        1
45941 linux-signatures-nvidia-6.8.0-1021-nvidia-lowlatency	       0        2        0        0        2
45942 linux-source                       	       0       65        0        0       65
45943 linux-source-2.6.18                	       0        1        0        0        1
45944 linux-source-2.6.32                	       0        1        0        0        1
45945 linux-source-3.0.0                 	       0        1        0        0        1
45946 linux-source-3.10                  	       0        1        0        0        1
45947 linux-source-3.11                  	       0        1        0        0        1
45948 linux-source-3.12                  	       0        1        0        0        1
45949 linux-source-3.13                  	       0        1        0        0        1
45950 linux-source-3.16                  	       0        5        0        0        5
45951 linux-source-3.18.91-vs2.3.7.5-beng	       0        2        0        0        2
45952 linux-source-3.19                  	       0        1        0        0        1
45953 linux-source-3.2                   	       0        1        0        0        1
45954 linux-source-3.4                   	       0        1        0        0        1
45955 linux-source-3.7                   	       0        1        0        0        1
45956 linux-source-4.1                   	       0        1        0        0        1
45957 linux-source-4.16                  	       0        2        0        0        2
45958 linux-source-4.17                  	       0        1        0        0        1
45959 linux-source-4.19                  	       0        9        0        0        9
45960 linux-source-4.3                   	       0        1        0        0        1
45961 linux-source-4.4.202-vs2.3.9.8-beng	       0        1        0        0        1
45962 linux-source-4.8                   	       0        1        0        0        1
45963 linux-source-4.9                   	       0       11        0        0       11
45964 linux-source-5.10                  	       0       19        0        0       19
45965 linux-source-5.14                  	       0        1        0        0        1
45966 linux-source-5.15                  	       0        2        0        0        2
45967 linux-source-5.17                  	       0        1        0        0        1
45968 linux-source-5.18                  	       0        1        0        0        1
45969 linux-source-5.4                   	       0        1        0        0        1
45970 linux-source-5.6                   	       0        1        0        0        1
45971 linux-source-5.7                   	       0        1        0        0        1
45972 linux-source-6.0                   	       0        1        0        0        1
45973 linux-source-6.1                   	       0       45        0        0       45
45974 linux-source-6.10                  	       0        6        0        0        6
45975 linux-source-6.12                  	       0        4        0        0        4
45976 linux-source-6.3                   	       0        1        0        0        1
45977 linux-source-6.4                   	       0        1        0        0        1
45978 linux-source-6.5                   	       0        2        0        0        2
45979 linux-source-vserver-3.18-beng     	       0        2        0        0        2
45980 linux-source-vserver-4.4-beng      	       0        1        0        0        1
45981 linux-support-3.0.0-1              	       0        1        0        1        0
45982 linux-support-3.11-2               	       0        1        0        1        0
45983 linux-support-3.12-rc7             	       0        1        0        1        0
45984 linux-support-3.19.0-trunk         	       0        1        0        1        0
45985 linux-support-3.8-1                	       0        1        0        1        0
45986 linux-support-4.17.0-0.bpo.1       	       0        1        0        1        0
45987 linux-support-4.19.0-14            	       0        1        0        1        0
45988 linux-support-4.19.0-18            	       0        1        0        1        0
45989 linux-support-4.9.0-6              	       0        1        0        1        0
45990 linux-support-4.9.0-8              	       0        1        0        1        0
45991 linux-support-5.10.0-10            	       0        1        1        0        0
45992 linux-support-5.10.0-11            	       0        2        0        2        0
45993 linux-support-5.10.0-17            	       0        1        0        1        0
45994 linux-support-5.10.0-22            	       0        1        0        1        0
45995 linux-support-5.10.0-23            	       0        1        0        1        0
45996 linux-support-5.10.0-26            	       0        1        0        1        0
45997 linux-support-5.10.0-33            	       0        1        0        1        0
45998 linux-support-5.15.0-0.bpo.2       	       0        1        0        1        0
45999 linux-support-5.18.0-0.deb11.4     	       0        1        0        1        0
46000 linux-support-5.6.0-0.bpo.2        	       0        1        0        1        0
46001 linux-support-5.7.0-0.bpo.2        	       0        1        0        1        0
46002 linux-support-6.1.0-0.deb11.22     	       0        1        0        1        0
46003 linux-support-6.1.0-0.deb11.25     	       0        1        0        1        0
46004 linux-support-6.1.0-0.deb11.26     	       0        1        0        1        0
46005 linux-support-6.1.0-12             	       0        2        0        2        0
46006 linux-support-6.1.0-13             	       0        1        0        1        0
46007 linux-support-6.1.0-18             	       0        5        0        5        0
46008 linux-support-6.1.0-21             	       0        1        0        1        0
46009 linux-support-6.1.0-23             	       0        2        0        2        0
46010 linux-support-6.1.0-25             	       0        2        0        2        0
46011 linux-support-6.1.0-27             	       0        1        0        1        0
46012 linux-support-6.1.0-28             	       0        1        0        1        0
46013 linux-support-6.10.11+bpo          	       0        2        0        2        0
46014 linux-support-6.10.6+bpo           	       0        1        0        1        0
46015 linux-support-6.11.10+bpo          	       0        1        0        1        0
46016 linux-support-6.12.5               	       0        1        0        1        0
46017 linux-support-6.12.8               	       0        1        0        1        0
46018 linux-support-6.4.0-0.deb12.2      	       0        2        0        2        0
46019 linux-support-6.9.12               	       0        1        0        1        0
46020 linux-support-6.9.7                	       0        1        0        1        0
46021 linux-surface-secureboot-mok       	       0        1        0        0        1
46022 linux-tools                        	       0        3        0        0        3
46023 linux-tools-3.16                   	       0        2        0        2        0
46024 linux-u-boot-nanopineo2-current    	       0        1        0        1        0
46025 linux-u-boot-orangepizero2w-current	       0        1        0        1        0
46026 linux-user-chroot                  	       0        1        0        1        0
46027 linux-uvc-source                   	       0        1        0        0        1
46028 linux-uvc-tools                    	       0        2        0        2        0
46029 linux-wifi-hotspot                 	       0        1        0        1        0
46030 linux-xanmod                       	       0        1        0        0        1
46031 linux-xanmod-edge-x64v3            	       0        1        0        0        1
46032 linux-xanmod-edge-x64v4            	       0        1        0        0        1
46033 linux-xanmod-lts                   	       0        1        0        0        1
46034 linux-xanmod-lts-x64v1             	       0        2        0        0        2
46035 linux-xanmod-lts-x64v3             	       0        2        0        0        2
46036 linux-xanmod-rt-x64v3              	       0        2        0        0        2
46037 linux-xanmod-x64v1                 	       0        1        0        0        1
46038 linux-xanmod-x64v3                 	       0        3        0        0        3
46039 linux-zabbly                       	       0        1        0        0        1
46040 linuxbrew-wrapper                  	       0        2        0        2        0
46041 linuxcnc-doc-en                    	       0        2        0        0        2
46042 linuxcnc-uspace                    	       0        2        1        1        0
46043 linuxdcpp                          	       0        1        0        1        0
46044 linuxdoc-tools                     	       0       24        0       24        0
46045 linuxdoc-tools-dbgsym              	       0        1        0        1        0
46046 linuxdoc-tools-info                	       0        7        0        0        7
46047 linuxdoc-tools-latex               	       0        8        0        0        8
46048 linuxdoc-tools-text                	       0        8        0        0        8
46049 linuxfabrik-monitoring-plugins     	       0       15        1        1       13
46050 linuxfabrik-notification-plugins   	       0        1        0        0        1
46051 linuxmint-keyring                  	       0        5        0        0        5
46052 linuxptp                           	       0       15        0       15        0
46053 linuxqq                            	       0        3        0        0        3
46054 linuxsampler                       	       0        2        0        2        0
46055 linuxsampler-lv2                   	       0        2        0        2        0
46056 linuxvnc                           	       0        7        0        7        0
46057 liquidctl                          	       0        3        0        3        0
46058 liquidprompt                       	       0       10        0       10        0
46059 liquidsoap                         	       0        3        1        2        0
46060 liquidsoap-mode                    	       0        2        0        2        0
46061 liquidsoap-plugin-faad             	       0        1        0        1        0
46062 liquidsoap-plugin-flac             	       0        1        0        1        0
46063 liquidsoap-plugin-gstreamer        	       0        1        0        1        0
46064 liquidsoap-plugin-icecast          	       0        1        0        1        0
46065 liquidsoap-plugin-lame             	       0        1        0        1        0
46066 liquidsoap-plugin-mad              	       0        1        0        1        0
46067 liquidsoap-plugin-ogg              	       0        1        0        1        0
46068 liquidsoap-plugin-pulseaudio       	       0        1        0        1        0
46069 liquidsoap-plugin-taglib           	       0        1        0        1        0
46070 liquidsoap-plugin-voaacenc         	       0        1        0        1        0
46071 liquidsoap-plugin-vorbis           	       0        1        0        1        0
46072 liquidwar                          	       0       14        0       14        0
46073 liquidwar-data                     	       0       14        0        0       14
46074 liquidwar-server                   	       0       14        0       14        0
46075 liquorix-archive-keyring           	       0        1        0        0        1
46076 liquorix-keyring                   	       0        1        0        0        1
46077 liquorix-keyrings                  	       0        1        0        0        1
46078 lirc                               	       0       45       10       35        0
46079 lirc-compat-remotes                	       0       15        0        0       15
46080 lirc-doc                           	       0        8        0        0        8
46081 lirc-drv-irman                     	       0        8        0        0        8
46082 lirc-x                             	       0       10        0       10        0
46083 lire                               	       0        1        0        1        0
46084 lire-doc                           	       0        1        0        0        1
46085 lisaac                             	       0        1        0        1        0
46086 lisaac-common                      	       0        1        0        1        0
46087 lisaac-mode                        	       0        1        0        1        0
46088 listadmin                          	       0        1        1        0        0
46089 listaller                          	       0        1        0        1        0
46090 listserialportsc                   	       0        5        0        5        0
46091 litecoin-qt                        	       0        6        0        6        0
46092 litecoind                          	       0        5        0        5        0
46093 literki                            	       0        1        0        1        0
46094 littleinferno                      	       0        1        0        0        1
46095 littler                            	       0       44        0        0       44
46096 littlewizard                       	       0        2        0        2        0
46097 littlewizard-data                  	       0        2        0        0        2
46098 live-boot-doc                      	       0      174        0        0      174
46099 live-boot-initramfs-tools          	       0      164        0        0      164
46100 live-build                         	       0       12        2       10        0
46101 live-clone                         	       0        2        0        2        0
46102 live-config-doc                    	       0      167        0        0      167
46103 live-manual                        	       0        3        0        0        3
46104 live-manual-epub                   	       0        4        0        0        4
46105 live-manual-html                   	       0       17        0        0       17
46106 live-manual-odf                    	       0        4        0        0        4
46107 live-manual-pdf                    	       0        5        0        0        5
46108 live-manual-txt                    	       0        6        0        0        6
46109 live-task-base                     	       0        3        0        0        3
46110 live-task-localisation             	       0        3        0        0        3
46111 live-task-localisation-desktop     	       0        2        0        0        2
46112 live-task-mate                     	       0        1        0        0        1
46113 live-task-non-free-firmware-pc     	       0        5        0        0        5
46114 live-task-non-free-firmware-server 	       0        2        0        0        2
46115 live-task-recommended              	       0        4        0        0        4
46116 live-wrapper-doc                   	       0        1        0        0        1
46117 lives                              	       0        6        0        6        0
46118 lives-data                         	       0        6        0        0        6
46119 lives-plugins                      	       0        6        0        6        0
46120 livescript                         	       0        2        0        2        0
46121 livestreamer                       	       0        2        0        2        0
46122 liwc                               	       0        2        0        2        0
46123 lix                                	       0        5        0        5        0
46124 lix-data                           	       0        5        0        0        5
46125 lksctp-tools                       	       0       63        2       61        0
46126 ll-scope                           	       0        2        0        2        0
46127 lld-11                             	       0        7        0        6        1
46128 lld-12                             	       0        1        0        1        0
46129 lld-13                             	       0        6        0        6        0
46130 lld-15                             	       0        7        0        7        0
46131 lld-16                             	       0        9        0        9        0
46132 lld-17                             	       0        3        0        3        0
46133 lld-18                             	       0        2        0        2        0
46134 lld-20                             	       0        1        0        1        0
46135 lld-7                              	       0        1        0        1        0
46136 lldb                               	       0       14        1       13        0
46137 lldb-11                            	       0        3        0        3        0
46138 lldb-14                            	       0       10        1        9        0
46139 lldb-15                            	       0        1        0        1        0
46140 lldb-16                            	       0        5        0        5        0
46141 lldb-19                            	       0        4        0        4        0
46142 lldpd                              	       0       23        9       14        0
46143 lletters                           	       0        1        0        1        0
46144 lletters-media                     	       0        1        0        0        1
46145 llgal                              	       0        2        0        2        0
46146 llmnrd                             	       0        1        0        1        0
46147 lloconv                            	       0        4        0        4        0
46148 lltag                              	       0       12        0       12        0
46149 llvm-10                            	       0        5        0        5        0
46150 llvm-10-dev                        	       0        4        0        4        0
46151 llvm-10-runtime                    	       0        5        0        5        0
46152 llvm-10-tools                      	       0        4        0        4        0
46153 llvm-11-doc                        	       0        4        0        0        4
46154 llvm-11-examples                   	       0        1        0        1        0
46155 llvm-11-linker-tools               	       0        6        0        6        0
46156 llvm-13                            	       0       30        0       30        0
46157 llvm-13-dev                        	       0       29        1       28        0
46158 llvm-13-doc                        	       0        2        0        0        2
46159 llvm-13-examples                   	       0        1        0        1        0
46160 llvm-13-linker-tools               	       0       32        0       32        0
46161 llvm-13-runtime                    	       0       30        0       30        0
46162 llvm-13-tools                      	       0       29        0       29        0
46163 llvm-14-doc                        	       0        4        0        0        4
46164 llvm-15-dev                        	       0       54        7       47        0
46165 llvm-15-doc                        	       0        1        0        0        1
46166 llvm-16                            	       0       53        0       53        0
46167 llvm-16-dev                        	       0       52        0       52        0
46168 llvm-16-doc                        	       0        1        0        0        1
46169 llvm-16-examples                   	       0        1        0        1        0
46170 llvm-16-linker-tools               	       0       56        0       56        0
46171 llvm-16-runtime                    	       0       53        0       53        0
46172 llvm-16-tools                      	       0       52        0       52        0
46173 llvm-17-doc                        	       0        1        0        0        1
46174 llvm-17-examples                   	       0        1        0        1        0
46175 llvm-18-dev                        	       0       10        2        8        0
46176 llvm-18-doc                        	       0        1        0        0        1
46177 llvm-19-dev                        	       0       39        8       31        0
46178 llvm-19-doc                        	       0        2        0        0        2
46179 llvm-19-examples                   	       0        1        0        1        0
46180 llvm-20                            	       0        2        0        2        0
46181 llvm-20-dev                        	       0        2        0        2        0
46182 llvm-20-linker-tools               	       0        2        0        2        0
46183 llvm-20-runtime                    	       0        2        0        2        0
46184 llvm-20-tools                      	       0        2        0        2        0
46185 llvm-3.0                           	       0        2        0        2        0
46186 llvm-3.0-dev                       	       0        2        0        2        0
46187 llvm-3.0-runtime                   	       0        2        0        2        0
46188 llvm-3.5                           	       0       10        0       10        0
46189 llvm-3.5-dev                       	       0       10        1        9        0
46190 llvm-3.5-doc                       	       0        2        0        2        0
46191 llvm-3.5-runtime                   	       0       10        0       10        0
46192 llvm-3.8                           	       0        6        0        6        0
46193 llvm-3.8-dev                       	       0        6        0        6        0
46194 llvm-3.8-doc                       	       0        2        0        0        2
46195 llvm-3.8-runtime                   	       0        6        0        6        0
46196 llvm-6.0                           	       0        6        0        6        0
46197 llvm-6.0-dev                       	       0        6        0        6        0
46198 llvm-6.0-runtime                   	       0        6        0        6        0
46199 llvm-7                             	       0       19        0       19        0
46200 llvm-7-dev                         	       0       19        0       19        0
46201 llvm-7-doc                         	       0        1        0        0        1
46202 llvm-7-runtime                     	       0       20        0       20        0
46203 llvm-8                             	       0        3        0        3        0
46204 llvm-8-dev                         	       0        2        0        2        0
46205 llvm-8-runtime                     	       0        3        0        3        0
46206 llvm-9                             	       0       46        0       46        0
46207 llvm-9-dev                         	       0       42        1       41        0
46208 llvm-9-doc                         	       0        2        0        0        2
46209 llvm-9-examples                    	       0        1        0        0        1
46210 llvm-9-runtime                     	       0       47        0       47        0
46211 llvm-9-tools                       	       0       45        0       45        0
46212 llvm-amdgpu                        	       0        1        0        1        0
46213 llvm-amdgpu-13.0.50002             	       0        1        0        1        0
46214 llvm-amdgpu-13.0.50002-dev         	       0        1        0        1        0
46215 llvm-amdgpu-13.0.50002-runtime     	       0        1        0        1        0
46216 llvm-amdgpu-18.1-runtime           	       0        1        0        1        0
46217 llvm-amdgpu-runtime                	       0        1        0        1        0
46218 llvm-dev                           	       0       28        0        0       28
46219 llvm-doc                           	       0        1        0        0        1
46220 llvm-spirv                         	       0        2        0        2        0
46221 llvm-spirv-15                      	       0        2        0        2        0
46222 llvm-spirv-17                      	       0        3        0        3        0
46223 llvm-spirv-19                      	       0        1        0        1        0
46224 llvmlite-doc                       	       0        4        0        0        4
46225 lmarbles                           	       0        9        0        9        0
46226 lmbench                            	       0        6        0        6        0
46227 lmbench-doc                        	       0        5        0        0        5
46228 lmdb-doc                           	       0       10        0        0       10
46229 lmdb-go-tools                      	       0        1        0        1        0
46230 lmdb-utils                         	       0        4        0        4        0
46231 lmemory                            	       0       20        2       18        0
46232 lmms-common                        	       0       53        0        0       53
46233 lmms-vst-server                    	       0       15        0        0       15
46234 lmod-doc                           	       0        1        0        0        1
46235 lmodern                            	       0      613        0        2      611
46236 lnav                               	       0       24        0       24        0
46237 load-dirs-common                   	       0        2        0        0        2
46238 loadwatch                          	       0        3        0        3        0
46239 loc-os-23-archive-keyring          	       0        1        0        0        1
46240 locale-en-bs                       	       0       15        0        0       15
46241 localehelper                       	       0        2        0        2        0
46242 localepurge                        	       0       70        6       64        0
46243 localsend                          	       0       11        1        0       10
46244 localslackirc                      	       0        1        0        1        0
46245 log2ram                            	       0        1        0        1        0
46246 logapp                             	       0        1        1        0        0
46247 logcheck-database                  	       0       63        0        0       63
46248 logdigest                          	       0        2        1        1        0
46249 loggedfs                           	       0        1        0        1        0
46250 logigsk                            	       0        1        0        1        0
46251 login.defs                         	       0      251        0        0      251
46252 logiops                            	       0        1        0        1        0
46253 logisim                            	       0        4        0        4        0
46254 logisim-evolution                  	       0        1        0        1        0
46255 logitechmediaserver                	       0        3        0        3        0
46256 logjam                             	       0        1        0        1        0
46257 logmein-hamachi                    	       0        6        0        6        0
46258 logstalgia                         	       0        2        0        2        0
46259 logtool                            	       0        1        0        1        0
46260 logtools                           	       0        4        0        4        0
46261 logtop                             	       0        7        0        7        0
46262 logwatch                           	       0       48       14       34        0
46263 lokalize                           	       0       40        0       40        0
46264 lokalize-data                      	       0        7        0        0        7
46265 lokalize-doc                       	       0        6        0        0        6
46266 loki                               	       0        1        0        1        0
46267 lolcat                             	       0       45        0       45        0
46268 lomiri-thumbnailer-service         	       0        1        0        1        0
46269 lomiri-ui-toolkit-doc              	       0        1        0        0        1
46270 lomiri-ui-toolkit-examples         	       0        1        0        0        1
46271 lomiri-ui-toolkit-theme            	       0        1        0        0        1
46272 lomiri-ui-toolkit-tools            	       0        1        0        1        0
46273 lomoco                             	       0        5        0        5        0
46274 londonlaw                          	       0        2        0        2        0
46275 lookatme                           	       0        1        0        1        0
46276 looktxt                            	       0        1        0        1        0
46277 lookup                             	       0        5        0        5        0
46278 loop-aes-source                    	       0        1        0        0        1
46279 loop-aes-testsuite                 	       0        1        0        1        0
46280 looptools                          	       0        2        0        2        0
46281 loqui                              	       0        2        0        2        0
46282 lordsawar                          	       0        5        0        5        0
46283 lordsawar-data                     	       0        5        0        0        5
46284 lossywav                           	       0        1        0        1        0
46285 lostirc                            	       0        2        0        2        0
46286 loudgain                           	       0       10        0       10        0
46287 loupe                              	       0        2        0        2        0
46288 lout                               	       0        1        0        1        0
46289 lout-common                        	       0        1        0        0        1
46290 love                               	       0       21        0       21        0
46291 lowdown                            	       0        4        0        4        0
46292 lp-solve-doc                       	       0        5        0        0        5
46293 lpc21isp                           	       0        3        0        3        0
46294 lpctools                           	       0        4        0        4        0
46295 lpe                                	       0        5        0        5        0
46296 lphdisk                            	       0        1        0        1        0
46297 lpr                                	       0       28        5       23        0
46298 lprint                             	       0        3        0        3        0
46299 lprng                              	       0        9        0        9        0
46300 lprng-doc                          	       0        7        0        0        7
46301 lr                                 	       0       10        0       10        0
46302 lrcalc                             	       0        1        0        1        0
46303 lrslib                             	       0        1        0        1        0
46304 lsat                               	       0        3        0        3        0
46305 lsb                                	       0        5        0        0        5
46306 lsb-appchk2                        	       0        1        0        1        0
46307 lsb-appchk3                        	       0        1        0        1        0
46308 lsb-build-base2                    	       0        1        0        1        0
46309 lsb-build-base3                    	       0        1        0        1        0
46310 lsb-build-cc3                      	       0        1        0        1        0
46311 lsb-compat                         	       0        7        0        7        0
46312 lsb-core                           	       0        9        0        9        0
46313 lsb-cprocsp-base                   	       0        1        0        1        0
46314 lsb-cprocsp-ca-certs               	       0        1        0        1        0
46315 lsb-cprocsp-capilite-64            	       0        1        0        1        0
46316 lsb-cprocsp-kc1-64                 	       0        1        0        1        0
46317 lsb-cprocsp-pkcs11-64              	       0        1        0        1        0
46318 lsb-cprocsp-rdr-64                 	       0        1        0        1        0
46319 lsb-cxx                            	       0        4        0        0        4
46320 lsb-desktop                        	       0        5        0        0        5
46321 lsb-graphics                       	       0        5        0        0        5
46322 lsb-invalid-mta                    	       0        4        0        2        2
46323 lsb-languages                      	       0        4        0        0        4
46324 lsb-multimedia                     	       0        5        0        0        5
46325 lsb-pkgchk3                        	       0        1        0        1        0
46326 lsb-printing                       	       0        5        0        0        5
46327 lsb-release-minimal                	       0        1        0        0        1
46328 lsb-rpm                            	       0        1        0        1        0
46329 lsb-security                       	       0       14        0        0       14
46330 lsbappchk                          	       0        1        0        1        0
46331 lsh                                	       0        1        0        1        0
46332 lsh-client                         	       0        2        0        2        0
46333 lsh-doc                            	       0        3        0        0        3
46334 lsh-server                         	       0        1        1        0        0
46335 lsh-utils                          	       0        2        0        2        0
46336 lsh-utils-doc                      	       0        1        0        0        1
46337 lshell                             	       0        1        0        1        0
46338 lshw-common                        	       0        1        0        0        1
46339 lsiutil                            	       0        2        0        2        0
46340 lsix                               	       0        2        0        2        0
46341 lskat-data                         	       0       52        0        0       52
46342 lskat-trinity                      	       0       23        0        0       23
46343 lslk                               	       0        1        0        1        0
46344 lsm                                	       0        1        0        1        0
46345 lsmount                            	       0        5        0        5        0
46346 lsp-plugins                        	       0       19        0        2       17
46347 lsp-plugins-clap                   	       0        1        0        1        0
46348 lsp-plugins-data                   	       0        2        0        0        2
46349 lsp-plugins-jack                   	       0       23        1       21        1
46350 lsp-plugins-lv2                    	       0       70        8       61        1
46351 lsp-plugins-r3d-glx                	       0       63        0        0       63
46352 lsp-plugins-vst                    	       0       18        0       14        4
46353 lspowertweak                       	       0        1        0        1        0
46354 lswm                               	       0        6        0        6        0
46355 lsyncd                             	       0        7        2        5        0
46356 ltpanel                            	       0        2        0        2        0
46357 ltrace                             	       0       65        1       64        0
46358 ltris                              	       0       20        0       20        0
46359 ltsp-docs                          	       0        1        0        0        1
46360 ltsp-server                        	       0        4        0        4        0
46361 ltsp-server-standalone             	       0        3        0        0        3
46362 ltspfs                             	       0        4        0        4        0
46363 ltspice                            	       0        1        0        1        0
46364 lttk-emacs-customization           	       0        1        0        0        1
46365 lttoolbox                          	       0        7        0        7        0
46366 lttoolbox-dev                      	       0        3        0        3        0
46367 ltunify                            	       0        5        0        5        0
46368 lua-ansicolors                     	       0        1        0        0        1
46369 lua-any                            	       0       22        0       22        0
46370 lua-argparse                       	       0        4        0        0        4
46371 lua-augeas                         	       0        1        0        0        1
46372 lua-basexx                         	       0       10        0        0       10
46373 lua-binaryheap                     	       0        6        0        0        6
46374 lua-bit32                          	       0       20        0        0       20
46375 lua-bit32-dev                      	       0        1        0        1        0
46376 lua-bitop                          	       0      245        5        9      231
46377 lua-bitop-dev                      	       0        1        0        1        0
46378 lua-busted                         	       0        2        0        2        0
46379 lua-cgi                            	       0        2        0        0        2
46380 lua-check                          	       0        4        0        4        0
46381 lua-cjson                          	       0       28        5        9       14
46382 lua-cjson-dev                      	       0        1        0        1        0
46383 lua-cliargs                        	       0        2        0        0        2
46384 lua-compat53                       	       0        7        0        0        7
46385 lua-copas                          	       0        2        0        0        2
46386 lua-coxpcall                       	       0        5        0        0        5
46387 lua-cqueues                        	       0        8        2        3        3
46388 lua-curl                           	       0        4        0        0        4
46389 lua-curl-dev                       	       0        4        0        4        0
46390 lua-curses                         	       0        4        0        0        4
46391 lua-curses-dev                     	       0        4        0        4        0
46392 lua-cyrussasl                      	       0        2        0        0        2
46393 lua-dbi-common                     	       0        5        0        0        5
46394 lua-dbi-mysql                      	       0        2        0        0        2
46395 lua-dbi-mysql-dev                  	       0        1        0        1        0
46396 lua-dbi-postgresql                 	       0        3        0        0        3
46397 lua-dbi-postgresql-dev             	       0        1        0        1        0
46398 lua-dbi-sqlite3                    	       0        4        0        1        3
46399 lua-dbi-sqlite3-dev                	       0        1        0        1        0
46400 lua-dkjson                         	       0        2        0        0        2
46401 lua-doc                            	       0        6        0        0        6
46402 lua-event                          	       0       15        0        1       14
46403 lua-expat                          	       0      231        4        7      220
46404 lua-expat-dev                      	       0        3        0        3        0
46405 lua-fifo                           	       0        6        0        0        6
46406 lua-filesystem                     	       0      111        4        7      100
46407 lua-filesystem-dev                 	       0        3        0        3        0
46408 lua-geoip                          	       0        1        0        0        1
46409 lua-geoip-dev                      	       0        1        0        1        0
46410 lua-http                           	       0        6        0        0        6
46411 lua-iconv                          	       0        1        0        0        1
46412 lua-iconv-dev                      	       0        1        0        1        0
46413 lua-inifile                        	       0        2        0        0        2
46414 lua-inotify                        	       0        1        0        0        1
46415 lua-inotify-dev                    	       0        1        0        1        0
46416 lua-inspect                        	       0        5        0        0        5
46417 lua-json                           	       0      209        0        0      209
46418 lua-ldoc                           	       0        2        0        2        0
46419 lua-leg                            	       0        1        0        0        1
46420 lua-lgi                            	       0       88        3       12       73
46421 lua-lgi-dev                        	       0        1        0        1        0
46422 lua-ljsyscall                      	       0        1        0        0        1
46423 lua-logging                        	       0        6        0        0        6
46424 lua-lpeg                           	       0      907        1        0      906
46425 lua-lpeg-dev                       	       0        2        0        2        0
46426 lua-lpeg-patterns                  	       0        7        0        0        7
46427 lua-lsqlite3                       	       0        1        0        0        1
46428 lua-lsqlite3-dev                   	       0        1        0        1        0
46429 lua-luacsnd6                       	       0        1        0        0        1
46430 lua-luaossl                        	       0        9        0        1        8
46431 lua-luassert                       	       0        2        0        0        2
46432 lua-luv                            	       0      131        2        5      124
46433 lua-luv-dev                        	       0        1        0        1        0
46434 lua-lxc                            	       0        1        0        0        1
46435 lua-markdown                       	       0        1        0        0        1
46436 lua-md5                            	       0        2        0        0        2
46437 lua-md5-dev                        	       0        1        0        1        0
46438 lua-mediator                       	       0        2        0        0        2
46439 lua-mmdb                           	       0        5        0        0        5
46440 lua-mode                           	       0        1        0        0        1
46441 lua-moses                          	       0        1        0        0        1
46442 lua-mpack                          	       0        3        0        0        3
46443 lua-nvim                           	       0        3        0        0        3
46444 lua-penlight                       	       0        4        0        0        4
46445 lua-penlight-dev                   	       0        1        0        0        1
46446 lua-posix                          	       0       15        0        0       15
46447 lua-readline                       	       0       11        0        0       11
46448 lua-readline-dev                   	       0        2        0        2        0
46449 lua-redis                          	       0        1        0        0        1
46450 lua-redis-dev                      	       0        1        0        0        1
46451 lua-resty-core                     	       0        3        0        0        3
46452 lua-resty-lrucache                 	       0        3        0        0        3
46453 lua-rex-doc                        	       0        1        0        0        1
46454 lua-rex-gnu                        	       0        1        0        0        1
46455 lua-rex-gnu-dev                    	       0        1        0        1        0
46456 lua-rex-pcre                       	       0        1        0        0        1
46457 lua-rex-pcre2                      	       0        1        0        0        1
46458 lua-rex-pcre2-dev                  	       0        1        0        1        0
46459 lua-rings                          	       0        1        0        0        1
46460 lua-say                            	       0        2        0        0        2
46461 lua-sec                            	       0       38        5        7       26
46462 lua-soap                           	       0        1        0        0        1
46463 lua-socket                         	       0      257        5       10      242
46464 lua-socket-dev                     	       0        1        0        1        0
46465 lua-sql-doc                        	       0        1        0        0        1
46466 lua-sql-mysql                      	       0        2        0        0        2
46467 lua-sql-mysql-dev                  	       0        2        0        2        0
46468 lua-sql-odbc                       	       0        1        0        0        1
46469 lua-sql-odbc-dev                   	       0        1        0        1        0
46470 lua-sql-postgres                   	       0        1        0        0        1
46471 lua-sql-postgres-dev               	       0        1        0        1        0
46472 lua-sql-sqlite3                    	       0        7        0        0        7
46473 lua-sql-sqlite3-dev                	       0        1        0        1        0
46474 lua-struct                         	       0        1        0        0        1
46475 lua-struct-dev                     	       0        1        0        1        0
46476 lua-svn                            	       0        5        0        0        5
46477 lua-svn-dev                        	       0        1        0        1        0
46478 lua-system                         	       0        2        0        0        2
46479 lua-systemd                        	       0        1        0        0        1
46480 lua-systemd-dev                    	       0        1        0        1        0
46481 lua-term                           	       0        2        0        0        2
46482 lua-term-dev                       	       0        1        0        1        0
46483 lua-torch-cwrap                    	       0        1        0        0        1
46484 lua-torch-dok                      	       0        1        0        0        1
46485 lua-torch-graph                    	       0        1        0        0        1
46486 lua-torch-image                    	       0        1        0        0        1
46487 lua-torch-nn                       	       0        1        0        0        1
46488 lua-torch-nngraph                  	       0        1        0        0        1
46489 lua-torch-optim                    	       0        1        0        0        1
46490 lua-torch-paths                    	       0        1        0        0        1
46491 lua-torch-sundown                  	       0        1        0        0        1
46492 lua-torch-sys                      	       0        1        0        0        1
46493 lua-torch-torch7                   	       0        1        0        0        1
46494 lua-torch-trepl                    	       0        1        0        0        1
46495 lua-torch-xlua                     	       0        1        0        0        1
46496 lua-unbound                        	       0       10        2        7        1
46497 lua-unit                           	       0        2        0        0        2
46498 lua-uri                            	       0        1        0        0        1
46499 lua-uri-dev                        	       0        1        0        0        1
46500 lua-wsapi                          	       0        1        0        1        0
46501 lua-xmlrpc                         	       0        1        0        0        1
46502 lua-yaml                           	       0        2        0        0        2
46503 lua-yaml-dev                       	       0        1        0        1        0
46504 lua-zip                            	       0        2        0        0        2
46505 lua-zip-dev                        	       0        1        0        1        0
46506 lua-zlib                           	       0        4        0        0        4
46507 lua-zlib-dev                       	       0        1        0        1        0
46508 lua40                              	       0        1        0        1        0
46509 lua5.2                             	       0       52        3       49        0
46510 lua5.2-doc                         	       0        3        0        0        3
46511 lua5.3                             	       0       48        1       47        0
46512 lua5.4                             	       0       48        3       45        0
46513 lua50                              	       0        6        0        6        0
46514 luadoc                             	       0        2        0        2        0
46515 luajit                             	       0       16        0       16        0
46516 luajit2                            	       0        1        0        1        0
46517 luakit                             	       0       11        0       11        0
46518 luametatex                         	       0       13        1       12        0
46519 luanti-data                        	       0        1        0        0        1
46520 luarocks                           	       0       20        0       20        0
46521 luasseq                            	       0        1        0        0        1
46522 luatex                             	       0        5        0        0        5
46523 luckybackup                        	       0       17        0       17        0
46524 luckybackup-data                   	       0       17        0        0       17
46525 luckyluks                          	       0        4        2        2        0
46526 luftikus-lv2                       	       0        1        0        1        0
46527 lugaru                             	       0        6        0        6        0
46528 lugaru-data                        	       0        7        0        0        7
46529 luksipc                            	       0        1        0        1        0
46530 luksmeta                           	       0        8        0        8        0
46531 luminance-hdr                      	       0        6        0        6        0
46532 lunar                              	       0        1        0        1        0
46533 lunarg-gfxreconstruct              	       0        2        0        2        0
46534 lunarg-via                         	       0        2        0        2        0
46535 lunarg-vkconfig                    	       0        2        0        2        0
46536 lunarg-vktrace                     	       0        1        0        1        0
46537 lunarg-vulkan-layers               	       0        2        0        0        2
46538 luniistore                         	       0        1        0        1        0
46539 luola                              	       0        3        0        3        0
46540 luola-data                         	       0        3        0        0        3
46541 luola-levels                       	       0        3        0        0        3
46542 luola-nostalgy                     	       0        1        0        0        1
46543 luppp                              	       0        2        0        2        0
46544 lur-command                        	       0        3        0        3        0
46545 lure-of-the-temptress              	       0       16        0       16        0
46546 lusernet.app                       	       0        1        0        1        0
46547 luvcview                           	       0        2        0        2        0
46548 luxman                             	       0        1        0        1        0
46549 lv2-c++-tools                      	       0        3        0        3        0
46550 lv2-dev                            	       0       34        0       34        0
46551 lv2-examples                       	       0        1        0        1        0
46552 lv2file                            	       0        6        0        6        0
46553 lv2proc                            	       0        6        0        6        0
46554 lv2vocoder                         	       0        7        0        7        0
46555 lv2vst                             	       0        1        0        0        1
46556 lvm2-dbusd                         	       0        3        1        2        0
46557 lvm2-lockd                         	       0        4        1        3        0
46558 lvsupport2023-vianalyzer           	       0        1        0        0        1
46559 lvtk-tools                         	       0        1        0        1        0
46560 lwatch                             	       0        6        1        5        0
46561 lwm                                	       0        8        0        8        0
46562 lwp                                	       0        1        0        1        0
46563 lwresd                             	       0        4        0        4        0
46564 lx-gdb                             	       0        1        0        1        0
46565 lx-gdb-dbgsym                      	       0        1        0        1        0
46566 lxappearance-dbg                   	       0        2        0        2        0
46567 lxappearance-obconf                	       0      191        0        0      191
46568 lxappearance-obconf-dbg            	       0        1        0        1        0
46569 lxc                                	       0       88       18       70        0
46570 lxc-dbgsym                         	       0        1        0        1        0
46571 lxc-dev                            	       0        2        0        2        0
46572 lxc-templates                      	       0       68        0        0       68
46573 lxc-tests                          	       0        1        0        1        0
46574 lxc-tests-dbgsym                   	       0        1        0        1        0
46575 lxcfs-dbgsym                       	       0        1        0        1        0
46576 lxctl                              	       0       17        0       17        0
46577 lxd                                	       0       10        2        8        0
46578 lxd-agent                          	       0       10        0       10        0
46579 lxd-client                         	       0       10        0       10        0
46580 lxd-migrate                        	       0        1        0        1        0
46581 lxd-tools                          	       0        3        0        3        0
46582 lxde                               	       0      150        0        0      150
46583 lxde-common                        	       0      202        0        4      198
46584 lxde-core                          	       0      176        0        0      176
46585 lxde-icon-theme                    	       0      238        0        0      238
46586 lxde-settings-daemon-dbgsym        	       0        2        0        2        0
46587 lxdm                               	       0       44        7       37        0
46588 lxdm-dbgsym                        	       0        1        0        1        0
46589 lxdm-gtk3                          	       0        1        0        1        0
46590 lxhotkey-core-dbgsym               	       0        1        0        1        0
46591 lxhotkey-data                      	       0      167        0        0      167
46592 lxhotkey-dev                       	       0        1        0        1        0
46593 lxhotkey-gtk                       	       0      176        0        0      176
46594 lxhotkey-gtk-dbgsym                	       0        1        0        1        0
46595 lxhotkey-plugin-openbox            	       0      167        0        0      167
46596 lxhotkey-plugin-openbox-dbgsym     	       0        1        0        1        0
46597 lxi-tools                          	       0        1        0        1        0
46598 lxi-tools-dbgsym                   	       0        1        0        1        0
46599 lximage-qt-dbgsym                  	       0        1        0        1        0
46600 lximage-qt-l10n                    	       0      272        0        0      272
46601 lxinput-dbg                        	       0        1        0        1        0
46602 lxlauncher-dbg                     	       0        2        0        2        0
46603 lxmenu-data                        	       0      564        0        0      564
46604 lxmms2                             	       0        1        0        1        0
46605 lxmms2-dbgsym                      	       0        1        0        1        0
46606 lxmusic-dbg                        	       0        1        0        1        0
46607 lxmusserv                          	       0        1        0        1        0
46608 lxpanel-dbgsym                     	       0        2        0        2        0
46609 lxpanel-dev                        	       0        2        0        2        0
46610 lxpanel-plugin-topmenu             	       0        1        0        0        1
46611 lxpolkit-dbgsym                    	       0        1        0        1        0
46612 lxqt                               	       0      230        0        0      230
46613 lxqt-about-dbgsym                  	       0        1        0        1        0
46614 lxqt-about-l10n                    	       0      253        0        0      253
46615 lxqt-admin-dbgsym                  	       0        1        0        1        0
46616 lxqt-admin-l10n                    	       0      244        0        0      244
46617 lxqt-archiver-dbgsym               	       0        1        0        1        0
46618 lxqt-archiver-l10n                 	       0       38        0        0       38
46619 lxqt-branding-debian               	       0      252        0        0      252
46620 lxqt-build-tools                   	       0        7        0        7        0
46621 lxqt-common                        	       0        3        0        3        0
46622 lxqt-config-dbgsym                 	       0        1        0        1        0
46623 lxqt-config-l10n                   	       0      271        0        3      268
46624 lxqt-core                          	       0      248        0        0      248
46625 lxqt-globalkeys-dbgsym             	       0        1        0        1        0
46626 lxqt-globalkeys-l10n               	       0      252        0        0      252
46627 lxqt-menu-data                     	       0       11        0        0       11
46628 lxqt-notificationd-dbgsym          	       0        1        0        1        0
46629 lxqt-openssh-askpass-dbgsym        	       0        1        0        1        0
46630 lxqt-openssh-askpass-l10n          	       0      253        0        0      253
46631 lxqt-qtplugin-dbgsym               	       0        1        0        1        0
46632 lxqt-runner-dbgsym                 	       0        1        0        1        0
46633 lxqt-session-dbgsym                	       0        1        0        1        0
46634 lxqt-sudo-dbgsym                   	       0        1        0        1        0
46635 lxqt-sudo-l10n                     	       0      272        0        0      272
46636 lxqt-system-theme                  	       0      279        0        0      279
46637 lxqt-theme-debian                  	       0      256        0        0      256
46638 lxqt-themes                        	       0      258        0        0      258
46639 lxrandr-dbg                        	       0        2        0        2        0
46640 lxsession-dbgsym                   	       0        1        0        1        0
46641 lxsession-default-apps-dbgsym      	       0        1        0        1        0
46642 lxsession-edit-dbgsym              	       0        2        0        2        0
46643 lxsession-logout-dbgsym            	       0        1        0        1        0
46644 lxshortcut                         	       0        8        0        8        0
46645 lxtask-dbgsym                      	       0        2        0        2        0
46646 lxterminal-dbgsym                  	       0        2        0        2        0
46647 lybniz                             	       0        1        0        1        0
46648 lycheeslicer                       	       0        3        0        2        1
46649 lynx-build-deps                    	       0        1        0        0        1
46650 lynx-common                        	       0     2592        3       15     2574
46651 lynx-cur                           	       0       53        0       13       40
46652 lynx-cur-wrapper                   	       0        2        0        0        2
46653 lynx-dbgsym                        	       0        1        0        1        0
46654 lyrionmusicserver                  	       0        2        1        1        0
46655 lysdr                              	       0        2        0        2        0
46656 lyx-common                         	       0      100        0        0      100
46657 lz4json                            	       0       11        0       11        0
46658 lzdoom                             	       0        1        0        1        0
46659 lzfse                              	       0        1        0        1        0
46660 lzma                               	       0       90        2       88        0
46661 lzma-alone                         	       0       16        0       16        0
46662 lzma-dev                           	       0       16        0       16        0
46663 m-tx                               	       0        2        0        1        1
46664 m16c-flash                         	       0        5        0        5        0
46665 m17-demod                          	       0        1        0        1        0
46666 m17n-contrib                       	       0        8        0        0        8
46667 m17n-docs                          	       0       11        0        0       11
46668 m2r                                	       0        4        0        4        0
46669 m2vrequantiser                     	       0        4        0        4        0
46670 m4-doc                             	       0       35        0        0       35
46671 maatkit                            	       0        1        0        1        0
46672 mac                                	       0        2        0        1        1
46673 mac-fdisk-cross                    	       0        1        0        1        0
46674 mac-robber                         	       0       25        0       25        0
46675 macaulay2-common                   	       0        2        0        0        2
46676 macchanger                         	       0       37        0       37        0
46677 macfanctld                         	       0        5        1        4        0
46678 macopix                            	       0        3        0        3        0
46679 macopix-gtk2                       	       0        1        0        1        0
46680 macs                               	       0        2        0        2        0
46681 mactelnet-client                   	       0        9        0        9        0
46682 mactelnet-locales                  	       0        1        0        0        1
46683 mactelnet-server                   	       0        3        1        2        0
46684 macutils                           	       0        7        0        7        0
46685 mad-json                           	       0        1        0        1        0
46686 mad-pearls                         	       0        1        0        1        0
46687 mad-racoon-conf                    	       0        1        0        1        0
46688 mad-tcp-tls                        	       0        1        0        1        0
46689 mad-vpn-config                     	       0        1        0        1        0
46690 madbomber                          	       0        6        0        6        0
46691 madbomber-data                     	       0        6        0        0        6
46692 madison-lite                       	       0        2        0        2        0
46693 madplay                            	       0        9        0        9        0
46694 madwifi-modules-2.6.26-486-voyage  	       0        1        0        0        1
46695 madwifi-tools                      	       0        1        0        1        0
46696 maelstrom                          	       0        4        0        4        0
46697 maffilter                          	       0        1        0        1        0
46698 mafft                              	       0        5        0        5        0
46699 magic                              	       0        7        0        7        0
46700 magic-wormhole                     	       0       15        0       15        0
46701 magicfilter                        	       0       13        0       13        0
46702 magicmaze                          	       0        5        0        5        0
46703 magicor                            	       0        3        0        3        0
46704 magicor-data                       	       0        3        0        0        3
46705 magicrescue                        	       0       38        0       38        0
46706 magics++                           	       0        2        0        2        0
46707 magit                              	       0        2        0        0        2
46708 magnetic                           	       0        1        0        1        0
46709 magnus                             	       0        5        0        5        0
46710 mah-jong                           	       0        5        0        5        0
46711 mahjongg                           	       0        4        0        0        4
46712 mail-expire                        	       0        4        0        4        0
46713 mail-notification                  	       0        1        0        1        0
46714 mailagent                          	       0        5        0        5        0
46715 mailcheck                          	       0        4        1        3        0
46716 mailcrypt                          	       0        2        0        2        0
46717 maildir-filter                     	       0        1        0        1        0
46718 maildirsync                        	       0        1        1        0        0
46719 maildrop                           	       0       17        1       16        0
46720 mailfilter                         	       0        4        0        4        0
46721 mailfront                          	       0        1        0        1        0
46722 mailgraph                          	       0        1        0        1        0
46723 mailman                            	       0        2        1        1        0
46724 mailman3                           	       0        2        0        2        0
46725 mailman3-full                      	       0        2        0        0        2
46726 mailman3-web                       	       0        2        0        2        0
46727 mailmaster                         	       0        1        0        0        1
46728 mailscripts                        	       0        4        0        4        0
46729 mailspring                         	       0        1        0        1        0
46730 mailsync                           	       0        2        0        2        0
46731 mailtextbody                       	       0        2        0        2        0
46732 mailutils-common                   	       0     1191        0        0     1191
46733 mailutils-comsatd                  	       0        1        0        1        0
46734 mailutils-doc                      	       0       15        0        0       15
46735 mailutils-guile                    	       0        1        0        1        0
46736 mailutils-imap4d                   	       0        1        0        1        0
46737 mailutils-mda                      	       0        2        1        1        0
46738 mailutils-mh                       	       0        9        0        9        0
46739 mailutils-pop3d                    	       0        1        0        1        0
46740 mailx                              	       0        7        0        0        7
46741 maint-guide                        	       0       14        0        0       14
46742 maint-guide-de                     	       0        2        0        0        2
46743 maint-guide-es                     	       0        3        0        0        3
46744 maint-guide-fr                     	       0        4        0        0        4
46745 maint-guide-it                     	       0      116        0        0      116
46746 maint-guide-ru                     	       0        1        0        0        1
46747 mairix                             	       0        7        1        6        0
46748 maitreya                           	       0        1        0        1        0
46749 maitreya8                          	       0        1        0        1        0
46750 make-dbgsym                        	       0        1        0        1        0
46751 make-dfsg-build-deps               	       0        1        0        0        1
46752 make-doc                           	       0       52        0        0       52
46753 makedepf90                         	       0        1        0        1        0
46754 makedev                            	       0       63        3       60        0
46755 makedic                            	       0        1        0        1        0
46756 makedumpfile                       	       0        8        0        8        0
46757 makefile2graph                     	       0        1        0        1        0
46758 makefs                             	       0        9        0        9        0
46759 makehrtf                           	       0        2        0        2        0
46760 makehuman                          	       0        2        0        2        0
46761 makehuman-data                     	       0        2        0        0        2
46762 makehuman-doc                      	       0        2        0        0        2
46763 makemkv-bin                        	       0        4        0        4        0
46764 makemkv-oss                        	       0        4        0        4        0
46765 makepasswd                         	       0       20        3       17        0
46766 makepatch                          	       0       13        1       12        0
46767 makepkg                            	       0        4        0        4        0
46768 makepp                             	       0        2        0        2        0
46769 makeself                           	       0       19        0       19        0
46770 makexvpics                         	       0        1        0        1        0
46771 maldet                             	       0        1        0        1        0
46772 maliit-framework                   	       0        1        0        1        0
46773 maliit-keyboard                    	       0        1        0        1        0
46774 mallard-rng                        	       0       10        0        0       10
46775 maltego                            	       0        1        0        1        0
46776 mame                               	       0       46        0       46        0
46777 mame-data                          	       0       46        0        0       46
46778 mame-doc                           	       0        5        0        0        5
46779 mame-extra                         	       0       12        0        0       12
46780 mame-tools                         	       0       25        0       25        0
46781 man-db-dbgsym                      	       0        1        0        1        0
46782 mana                               	       0        1        0        1        0
46783 mana-data                          	       0        1        0        0        1
46784 manaplus                           	       0       14        0       14        0
46785 manaplus-data                      	       0       14        0        0       14
46786 mancala                            	       0        6        0        6        0
46787 mandelbulber                       	       0        1        0        1        0
46788 mandelbulber2                      	       0        6        0        6        0
46789 mandelbulber2-data                 	       0        6        0        6        0
46790 mandos                             	       0        1        0        1        0
46791 mandos-client                      	       0        3        0        3        0
46792 mangal                             	       0        1        0        1        0
46793 mangler                            	       0        2        0        2        0
46794 mangohud                           	       0       23        0       23        0
46795 mangohudctl                        	       0        2        0        2        0
46796 manpages                           	       0     4032        0        0     4032
46797 manpages-da                        	       0        1        0        0        1
46798 manpages-de                        	       0      366        0        0      366
46799 manpages-de-dev                    	       0        9        0        0        9
46800 manpages-dev                       	       0     2818        0        0     2818
46801 manpages-es                        	       0      274        0        0      274
46802 manpages-es-dev                    	       0        4        0        0        4
46803 manpages-fr                        	       0      100        0        0      100
46804 manpages-fr-dev                    	       0        4        0        0        4
46805 manpages-fr-extra                  	       0        5        0        0        5
46806 manpages-hu                        	       0       19        0        0       19
46807 manpages-it                        	       0      118        0        0      118
46808 manpages-ja                        	       0       38        0        0       38
46809 manpages-ja-dev                    	       0       37        0        0       37
46810 manpages-mk                        	       0        1        0        0        1
46811 manpages-nl                        	       0       15        0        0       15
46812 manpages-opengl                    	       0        1        0        0        1
46813 manpages-pl                        	       0       69        0        0       69
46814 manpages-pl-dev                    	       0       69        0        0       69
46815 manpages-posix                     	       0       31        0        0       31
46816 manpages-posix-dev                 	       0       28        0        0       28
46817 manpages-pt                        	       0        9        0        0        9
46818 manpages-pt-br                     	       0       47        0        0       47
46819 manpages-pt-br-dev                 	       0        1        0        0        1
46820 manpages-pt-dev                    	       0        1        0        0        1
46821 manpages-ro                        	       0        4        0        0        4
46822 manpages-ru                        	       0        3        0        0        3
46823 manpages-ru-dev                    	       0        2        0        0        2
46824 manpages-tr                        	       0       10        0        0       10
46825 manpages-zh                        	       0       11        0        0       11
46826 manticore                          	       0        1        0        1        0
46827 manticore-columnar-lib             	       0        2        0        1        1
46828 manticore-repo                     	       0        2        0        2        0
46829 mantis                             	       0        1        1        0        0
46830 manuskript                         	       0        9        0        9        0
46831 mapcache-tools                     	       0        3        0        3        0
46832 mapcode                            	       0        6        0        6        0
46833 mapivi                             	       0        5        0        5        0
46834 mapnik-doc                         	       0        1        0        0        1
46835 mapnik-reference                   	       0        1        0        0        1
46836 mapnik-utils                       	       0        5        0        5        0
46837 mapproxy                           	       0        2        0        2        0
46838 mapserver-bin                      	       0        7        1        6        0
46839 maq                                	       0        4        0        4        0
46840 maqview                            	       0        1        0        1        0
46841 maradns                            	       0        2        0        2        0
46842 maradns-deadwood                   	       0        2        0        2        0
46843 maradns-docs                       	       0        1        0        0        1
46844 maradns-zoneserver                 	       0        2        0        2        0
46845 marble-data                        	       0       87        0        0       87
46846 marble-maps                        	       0        4        0        4        0
46847 marble-plugins                     	       0      138        1        1      136
46848 marble-qt-data                     	       0      137        0        2      135
46849 marco-build-deps                   	       0        1        0        0        1
46850 maria                              	       0        3        0        3        0
46851 maria-doc                          	       0        3        0        0        3
46852 mariadb-client-10.0                	       0        5        1        4        0
46853 mariadb-client-10.1                	       0       37        8       29        0
46854 mariadb-client-10.2                	       0        1        0        1        0
46855 mariadb-client-10.3                	       0       48        3       45        0
46856 mariadb-client-10.6                	       0        1        0        1        0
46857 mariadb-client-compat              	       0        7        2        5        0
46858 mariadb-client-core-10.0           	       0        5        0        5        0
46859 mariadb-client-core-10.1           	       0       45        6       39        0
46860 mariadb-client-core-10.2           	       0        1        0        1        0
46861 mariadb-client-core-10.3           	       0       58        2       56        0
46862 mariadb-client-core-10.6           	       0        1        0        1        0
46863 mariadb-common                     	       0     2256        0        0     2256
46864 mariadb-plugin-connect-jdbc        	       0        1        0        0        1
46865 mariadb-plugin-gssapi-client       	       0        1        0        0        1
46866 mariadb-plugin-gssapi-server       	       0        2        0        2        0
46867 mariadb-server-10.0                	       0        5        1        4        0
46868 mariadb-server-10.1                	       0       32        9       23        0
46869 mariadb-server-10.2                	       0        1        0        1        0
46870 mariadb-server-10.3                	       0       36        1       35        0
46871 mariadb-server-10.6                	       0        1        0        1        0
46872 mariadb-server-core-10.0           	       0        5        0        5        0
46873 mariadb-server-core-10.1           	       0       40        3       37        0
46874 mariadb-server-core-10.2           	       0        1        0        1        0
46875 mariadb-server-core-10.3           	       0       47        4       43        0
46876 mariadb-server-core-10.6           	       0        1        0        1        0
46877 markdent                           	       0        1        0        1        0
46878 markdown-unlit                     	       0        1        0        1        0
46879 markdownlint                       	       0        1        0        1        0
46880 markdownpart                       	       0        6        0        0        6
46881 marktext                           	       0        4        0        4        0
46882 marsshooter                        	       0       10        0       10        0
46883 marsshooter-data                   	       0       11        0        0       11
46884 maskprocessor                      	       0       23        0       23        0
46885 masmemoria                         	       0        4        0        0        4
46886 mason                              	       0        1        1        0        0
46887 masscan                            	       0       31        0       31        0
46888 massif-visualizer                  	       0        1        0        1        0
46889 master-pdf-editor                  	       0       24        1        1       22
46890 master-pdf-editor-5                	       0       14        0        3       11
46891 mat                                	       0        4        0        1        3
46892 mat2                               	       0       28        0       28        0
46893 matchbox                           	       0        2        0        0        2
46894 matchbox-common                    	       0        4        0        4        0
46895 matchbox-desktop                   	       0        2        0        2        0
46896 matchbox-keyboard                  	       0        6        0        6        0
46897 matchbox-keyboard-im               	       0        8        0        0        8
46898 matchbox-panel                     	       0        4        0        4        0
46899 matchbox-panel-manager             	       0        4        0        4        0
46900 matchbox-themes-extra              	       0        1        0        0        1
46901 matchbox-window-manager            	       0        9        0        9        0
46902 mate-applet-appmenu                	       0       22        0       22        0
46903 mate-archive-keyring               	       0        1        0        0        1
46904 mate-backgrounds                   	       0      509        0        0      509
46905 mate-calc-common                   	       0      510        1        0      509
46906 mate-common                        	       0       18        0       18        0
46907 mate-common-build-deps             	       0        1        0        0        1
46908 mate-control-center-common         	       0      517        0        8      509
46909 mate-core                          	       0       21        0        0       21
46910 mate-desktop-environment           	       0      503        0        0      503
46911 mate-desktop-environment-core      	       0      508        0        0      508
46912 mate-desktop-environment-extra     	       0       18        0        0       18
46913 mate-desktop-environment-extras    	       0       54        0        0       54
46914 mate-dock-applet                   	       0       56        0        0       56
46915 mate-equake-applet                 	       0        4        0        0        4
46916 mate-extras-login-sound            	       0        1        0        1        0
46917 mate-hud                           	       0        4        0        4        0
46918 mate-icon-theme                    	       0      775        0        0      775
46919 mate-icon-theme-faenza             	       0       84        0        0       84
46920 mate-indicator-applet              	       0      116        0        0      116
46921 mate-indicator-applet-common       	       0      118        0        0      118
46922 mate-media-pulse                   	       0        2        0        2        0
46923 mate-menus                         	       0      524        0        0      524
46924 mate-netbook-common                	       0        9        0        0        9
46925 mate-notification-daemon-common    	       0      526        0        0      526
46926 mate-optimus                       	       0        4        0        4        0
46927 mate-polkit-bin                    	       0        9        0        9        0
46928 mate-sensors-applet                	       0      107        1       22       84
46929 mate-sensors-applet-common         	       0      108        0       13       95
46930 mate-sensors-applet-nvidia         	       0       15        0        6        9
46931 mate-settings-daemon-dev           	       0        5        0        5        0
46932 mate-settings-daemon-pulse         	       0        2        0        2        0
46933 mate-submodules-source             	       0        1        0        0        1
46934 mate-system-monitor-common         	       0      514        1        4      509
46935 mate-system-tools                  	       0        1        0        1        0
46936 mate-system-tools-common           	       0        3        0        0        3
46937 mate-terminal-dbgsym               	       0        1        0        1        0
46938 mate-themes                        	       0      733        0        0      733
46939 mate-user-guide                    	       0      531        0        0      531
46940 mate-user-share-common             	       0       56        0        0       56
46941 mate-window-applets-common         	       0       17        0        0       17
46942 mate-window-buttons-applet         	       0       16        0        0       16
46943 mate-window-menu-applet            	       0       15        0        0       15
46944 mate-window-title-applet           	       0       16        0        0       16
46945 mate-xapp-status-applet            	       0        6        0        0        6
46946 materia-gtk-theme                  	       0       41        0        0       41
46947 materia-kde                        	       0       20        0        0       20
46948 mathemagics-trinity                	       0        1        0        1        0
46949 mathematica-fonts                  	       0        5        0        0        5
46950 mathgl                             	       0        4        0        4        0
46951 mathicgb                           	       0        1        0        1        0
46952 mathomatic                         	       0        7        1        6        0
46953 mathomatic-primes                  	       0        4        1        3        0
46954 mathopd                            	       0        1        0        1        0
46955 mathpiper                          	       0       33        0        0       33
46956 mathtex                            	       0        1        0        1        0
46957 mathwar                            	       0        1        0        1        0
46958 matlab-psychtoolbox-3              	       0        1        0        1        0
46959 matlab-psychtoolbox-3-nonfree      	       0        1        0        1        0
46960 matlab-support                     	       0        1        0        1        0
46961 matlab-support-dev                 	       0        1        0        0        1
46962 matrem                             	       0        1        0        1        0
46963 matrix-org-archive-keyring         	       0        1        0        0        1
46964 matrix-synapse                     	       0        4        1        3        0
46965 matrix-synapse-ldap3               	       0        4        0        4        0
46966 matrix-synapse-py3                 	       0        1        0        1        0
46967 matroxset                          	       0        2        0        2        0
46968 mattermost-desktop                 	       0       10        2        4        4
46969 maude                              	       0        1        0        1        0
46970 maven-ant-helper                   	       0        4        0        0        4
46971 maven-cache-cleanup                	       0        4        0        4        0
46972 maven-debian-helper                	       0        5        0        5        0
46973 maven-repo-helper                  	       0        9        0        9        0
46974 maxima                             	       0       50        3       47        0
46975 maxima-doc                         	       0       41        0        0       41
46976 maxima-emacs                       	       0        6        0        6        0
46977 maxima-sage                        	       0       14        0       14        0
46978 maxima-sage-doc                    	       0       13        0        0       13
46979 maxima-sage-share                  	       0       14        0        0       14
46980 maxima-share                       	       0       46        0        0       46
46981 maxima-src                         	       0       15        0        0       15
46982 maxima-test                        	       0       14        0        0       14
46983 mayavi2                            	       0        3        0        3        0
46984 maybe                              	       0        1        0        1        0
46985 mazeofgalious                      	       0        5        0        5        0
46986 mazeofgalious-data                 	       0        5        0        0        5
46987 mb2md                              	       0       18        2       16        0
46988 mbmon                              	       0        8        0        8        0
46989 mbpfan                             	       0        7        1        6        0
46990 mbpoll                             	       0        4        0        4        0
46991 mbr                                	       0       26        1       25        0
46992 mbrola                             	       0       16        1       15        0
46993 mbrola-ca1                         	       0        1        0        0        1
46994 mbrola-ca2                         	       0        1        0        0        1
46995 mbrola-de1                         	       0        2        0        0        2
46996 mbrola-de2                         	       0        2        0        0        2
46997 mbrola-de3                         	       0        2        0        0        2
46998 mbrola-de4                         	       0        2        0        0        2
46999 mbrola-de5                         	       0        2        0        0        2
47000 mbrola-de6                         	       0        2        0        0        2
47001 mbrola-de7                         	       0        2        0        0        2
47002 mbrola-de8                         	       0        2        0        0        2
47003 mbrola-en1                         	       0        7        0        0        7
47004 mbrola-es1                         	       0        4        0        0        4
47005 mbrola-es2                         	       0        3        0        0        3
47006 mbrola-es3                         	       0        2        0        0        2
47007 mbrola-es4                         	       0        2        0        0        2
47008 mbrola-fr1                         	       0        2        0        0        2
47009 mbrola-fr2                         	       0        3        0        0        3
47010 mbrola-fr3                         	       0        1        0        0        1
47011 mbrola-fr4                         	       0        3        0        0        3
47012 mbrola-fr5                         	       0        1        0        0        1
47013 mbrola-fr6                         	       0        2        0        0        2
47014 mbrola-fr7                         	       0        1        0        0        1
47015 mbrola-it1                         	       0        1        0        0        1
47016 mbrola-it2                         	       0        1        0        0        1
47017 mbrola-it3                         	       0        1        0        0        1
47018 mbrola-it4                         	       0        1        0        0        1
47019 mbrola-la1                         	       0        1        0        0        1
47020 mbrola-mx1                         	       0        2        0        0        2
47021 mbrola-mx2                         	       0        2        0        0        2
47022 mbrola-nl1                         	       0        1        0        0        1
47023 mbrola-nl2                         	       0        1        0        0        1
47024 mbrola-nl3                         	       0        1        0        0        1
47025 mbrola-us1                         	       0       11        0        0       11
47026 mbrola-us2                         	       0        7        0        0        7
47027 mbrola-us3                         	       0        7        0        0        7
47028 mbt                                	       0        2        0        2        0
47029 mbtserver                          	       0        2        0        2        0
47030 mbw                                	       0        4        0        4        0
47031 mccs                               	       0        3        0        3        0
47032 mcdp                               	       0        1        0        1        0
47033 mcds                               	       0        1        0        1        0
47034 mcelog                             	       0       16        1       15        0
47035 mcl                                	       0        2        0        2        0
47036 mcli                               	       0        2        0        2        0
47037 mcollective-common                 	       0        2        0        2        0
47038 mcollective-doc                    	       0        2        0        2        0
47039 mconfig                            	       0        1        0        1        0
47040 mcp-plugins                        	       0       36        4       32        0
47041 mcpelauncher-manifest              	       0        1        0        1        0
47042 mcpelauncher-ui-manifest           	       0        1        0        1        0
47043 mcpp                               	       0        2        0        2        0
47044 mcpp-doc                           	       0        1        0        0        1
47045 mcrcon                             	       0        1        0        1        0
47046 mcron                              	       0        2        0        2        0
47047 mcrypt                             	       0       46        2       44        0
47048 mcu8051ide                         	       0        8        0        8        0
47049 mcvert                             	       0        1        0        1        0
47050 md2term                            	       0        4        0        4        0
47051 md4c-build-deps                    	       0        1        0        0        1
47052 md5deep                            	       0        2        0        0        2
47053 mda-lv2                            	       0       18        4       13        1
47054 mdbook                             	       0        1        0        1        0
47055 mdbtools-doc                       	       0        8        0        0        8
47056 mdbtools-gmdb                      	       0        4        0        4        0
47057 mdc                                	       0        1        0        1        0
47058 mdetect                            	       0       15        1       14        0
47059 mdf2iso                            	       0       17        0       17        0
47060 mdfinder.app                       	       0        1        0        1        0
47061 mdformat                           	       0        1        0        1        0
47062 mdi                                	       0        1        0        1        0
47063 mdk                                	       0        1        0        1        0
47064 mdk-doc                            	       0        1        0        0        1
47065 mdk3                               	       0       22        0       22        0
47066 mdk4                               	       0       22        0       22        0
47067 mdnsd                              	       0        1        0        1        0
47068 mdp                                	       0        5        0        5        0
47069 mecab-ipadic                       	       0        8        0        0        8
47070 mecab-ipadic-utf8                  	       0        5        0        0        5
47071 mecab-jumandic                     	       0        6        0        0        6
47072 mecab-jumandic-utf8                	       0        6        0        0        6
47073 mecab-naist-jdic                   	       0        1        0        0        1
47074 mecab-naist-jdic-eucjp             	       0        1        0        0        1
47075 mechvibes                          	       0        1        0        0        1
47076 mecs-racoon                        	       0        1        0        1        0
47077 med-config                         	       0        6        0        6        0
47078 med-imaging                        	       0        2        0        2        0
47079 med-tasks                          	       0       12        0        0       12
47080 medcon                             	       0        9        0        9        0
47081 media-types                        	       0     3668        0        0     3668
47082 mediaconch                         	       0        2        0        2        0
47083 mediaconch-gui                     	       0        2        0        2        0
47084 mediaelch                          	       0        1        0        1        0
47085 mediainfo-gui                      	       0       77        2       75        0
47086 mediascanner2.0                    	       0        1        0        1        0
47087 mediastreamer2-plugin-msqogl       	       0        1        0        0        1
47088 mediastreamer2-plugin-openh264     	       0       21        1        0       20
47089 mediatomb                          	       0        1        0        0        1
47090 mediatomb-common                   	       0        1        0        1        0
47091 mediatomb-daemon                   	       0        1        0        0        1
47092 mediawiki                          	       0       12        0       12        0
47093 mediawiki-classes                  	       0       12        0       12        0
47094 mediawiki-extension-youtube        	       0        1        0        1        0
47095 mediawiki2latex                    	       0        2        0        2        0
47096 mediawiki2latexguipyqt             	       0        1        0        1        0
47097 medit                              	       0        4        0        4        0
47098 medusa                             	       0       26        0       26        0
47099 meep                               	       0        6        0        6        0
47100 meep-openmpi                       	       0        1        0        1        0
47101 megacli                            	       0        9        1        6        2
47102 megaclisas-status                  	       0        3        1        2        0
47103 megacmd                            	       0        5        0        5        0
47104 megactl                            	       0        1        0        1        0
47105 megaglest                          	       0       13        0       13        0
47106 megaglest-data                     	       0       15        0        0       15
47107 megamgr                            	       0        1        0        1        0
47108 megaraid-storage-manager           	       0        4        0        4        0
47109 megasync                           	       0       31        4       27        0
47110 megatools                          	       0       16        1       15        0
47111 megazeux                           	       0        1        0        1        0
47112 meilisearch                        	       0        1        1        0        0
47113 melt7                              	       0        6        0        6        0
47114 melting                            	       0        1        0        1        0
47115 members                            	       0       14        0       14        0
47116 memdump                            	       0       25        0       25        0
47117 memleax                            	       0        1        0        1        0
47118 memlockd                           	       0        1        0        1        0
47119 memo                               	       0        2        0        2        0
47120 memtest86                          	       0       34        0       34        0
47121 memtester                          	       0       83        3       80        0
47122 memtool                            	       0        5        0        5        0
47123 mencal                             	       0        4        0        4        0
47124 mendeleydesktop                    	       0        2        0        2        0
47125 mendexk                            	       0        1        0        1        0
47126 mensis                             	       0        1        0        1        0
47127 menu-l10n                          	       0       29        0        0       29
47128 menu-xdg                           	       0      379        0        0      379
47129 mercurial-buildpackage             	       0        1        0        1        0
47130 mercurial-dbgsym                   	       0        1        0        1        0
47131 mercurial-extension-utils          	       0        1        0        1        0
47132 mercurial-keyring                  	       0        5        0        5        0
47133 mercury-browser                    	       0        4        0        4        0
47134 merecat                            	       0        2        0        2        0
47135 merge3                             	       0        2        0        2        0
47136 mergebox                           	       0        2        0        2        0
47137 meritous                           	       0        5        0        5        0
47138 meritous-data                      	       0        5        0        0        5
47139 merkaartor                         	       0        5        0        5        0
47140 meryl                              	       0        2        0        2        0
47141 mes                                	       0        1        0        1        0
47142 mesa-amber-build-deps              	       0        1        0        0        1
47143 mesa-amdgpu-common-dev             	       0        1        0        1        0
47144 mesa-amdgpu-multimedia             	       0        2        0        0        2
47145 mesa-amdgpu-va-drivers             	       0        9        2        3        4
47146 mesa-amdgpu-vdpau-drivers          	       0        9        1        0        8
47147 mesa-drm-shim                      	       0        2        0        0        2
47148 mesa-opencl-icd                    	       0       54        0        0       54
47149 mesa-utils-extra                   	       0       12        0       12        0
47150 mesa-vdpau-drivers                 	       0     3251        0        5     3246
47151 mesademos                          	       0        1        0        1        0
47152 mesaflash                          	       0        3        0        3        0
47153 mescc-tools                        	       0        2        0        2        0
47154 meshio-tools                       	       0        2        0        2        0
47155 meshlab                            	       0       28        0       28        0
47156 meshs3d                            	       0        1        0        1        0
47157 meson-build-deps                   	       0        1        0        0        1
47158 mess                               	       0        3        0        1        2
47159 mess-data                          	       0        1        0        0        1
47160 messagelib-data                    	       0        7        0        0        7
47161 metacam                            	       0       30        0       30        0
47162 metacity-bluecurve                 	       0        1        0        0        1
47163 metacity-common                    	       0      351        0        4      347
47164 metacity-themes                    	       0       13        0        0       13
47165 metadata-cleaner                   	       0       19        0       19        0
47166 metadsf                            	       0        1        0        1        0
47167 metainit                           	       0        1        0        1        0
47168 metamail                           	       0        3        0        3        0
47169 metapixel                          	       0        4        0        4        0
47170 metar                              	       0        7        0        7        0
47171 metasploit-framework               	       0        7        0        7        0
47172 metastore                          	       0        2        0        2        0
47173 meteo-qt-l10n                      	       0      234        0        1      233
47174 meterbridge                        	       0       37        0       37        0
47175 meterec                            	       0        1        0        1        0
47176 metis                              	       0        2        1        1        0
47177 metis-edf                          	       0        1        0        1        0
47178 metricbeat                         	       0        1        0        1        0
47179 metview                            	       0        1        0        1        0
47180 metview-data                       	       0        1        0        0        1
47181 mew                                	       0        3        0        3        0
47182 mew-bin                            	       0        5        0        5        0
47183 mfbdjvu                            	       0        1        0        1        0
47184 mfbpdf                             	       0        1        0        1        0
47185 mfc210clpr                         	       0        1        0        1        0
47186 mfc235ccupswrapper                 	       0        2        0        0        2
47187 mfc235clpr                         	       0        2        0        2        0
47188 mfc5490cncupswrapper               	       0        1        0        0        1
47189 mfc5490cnlpr                       	       0        1        0        1        0
47190 mfc5840cnlpr                       	       0        1        0        1        0
47191 mfc6890cdwcupswrapper              	       0        1        0        0        1
47192 mfc6890cdwlpr                      	       0        1        0        1        0
47193 mfc7360nlpr                        	       0        1        0        0        1
47194 mfc7860dwlpr                       	       0        4        0        0        4
47195 mfc795cwcupswrapper                	       0        1        0        0        1
47196 mfc795cwlpr                        	       0        1        0        1        0
47197 mfc8512dncupswrapper               	       0        1        0        0        1
47198 mfc9332cdwcupswrapper              	       0        2        0        0        2
47199 mfc9332cdwlpr                      	       0        2        1        1        0
47200 mfc9340cdwcupswrapper              	       0        1        0        0        1
47201 mfc9340cdwlpr                      	       0        1        0        1        0
47202 mfc9420cnlpr                       	       0        1        0        1        0
47203 mfc9970cdwcupswrapper              	       0        5        0        0        5
47204 mfc9970cdwlpr                      	       0        5        0        5        0
47205 mfcj410cupswrapper                 	       0        1        0        0        1
47206 mfcj410lpr                         	       0        1        0        1        0
47207 mfcj4540dwpdrv                     	       0        3        0        0        3
47208 mfcj480dwcupswrapper               	       0        1        0        0        1
47209 mfcj480dwlpr                       	       0        1        0        1        0
47210 mfcj485dwcupswrapper               	       0        1        0        0        1
47211 mfcj485dwlpr                       	       0        1        0        1        0
47212 mfcj5730dwcupswrapper              	       0        1        0        0        1
47213 mfcj5730dwlpr                      	       0        1        0        1        0
47214 mfcj5845dwpdrv                     	       0        1        0        1        0
47215 mfcj825dwcupswrapper               	       0        2        0        0        2
47216 mfcj825dwlpr                       	       0        2        0        2        0
47217 mfcj870dwcupswrapper               	       0        2        0        0        2
47218 mfcj870dwlpr                       	       0        2        0        2        0
47219 mfcj985dwcupswrapper               	       0        5        0        0        5
47220 mfcj985dwlpr                       	       0        5        0        5        0
47221 mfcl2700dncupswrapper              	       0        1        0        0        1
47222 mfcl2700dnlpr                      	       0        1        0        0        1
47223 mfcl2700dwcupswrapper              	       0        2        0        0        2
47224 mfcl2700dwlpr                      	       0        2        0        1        1
47225 mfcl2710dwpdrv                     	       0        1        0        0        1
47226 mfcl2720dwcupswrapper              	       0        1        0        0        1
47227 mfcl2720dwlpr                      	       0        1        0        0        1
47228 mfcl3770cdwpdrv                    	       0        2        0        2        0
47229 mfcl5900dwcupswrapper              	       0        1        0        0        1
47230 mfcl5900dwlpr                      	       0        1        0        0        1
47231 mfcl6900dwcupswrapper              	       0        1        0        0        1
47232 mfcl6900dwlpr                      	       0        1        0        0        1
47233 mfcl8905cdwcupswrapper             	       0        1        0        0        1
47234 mfcl8905cdwlpr                     	       0        1        0        0        1
47235 mfcl9570cdwcupswrapper             	       0        1        0        0        1
47236 mfcl9570cdwlpr                     	       0        1        0        1        0
47237 mfcuk                              	       0       23        0       23        0
47238 mffm-fftw1                         	       0        1        0        0        1
47239 mfoc                               	       0       24        0       24        0
47240 mft                                	       0        1        0        1        0
47241 mftrace                            	       0        4        0        4        0
47242 mg                                 	       0       18        3       15        0
47243 mgba-build                         	       0        1        0        0        1
47244 mgba-common                        	       0        7        0        0        7
47245 mgba-qt                            	       0        1        0        1        0
47246 mgba-sdl                           	       0        6        0        6        0
47247 mgdiff                             	       0       12        0       12        0
47248 mgen                               	       0        4        0        4        0
47249 mgen-doc                           	       0        3        0        0        3
47250 mgetty                             	       0       11        0       11        0
47251 mgetty-docs                        	       0        2        0        2        0
47252 mgetty-fax                         	       0        5        0        5        0
47253 mgetty-pvftools                    	       0        2        0        2        0
47254 mgetty-viewfax                     	       0        5        0        5        0
47255 mgetty-voice                       	       0        3        0        3        0
47256 mgitstatus                         	       0        4        0        4        0
47257 mgp                                	       0        3        0        3        0
47258 mgrant-obs-deb-keyring             	       0        4        0        0        4
47259 mgt                                	       0        2        0        2        0
47260 mh-book                            	       0        5        0        5        0
47261 mh-e                               	       0        2        0        2        0
47262 mhc                                	       0        3        0        3        0
47263 mhc-utils                          	       0        3        0        3        0
47264 mhonarc                            	       0       11        0       11        0
47265 mia-tools                          	       0        2        0        2        0
47266 mia-tools-doc                      	       0        2        0        0        2
47267 mia-viewit                         	       0        2        0        2        0
47268 mialmpick                          	       0        2        0        2        0
47269 miceamaze                          	       0        6        0        6        0
47270 micro-httpd                        	       0        1        1        0        0
47271 micro-inetd                        	       0        1        1        0        0
47272 microbiomeutil                     	       0        1        0        1        0
47273 microbiomeutil-data                	       0        1        0        0        1
47274 microcode.ctl                      	       0        7        0        0        7
47275 microcom                           	       0       18        0       18        0
47276 microdc2                           	       0        1        0        1        0
47277 microhope                          	       0        3        0        3        0
47278 micropolis                         	       0       15        0       15        0
47279 micropolis-data                    	       0       15        0        0       15
47280 microsocks                         	       0        4        0        4        0
47281 microsoft-edge-beta                	       0        3        0        3        0
47282 microsoft-edge-dev                 	       0        1        0        1        0
47283 midge                              	       0        1        0        1        0
47284 midicsv                            	       0        1        0        1        0
47285 midish                             	       0        6        0        6        0
47286 midisnoop                          	       0       12        0       12        0
47287 midisport-firmware                 	       0        6        0        6        0
47288 midori                             	       0       68        0       68        0
47289 mig                                	       0        1        0        1        0
47290 mig-i686-linux-gnu                 	       0        1        0        1        0
47291 mighttpd2                          	       0        1        0        1        0
47292 migraphx                           	       0        2        0        2        0
47293 migraphx-dev                       	       0        2        0        2        0
47294 migrationtools                     	       0        4        0        0        4
47295 mii-diag                           	       0        6        0        6        0
47296 miio-tools                         	       0        2        0        2        0
47297 mikmod                             	       0       28        0       28        0
47298 milkytracker                       	       0       29        0       29        0
47299 miller                             	       0        5        0        5        0
47300 mime-types-editor                  	       0        2        0        2        0
47301 mimedecode                         	       0        1        0        1        0
47302 mimefilter                         	       0        1        0        1        0
47303 mimetex                            	       0        6        0        6        0
47304 mimms                              	       0        2        0        2        0
47305 min12xxw                           	       0        3        0        0        3
47306 minc-tools                         	       0        2        0        2        0
47307 mindforger                         	       0        1        0        1        0
47308 mindi-busybox                      	       0        2        0        2        0
47309 mindi-kernel                       	       0        1        0        1        0
47310 mindi-partimagehack                	       0        1        0        1        0
47311 mindolph                           	       0        1        0        1        0
47312 minecraft-launcher                 	       0       43        3       40        0
47313 mines-of-titan                     	       0        1        0        1        0
47314 minetest-data                      	       0       59        0        7       52
47315 minetest-dbgsym                    	       0        1        0        1        0
47316 minetest-mod-3d-armor              	       0        4        0        0        4
47317 minetest-mod-advmarkers-csm        	       0        3        0        0        3
47318 minetest-mod-advspawning           	       0        1        0        0        1
47319 minetest-mod-animalmaterials       	       0        1        0        0        1
47320 minetest-mod-animals               	       0        1        0        0        1
47321 minetest-mod-basic-materials       	       0       11        0        0       11
47322 minetest-mod-basic-robot-csm       	       0        2        0        0        2
47323 minetest-mod-character-creator     	       0        6        0        0        6
47324 minetest-mod-colour-chat-56-csm    	       0        1        0        0        1
47325 minetest-mod-craftguide            	       0        5        0        0        5
47326 minetest-mod-currency              	       0        5        0        0        5
47327 minetest-mod-ethereal              	       0        6        0        0        6
47328 minetest-mod-homedecor             	       0        5        0        0        5
47329 minetest-mod-infinite-chest        	       0        3        0        0        3
47330 minetest-mod-intllib               	       0        6        0        0        6
47331 minetest-mod-ltool                 	       0        1        0        0        1
47332 minetest-mod-lucky-block           	       0        4        0        0        4
47333 minetest-mod-maidroid              	       0        4        0        0        4
47334 minetest-mod-mesecons              	       0        9        0        0        9
47335 minetest-mod-meshport              	       0        2        0        0        2
47336 minetest-mod-mobf                  	       0        1        0        0        1
47337 minetest-mod-mobf-core             	       0        1        0        0        1
47338 minetest-mod-mobs-redo             	       0        3        0        0        3
47339 minetest-mod-moreblocks            	       0       11        0        0       11
47340 minetest-mod-moreores              	       0       10        0        0       10
47341 minetest-mod-nether                	       0        6        0        0        6
47342 minetest-mod-pipeworks             	       0       10        0        0       10
47343 minetest-mod-player-3d-armor       	       0        2        0        0        2
47344 minetest-mod-protector             	       0        5        0        0        5
47345 minetest-mod-pycraft               	       0        3        0        0        3
47346 minetest-mod-quartz                	       0        6        0        0        6
47347 minetest-mod-skyblock              	       0        4        0        0        4
47348 minetest-mod-throwing              	       0        5        0        0        5
47349 minetest-mod-throwing-arrows       	       0        5        0        0        5
47350 minetest-mod-torches               	       0        1        0        0        1
47351 minetest-mod-unified-inventory     	       0        6        0        0        6
47352 minetest-mod-unifieddyes           	       0        5        0        0        5
47353 minetest-mod-worldedit             	       0        5        0        0        5
47354 minetest-mod-xdecor                	       0        4        0        0        4
47355 minetest-server                    	       0       11        1       10        0
47356 minetestmapper                     	       0        7        0        7        0
47357 ming-fonts-dejavu                  	       0        2        0        0        2
47358 ming-fonts-opensymbol              	       0        2        0        0        2
47359 mingetty                           	       0        4        0        4        0
47360 mingw-w64                          	       0       30        0        0       30
47361 mingw-w64-common                   	       0       49        1       48        0
47362 mingw-w64-i686-dev                 	       0       42        1       41        0
47363 mingw-w64-tools                    	       0       10        0       10        0
47364 mingw-w64-x86-64-dev               	       0       46        1       45        0
47365 mingw32                            	       0        2        0        2        0
47366 mingw32-binutils                   	       0        2        0        2        0
47367 mingw32-runtime                    	       0        2        0        2        0
47368 mini-dinstall                      	       0        2        0        2        0
47369 mini-httpd                         	       0        2        0        2        0
47370 minia                              	       0        1        0        1        0
47371 miniasm                            	       0        1        0        1        0
47372 minica                             	       0        1        0        1        0
47373 minicondor                         	       0        1        0        0        1
47374 minicoredumper                     	       0        1        0        1        0
47375 minicoredumper-utils               	       0        1        0        1        0
47376 minidjvu                           	       0        8        0        8        0
47377 minidjvu-mod                       	       0        1        0        1        0
47378 minidlna                           	       0       46       12       34        0
47379 miniflux                           	       0        1        0        1        0
47380 minify                             	       0        1        0        1        0
47381 minihtcondor                       	       0        1        0        0        1
47382 minikube                           	       0        2        0        2        0
47383 minimap2                           	       0        1        0        1        0
47384 minimodem                          	       0       10        0       10        0
47385 minimuf                            	       0        1        0        1        0
47386 mininet                            	       0        2        0        2        0
47387 minio                              	       0        1        0        1        0
47388 minisat+                           	       0        3        0        3        0
47389 minisign                           	       0       10        0       10        0
47390 minissdpd                          	       0       78        9       69        0
47391 ministat                           	       0        1        0        1        0
47392 minitube                           	       0       15        1       14        0
47393 miniupnpc                          	       0       19        0       19        0
47394 miniupnpd                          	       0        1        0        1        0
47395 miniupnpd-iptables                 	       0        1        0        1        0
47396 miniupnpd-nftables                 	       0        1        0        1        0
47397 minizinc                           	       0        2        0        2        0
47398 minizinc-ide                       	       0        1        0        1        0
47399 minpack-dev                        	       0        3        0        3        0
47400 mint-artwork                       	       0        1        0        1        0
47401 mint-backgrounds-tina              	       0        1        0        0        1
47402 mint-backgrounds-tricia            	       0        2        0        0        2
47403 mint-backgrounds-ulyana            	       0        1        0        0        1
47404 mint-backgrounds-ulyssa            	       0        1        0        0        1
47405 mint-backgrounds-vanessa           	       0        2        0        0        2
47406 mint-backgrounds-vera              	       0        1        0        0        1
47407 mint-backgrounds-victoria          	       0        1        0        0        1
47408 mint-backgrounds-wilma             	       0        2        0        0        2
47409 mint-backgrounds-xia               	       0        1        0        0        1
47410 mint-common                        	       0        2        0        2        0
47411 mint-cursor-themes                 	       0        2        0        0        2
47412 mint-info-cinnamon                 	       0        1        0        0        1
47413 mint-l-icons                       	       0        2        0        0        2
47414 mint-l-theme                       	       0        2        0        0        2
47415 mint-mirrors                       	       0        1        0        0        1
47416 mint-themes                        	       0        7        0        0        7
47417 mint-themes-legacy                 	       0        2        0        0        2
47418 mint-translations                  	       0        2        0        0        2
47419 mint-x-icons                       	       0       10        0        0       10
47420 mint-y-icons                       	       0       17        0        0       17
47421 mint-y-icons-legacy                	       0        1        0        0        1
47422 mintpy                             	       0        2        0        2        0
47423 mintsources                        	       0        2        0        2        0
47424 minuet-data                        	       0       51        0        0       51
47425 miopen-hip                         	       0        3        0        3        0
47426 miopen-hip-dev                     	       0        2        0        2        0
47427 mipe                               	       0        1        0        1        0
47428 mir-demos                          	       0        1        0        1        0
47429 mir-doc                            	       0        1        0        1        0
47430 mir-graphics-drivers-desktop       	       0        1        0        0        1
47431 mir-graphics-drivers-nvidia        	       0        1        0        0        1
47432 mir-platform-graphics-eglstream-kms	       0        1        0        0        1
47433 mir-platform-graphics-eglstream-kms20	       0        1        0        0        1
47434 mir-platform-graphics-gbm-kms      	       0        1        0        0        1
47435 mir-platform-graphics-gbm-kms20    	       0        1        0        0        1
47436 mir-platform-graphics-wayland      	       0        1        0        0        1
47437 mir-platform-graphics-wayland20    	       0        1        0        0        1
47438 mir-platform-graphics-x            	       0        2        0        0        2
47439 mir-platform-graphics-x20          	       0        2        0        0        2
47440 mir-platform-input-evdev8          	       0        2        0        0        2
47441 mir-test-tools                     	       0        1        0        1        0
47442 mir-wlcs-integration               	       0        1        0        0        1
47443 mira-assembler                     	       0        1        0        1        0
47444 mira-rfam-12s-rrna                 	       0        1        0        0        1
47445 miredo                             	       0        2        0        2        0
47446 miri-sdr                           	       0        5        0        5        0
47447 mirror                             	       0        1        0        1        0
47448 mirrordir                          	       0        3        0        3        0
47449 mirrormagic                        	       0       11        0       11        0
47450 mirrormagic-data                   	       0       11        0        0       11
47451 misery                             	       0        1        0        1        0
47452 missfits                           	       0        2        0        2        0
47453 missidentify                       	       0       23        0       23        0
47454 mist                               	       0        1        0        1        0
47455 mit-scheme                         	       0        7        0        7        0
47456 mit-scheme-doc                     	       0        6        0        0        6
47457 mitaka-jessie-archive-keyring      	       0        2        0        0        2
47458 mitmproxy                          	       0       12        1       11        0
47459 mitools                            	       0        2        0        2        0
47460 mivisionx                          	       0        2        0        2        0
47461 mivisionx-dev                      	       0        1        0        1        0
47462 miwm                               	       0        6        0        6        0
47463 mixer.app                          	       0        2        0        2        0
47464 mixmaster                          	       0        4        1        3        0
47465 mixxx                              	       0       38        1       37        0
47466 mixxx-data                         	       0       38        0        0       38
47467 mjpegtools                         	       0       56        2       54        0
47468 mjpegtools-gtk                     	       0       25        0       25        0
47469 mk-configure                       	       0        3        0        3        0
47470 mk4tcl2.4.9.7                      	       0        1        0        1        0
47471 mkalias                            	       0        2        0        2        0
47472 mkbootimg                          	       0        5        0        5        0
47473 mkcert                             	       0        8        0        8        0
47474 mkchromecast                       	       0       11        1       10        0
47475 mkchromecast-alsa                  	       0        5        0        0        5
47476 mkchromecast-gstreamer             	       0        3        0        0        3
47477 mkchromecast-pulseaudio            	       0        6        0        0        6
47478 mkcue                              	       0       12        0       12        0
47479 mkdepend                           	       0        2        0        2        0
47480 mkdocs-autorefs                    	       0        1        0        1        0
47481 mkdocs-bootstrap                   	       0        1        0        0        1
47482 mkdocs-click                       	       0        1        0        1        0
47483 mkdocs-doc                         	       0        7        0        0        7
47484 mkdocs-literate-nav                	       0        2        0        2        0
47485 mkdocs-literate-nav-doc            	       0        1        0        0        1
47486 mkdocs-material                    	       0        3        0        0        3
47487 mkdocs-material-extensions         	       0        3        0        3        0
47488 mkdocs-nature                      	       0        7        0        0        7
47489 mkdocs-redirects                   	       0        1        0        1        0
47490 mkdocstrings                       	       0        1        0        1        0
47491 mkdocstrings-python-legacy         	       0        1        0        1        0
47492 mkelfimage                         	       0        1        0        1        0
47493 mkgmap                             	       0        8        1        7        0
47494 mkgmap-splitter                    	       0        6        0        6        0
47495 mkgmapgui                          	       0        7        0        7        0
47496 mkisofs                            	       0        2        0        2        0
47497 mklibs                             	       0        1        0        1        0
47498 mklibs-copy                        	       0        2        0        2        0
47499 mknfonts.tool                      	       0        8        0        8        0
47500 mkrboot                            	       0        2        0        2        0
47501 mktemp                             	       0       20        0        0       20
47502 mktrayicon                         	       0        7        0        7        0
47503 mkusb                              	       0        2        0        2        0
47504 mkusb-common                       	       0        2        0        2        0
47505 mkusb-nox                          	       0        2        0        2        0
47506 mkusb-plug                         	       0        1        0        1        0
47507 ml-yacc                            	       0        1        0        1        0
47508 mldonkey-gui                       	       0        6        0        6        0
47509 mldonkey-server                    	       0        4        0        4        0
47510 mle                                	       0        2        0        2        0
47511 mlink                              	       0        2        0        2        0
47512 mlpost                             	       0        3        0        3        0
47513 mlterm                             	       0       19        1       18        0
47514 mlterm-common                      	       0       19        0        0       19
47515 mlterm-im-fcitx                    	       0        1        0        0        1
47516 mlterm-im-m17nlib                  	       0        2        0        0        2
47517 mlterm-im-scim                     	       0        3        0        0        3
47518 mlterm-im-uim                      	       0        2        0        0        2
47519 mlterm-tools                       	       0       18        0       18        0
47520 mlv-smile                          	       0        2        0        2        0
47521 mlview                             	       0        1        0        1        0
47522 mm-common                          	       0        1        0        1        0
47523 mm3d                               	       0        6        0        6        0
47524 mma                                	       0        3        0        3        0
47525 mmake                              	       0        1        0        1        0
47526 mmark                              	       0        2        0        2        0
47527 mmass-modules                      	       0        1        0        1        0
47528 mmc-utils                          	       0       11        0       11        0
47529 mmdb-bin                           	       0       12        0       12        0
47530 mmex                               	       0        1        0        1        0
47531 mmix                               	       0        1        0        1        0
47532 mmllib-tools                       	       0        1        0        1        0
47533 mmm-mode                           	       0        8        0        8        0
47534 mmmulti                            	       0        1        0        1        0
47535 mmorph                             	       0        1        0        1        0
47536 mmpongd                            	       0        1        0        1        0
47537 mmv                                	       0       34        1       33        0
47538 mnemosyne                          	       0        7        0        7        0
47539 moagg                              	       0        1        0        1        0
47540 moagg-data                         	       0        1        0        0        1
47541 moarvm                             	       0       10        0       10        0
47542 moarvm-dev                         	       0        1        0        1        0
47543 mobazha-desktop                    	       0        2        0        1        1
47544 mobian-archive-keyring             	       0        2        0        0        2
47545 mobile-broadband-provider-info     	       0     2338        0        0     2338
47546 mobirise                           	       0        1        0        0        1
47547 moblin-cursor-theme                	       0        4        0        0        4
47548 moblin-icon-theme                  	       0        1        0        0        1
47549 moblin-sound-theme                 	       0        1        0        0        1
47550 moc-ffmpeg-plugin                  	       0       16        0        0       16
47551 mocassin                           	       0        1        0        1        0
47552 mocassin-data                      	       0        1        0        0        1
47553 mocha                              	       0       30        1       29        0
47554 mod-distortion                     	       0        1        0        1        0
47555 mod-host                           	       0        1        0        1        0
47556 mod-mda-lv2                        	       0        1        0        0        1
47557 mod-musicindex-common              	       0        1        0        0        1
47558 mod-pagespeed-beta                 	       0        1        0        1        0
47559 mod-pitchshifter                   	       0        1        0        1        0
47560 mod-sdk                            	       0        1        0        1        0
47561 mod-sdk-lv2                        	       0        4        0        4        0
47562 mod-utilities                      	       0        1        1        0        0
47563 modconf                            	       0        1        0        1        0
47564 modeline                           	       0        1        0        1        0
47565 modelio-open-source4.1             	       0        1        0        0        1
47566 modelio-open-source5.3             	       0        1        0        0        1
47567 modelio-open-source5.4             	       0        2        0        1        1
47568 modeller                           	       0        1        0        1        0
47569 modem-cmd                          	       0        1        0        1        0
47570 modem-manager-gui-help             	       0        9        0        0        9
47571 modemmanager-dev                   	       0        4        0        4        0
47572 modemmanager-doc                   	       0        8        0        0        8
47573 modemmanager-qt-dev                	       0        1        0        1        0
47574 modemu                             	       0        2        0        2        0
47575 modernize                          	       0        3        0        3        0
47576 modrinth-app                       	       0        1        0        1        0
47577 modsecurity-crs                    	       0       16        1       15        0
47578 module-init-tools                  	       0       11        0        0       11
47579 moebinv-doc                        	       0        2        0        0        2
47580 moka-icon-theme                    	       0       26        0        0       26
47581 mokomaze                           	       0        6        0        6        0
47582 mold                               	       0        5        0        5        0
47583 molequeue                          	       0       12        1       11        0
47584 molly-brown                        	       0        2        0        2        0
47585 molly-guard                        	       0       38        7       31        0
47586 mom                                	       0        1        0        1        0
47587 momentum-prod                      	       0        1        0        0        1
47588 mommy                              	       0        1        0        1        0
47589 mon                                	       0        4        0        4        0
47590 mon-client                         	       0        4        0        4        0
47591 mon-contrib                        	       0        2        0        2        0
47592 mona                               	       0        1        0        1        0
47593 monero                             	       0       14        1       12        1
47594 monero-gui                         	       0        2        0        2        0
47595 monero-tests                       	       0        3        0        3        0
47596 moneydance                         	       0        1        0        1        0
47597 moneyplex                          	       0        1        0        0        1
47598 mongo-tools                        	       0       12        0       12        0
47599 mongocli                           	       0        1        0        1        0
47600 mongodb                            	       0        4        0        0        4
47601 mongodb-10gen                      	       0        1        0        1        0
47602 mongodb-clients                    	       0       13        0       13        0
47603 mongodb-compass                    	       0        2        0        2        0
47604 mongodb-database-tools             	       0       15        0       15        0
47605 mongodb-dev                        	       0        1        0        0        1
47606 mongodb-org                        	       0       15        0        0       15
47607 mongodb-org-database               	       0        6        0        0        6
47608 mongodb-org-database-tools-extra   	       0       15        0       15        0
47609 mongodb-org-mongos                 	       0       17        0       17        0
47610 mongodb-org-server                 	       0       27        6       21        0
47611 mongodb-org-shell                  	       0       21        0       16        5
47612 mongodb-org-tools                  	       0       18        0        3       15
47613 mongodb-server                     	       0       12        1       11        0
47614 mongodb-server-core                	       0        1        1        0        0
47615 monit                              	       0       27        8       19        0
47616 monitoring-plugins                 	       0       51        0        0       51
47617 monitoring-plugins-btrfs           	       0        2        0        2        0
47618 monitoring-plugins-standard        	       0       57        9       48        0
47619 monitorix                          	       0       10        0       10        0
47620 monkeys-audio                      	       0        6        0        6        0
47621 monkeysign                         	       0        1        0        1        0
47622 mono-2.0-gac                       	       0        2        0        2        0
47623 mono-2.0-service                   	       0        2        0        2        0
47624 mono-addins-utils                  	       0        1        0        1        0
47625 mono-apache-server                 	       0        1        0        1        0
47626 mono-apache-server4                	       0        3        0        3        0
47627 mono-common                        	       0        1        0        1        0
47628 mono-complete                      	       0       52        0        0       52
47629 mono-dbg                           	       0        4        0        4        0
47630 mono-debugger                      	       0        1        0        1        0
47631 mono-dmcs                          	       0        2        0        0        2
47632 mono-gmcs                          	       0        1        0        0        1
47633 mono-jay                           	       0        5        0        5        0
47634 mono-jit                           	       0        1        0        1        0
47635 mono-llvm-support                  	       0       22        0        0       22
47636 mono-llvm-tools                    	       0       22        0       22        0
47637 mono-roslyn                        	       0       21        0       21        0
47638 mono-runtime-boehm                 	       0        1        0        1        0
47639 mono-runtime-dbg                   	       0        1        0        1        0
47640 mono-source                        	       0        2        0        0        2
47641 mono-tools-devel                   	       0        3        0        3        0
47642 mono-tools-gui                     	       0        2        0        2        0
47643 mono-upnp-bin                      	       0        2        0        2        0
47644 mono-vbnc                          	       0        2        0        2        0
47645 monodevelop                        	       0        9        0        9        0
47646 monodevelop-nunit                  	       0        1        0        1        0
47647 monodoc-browser                    	       0        9        0        9        0
47648 monodoc-gmime2.6-manual            	       0        1        0        1        0
47649 monodoc-gnome-keyring-manual       	       0        1        0        1        0
47650 monodoc-gtk2.0-manual              	       0       12        0       12        0
47651 monodoc-gtk3.0-manual              	       0       12        0       12        0
47652 monodoc-mono-upnp-manual           	       0        2        0        2        0
47653 monodoc-nunit-manual               	       0        2        0        2        0
47654 monodoc-opentk-manual              	       0        1        0        1        0
47655 monopd                             	       0        8        1        7        0
47656 monosim-gtk                        	       0        1        0        1        0
47657 monotone                           	       0        3        0        3        0
47658 monotone-doc                       	       0        1        0        0        1
47659 monotone-extras                    	       0        2        0        2        0
47660 monotone-viz                       	       0        1        0        1        0
47661 monster-masher                     	       0        4        0        4        0
47662 monsterz                           	       0       11        0       11        0
47663 monsterz-data                      	       0       11        0        0       11
47664 montage                            	       0        2        0        2        0
47665 monteverdi                         	       0        2        0        2        0
47666 moodbar                            	       0        1        0        1        0
47667 moon-lander                        	       0       12        0       12        0
47668 moon-lander-data                   	       0       12        0        0       12
47669 moonlight-plugin-core              	       0        1        0        1        0
47670 moonlight-plugin-mozilla           	       0        1        0        1        0
47671 moony.lv2                          	       0        1        0        1        0
47672 moosefs-cgi                        	       0        1        0        0        1
47673 moosefs-cli                        	       0        1        0        1        0
47674 moosefs-client                     	       0        1        0        1        0
47675 moosefs-common                     	       0        1        0        0        1
47676 mopac7-bin                         	       0        1        0        1        0
47677 mopidy-alsamixer                   	       0        4        0        4        0
47678 mopidy-doc                         	       0        2        0        0        2
47679 mopidy-internetarchive             	       0        3        0        3        0
47680 mopidy-local                       	       0        2        0        2        0
47681 mopidy-local-sqlite                	       0        1        0        1        0
47682 mopidy-mpd                         	       0        3        0        3        0
47683 mopidy-mpris                       	       0        1        0        1        0
47684 mopidy-podcast                     	       0        3        0        3        0
47685 mopidy-podcast-itunes              	       0        3        0        3        0
47686 mopidy-somafm                      	       0        4        0        4        0
47687 mopidy-soundcloud                  	       0        1        0        1        0
47688 mopidy-spotify                     	       0        1        0        1        0
47689 mopidy-tunein                      	       0        1        0        1        0
47690 morgen                             	       0        1        0        0        1
47691 morph027-keyring                   	       0        1        0        0        1
47692 morris                             	       0        3        0        3        0
47693 morrowind-en-data                  	       0        1        0        0        1
47694 morrowind-en-plugins               	       0        1        0        0        1
47695 morse-simulator-data               	       0        1        0        0        1
47696 morse2ascii                        	       0       14        0       14        0
47697 morsegen                           	       0        5        0        5        0
47698 mosquitto                          	       0       29        7       22        0
47699 motif-clients                      	       0        1        0        0        1
47700 motion                             	       0       29        4       25        0
47701 motion-effect                      	       0        1        0        1        0
47702 motionplus                         	       0        1        0        1        0
47703 motivewave                         	       0        5        0        5        0
47704 motrix                             	       0        1        0        0        1
47705 motv                               	       0        1        0        1        0
47706 mount-dbgsym                       	       0        2        0        2        0
47707 mountall                           	       0        2        0        2        0
47708 mountiso                           	       0        1        0        1        0
47709 mousai                             	       0        1        0        1        0
47710 mousetrap                          	       0        2        1        1        0
47711 movixmaker-2                       	       0        3        0        3        0
47712 mozc-data                          	       0       57        0        0       57
47713 mozilla                            	       0        1        0        0        1
47714 mozilla-browser                    	       0        1        0        1        0
47715 mozilla-devscripts                 	       0        1        0        1        0
47716 mozilla-mailnews                   	       0        1        0        0        1
47717 mozilla-mplayer                    	       0        1        0        1        0
47718 mozilla-noscript                   	       0        1        0        0        1
47719 mozilla-plugin-gnash               	       0        2        0        0        2
47720 mozilla-psm                        	       0        1        0        0        1
47721 mozillavpn                         	       0        1        0        1        0
47722 mozjpeg                            	       0        1        0        1        0
47723 mozldap-tools                      	       0        1        0        1        0
47724 mozplugger                         	       0        8        0        8        0
47725 mp                                 	       0        1        0        1        0
47726 mp3blaster                         	       0       16        1       15        0
47727 mp3burn                            	       0        3        0        3        0
47728 mp3check                           	       0       21        0       21        0
47729 mp3diags                           	       0       22        0       22        0
47730 mp3fs                              	       0       11        1       10        0
47731 mp3guessenc                        	       0        3        0        3        0
47732 mp3info-gtk                        	       0       15        0       15        0
47733 mp3report                          	       0        7        0        7        0
47734 mp3roaster                         	       0        1        0        1        0
47735 mp3splt                            	       0       25        0       25        0
47736 mp3splt-dbg                        	       0        1        0        1        0
47737 mp3val                             	       0       17        0       17        0
47738 mp3wrap                            	       0       12        0       12        0
47739 mp4fpsmod                          	       0        1        0        1        0
47740 mp4h                               	       0        6        0        6        0
47741 mp4tools                           	       0        2        0        2        0
47742 mp4v2-tools                        	       0        2        0        2        0
47743 mp4v2-utils                        	       0        5        0        5        0
47744 mpage                              	       0        4        0        4        0
47745 mpb-mpi                            	       0        1        0        1        0
47746 mpb-scm                            	       0        1        0        0        1
47747 mpc-ace                            	       0        1        0        1        0
47748 mpc123                             	       0        3        0        3        0
47749 mpd-sima                           	       0        1        0        1        0
47750 mpdas                              	       0        4        1        3        0
47751 mpdcon.app                         	       0        1        0        1        0
47752 mpdcron                            	       0        1        0        1        0
47753 mpdris2                            	       0        3        0        3        0
47754 mpdscribble                        	       0        2        0        2        0
47755 mpdtoys                            	       0        4        0        4        0
47756 mpeg2dec                           	       0       19        1       18        0
47757 mpeg3-utils                        	       0        9        0        9        0
47758 mpegdemux                          	       0        5        0        5        0
47759 mpg123-alsa                        	       0        1        0        0        1
47760 mpg123-el                          	       0        1        0        1        0
47761 mpglen                             	       0        1        0        1        0
47762 mpgrafic                           	       0        1        0        1        0
47763 mpgtx                              	       0       19        0       19        0
47764 mpi-default-bin                    	       0      150        0        0      150
47765 mpi-default-dev                    	       0       94        0        0       94
47766 mpi-doc                            	       0        1        0        0        1
47767 mpich                              	       0       14        0       14        0
47768 mpich-bin                          	       0        1        0        1        0
47769 mpich-doc                          	       0        5        0        0        5
47770 mplayer-doc                        	       0       33        0        0       33
47771 mplayer-nogui                      	       0        1        0        0        1
47772 mplayer-skin-blue                  	       0       56        0        0       56
47773 mplayer2                           	       0       29        0       29        0
47774 mplayerthumbs                      	       0        2        0        2        0
47775 mplayerthumbs-trinity              	       0        2        0        2        0
47776 mpollux-digisign-client-for-dvv    	       0        2        0        2        0
47777 mpollux-digisign-client-for-vrk    	       0        1        1        0        0
47778 mpop                               	       0        5        1        4        0
47779 mppenc                             	       0       24        0       24        0
47780 mpqc                               	       0        3        0        3        0
47781 mpqc-support                       	       0        1        0        1        0
47782 mpt-status                         	       0        5        2        3        0
47783 mpv-mpris                          	       0       11        2        9        0
47784 mqtt-cli                           	       0        1        0        1        0
47785 mrbayes                            	       0        5        0        5        0
47786 mrbayes-doc                        	       0        1        0        0        1
47787 mrbayes-mpi                        	       0        1        0        1        0
47788 mrboom                             	       0        5        0        5        0
47789 mrc                                	       0        1        0        1        0
47790 mrename                            	       0        9        2        7        0
47791 mriconvert                         	       0        3        0        3        0
47792 mricron                            	       0        4        0        4        0
47793 mricron-data                       	       0        4        0        0        4
47794 mrouted                            	       0        1        0        1        0
47795 mrpt-doc                           	       0        1        0        0        1
47796 mrrescue                           	       0        6        0        6        0
47797 mrtg                               	       0        7        2        5        0
47798 mrtg-contrib                       	       0        3        1        2        0
47799 mrtg-ping-probe                    	       0        1        0        1        0
47800 mrtgutils                          	       0        5        0        5        0
47801 mrtgutils-sensors                  	       0        4        0        4        0
47802 mrtrix3                            	       0        2        0        2        0
47803 mrwtoppm                           	       0        1        0        1        0
47804 mrwtoppm-data                      	       0        1        0        0        1
47805 mrwtoppm-gimp                      	       0        1        0        1        0
47806 mrwtoppm-utils                     	       0        1        0        1        0
47807 mrxvt                              	       0        7        0        7        0
47808 mrxvt-common                       	       0        7        0        0        7
47809 ms-365-electron                    	       0        1        0        0        1
47810 ms-sys                             	       0        2        0        2        0
47811 msa-manifest                       	       0        1        0        1        0
47812 msbuild                            	       0       23        0       23        0
47813 msbuild-libhostfxr                 	       0       23        0       23        0
47814 msbuild-sdkresolver                	       0       23        0       23        0
47815 mscgen                             	       0        4        0        4        0
47816 msi-keyboard                       	       0        1        0        1        0
47817 msitools                           	       0       18        0       18        0
47818 msktutil                           	       0        3        0        3        0
47819 msmtp-mta                          	       0       63        7       56        0
47820 msn-pecan                          	       0        1        0        1        0
47821 msodbcsql17                        	       0        7        0        7        0
47822 msodbcsql18                        	       0        3        0        3        0
47823 msopenjdk-11                       	       0        1        0        1        0
47824 msopenjdk-21                       	       0        1        0        1        0
47825 msort                              	       0        6        0        6        0
47826 msort-gui                          	       0        4        0        4        0
47827 msp430-libc                        	       0        2        0        2        0
47828 msp430mcu                          	       0        5        0        5        0
47829 mspdebug                           	       0        6        0        6        0
47830 msrtool                            	       0        3        0        3        0
47831 mssh                               	       0        9        0        9        0
47832 mssql-tools                        	       0        4        0        4        0
47833 mssql-tools18                      	       0        3        0        3        0
47834 mstflint                           	       0        6        0        6        0
47835 msttcorefonts                      	       0        2        0        0        2
47836 msty                               	       0        1        0        1        0
47837 mt-st                              	       0       10        0       10        0
47838 mt32emu-qt                         	       0        1        0        1        0
47839 mtail                              	       0        2        1        1        0
47840 mtasc                              	       0        1        0        1        0
47841 mtdev-tools                        	       0        1        0        1        0
47842 mtink                              	       0        8        1        7        0
47843 mtink-doc                          	       0        1        0        0        1
47844 mtkbabel                           	       0        6        1        5        0
47845 mtoolsfm                           	       0        1        0        1        0
47846 mtop                               	       0        1        0        1        0
47847 mtp-tools                          	       0      148        1      147        0
47848 mtpfs                              	       0        2        0        2        0
47849 mtpolicyd                          	       0        1        1        0        0
47850 mtr-tiny-dbgsym                    	       0        1        0        1        0
47851 mtree-netbsd                       	       0        3        0        3        0
47852 mtx                                	       0        6        0        6        0
47853 mu-cade                            	       0        1        0        1        0
47854 mu-cade-data                       	       0        1        0        0        1
47855 mu-cite                            	       0        2        0        2        0
47856 mu-editor                          	       0        1        0        1        0
47857 mu-editor-doc                      	       0        1        0        0        1
47858 mu4e                               	       0       12        0       12        0
47859 muc                                	       0        1        0        1        0
47860 mudita24                           	       0        6        0        6        0
47861 mudlet                             	       0        1        0        1        0
47862 mueller7-dict                      	       0      159        0        0      159
47863 mueller7accent-dict                	       0        2        0        0        2
47864 muffin-doc                         	       0        1        0        0        1
47865 mujs                               	       0        2        0        2        0
47866 mullvad-vpn                        	       0        3        1        2        0
47867 multex-base                        	       0        1        0        0        1
47868 multi-aterm                        	       0        1        0        1        0
47869 multiarch-support                  	       0      811        0        0      811
47870 multiboot                          	       0       16        0       16        0
47871 multiboot-doc                      	       0        4        0        0        4
47872 multicat                           	       0        4        0        4        0
47873 multicd                            	       0        1        0        1        0
47874 multiload                          	       0        1        0        1        0
47875 multiload-ng                       	       0        2        0        2        0
47876 multiload-ng-common                	       0        1        0        0        1
47877 multilogcheck                      	       0        1        0        1        0
47878 multimc                            	       0        7        0        0        7
47879 multimedia-animation               	       0        2        0        0        2
47880 multimedia-audio-plugins           	       0        4        0        0        4
47881 multimedia-audio-utilities         	       0        4        0        0        4
47882 multimedia-broadcasting            	       0        3        0        0        3
47883 multimedia-csound                  	       0        2        0        0        2
47884 multimedia-devel                   	       0        1        0        0        1
47885 multimedia-drums                   	       0        1        0        0        1
47886 multimedia-firewire                	       0        2        0        0        2
47887 multimedia-guitar                  	       0        1        0        0        1
47888 multimedia-jack                    	       0        8        0        0        8
47889 multimedia-midi                    	       0        4        0        0        4
47890 multimedia-musiciantools           	       0        2        0        0        2
47891 multimedia-photography             	       0        3        0        0        3
47892 multimedia-players                 	       0        2        0        0        2
47893 multimedia-puredata                	       0        3        0        0        3
47894 multimedia-samplers                	       0        1        0        0        1
47895 multimedia-soundsynthesis          	       0        1        0        0        1
47896 multimedia-supercollider           	       0        1        0        0        1
47897 multimedia-tasks                   	       0       28        0        0       28
47898 multimon                           	       0        8        0        8        0
47899 multimon-ng                        	       0        9        0        9        0
47900 multipath-tools                    	       0        5        1        4        0
47901 multistrap                         	       0       13        1       12        0
47902 multisync                          	       0        1        0        1        0
47903 multisync-tools                    	       0        2        0        2        0
47904 multisystem                        	       0        2        0        2        0
47905 multitee                           	       0        4        0        4        0
47906 multitime                          	       0        2        0        2        0
47907 multiwatch                         	       0        2        0        2        0
47908 mumble                             	       0       57        3       54        0
47909 mumble-server                      	       0       15        2       13        0
47910 mummer                             	       0        5        0        5        0
47911 mumps-test                         	       0        1        0        1        0
47912 mumudvb                            	       0        1        0        1        0
47913 mundus                             	       0        1        0        1        0
47914 munge                              	       0        4        0        4        0
47915 munin                              	       0       24        7       17        0
47916 munin-common                       	       0       75       18       57        0
47917 munin-doc                          	       0       21        0        0       21
47918 munin-libvirt-plugins              	       0        7        1        6        0
47919 munin-plugins-btrfs                	       0        4        0        0        4
47920 munin-plugins-c                    	       0        1        1        0        0
47921 munin-plugins-extra                	       0       69        0        0       69
47922 munin-plugins-java                 	       0        1        0        0        1
47923 munipack                           	       0        2        0        0        2
47924 munipack-cli                       	       0        2        0        2        0
47925 munipack-core                      	       0        2        0        0        2
47926 munipack-doc                       	       0        2        0        0        2
47927 munipack-gui                       	       0        2        0        2        0
47928 muon                               	       0       19        0       19        0
47929 muon-meson                         	       0        1        0        1        0
47930 mup                                	       0        1        0        1        0
47931 mupen64plus                        	       0        1        0        0        1
47932 mupen64plus-audio-all              	       0       14        0        0       14
47933 mupen64plus-audio-sdl              	       0       15        0        0       15
47934 mupen64plus-data                   	       0       15        0        0       15
47935 mupen64plus-input-all              	       0       14        0        0       14
47936 mupen64plus-input-sdl              	       0       15        0        0       15
47937 mupen64plus-qt                     	       0        8        0        8        0
47938 mupen64plus-rsp-all                	       0       14        0        0       14
47939 mupen64plus-rsp-hle                	       0       14        0        0       14
47940 mupen64plus-rsp-z64                	       0       13        0        0       13
47941 mupen64plus-ui-console             	       0       14        0       14        0
47942 mupen64plus-video-all              	       0       14        0        0       14
47943 mupen64plus-video-arachnoid        	       0       13        0        0       13
47944 mupen64plus-video-glide64          	       0       13        0        0       13
47945 mupen64plus-video-glide64mk2       	       0       14        0        0       14
47946 mupen64plus-video-rice             	       0       14        0        0       14
47947 mupen64plus-video-z64              	       0       13        0        0       13
47948 murano-agent                       	       0        1        0        1        0
47949 murano-api                         	       0        1        0        1        0
47950 murano-cfapi                       	       0        1        0        1        0
47951 murano-common                      	       0        1        0        1        0
47952 murano-engine                      	       0        1        0        1        0
47953 muroar-bin                         	       0        1        0        1        0
47954 muroard                            	       0        1        0        1        0
47955 murrine-themes                     	       0      137        0        0      137
47956 muscle                             	       0        5        0        5        0
47957 muse-hub                           	       0        4        0        4        0
47958 muse-sounds-manager                	       0        4        0        4        0
47959 musescore-common                   	       0       49        0        0       49
47960 musescore-general-soundfont        	       0       27        0        0       27
47961 musescore-general-soundfont-lossless	       0        7        0        0        7
47962 musescore-general-soundfont-small  	       0       43        0        0       43
47963 musescore-soundfont-gm             	       0       22        0        0       22
47964 musescore3-common                  	       0       30        0        1       29
47965 music-bin                          	       0        1        0        1        0
47966 music123                           	       0        8        0        8        0
47967 musikcube                          	       0        1        0        1        0
47968 musique                            	       0        1        0        1        0
47969 musixtex                           	       0        2        0        1        1
47970 muspnp                             	       0        1        0        1        0
47971 mussh                              	       0        2        0        2        0
47972 mussort                            	       0        1        0        1        0
47973 mustang                            	       0        4        0        4        0
47974 mustang-plug                       	       0        1        0        1        0
47975 mutt-vc-query                      	       0        1        0        1        0
47976 mutt-wizard                        	       0        1        1        0        0
47977 muttdown                           	       0        1        0        1        0
47978 muttprint                          	       0       15        0       15        0
47979 muttprint-manual                   	       0        6        0        0        6
47980 muttprofile                        	       0        3        0        3        0
47981 mwaw2epub                          	       0        2        0        2        0
47982 mwaw2odf                           	       0        1        0        1        0
47983 mwc                                	       0        2        0        2        0
47984 mwm                                	       0        9        1        8        0
47985 mwrap                              	       0        1        0        1        0
47986 mx-archive-keyring                 	       0        1        0        0        1
47987 mx-boot-options                    	       0        1        0        1        0
47988 mx-bootrepair                      	       0        1        0        1        0
47989 mx-comfort-themes                  	       0        1        0        1        0
47990 mx19-archive-keyring               	       0        2        0        0        2
47991 my-x200                            	       0        1        0        0        1
47992 myautomount                        	       0        6        0        6        0
47993 mycroft-mimic3-tts                 	       0        1        0        1        0
47994 mydumper                           	       0        8        0        8        0
47995 myhdl-cosimulation                 	       0        2        0        0        2
47996 mylvmbackup                        	       0        1        0        1        0
47997 mynotex                            	       0        2        0        0        2
47998 mypager                            	       0        1        0        1        0
47999 mypaint-brushes                    	       0       36        0        0       36
48000 mypaint-data                       	       0       34        0        0       34
48001 mypaint-data-extras                	       0       34        0        0       34
48002 mypy-doc                           	       0        2        0        0        2
48003 myrepos                            	       0       16        1       15        0
48004 myrescue                           	       0       40        2       38        0
48005 mysecureshell                      	       0        1        0        1        0
48006 myspell-cs                         	       0        4        0        0        4
48007 myspell-da                         	       0        5        0        0        5
48008 myspell-de-at                      	       0        2        0        0        2
48009 myspell-de-ch                      	       0        4        0        0        4
48010 myspell-de-de                      	       0        4        0        0        4
48011 myspell-de-de-1901                 	       0        6        0        6        0
48012 myspell-de-de-oldspell             	       0        2        0        0        2
48013 myspell-el-gr                      	       0        4        0        0        4
48014 myspell-en-au                      	       0        4        0        4        0
48015 myspell-en-gb                      	       0       16        0        0       16
48016 myspell-en-nz                      	       0        1        0        0        1
48017 myspell-en-us                      	       0       12        0        0       12
48018 myspell-en-za                      	       0        1        0        0        1
48019 myspell-eo                         	       0       13        0       12        1
48020 myspell-et                         	       0       10        0       10        0
48021 myspell-fa                         	       0        6        0        0        6
48022 myspell-fo                         	       0        2        0        2        0
48023 myspell-fr                         	       0        8        0        8        0
48024 myspell-fr-gut                     	       0        2        0        0        2
48025 myspell-ga                         	       0        7        0        0        7
48026 myspell-gd                         	       0        1        0        0        1
48027 myspell-gv                         	       0        2        0        0        2
48028 myspell-he                         	       0        4        0        0        4
48029 myspell-hr                         	       0        1        0        0        1
48030 myspell-hu                         	       0        1        0        0        1
48031 myspell-hy                         	       0        6        0        0        6
48032 myspell-it                         	       0        4        0        0        4
48033 myspell-lv                         	       0        2        0        0        2
48034 myspell-mi-nz                      	       0        1        0        0        1
48035 myspell-nb                         	       0       42        0        0       42
48036 myspell-nl                         	       0        2        0        0        2
48037 myspell-nn                         	       0       42        0        0       42
48038 myspell-pl                         	       0       11        0        0       11
48039 myspell-pt                         	       0        1        0        0        1
48040 myspell-pt-br                      	       0        3        0        0        3
48041 myspell-pt-pt                      	       0        2        0        0        2
48042 myspell-ru                         	       0       13        0        4        9
48043 myspell-sk                         	       0        6        0        0        6
48044 myspell-sq                         	       0        5        0        0        5
48045 myspell-tl                         	       0       19        1       18        0
48046 myspell-tools                      	       0        1        0        1        0
48047 myspell-uk                         	       0       21        0        0       21
48048 mysql                              	       0        1        0        1        0
48049 mysql-admin                        	       0        1        0        1        0
48050 mysql-apt-config                   	       0        7        0        0        7
48051 mysql-client                       	       0       36        0        0       36
48052 mysql-client-5.5                   	       0        4        0        4        0
48053 mysql-client-5.6                   	       0        1        0        1        0
48054 mysql-client-5.7                   	       0        1        0        1        0
48055 mysql-client-8.0                   	       0        3        0        3        0
48056 mysql-client-compat                	       0        1        0        0        1
48057 mysql-client-core-5.6              	       0        1        0        1        0
48058 mysql-client-core-5.7              	       0        1        0        1        0
48059 mysql-client-core-8.0              	       0        3        0        3        0
48060 mysql-common                       	       0     2337        0        0     2337
48061 mysql-community-client             	       0        3        0        3        0
48062 mysql-community-server             	       0        3        0        3        0
48063 mysql-connector-j                  	       0        2        0        0        2
48064 mysql-connector-java               	       0        1        0        0        1
48065 mysql-gui-tools-common             	       0        1        0        0        1
48066 mysql-navigator                    	       0        2        0        2        0
48067 mysql-proxy                        	       0        1        0        1        0
48068 mysql-query-browser                	       0        1        0        1        0
48069 mysql-sandbox                      	       0        2        0        2        0
48070 mysql-server                       	       0       31        0        0       31
48071 mysql-server-5.5                   	       0        3        0        3        0
48072 mysql-server-5.6                   	       0        1        0        1        0
48073 mysql-server-5.7                   	       0        1        0        1        0
48074 mysql-server-8.0                   	       0        3        0        3        0
48075 mysql-server-core-5.5              	       0        8        1        7        0
48076 mysql-server-core-5.6              	       0        2        0        2        0
48077 mysql-server-core-5.7              	       0        1        0        1        0
48078 mysql-server-core-8.0              	       0        3        0        3        0
48079 mysql-utilities                    	       0        3        0        3        0
48080 mysql-workbench                    	       0        3        0        3        0
48081 mysql-workbench-community          	       0        2        0        2        0
48082 mysql-workbench-data               	       0        5        0        0        5
48083 mysql-workbench-dbgsym             	       0        1        0        1        0
48084 mysqltcl                           	       0        1        0        0        1
48085 mysqltuner                         	       0       12        1       11        0
48086 mysqmail                           	       0        1        0        0        1
48087 mysqmail-dovecot-logger            	       0        1        0        1        0
48088 mysterium-vpn-desktop              	       0        1        0        1        0
48089 mystiq                             	       0        6        0        6        0
48090 mytetra                            	       0        1        0        1        0
48091 mytharchive                        	       0        2        0        2        0
48092 mytharchive-data                   	       0        2        0        2        0
48093 mythbrowser                        	       0        1        0        0        1
48094 mythes-bg                          	       0        4        0        0        4
48095 mythes-cs                          	       0       26        0        0       26
48096 mythes-de                          	       0      260        0        0      260
48097 mythes-de-ch                       	       0      249        0        0      249
48098 mythes-en-au                       	       0        4        0        0        4
48099 mythes-en-us                       	       0     2442        0        0     2442
48100 mythes-es                          	       0       29        0        0       29
48101 mythes-fr                          	       0       92        0        0       92
48102 mythes-gug                         	       0        1        0        0        1
48103 mythes-hu                          	       0        1        0        0        1
48104 mythes-it                          	       0       84        0        0       84
48105 mythes-ne                          	       0        2        0        0        2
48106 mythes-pl                          	       0       45        0        0       45
48107 mythes-pt-br                       	       0        1        0        0        1
48108 mythes-pt-pt                       	       0        2        0        0        2
48109 mythes-ro                          	       0        7        0        0        7
48110 mythes-ru                          	       0      137        0        0      137
48111 mythes-sk                          	       0        4        0        0        4
48112 mythes-sv                          	       0        1        0        0        1
48113 mythes-uk                          	       0        7        0        0        7
48114 mythffmpeg                         	       0        4        0        4        0
48115 mythgame                           	       0        1        0        0        1
48116 mythmusic                          	       0        1        0        0        1
48117 mythnetvision-data                 	       0        1        0        0        1
48118 mythnews                           	       0        1        0        0        1
48119 mythplugins                        	       0        1        0        0        1
48120 mythtv                             	       0        1        0        0        1
48121 mythtv-backend                     	       0        5        1        4        0
48122 mythtv-common                      	       0        6        1        3        2
48123 mythtv-database                    	       0        5        0        0        5
48124 mythtv-doc                         	       0        6        0        0        6
48125 mythtv-frontend                    	       0        3        0        3        0
48126 mythtv-transcode                   	       0        5        1        4        0
48127 mythweather                        	       0        1        0        1        0
48128 mythweb                            	       0        2        1        1        0
48129 mythzoneminder                     	       0        1        0        1        0
48130 mytop                              	       0        4        0        4        0
48131 mz                                 	       0        1        0        1        0
48132 mzclient                           	       0        2        0        2        0
48133 n2n                                	       0        2        0        2        0
48134 naev                               	       0        4        0        4        0
48135 naev-data                          	       0        5        0        0        5
48136 nagi                               	       0        1        0        1        0
48137 nagios-check-xmppng                	       0        1        0        1        0
48138 nagios-images                      	       0       10        0        0       10
48139 nagios-nrpe-plugin                 	       0       12        3        9        0
48140 nagios-nrpe-plugins-2pir           	       0        1        0        1        0
48141 nagios-nrpe-server                 	       0       37        8       29        0
48142 nagios-plugin-check-multi          	       0        1        0        1        0
48143 nagios-plugin-check-scsi-smart     	       0        1        0        1        0
48144 nagios-plugins                     	       0        7        0        0        7
48145 nagios-plugins-basic               	       0       11        0        0       11
48146 nagios-plugins-common              	       0        4        0        0        4
48147 nagios-plugins-contrib             	       0       14        2        3        9
48148 nagios-plugins-standard            	       0        4        0        0        4
48149 nagios-snmp-plugins                	       0        4        0        4        0
48150 nagios3-cgi                        	       0        3        1        2        0
48151 nagios3-common                     	       0        3        0        0        3
48152 nagios3-doc                        	       0        2        0        0        2
48153 nagios4                            	       0        2        0        0        2
48154 nagios4-cgi                        	       0        2        1        1        0
48155 nagios4-common                     	       0        2        0        2        0
48156 nagios4-core                       	       0        2        2        0        0
48157 nagstamon                          	       0        4        0        4        0
48158 nagvis                             	       0        1        0        1        0
48159 nailgun                            	       0        2        0        2        0
48160 nala-legacy                        	       0        1        0        1        0
48161 nam                                	       0        1        0        1        0
48162 nama                               	       0        2        0        2        0
48163 namazu2                            	       0        2        0        2        0
48164 namazu2-common                     	       0        2        0        0        2
48165 namazu2-index-tools                	       0        2        0        2        0
48166 namebench                          	       0        1        0        1        0
48167 nano-build-deps                    	       0        1        0        0        1
48168 nano-tiny                          	       0        1        0        1        0
48169 nanoedgeaistudio                   	       0        1        0        1        0
48170 nanook                             	       0        1        0        1        0
48171 nanook-examples                    	       0        1        0        0        1
48172 nanopb                             	       0        1        0        1        0
48173 nanopb-build-deps                  	       0        1        0        0        1
48174 nanovna-saver                      	       0        1        0        1        0
48175 naps2                              	       0        5        0        5        0
48176 nas                                	       0        5        0        5        0
48177 nas-bin                            	       0        3        0        3        0
48178 nas-doc                            	       0        1        0        0        1
48179 nasm-mozilla                       	       0        1        0        1        0
48180 naspro-bridges                     	       0        4        0        1        3
48181 nast-ier                           	       0        1        0        1        0
48182 nastran                            	       0        3        0        3        0
48183 nasty                              	       0       25        0       25        0
48184 nat-rtsp-dkms                      	       0        1        0        1        0
48185 nat-traverse                       	       0        1        0        1        0
48186 native-architecture                	       0       65        0        0       65
48187 native-architecture-is             	       0        1        0        0        1
48188 nativecam                          	       0        1        0        1        0
48189 natlog                             	       0        2        1        1        0
48190 natpmp-utils                       	       0        2        0        0        2
48191 natron                             	       0        1        0        1        0
48192 natspec-bin                        	       0        1        0        1        0
48193 naturaldocs                        	       0        1        0        1        0
48194 nautic                             	       0        2        0        2        0
48195 nautilus-admin                     	       0        5        0        0        5
48196 nautilus-dropbox                   	       0        7        0        7        0
48197 nautilus-extension-brasero         	       0       79        0        3       76
48198 nautilus-extension-burner          	       0        1        0        0        1
48199 nautilus-extension-fma             	       0        1        0        0        1
48200 nautilus-hide                      	       0        3        0        0        3
48201 nautilus-image-converter           	       0       12        0        0       12
48202 nautilus-kdeconnect                	       0        3        0        0        3
48203 nautilus-megasync                  	       0        1        0        0        1
48204 nautilus-nextcloud                 	       0        4        0        0        4
48205 nautilus-open-terminal             	       0        1        0        1        0
48206 nautilus-scripts-manager           	       0        3        0        3        0
48207 nautilus-sendto                    	       0       31        0       31        0
48208 nautilus-share                     	       0        6        0        0        6
48209 nauty                              	       0       12        1       11        0
48210 navit-data                         	       0       13        0        0       13
48211 navit-graphics-qt-qpainter         	       0        1        0        1        0
48212 nbc                                	       0        2        1        1        0
48213 nbd-client                         	       0       11        0       11        0
48214 nbd-server                         	       0       13        1       12        0
48215 nbdfuse                            	       0        1        0        1        0
48216 nbdkit                             	       0        4        0        4        0
48217 nbibtex                            	       0        1        0        1        0
48218 nbibtex-doc                        	       0        1        0        0        1
48219 nbsdgames                          	       0        6        0        6        0
48220 nbteditor                          	       0        1        0        1        0
48221 nbtscan                            	       0       31        0       31        0
48222 ncaptool                           	       0        5        0        5        0
48223 ncbi-blast+                        	       0        9        0        9        0
48224 ncbi-blast+-legacy                 	       0        6        0        6        0
48225 ncbi-cn3d                          	       0        1        0        1        0
48226 ncbi-data                          	       0       10        0       10        0
48227 ncbi-entrez-direct                 	       0        1        0        1        0
48228 ncbi-epcr                          	       0        1        0        1        0
48229 ncbi-seg                           	       0        1        0        1        0
48230 ncbi-tools-bin                     	       0        2        0        2        0
48231 ncbi-tools-x11                     	       0        1        0        1        0
48232 ncbi-vdb-data                      	       0        6        0        6        0
48233 ncc                                	       0        1        0        1        0
48234 ncdc                               	       0        1        0        1        0
48235 ncdt                               	       0        6        0        6        0
48236 ncf                                	       0        1        0        1        0
48237 ncmpc-lyrics                       	       0        3        0        0        3
48238 nco                                	       0        4        0        4        0
48239 ncoils                             	       0        3        0        3        0
48240 ncpa                               	       0        1        0        1        0
48241 ncrack                             	       0       30        0       30        0
48242 nct6687d-dkms                      	       0        1        0        0        1
48243 ncurses-build-deps                 	       0        1        0        0        1
48244 ncurses-doc                        	       0       54        0        0       54
48245 ncurses-examples                   	       0       14        0        5        9
48246 ncurses-hexedit                    	       0       21        0       21        0
48247 ncurses-term                       	       0     4029        0        0     4029
48248 ncview                             	       0        6        0        6        0
48249 ndctl                              	       0        1        0        1        0
48250 ndisc6                             	       0       39        3       36        0
48251 ndiswrapper                        	       0        3        0        3        0
48252 ndiswrapper-dkms                   	       0        3        0        3        0
48253 ndiswrapper-utils-1.9              	       0        2        0        0        2
48254 ndpi                               	       0        1        1        0        0
48255 ndppd                              	       0        4        0        4        0
48256 ne                                 	       0       12        0       12        0
48257 ne-doc                             	       0       11        0        0       11
48258 neard                              	       0        1        0        1        0
48259 neat                               	       0        2        0        2        0
48260 nebula                             	       0        2        0        2        0
48261 nec                                	       0        1        0        1        0
48262 nec2c                              	       0        6        0        6        0
48263 needrestart-axis                   	       0        1        0        0        1
48264 needrestart-session                	       0       14        2       12        0
48265 neko                               	       0        5        0        5        0
48266 nekobee                            	       0        4        0        4        0
48267 nekoray                            	       0        4        0        4        0
48268 nemiver                            	       0        7        0        7        0
48269 nemo-data                          	       0      302        0        0      302
48270 nemo-extension-fma                 	       0        1        0        0        1
48271 nemo-font-manager                  	       0        4        0        0        4
48272 nemo-gtkhash                       	       0        4        0        0        4
48273 nemo-nextcloud                     	       0        1        0        0        1
48274 nemo-python                        	       0        8        0        2        6
48275 neo4j                              	       0        2        0        2        0
48276 neochat                            	       0        6        0        6        0
48277 neopi                              	       0        1        0        1        0
48278 neovim-qt                          	       0       15        1       14        0
48279 neovim-runtime                     	       0      132        0        2      130
48280 neowofetch                         	       0        5        0        5        0
48281 nepomuk-core-data                  	       0       14        0        0       14
48282 nerd-fonts-fira-code               	       0        1        1        0        0
48283 nerd-fonts-jetbrains-mono          	       0        1        0        0        1
48284 nescc                              	       0        2        0        2        0
48285 nessus                             	       0        1        0        1        0
48286 nessusagent                        	       0       10        0       10        0
48287 nestopia                           	       0       17        0       17        0
48288 net-acct                           	       0        1        0        1        0
48289 net-diag-tools                     	       0        1        0        1        0
48290 net-tools-dbgsym                   	       0        1        0        1        0
48291 net.downloadhelper.coapp           	       0      104        0       56       48
48292 net.downloadhelper.coapp.noffmpeg  	       0        1        0        0        1
48293 netaid-monitor                     	       0        1        0        1        0
48294 netapp-oncommand-sysmgr            	       0        1        0        0        1
48295 netapplet                          	       0        1        0        1        0
48296 netatalk                           	       0        8        1        7        0
48297 netback                            	       0        1        0        1        0
48298 netbase                            	       0     4152        0        0     4152
48299 netbird                            	       0        2        0        2        0
48300 netcat                             	       0       82        0        0       82
48301 netcat6                            	       0        9        0        9        0
48302 netcdf-doc                         	       0        8        0        0        8
48303 netcf                              	       0        1        0        1        0
48304 netdata                            	       0        4        0        0        4
48305 netdata-core                       	       0        4        1        3        0
48306 netdata-plugins-bash               	       0        4        1        3        0
48307 netdata-plugins-python             	       0        4        0        4        0
48308 netdata-web                        	       0        4        0        0        4
48309 netgen-headers                     	       0        1        0        1        0
48310 netgen-lvs                         	       0        5        0        5        0
48311 nethack-lisp                       	       0        1        0        1        0
48312 nethack-qt                         	       0        4        0        4        0
48313 nethack-spoilers                   	       0        7        0        0        7
48314 nethack-x11                        	       0       15        0       15        0
48315 nether                             	       0        1        0        1        0
48316 nethogs                            	       0      102        2      100        0
48317 netkit-ping                        	       0        1        0        0        1
48318 netlogger                          	       0        1        0        1        0
48319 netmask                            	       0        7        0        7        0
48320 netmate                            	       0        2        0        2        0
48321 netmaze                            	       0        1        0        1        0
48322 netpanzer                          	       0        3        0        3        0
48323 netpanzer-data                     	       0        3        0        0        3
48324 netperf                            	       0       10        1        9        0
48325 netperfmeter                       	       0        2        0        2        0
48326 netperfmeter-plotting              	       0        2        0        2        0
48327 netpipe-openmpi                    	       0        1        0        1        0
48328 netpipe-tcp                        	       0        1        0        1        0
48329 netpipes                           	       0        1        0        1        0
48330 netplan                            	       0        4        1        3        0
48331 netplug                            	       0        4        0        4        0
48332 netproc                            	       0        1        0        1        0
48333 netrek-client-cow                  	       0        2        0        2        0
48334 netrik                             	       0        4        0        4        0
48335 netris                             	       0       17        0       17        0
48336 netrw                              	       0        4        0        4        0
48337 netscript-ipfilter                 	       0        2        0        2        0
48338 netsed                             	       0        3        0        3        0
48339 netsend                            	       0        3        0        3        0
48340 netsniff-ng                        	       0       11        0       11        0
48341 netspeed                           	       0        1        0        0        1
48342 netstandard-targeting-pack-2.1     	       0       29        0        0       29
48343 netstat-nat                        	       0       19        0       19        0
48344 netstress                          	       0        3        0        3        0
48345 netsurf                            	       0        3        0        0        3
48346 netsurf-common                     	       0       56        0        0       56
48347 netsurf-fb                         	       0       10        0       10        0
48348 nettoe                             	       0       13        0       13        0
48349 netwag                             	       0        6        0        6        0
48350 netwag-doc                         	       0        2        0        0        2
48351 network-manager-dev                	       0       33        0        1       32
48352 network-manager-tde                	       0        6        1        5        0
48353 networkd-dispatcher                	       0        2        0        2        0
48354 netwox                             	       0        9        0        9        0
48355 netwox-doc                         	       0        7        0        0        7
48356 neurodebian                        	       0        1        0        1        0
48357 neurodebian-archive-keyring        	       0       10        0        0       10
48358 neurodebian-popularity-contest     	       0        2        0        0        2
48359 neutron-common                     	       0        1        0        1        0
48360 neutron-dhcp-agent                 	       0        1        0        1        0
48361 neutron-l3-agent                   	       0        1        0        1        0
48362 neutron-metadata-agent             	       0        1        0        1        0
48363 neutron-openvswitch-agent          	       0        1        0        1        0
48364 neutron-plugin-openvswitch-agent   	       0        1        0        0        1
48365 neutron-server                     	       0        1        0        1        0
48366 neutron-vpnaas-common              	       0        1        0        0        1
48367 neverball-common                   	       0       28        0        0       28
48368 neverball-data                     	       0       27        0        0       27
48369 neverputt-data                     	       0       17        0        0       17
48370 new-session-manager                	       0        2        0        2        0
48371 newbiedoc                          	       0        2        0        2        0
48372 newlib-source                      	       0        1        0        0        1
48373 newlisp                            	       0        9        0        9        0
48374 newmoon                            	       0        1        0        1        0
48375 newrelic-daemon                    	       0        1        0        1        0
48376 newrelic-php5                      	       0        1        0        1        0
48377 newrelic-php5-common               	       0        1        0        1        0
48378 newrelic-sysmond                   	       0        1        0        1        0
48379 newsbeuter                         	       0        7        0        7        0
48380 newsx                              	       0        1        0        1        0
48381 nextcloud-client                   	       0        1        0        0        1
48382 nextcloud-desktop                  	       0       86       12       74        0
48383 nextcloud-desktop-cmd              	       0       22        1       21        0
48384 nextcloud-desktop-common           	       0       96        0        0       96
48385 nextcloud-desktop-doc              	       0       84        0        0       84
48386 nextcloud-desktop-l10n             	       0       96       12       39       45
48387 nextcloud-files                    	       0        1        0        1        0
48388 nextcloud-server                   	       0        1        0        1        0
48389 nextpnr-ecp5                       	       0        1        0        1        0
48390 nextpnr-ecp5-chipdb                	       0        1        0        0        1
48391 nextpnr-gowin                      	       0        1        0        1        0
48392 nextpnr-gowin-chipdb               	       0        2        0        0        2
48393 nextpnr-gowin-qt                   	       0        1        0        1        0
48394 nextpnr-ice40-chipdb               	       0        1        0        0        1
48395 nextpnr-ice40-qt                   	       0        1        0        1        0
48396 nexuiz                             	       0       15        0       15        0
48397 nexuiz-data                        	       0       15        0        0       15
48398 nexuiz-music                       	       0       15        0        0       15
48399 nexuiz-textures                    	       0       15        0        0       15
48400 nfdump                             	       0        1        0        1        0
48401 nfoview                            	       0        8        0        8        0
48402 nfstrace-doc                       	       0       12        0        0       12
48403 nftlb                              	       0        1        0        1        0
48404 ng-common                          	       0        1        0        1        0
48405 ng-latin                           	       0        1        0        1        0
48406 ng-utils                           	       0        9        2        7        0
48407 ngetty                             	       0        3        0        3        0
48408 nghttp2-client                     	       0        1        0        1        0
48409 nginx-confgen                      	       0        3        0        3        0
48410 nginx-core                         	       0       29        8       16        5
48411 nginx-doc                          	       0        7        0        0        7
48412 nginx-extras                       	       0        6        0        3        3
48413 nginx-full                         	       0       50        2       16       32
48414 nginx-light                        	       0       25        1        9       15
48415 nginx-module-perl                  	       0        1        0        1        0
48416 ngircd                             	       0        1        1        0        0
48417 ngraph-gtk                         	       0        3        0        3        0
48418 ngraph-gtk-addin-import-ps         	       0        1        0        0        1
48419 ngraph-gtk-addin-tex-equation      	       0        1        0        0        1
48420 ngraph-gtk-addins                  	       0        3        0        0        3
48421 ngraph-gtk-addins-base             	       0        3        0        0        3
48422 ngraph-gtk-doc                     	       0        3        0        0        3
48423 ngrok                              	       0        1        0        1        0
48424 ngspice                            	       0       41        0       41        0
48425 ngspice-dev                        	       0        8        0        0        8
48426 ngspice-doc                        	       0       14        0        0       14
48427 nhos-flash-tool                    	       0        1        0        0        1
48428 ni-avahi-client                    	       0        1        0        0        1
48429 ni-ceip                            	       0        1        0        0        1
48430 ni-euladepot                       	       0        1        0        0        1
48431 ni-help-launcher                   	       0        1        0        0        1
48432 ni-labview-2023-core               	       0        1        0        1        0
48433 ni-labview-2023-help               	       0        1        0        0        1
48434 ni-labview-2023-jammy-community    	       0        1        0        0        1
48435 ni-networkdiscoverysvc             	       0        1        0        0        1
48436 ni-python-interface                	       0        1        0        1        0
48437 ni-service-locator                 	       0        1        0        1        0
48438 ni-software-action-services        	       0        1        0        0        1
48439 ni-ssl-bin                         	       0        1        0        0        1
48440 ni-sysapi                          	       0        1        0        1        0
48441 ni-syscfg-runtime                  	       0        1        0        0        1
48442 ni-targetcfg                       	       0        1        0        0        1
48443 ni-tdms-bin                        	       0        1        0        0        1
48444 ni-wine                            	       0        1        0        0        1
48445 ni-wine-dotnet-runtime-60          	       0        1        0        0        1
48446 ni-wine-platform-support           	       0        1        0        0        1
48447 niceshaper                         	       0        1        0        1        0
48448 nickle                             	       0        7        0        7        0
48449 nicstat                            	       0        7        0        7        0
48450 nictools-nopci                     	       0        1        0        1        0
48451 nictools-pci                       	       0       10        0       10        0
48452 nicurli                            	       0        1        0        0        1
48453 nifti-bin                          	       0        3        0        3        0
48454 nifti2dicom-data                   	       0        1        0        0        1
48455 nighthawk                          	       0        1        0        1        0
48456 nijsonmapi                         	       0        1        0        0        1
48457 nik4                               	       0        3        1        2        0
48458 nikto                              	       0        5        0        5        0
48459 nikwi                              	       0        2        0        2        0
48460 nikwi-data                         	       0        2        0        0        2
48461 nim                                	       0        4        0        4        0
48462 nim-doc                            	       0        2        0        0        2
48463 nimbus-beacon-node                 	       0        1        0        1        0
48464 nimbus-validator-client            	       0        1        0        1        0
48465 ninix-aya                          	       0        3        0        3        0
48466 nip2                               	       0        8        0        8        0
48467 nisslcerts                         	       0        1        0        0        1
48468 nissli                             	       0        1        0        0        1
48469 nitdmsi                            	       0        1        0        0        1
48470 nitrocli                           	       0        2        0        2        0
48471 nitrokey-authenticator             	       0        1        0        1        0
48472 nix-bin                            	       0        3        0        3        0
48473 nix-setup-systemd                  	       0        3        0        3        0
48474 nixieclock                         	       0        1        0        1        0
48475 nixnote2                           	       0        3        0        3        0
48476 njam                               	       0        5        0        5        0
48477 njam-data                          	       0        5        0        0        5
48478 njplot                             	       0        1        0        1        0
48479 njs                                	       0        1        0        1        0
48480 nlkt                               	       0        6        0        6        0
48481 nload                              	       0       66        0       66        0
48482 nlohmann-json-dev                  	       0        1        0        1        0
48483 nlohmann-json3-dev                 	       0       20        0        1       19
48484 nm-tray                            	       0       37        6       31        0
48485 nm-tray-l10n                       	       0       35        1        7       27
48486 nmap-common                        	       0      896        0        0      896
48487 nmapfe                             	       0        1        0        1        0
48488 nmapsi4                            	       0       34        1       33        0
48489 nmrpflash                          	       0        1        0        1        0
48490 nmux                               	       0        1        0        1        0
48491 nn                                 	       0        6        0        6        0
48492 nncp                               	       0        2        0        2        0
48493 nnedi3-weights.bin                 	       0        1        0        0        1
48494 nntp                               	       0        1        0        1        0
48495 noaa-apt                           	       0        1        0        1        0
48496 noblenote                          	       0        5        0        5        0
48497 nocache                            	       0       25        2       23        0
48498 node-abab                          	       0        8        0        0        8
48499 node-abbrev                        	       0      217        1        5      211
48500 node-abstract-leveldown            	       0        2        0        0        2
48501 node-accepts                       	       0        4        0        0        4
48502 node-agent-base                    	       0      193        0        0      193
48503 node-ajv-keywords                  	       0      169        0        0      169
48504 node-ampproject-remapping          	       0      180        0        0      180
48505 node-ansi                          	       0       40        1        5       34
48506 node-ansi-align                    	       0       13        1        5        7
48507 node-ansi-color-table              	       0        2        0        0        2
48508 node-ansi-colors                   	       0       30        0        0       30
48509 node-ansi-escapes                  	       0      181        0        0      181
48510 node-ansi-regex                    	       0      217        1        5      211
48511 node-ansi-styles                   	       0      217        1        5      211
48512 node-ansistyles                    	       0       38        1        5       32
48513 node-anymatch                      	       0      185        0        0      185
48514 node-aproba                        	       0      199        1        5      193
48515 node-archy                         	       0      211        1        5      205
48516 node-are-we-there-yet              	       0      199        0        0      199
48517 node-arg                           	       0        2        0        0        2
48518 node-argparse                      	       0      185        0        0      185
48519 node-arr-diff                      	       0        1        0        0        1
48520 node-arr-flatten                   	       0        1        0        0        1
48521 node-arr-union                     	       0        1        0        0        1
48522 node-array-find-index              	       0       26        0        0       26
48523 node-array-flatten                 	       0        4        0        0        4
48524 node-array-from                    	       0        3        0        0        3
48525 node-array-union                   	       0        1        0        0        1
48526 node-array-uniq                    	       0        1        0        0        1
48527 node-arrify                        	       0      184        0        0      184
48528 node-asap                          	       0       56        0        0       56
48529 node-asn1                          	       0       66        2       64        0
48530 node-assert                        	       0      180        0        0      180
48531 node-assert-plus                   	       0       69        1        5       63
48532 node-assertion-error               	       0        2        0        0        2
48533 node-async                         	       0      183        0        1      182
48534 node-async-each                    	       0      182        0        0      182
48535 node-asynckit                      	       0       73        1        5       67
48536 node-auto-bind                     	       0      154        0        0      154
48537 node-aws-sign2                     	       0       65        1        6       58
48538 node-aws4                          	       0       65        1        6       58
48539 node-axios                         	       0        2        0        2        0
48540 node-babel-plugin-add-module-exports	       0      180        0        0      180
48541 node-babel-plugin-lodash           	       0      170        0        0      170
48542 node-babel-plugin-polyfill-corejs2 	       0      180        0        0      180
48543 node-babel-plugin-polyfill-corejs3 	       0      180        0        0      180
48544 node-babel-plugin-polyfill-es-shims	       0        1        0        0        1
48545 node-babel-plugin-polyfill-regenerator	       0      180        0        0      180
48546 node-babel7-debug                  	       0        1        0        1        0
48547 node-babel7-runtime                	       0      182        0        0      182
48548 node-balanced-match                	       0      238        1        6      231
48549 node-base                          	       0      153        0        0      153
48550 node-base64-js                     	       0      162        0        0      162
48551 node-bcrypt-pbkdf                  	       0       66        1        5       60
48552 node-beeper                        	       0        1        0        0        1
48553 node-big.js                        	       0       27        0        1       26
48554 node-bignumber                     	       0        4        0        1        3
48555 node-binary-extensions             	       0      182        0        0      182
48556 node-bindings                      	       0        5        0        0        5
48557 node-bl                            	       0       29        0        0       29
48558 node-block-stream                  	       0        3        0        0        3
48559 node-bluebird                      	       0       12        1        5        6
48560 node-blueimp-md5                   	       0        3        0        0        3
48561 node-body-parser                   	       0        3        0        3        0
48562 node-boolbase                      	       0        1        0        0        1
48563 node-bootstrap-sass                	       0       37        0        0       37
48564 node-boxen                         	       0       12        1        5        6
48565 node-brace-expansion               	       0      238        1        6      231
48566 node-braces                        	       0      185        0        0      185
48567 node-browser-stdout                	       0       30        0        0       30
48568 node-buffer-crc32                  	       0        2        0        0        2
48569 node-builtin-modules               	       0       40        1        5       34
48570 node-builtins                      	       0      193        1        5      187
48571 node-bytes                         	       0       30        0        0       30
48572 node-cache-base                    	       0      153        0        0      153
48573 node-call-limit                    	       0       12        1        5        6
48574 node-camelcase                     	       0      191        1        5      185
48575 node-caniuse-lite                  	       0      182        0        0      182
48576 node-carto                         	       0        1        0        1        0
48577 node-caseless                      	       0       65        1        6       58
48578 node-cbor                          	       0        3        1        2        0
48579 node-chalk                         	       0      213        1        5      207
48580 node-change-case                   	       0        1        0        0        1
48581 node-check-error                   	       0        2        0        0        2
48582 node-cheerio                       	       0        1        0        1        0
48583 node-chokidar                      	       0      182        0        0      182
48584 node-chownr                        	       0      212        1        5      206
48585 node-chroma-js                     	       0        1        0        0        1
48586 node-chrome-trace-event            	       0      169        0        0      169
48587 node-ci-info                       	       0      182        0        0      182
48588 node-cjs-module-lexer              	       0      296        0        0      296
48589 node-clarinet                      	       0        2        0        0        2
48590 node-clean-css                     	       0        8        0        8        0
48591 node-clean-yaml-object             	       0        2        0        0        2
48592 node-cli-boxes                     	       0      162        1        5      156
48593 node-cli-cursor                    	       0      171        0        0      171
48594 node-cli-spinners                  	       0       27        0        0       27
48595 node-cli-table                     	       0      179        0        0      179
48596 node-cli-truncate                  	       0      154        0        0      154
48597 node-cli-width                     	       0       29        0        0       29
48598 node-clipanion                     	       0        1        0        1        0
48599 node-clipboard                     	       0     1961        0        0     1961
48600 node-cliui                         	       0      189        1        5      183
48601 node-clone                         	       0      217        1        5      211
48602 node-clone-buffer                  	       0        1        0        0        1
48603 node-clone-deep                    	       0      180        0        0      180
48604 node-clone-stats                   	       0        1        0        0        1
48605 node-cloneable-readable            	       0        1        0        0        1
48606 node-co                            	       0       17        1        6       10
48607 node-collection-visit              	       0      153        0        0      153
48608 node-color                         	       0        1        0        0        1
48609 node-color-convert                 	       0      217        1        5      211
48610 node-color-name                    	       0      217        1        5      211
48611 node-color-string                  	       0        1        0        0        1
48612 node-colorspace                    	       0        1        0        0        1
48613 node-columnify                     	       0      186        0        0      186
48614 node-combined-stream               	       0       73        1        5       67
48615 node-commander                     	       0      193        0        1      192
48616 node-commondir                     	       0      180        0        0      180
48617 node-component-emitter             	       0        1        0        0        1
48618 node-concat-map                    	       0       38        1        6       31
48619 node-concat-stream                 	       0      178        1        5      172
48620 node-concat-with-sourcemaps        	       0        1        0        0        1
48621 node-concordance                   	       0        3        0        3        0
48622 node-config-chain                  	       0       16        1        5       10
48623 node-configurable-http-proxy       	       0        1        0        1        0
48624 node-console-control-strings       	       0      199        0        0      199
48625 node-content-disposition           	       0        4        0        0        4
48626 node-content-type                  	       0        4        0        0        4
48627 node-convert-source-map            	       0      181        0        0      181
48628 node-cookie                        	       0        4        0        0        4
48629 node-cookie-jar                    	       0        4        0        1        3
48630 node-cookie-signature              	       0        4        0        0        4
48631 node-cookies                       	       0        2        0        0        2
48632 node-copy-concurrently             	       0      192        1        5      186
48633 node-core-js                       	       0      181        0        0      181
48634 node-core-js-compat                	       0      180        0        0      180
48635 node-core-js-pure                  	       0      180        0        0      180
48636 node-core-util-is                  	       0      218        0        0      218
48637 node-cosmiconfig                   	       0        3        0        0        3
48638 node-crc                           	       0        2        0        1        1
48639 node-create-require                	       0        2        0        0        2
48640 node-cross-spawn                   	       0       11        1       10        0
48641 node-cross-spawn-async             	       0        1        0        0        1
48642 node-css                           	       0        4        0        4        0
48643 node-css-loader                    	       0      162        0        0      162
48644 node-css-select                    	       0        1        0        1        0
48645 node-css-what                      	       0        1        0        1        0
48646 node-cssom                         	       0        8        0        0        8
48647 node-cssstyle                      	       0        8        0        8        0
48648 node-csstype                       	       0        1        0        0        1
48649 node-currently-unhandled           	       0       26        0        0       26
48650 node-cycle                         	       0        1        0        0        1
48651 node-cyclist                       	       0       12        1        5        6
48652 node-d                             	       0        3        0        0        3
48653 node-d3                            	       0        3        0        0        3
48654 node-d3-array                      	       0        3        0        0        3
48655 node-d3-axis                       	       0        3        0        0        3
48656 node-d3-brush                      	       0        3        0        0        3
48657 node-d3-chord                      	       0        3        0        0        3
48658 node-d3-collection                 	       0        3        0        0        3
48659 node-d3-color                      	       0        3        0        0        3
48660 node-d3-contour                    	       0        3        0        0        3
48661 node-d3-dispatch                   	       0        3        0        0        3
48662 node-d3-drag                       	       0        3        0        0        3
48663 node-d3-dsv                        	       0        4        0        0        4
48664 node-d3-ease                       	       0        3        0        0        3
48665 node-d3-fetch                      	       0        3        0        0        3
48666 node-d3-force                      	       0        3        0        0        3
48667 node-d3-format                     	       0        3        0        0        3
48668 node-d3-geo                        	       0        3        0        0        3
48669 node-d3-hierarchy                  	       0        3        0        0        3
48670 node-d3-interpolate                	       0        3        0        0        3
48671 node-d3-path                       	       0        3        0        0        3
48672 node-d3-polygon                    	       0        3        0        0        3
48673 node-d3-quadtree                   	       0        3        0        0        3
48674 node-d3-queue                      	       0        8        0        0        8
48675 node-d3-random                     	       0        3        0        0        3
48676 node-d3-scale                      	       0        3        0        0        3
48677 node-d3-scale-chromatic            	       0        3        0        0        3
48678 node-d3-selection                  	       0        3        0        0        3
48679 node-d3-shape                      	       0        3        0        0        3
48680 node-d3-time                       	       0        3        0        0        3
48681 node-d3-time-format                	       0        3        0        0        3
48682 node-d3-timer                      	       0        3        0        0        3
48683 node-d3-transition                 	       0        3        0        0        3
48684 node-d3-voronoi                    	       0        3        0        0        3
48685 node-d3-zoom                       	       0        3        0        0        3
48686 node-dabh-diagnostics              	       0        1        0        0        1
48687 node-daemon                        	       0        1        0        0        1
48688 node-dagre-d3-renderer             	       0        1        0        1        0
48689 node-dagre-layout                  	       0        1        0        1        0
48690 node-dashdash                      	       0       66        1        5       60
48691 node-data-uri-to-buffer            	       0      166        0        0      166
48692 node-date-time                     	       0        3        0        0        3
48693 node-dateformat                    	       0        3        0        0        3
48694 node-death                         	       0       26        0        0       26
48695 node-debbundle-acorn               	       0        5        0        3        2
48696 node-debbundle-es-to-primitive     	       0      181        0        0      181
48697 node-debug                         	       0      211        0        0      211
48698 node-debug-fabulous                	       0        1        0        0        1
48699 node-decamelize                    	       0      189        1        5      183
48700 node-decompress-response           	       0      171        1        5      165
48701 node-deep-eql                      	       0        2        0        0        2
48702 node-deep-equal                    	       0      182        0        0      182
48703 node-deep-extend                   	       0       13        1        5        7
48704 node-deep-is                       	       0      181        0        0      181
48705 node-deepmerge                     	       0        3        0        0        3
48706 node-defaults                      	       0      217        1        5      211
48707 node-define-properties             	       0      181        0        0      181
48708 node-define-property               	       0      156        0        0      156
48709 node-defined                       	       0      180        0        0      180
48710 node-del                           	       0      180        0        0      180
48711 node-delayed-stream                	       0       73        1        5       67
48712 node-delegates                     	       0      199        1        5      193
48713 node-detect-file                   	       0        3        0        0        3
48714 node-detect-indent                 	       0       38        1        5       32
48715 node-detect-newline                	       0       16        1        5       10
48716 node-diacritics                    	       0        2        0        0        2
48717 node-doctrine                      	       0      170        0        0      170
48718 node-dom-serializer                	       0        1        0        0        1
48719 node-domelementtype                	       0        7        0        7        0
48720 node-domhandler                    	       0        7        0        7        0
48721 node-dompurify                     	       0        5        0        0        5
48722 node-domutils                      	       0        1        0        1        0
48723 node-duplexer                      	       0        2        0        1        1
48724 node-duplexer3                     	       0       13        1        6        6
48725 node-duplexify                     	       0       39        1        6       32
48726 node-ecc-jsbn                      	       0       66        1        5       60
48727 node-editor                        	       0       12        1        5        6
48728 node-electron-to-chromium          	       0      183        0        0      183
48729 node-emittery                      	       0        4        0        0        4
48730 node-emoji                         	       0       27        0        0       27
48731 node-emojis-list                   	       0       27        0        0       27
48732 node-enabled                       	       0        1        0        0        1
48733 node-encodeurl                     	       0        4        0        0        4
48734 node-encoding                      	       0      211        1        5      205
48735 node-end-of-stream                 	       0      172        0        0      172
48736 node-enquirer                      	       0        1        0        1        0
48737 node-entities                      	       0        8        0        8        0
48738 node-envinfo                       	       0       21        0        0       21
48739 node-err-code                      	       0      186        0        0      186
48740 node-errno                         	       0      178        1        5      172
48741 node-error-ex                      	       0      180        0        0      180
48742 node-es-abstract                   	       0      181        0        0      181
48743 node-es-module-lexer               	       0      169        0        0      169
48744 node-es5-ext                       	       0        3        0        0        3
48745 node-es6-error                     	       0      180        0        0      180
48746 node-es6-iterator                  	       0        3        0        0        3
48747 node-es6-symbol                    	       0        3        0        3        0
48748 node-escape-html                   	       0        4        0        2        2
48749 node-escape-string-regexp          	       0      213        0        0      213
48750 node-eslint-plugin-flowtype        	       0        1        0        1        0
48751 node-eslint-scope                  	       0      170        0        0      170
48752 node-eslint-utils                  	       0      170        0        0      170
48753 node-eslint-visitor-keys           	       0      170        0        0      170
48754 node-espree                        	       0      170        0        0      170
48755 node-esprima-fb                    	       0        1        0        1        0
48756 node-esquery                       	       0      170        0        0      170
48757 node-esrecurse                     	       0      170        0        0      170
48758 node-estraverse                    	       0      181        0        0      181
48759 node-estree-walker                 	       0        1        0        0        1
48760 node-esutils                       	       0      181        0        0      181
48761 node-etag                          	       0        4        0        0        4
48762 node-event-emitter                 	       0        3        0        0        3
48763 node-eventemitter2                 	       0        3        0        0        3
48764 node-eventemitter3                 	       0        1        0        0        1
48765 node-events                        	       0      173        0        0      173
48766 node-execa                         	       0       37        1       14       22
48767 node-exit                          	       0        6        0        0        6
48768 node-exit-hook                     	       0        1        0        0        1
48769 node-expand-tilde                  	       0        3        0        0        3
48770 node-express                       	       0        3        0        3        0
48771 node-express-generator             	       0        1        0        1        0
48772 node-extend                        	       0       68        1       30       37
48773 node-extend-shallow                	       0        2        0        0        2
48774 node-external-editor               	       0       29        0        0       29
48775 node-extsprintf                    	       0       70        1        6       63
48776 node-fancy-log                     	       0      170        0        0      170
48777 node-fast-deep-equal               	       0      206        0        0      206
48778 node-fast-levenshtein              	       0      183        0        0      183
48779 node-fast-safe-stringify           	       0        1        0        0        1
48780 node-fd-slicer                     	       0        2        0        1        1
48781 node-fecha                         	       0        1        0        0        1
48782 node-fetch                         	       0      167        0        0      167
48783 node-file-entry-cache              	       0      170        0        0      170
48784 node-filesize                      	       0        1        0        0        1
48785 node-fill-range                    	       0      185        0        0      185
48786 node-finalhandler                  	       0        4        0        0        4
48787 node-find-cache-dir                	       0      180        0        0      180
48788 node-find-up                       	       0      189        1        5      183
48789 node-findit2                       	       0        2        0        0        2
48790 node-findup-sync                   	       0        3        0        0        3
48791 node-fined                         	       0        3        0        0        3
48792 node-flagged-respawn               	       0        3        0        0        3
48793 node-flat-cache                    	       0      170        0        0      170
48794 node-flatted                       	       0      170        0        0      170
48795 node-flush-write-stream            	       0       13        0        0       13
48796 node-fn.name                       	       0        1        0        0        1
48797 node-follow-redirects              	       0        3        0        0        3
48798 node-for-in                        	       0      181        0        0      181
48799 node-for-own                       	       0      181        0        0      181
48800 node-foreground-child              	       0      180        0        0      180
48801 node-forever-agent                 	       0       65        1        5       59
48802 node-form-data                     	       0       73        1        5       67
48803 node-formidable                    	       0        1        0        0        1
48804 node-fortawesome-fontawesome-free  	       0        3        0        0        3
48805 node-fresh                         	       0        4        0        0        4
48806 node-from2                         	       0       12        1        7        4
48807 node-fs-exists-sync                	       0        3        0        0        3
48808 node-fs-extra                      	       0        2        0        2        0
48809 node-fs-readdir-recursive          	       0      180        0        0      180
48810 node-fs-vacuum                     	       0       11        1        5        5
48811 node-fs-write-stream-atomic        	       0      192        0        0      192
48812 node-fs.realpath                   	       0      223        1       32      190
48813 node-fstream                       	       0        2        0        1        1
48814 node-fstream-ignore                	       0        2        0        0        2
48815 node-function-bind                 	       0      208        0        0      208
48816 node-functional-red-black-tree     	       0      170        0        0      170
48817 node-gauge                         	       0      199        1       30      168
48818 node-get-caller-file               	       0      189        0        0      189
48819 node-get-func-name                 	       0        2        0        0        2
48820 node-get-stream                    	       0      172        1        5      166
48821 node-get-value                     	       0      154        0        1      153
48822 node-getobject                     	       0        3        0        0        3
48823 node-getpass                       	       0       66        1       31       34
48824 node-github-url-from-git           	       0        3        0        0        3
48825 node-glob                          	       0      223        1        6      216
48826 node-glob-parent                   	       0      183        0        0      183
48827 node-global-modules                	       0        4        0        0        4
48828 node-global-prefix                 	       0        4        0        0        4
48829 node-globals                       	       0      181        0        0      181
48830 node-globby                        	       0      180        0        0      180
48831 node-glogg                         	       0        1        0        0        1
48832 node-googlediff                    	       0        1        0        1        0
48833 node-got                           	       0      171        1        5      165
48834 node-graceful-fs                   	       0      219        1        5      213
48835 node-graphlibrary                  	       0        2        0        2        0
48836 node-growl                         	       0      171        0        0      171
48837 node-grunt-cli                     	       0        3        0        3        0
48838 node-grunt-known-options           	       0        3        0        0        3
48839 node-grunt-legacy-log              	       0        3        0        0        3
48840 node-grunt-legacy-log-utils        	       0        3        0        0        3
48841 node-grunt-legacy-util             	       0        3        0        0        3
48842 node-gulp-plumber                  	       0        1        0        0        1
48843 node-gulp-sourcemaps               	       0        1        0        0        1
48844 node-gulp-tsb                      	       0        1        0        1        0
48845 node-gulp-util                     	       0        1        0        0        1
48846 node-gulplog                       	       0        1        0        0        1
48847 node-har-schema                    	       0       65        1        6       58
48848 node-har-validator                 	       0       65        1        6       58
48849 node-has-ansi                      	       0        1        0        0        1
48850 node-has-flag                      	       0      213        1        5      207
48851 node-has-gulplog                   	       0        1        0        0        1
48852 node-has-symbol-support-x          	       0       13        0        0       13
48853 node-has-to-string-tag-x           	       0       12        0        0       12
48854 node-has-unicode                   	       0      199        1       29      169
48855 node-has-value                     	       0      153        0        0      153
48856 node-has-values                    	       0      153        0        0      153
48857 node-has-yarn                      	       0        1        0        0        1
48858 node-he                            	       0       30        1       29        0
48859 node-hooker                        	       0        3        0        0        3
48860 node-hosted-git-info               	       0      212        0        0      212
48861 node-hsluv                         	       0        1        0        0        1
48862 node-html5shiv                     	       0      130        0        2      128
48863 node-htmlparser2                   	       0        1        0        1        0
48864 node-http-errors                   	       0        4        0        0        4
48865 node-http-proxy                    	       0        1        0        1        0
48866 node-http-proxy-agent              	       0       21        0        0       21
48867 node-http-signature                	       0       65        1        5       59
48868 node-https-proxy-agent             	       0      192        0        0      192
48869 node-i18next                       	       0        1        0        0        1
48870 node-iconv                         	       0        2        0        0        2
48871 node-iconv-lite                    	       0      217        1        5      211
48872 node-icss-utils                    	       0      162        0        0      162
48873 node-ieee754                       	       0      162        0        0      162
48874 node-iferr                         	       0      192        1       29      162
48875 node-ignore                        	       0      181        0        0      181
48876 node-ignore-by-default             	       0        1        0        0        1
48877 node-immediate                     	       0        6        0        0        6
48878 node-import-lazy                   	       0       12        1        6        5
48879 node-imports-loader                	       0       26        0        0       26
48880 node-imurmurhash                   	       0      211        1       29      181
48881 node-indent-string                 	       0      204        0        0      204
48882 node-inflight                      	       0      223        1       32      190
48883 node-inherits                      	       0      225        1        7      217
48884 node-ini                           	       0      211        1        6      204
48885 node-inquirer                      	       0       29        0       29        0
48886 node-interpret                     	       0      170        0        0      170
48887 node-invariant                     	       0       26        0        0       26
48888 node-invert-kv                     	       0       13        1        5        7
48889 node-ip                            	       0      186        0        0      186
48890 node-ip-regex                      	       0      187        0        0      187
48891 node-ipaddr.js                     	       0        4        0        0        4
48892 node-irregular-plurals             	       0        1        0        0        1
48893 node-is-arrayish                   	       0      180        0        0      180
48894 node-is-binary-path                	       0      182        0        0      182
48895 node-is-buffer                     	       0      189        0        0      189
48896 node-is-builtin-module             	       0       38        0        0       38
48897 node-is-descriptor                 	       0      155        0        0      155
48898 node-is-extendable                 	       0      182        0        1      181
48899 node-is-extglob                    	       0      184        0        0      184
48900 node-is-generator-fn               	       0        3        0        0        3
48901 node-is-glob                       	       0      184        0        0      184
48902 node-is-npm                        	       0       12        1        6        5
48903 node-is-number                     	       0      185        0        1      184
48904 node-is-object                     	       0       16        1        6        9
48905 node-is-path-cwd                   	       0      180        0        0      180
48906 node-is-path-inside                	       0      180        0        0      180
48907 node-is-plain-obj                  	       0      191        0        0      191
48908 node-is-plain-object               	       0      183        0        0      183
48909 node-is-primitive                  	       0      153        0        0      153
48910 node-is-promise                    	       0        4        0        0        4
48911 node-is-retry-allowed              	       0       12        0        0       12
48912 node-is-stream                     	       0      188        1        5      182
48913 node-is-typedarray                 	       0      212        1        6      205
48914 node-is-windows                    	       0      180        0        0      180
48915 node-isarray                       	       0      217        1        5      211
48916 node-isexe                         	       0      216        1        6      209
48917 node-isobject                      	       0      183        0        0      183
48918 node-isstream                      	       0       65        1       30       34
48919 node-istextorbinary                	       0        1        0        0        1
48920 node-isurl                         	       0       12        1        5        6
48921 node-jed                           	       0       54        0        0       54
48922 node-jest-debbundle                	       0      170        0        0      170
48923 node-jest-worker                   	       0      169        0        0      169
48924 node-jju                           	       0        4        0        0        4
48925 node-jquery                        	       0      313        0        1      312
48926 node-jquery-ui                     	       0        8        0        0        8
48927 node-js-beautify                   	       0        4        0        4        0
48928 node-js-cookie                     	       0        6        0        0        6
48929 node-js-sdsl                       	       0        2        0        0        2
48930 node-js-tokens                     	       0      183        0        0      183
48931 node-jsbn                          	       0       69        1       29       39
48932 node-jschardet                     	       0       34        0       34        0
48933 node-jsdom                         	       0        8        0        8        0
48934 node-json-buffer                   	       0      166        0        0      166
48935 node-json-loader                   	       0        4        0        0        4
48936 node-json-localizer                	       0        4        0        0        4
48937 node-json-parse-better-errors      	       0      213        0        0      213
48938 node-json-parse-helpfulerror       	       0        4        0        0        4
48939 node-json-schema                   	       0      213        1        6      206
48940 node-json-schema-traverse          	       0      206        0        0      206
48941 node-json-stable-stringify         	       0      213        0        0      213
48942 node-json-stringify-safe           	       0       68        0        0       68
48943 node-json2module                   	       0        4        0        4        0
48944 node-jsonfile                      	       0        6        0        0        6
48945 node-jsonify                       	       0      213        1       30      182
48946 node-jsonminify                    	       0        4        0        0        4
48947 node-jsonparse                     	       0      212        1        5      206
48948 node-jsonselect                    	       0        4        0        0        4
48949 node-jsonstream                    	       0       42        2       40        0
48950 node-jsprim                        	       0       68        1        5       62
48951 node-jstimezonedetect              	       0        4        0        0        4
48952 node-jszip                         	       0        4        0        4        0
48953 node-jszip-utils                   	       0        4        0        0        4
48954 node-keese                         	       0        2        0        0        2
48955 node-keygrip                       	       0        2        0        0        2
48956 node-kind-of                       	       0      189        0        0      189
48957 node-klaw                          	       0        2        0        0        2
48958 node-kuler                         	       0        1        0        0        1
48959 node-lastfm                        	       0        2        0        2        0
48960 node-latest-version                	       0       12        1        5        6
48961 node-lazy-cache                    	       0        1        0        0        1
48962 node-lazy-property                 	       0       12        1        6        5
48963 node-lcid                          	       0       13        1        5        7
48964 node-leven                         	       0       33        0        0       33
48965 node-levn                          	       0      181        0        0      181
48966 node-libnpx                        	       0       11        1        9        1
48967 node-libpq                         	       0        1        0        0        1
48968 node-lie                           	       0        4        0        0        4
48969 node-liftoff                       	       0        3        0        0        3
48970 node-load-json-file                	       0        2        0        0        2
48971 node-loader-runner                 	       0      169        0        0      169
48972 node-loader-utils                  	       0       26        0       25        1
48973 node-locate-path                   	       0      189        1        5      183
48974 node-lockfile                      	       0       40        1        5       34
48975 node-lodash-packages               	       0      185        0        0      185
48976 node-log-driver                    	       0      153        0        0      153
48977 node-log4js                        	       0        1        0        1        0
48978 node-logform                       	       0        1        0        0        1
48979 node-loose-envify                  	       0       29        1       28        0
48980 node-loud-rejection                	       0       26        0        0       26
48981 node-lowercase-keys                	       0      171        1        5      165
48982 node-lru-cache                     	       0      218        1        5      212
48983 node-lynx                          	       0        1        0        0        1
48984 node-macaddress                    	       0        1        0        1        0
48985 node-make-dir                      	       0      181        0        0      181
48986 node-make-error                    	       0        2        0        0        2
48987 node-map-cache                     	       0        4        0        0        4
48988 node-map-visit                     	       0      153        0        0      153
48989 node-match-at                      	       0        1        0        1        0
48990 node-matcher                       	       0        1        0        0        1
48991 node-md5-hex                       	       0        3        0        0        3
48992 node-md5-o-matic                   	       0        3        0        0        3
48993 node-media-typer                   	       0        4        0        0        4
48994 node-mem                           	       0       14        1        7        6
48995 node-memory-fs                     	       0      169        0        0      169
48996 node-merge-descriptors             	       0        7        0        2        5
48997 node-merge-stream                  	       0      171        0        0      171
48998 node-mermaid                       	       0        2        0        0        2
48999 node-mersenne                      	       0        1        0        0        1
49000 node-mess                          	       0        2        0        1        1
49001 node-methods                       	       0        4        0        0        4
49002 node-micromatch                    	       0      183        0        0      183
49003 node-mime-types                    	       0      212        1        5      206
49004 node-mimic-fn                      	       0       38        1        5       32
49005 node-mimic-response                	       0      172        1        5      166
49006 node-minimatch                     	       0      238        1        6      231
49007 node-minimist                      	       0      191        1        5      185
49008 node-minipass                      	       0      183        0        0      183
49009 node-mississippi                   	       0       12        1        7        4
49010 node-mixin-deep                    	       0      153        0        0      153
49011 node-mj-context-menu               	       0        2        0        0        2
49012 node-mkdirp                        	       0      217        2      196       19
49013 node-move-concurrently             	       0      192        1       29      162
49014 node-ms                            	       0      211        0        0      211
49015 node-multiparty                    	       0        2        0        0        2
49016 node-music-library-index           	       0        2        0        0        2
49017 node-mute-stream                   	       0      210        1        5      204
49018 node-n3                            	       0      180        0        0      180
49019 node-nan                           	       0        2        0        2        0
49020 node-ncp                           	       0        2        0        2        0
49021 node-negotiator                    	       0      164        0        0      164
49022 node-neo-async                     	       0      180        0        0      180
49023 node-node-uuid                     	       0       12        0        1       11
49024 node-normalize-package-data        	       0      212        0        0      212
49025 node-normalize-path                	       0      186        0        0      186
49026 node-npm-bundled                   	       0      186        0        0      186
49027 node-npm-package-arg               	       0      192        0        0      192
49028 node-npm-run-path                  	       0      189        0        0      189
49029 node-npmlog                        	       0      199        1        5      193
49030 node-nth-check                     	       0        1        0        0        1
49031 node-number-is-nan                 	       0       29        0        0       29
49032 node-oauth-sign                    	       0       65        1        5       59
49033 node-object-assign                 	       0      213        1        5      207
49034 node-object-inspect                	       0      181        0        0      181
49035 node-object-path                   	       0       26        0        0       26
49036 node-object-visit                  	       0      153        0        0      153
49037 node-on-finished                   	       0        4        0        2        2
49038 node-once                          	       0      224        1       32      191
49039 node-one-time                      	       0        1        0        0        1
49040 node-optimist                      	       0      180        0        0      180
49041 node-optionator                    	       0      181        0        0      181
49042 node-os-locale                     	       0       12        1        5        6
49043 node-osenv                         	       0      198        1       29      168
49044 node-p-cancelable                  	       0      172        1        5      166
49045 node-p-finally                     	       0       15        1        6        8
49046 node-p-limit                       	       0      189        1        5      183
49047 node-p-locate                      	       0      189        1        5      183
49048 node-p-map                         	       0      204        0        0      204
49049 node-p-timeout                     	       0       14        1        6        7
49050 node-package-json                  	       0       12        1        7        4
49051 node-pako                          	       0        4        0        4        0
49052 node-parallel-transform            	       0       12        1        6        5
49053 node-parse-filepath                	       0        3        0        0        3
49054 node-parse-json                    	       0      180        0        0      180
49055 node-parse-ms                      	       0        1        0        0        1
49056 node-parse5                        	       0        8        0        0        8
49057 node-parse5-htmlparser2-tree-adapter	       0        1        0        0        1
49058 node-parseurl                      	       0        4        0        0        4
49059 node-pascalcase                    	       0      153        0        0      153
49060 node-path-dirname                  	       0      183        0        0      183
49061 node-path-exists                   	       0      189        1        5      183
49062 node-path-is-absolute              	       0      217        0        0      217
49063 node-path-is-inside                	       0      188        0        0      188
49064 node-path-root                     	       0       29        0        1       28
49065 node-path-root-regex               	       0       29        0        0       29
49066 node-path-to-regexp                	       0        8        0        0        8
49067 node-path-type                     	       0      180        0        0      180
49068 node-pathval                       	       0        2        0        0        2
49069 node-pend                          	       0        2        0        0        2
49070 node-performance-now               	       0       65        1       30       34
49071 node-pg                            	       0        2        0        2        0
49072 node-picocolors                    	       0      182        0        0      182
49073 node-pify                          	       0      180        0        0      180
49074 node-pkg-dir                       	       0      181        0        0      181
49075 node-plugin-error                  	       0        1        0        0        1
49076 node-plur                          	       0        1        0        0        1
49077 node-popper2                       	       0        6        0        6        0
49078 node-postcss-modules-extract-imports	       0      162        0        0      162
49079 node-postcss-modules-values        	       0      162        0        0      162
49080 node-postcss-value-parser          	       0      162        0        0      162
49081 node-pre-gyp                       	       0        3        0        3        0
49082 node-prelude-ls                    	       0      181        0        0      181
49083 node-prepend-http                  	       0       38        1        5       32
49084 node-pretty-ms                     	       0        1        0        0        1
49085 node-prismjs                       	       0     1961        0        0     1961
49086 node-process-nextick-args          	       0      217        0        0      217
49087 node-progress                      	       0      170        0        0      170
49088 node-promise                       	       0        4        0        0        4
49089 node-promise-inflight              	       0      193        1       29      163
49090 node-promise-retry                 	       0      186        0        0      186
49091 node-prompts                       	       0        3        0        3        0
49092 node-promzard                      	       0      192        1       29      162
49093 node-proper-lockfile               	       0       26        0        0       26
49094 node-proto-list                    	       0       17        1        7        9
49095 node-proxy-addr                    	       0        4        0        0        4
49096 node-proxy-from-env                	       0        2        0        0        2
49097 node-prr                           	       0      178        1        7      170
49098 node-pseudomap                     	       0        1        0        0        1
49099 node-psl                           	       0       65        0        0       65
49100 node-puka                          	       0       55        0        0       55
49101 node-pump                          	       0      171        1        5      165
49102 node-pumpify                       	       0       38        1        5       32
49103 node-punycode                      	       0      214        1        6      207
49104 node-qrcode-generator              	       0       13        0        0       13
49105 node-qs                            	       0       68        1        5       62
49106 node-querystringify                	       0        3        0        0        3
49107 node-quick-lru                     	       0      180        0        0      180
49108 node-qw                            	       0       12        1        7        4
49109 node-random-bytes                  	       0        2        0        1        1
49110 node-randombytes                   	       0      180        0        0      180
49111 node-range-parser                  	       0        5        0        0        5
49112 node-raw-body                      	       0        4        0        0        4
49113 node-rc                            	       0       13        1        5        7
49114 node-re2                           	       0       21        0        0       21
49115 node-react                         	       0        4        0        0        4
49116 node-react-dom                     	       0        3        0        0        3
49117 node-react-is                      	       0        4        0        0        4
49118 node-react-reconciler              	       0        1        0        0        1
49119 node-react-shallow-renderer        	       0        3        0        0        3
49120 node-react-test-renderer           	       0        3        0        0        3
49121 node-read                          	       0      210        1        5      204
49122 node-read-package-json             	       0      194        0        0      194
49123 node-read-pkg                      	       0      180        0        0      180
49124 node-read-pkg-up                   	       0        1        0        0        1
49125 node-readdirp                      	       0      182        0        0      182
49126 node-rechoir                       	       0      170        0        0      170
49127 node-regenerate                    	       0      181        0        0      181
49128 node-regenerate-unicode-properties 	       0      181        0        0      181
49129 node-regenerator-runtime           	       0      182        0        0      182
49130 node-regenerator-transform         	       0      180        0        0      180
49131 node-regexpp                       	       0      170        0        0      170
49132 node-regexpu-core                  	       0      180        0        0      180
49133 node-registry-auth-token           	       0       12        0        0       12
49134 node-registry-url                  	       0       12        1        6        5
49135 node-regjsgen                      	       0      180        0        0      180
49136 node-remark-slide                  	       0        1        0        0        1
49137 node-remove-trailing-separator     	       0        1        0        0        1
49138 node-repeat-string                 	       0      185        0        1      184
49139 node-replace-ext                   	       0        1        0        0        1
49140 node-request                       	       0       62        1        6       55
49141 node-request-capture-har           	       0       26        0        0       26
49142 node-require-directory             	       0      189        1        7      181
49143 node-require-from-string           	       0       22        0        0       22
49144 node-require-main-filename         	       0       12        0        0       12
49145 node-requires-port                 	       0        4        0        0        4
49146 node-resolve                       	       0      207        0        0      207
49147 node-resolve-cwd                   	       0      170        0        0      170
49148 node-resolve-dir                   	       0        3        0        0        3
49149 node-resolve-from                  	       0      211        1        6      204
49150 node-restore-cursor                	       0      171        0        0      171
49151 node-resumer                       	       0      180        0        0      180
49152 node-retry                         	       0      208        1        5      202
49153 node-rollup-plugin-typescript2     	       0        1        0        0        1
49154 node-rollup-pluginutils            	       0        1        0        0        1
49155 node-run-async                     	       0       29        0        0       29
49156 node-run-queue                     	       0      192        1        5      186
49157 node-rw                            	       0        8        0        8        0
49158 node-rx                            	       0        2        0        1        1
49159 node-safe-buffer                   	       0      221        1        6      214
49160 node-sane                          	       0        3        0        0        3
49161 node-sax                           	       0        2        0        0        2
49162 node-scheduler                     	       0        4        0        0        4
49163 node-schema-utils                  	       0      169        0        0      169
49164 node-sellside-emitter              	       0      153        0        0      153
49165 node-semver-diff                   	       0       12        1        5        6
49166 node-send                          	       0        3        0        0        3
49167 node-serialize-javascript          	       0      180        0        0      180
49168 node-serve-static                  	       0        3        0        0        3
49169 node-set-blocking                  	       0      200        1       29      170
49170 node-set-getter                    	       0        1        0        1        0
49171 node-set-immediate-shim            	       0      182        0        0      182
49172 node-set-value                     	       0      153        0        0      153
49173 node-setimmediate                  	       0        4        0        0        4
49174 node-setprototypeof                	       0        4        0        0        4
49175 node-sha                           	       0       13        1        5        7
49176 node-shebang-command               	       0      187        1        6      180
49177 node-shebang-regex                 	       0      187        1        4      182
49178 node-shell-quote                   	       0      153        0        0      153
49179 node-sigmund                       	       0        6        0        0        6
49180 node-signal-exit                   	       0      216        1        5      210
49181 node-simple-swizzle                	       0        1        0        0        1
49182 node-sinclair-typebox              	       0        3        0        0        3
49183 node-sinon                         	       0        3        0        3        0
49184 node-slash                         	       0      212        1        5      206
49185 node-slice-ansi                    	       0      188        0        0      188
49186 node-slide                         	       0       14        1        7        6
49187 node-snapdragon                    	       0        1        0        0        1
49188 node-snapdragon-node               	       0        1        0        0        1
49189 node-snapdragon-util               	       0        1        0        0        1
49190 node-sort-keys                     	       0       26        0        1       25
49191 node-sorted-object                 	       0       12        1        7        4
49192 node-source-list-map               	       0      169        0        0      169
49193 node-source-map                    	       0      196        0        3      193
49194 node-source-map-resolve            	       0        4        0        4        0
49195 node-source-map-support            	       0      180        0        0      180
49196 node-sparkles                      	       0        1        0        0        1
49197 node-spdx-correct                  	       0      212        1        5      206
49198 node-spdx-exceptions               	       0      206        0        0      206
49199 node-spdx-expression-parse         	       0      212        0        0      212
49200 node-spdx-license-ids              	       0      212        0        0      212
49201 node-split                         	       0        2        0        0        2
49202 node-split2                        	       0        1        0        0        1
49203 node-sprintf-js                    	       0      191        0        2      189
49204 node-sqlite3                       	       0        2        0        0        2
49205 node-sshpk                         	       0       66        3       63        0
49206 node-ssri                          	       0      207        1        5      201
49207 node-stack-trace                   	       0        1        0        0        1
49208 node-stack-utils                   	       0      155        0        0      155
49209 node-statsd-parser                 	       0        1        0        0        1
49210 node-statuses                      	       0        4        0        0        4
49211 node-std-mocks                     	       0        1        0        0        1
49212 node-stealthy-require              	       0        2        0        0        2
49213 node-stream-each                   	       0       12        1        5        6
49214 node-stream-iterate                	       0       12        1        7        4
49215 node-stream-shift                  	       0       39        1        6       32
49216 node-strftime                      	       0        1        0        0        1
49217 node-strict-uri-encode             	       0       26        0        0       26
49218 node-string-decoder                	       0      217        1        5      211
49219 node-string-width                  	       0      217        1        5      211
49220 node-strip-ansi                    	       0      217        1        5      211
49221 node-strip-bom                     	       0      183        0        0      183
49222 node-strip-eof                     	       0       37        1        7       29
49223 node-strip-json-comments           	       0      189        0        0      189
49224 node-supports-color                	       0      213        1        5      207
49225 node-tapable                       	       0      169        0        0      169
49226 node-tar                           	       0      197        1        6      190
49227 node-tar-stream                    	       0       26        0        0       26
49228 node-term-size                     	       0       12        1        7        4
49229 node-text-hex                      	       0        1        0        0        1
49230 node-text-table                    	       0      199        1       29      169
49231 node-through                       	       0      211        1        6      204
49232 node-through2                      	       0       40        1        5       34
49233 node-time-stamp                    	       0      170        0        0      170
49234 node-time-zone                     	       0        3        0        0        3
49235 node-timed-out                     	       0       12        1        5        6
49236 node-tinycolor                     	       0        3        0        0        3
49237 node-tmatch                        	       0        1        0        0        1
49238 node-tmp                           	       0       29        0        0       29
49239 node-to-fast-properties            	       0      180        0        0      180
49240 node-to-object-path                	       0        1        0        0        1
49241 node-to-regex-range                	       0      185        0        0      185
49242 node-toidentifier                  	       0        4        0        2        2
49243 node-tough-cookie                  	       0       69        1        6       62
49244 node-transformers                  	       0        2        0        0        2
49245 node-triple-beam                   	       0        1        0        0        1
49246 node-ts-loader                     	       0        1        0        0        1
49247 node-tslib                         	       0      188        0        0      188
49248 node-tunein                        	       0        1        0        0        1
49249 node-tunnel-agent                  	       0       65        1        5       59
49250 node-turbolinks                    	       0        7        0        0        7
49251 node-tweetnacl                     	       0       66        1        5       60
49252 node-typanion                      	       0        1        0        1        0
49253 node-type-check                    	       0      181        0        0      181
49254 node-type-detect                   	       0        3        0        0        3
49255 node-type-is                       	       0        4        0        0        4
49256 node-typedarray                    	       0      178        1        7      170
49257 node-typedarray-to-buffer          	       0      204        0        0      204
49258 node-typescript-types              	       0        1        0        0        1
49259 node-ua-parser-js                  	       0        2        0        0        2
49260 node-uglify                        	       0        2        0        2        0
49261 node-uglify-js                     	       0        4        0        0        4
49262 node-uid-number                    	       0       12        1        5        6
49263 node-uid-safe                      	       0        2        0        1        1
49264 node-underscore                    	       0        4        0        1        3
49265 node-underscore.string             	       0        3        0        0        3
49266 node-unicode-15.0.0                	       0        1        0        0        1
49267 node-unicode-canonical-property-names-ecmascript	       0      180        0        0      180
49268 node-unicode-match-property-ecmascript	       0      180        0        0      180
49269 node-unicode-match-property-value-ecmascript	       0      180        0        0      180
49270 node-unicode-property-aliases-ecmascript	       0      180        0        0      180
49271 node-union-value                   	       0      153        0        0      153
49272 node-unique-filename               	       0      192        1        5      186
49273 node-universalify                  	       0       64        0        0       64
49274 node-unpipe                        	       0       16        1        9        6
49275 node-unset-value                   	       0      153        0        0      153
49276 node-uri-js                        	       0      206        0        0      206
49277 node-url-parse                     	       0        3        0        0        3
49278 node-url-parse-lax                 	       0       12        0        0       12
49279 node-url-to-options                	       0       13        0        0       13
49280 node-use                           	       0        1        0        0        1
49281 node-util                          	       0      181        0        0      181
49282 node-util-deprecate                	       0      218        1       30      187
49283 node-utils-merge                   	       0        4        0        0        4
49284 node-uuid                          	       0      211        1        6      204
49285 node-v8-compile-cache              	       0      170        0        0      170
49286 node-v8flags                       	       0      181        0        0      181
49287 node-validate-npm-package-license  	       0      212        0        0      212
49288 node-validate-npm-package-name     	       0      192        0        0      192
49289 node-vary                          	       0        4        0        0        4
49290 node-verror                        	       0       69        1       31       37
49291 node-vinyl                         	       0        1        0        0        1
49292 node-watchpack                     	       0      169        0        0      169
49293 node-wcwidth.js                    	       0      217        1       29      187
49294 node-webidl-conversions            	       0        8        0        0        8
49295 node-whatwg-fetch                  	       0        1        0        0        1
49296 node-which-module                  	       0       12        1        7        4
49297 node-wide-align                    	       0      215        1        5      209
49298 node-widest-line                   	       0      162        1        5      156
49299 node-winston                       	       0        1        0        1        0
49300 node-winston-compat                	       0        1        0        0        1
49301 node-winston-transport             	       0        1        0        0        1
49302 node-wordwrap                      	       0      180        0        0      180
49303 node-wrap-ansi                     	       0      189        1        5      183
49304 node-wrappy                        	       0      224        1       33      190
49305 node-write                         	       0      170        0        0      170
49306 node-write-file-atomic             	       0      210        0        0      210
49307 node-ws-iconv                      	       0        1        0        1        0
49308 node-xdg-basedir                   	       0       12        1        7        4
49309 node-xmlhttprequest                	       0        1        0        0        1
49310 node-xtend                         	       0      305        1        5      299
49311 node-yallist                       	       0      218        1        5      212
49312 node-yaml                          	       0      155        0        0      155
49313 node-yargs-parser                  	       0      189        1        5      183
49314 node-yarn-tool-resolve-package     	       0        1        0        0        1
49315 node-yauzl                         	       0        2        0        0        2
49316 node-yazl                          	       0        2        0        0        2
49317 node-yn                            	       0       28        0        0       28
49318 node-ytdl-core                     	       0        2        0        0        2
49319 node-zkochan-cmd-shim              	       0        1        0        0        1
49320 nodeenv                            	       0        7        0        7        0
49321 nodejs-doc                         	       0      355        0        0      355
49322 noderig                            	       0        2        0        2        0
49323 nodm                               	       0       13        2       11        0
49324 nody-greeter                       	       0        1        0        0        1
49325 noflushd                           	       0        1        0        1        0
49326 nohang                             	       0        1        0        1        0
49327 noi                                	       0        1        0        1        0
49328 noip2                              	       0        1        0        1        0
49329 noiz2sa                            	       0        2        0        2        0
49330 noiz2sa-data                       	       0        2        0        2        0
49331 nomachine                          	       0       22        0        0       22
49332 nomacs                             	       0       13        0       13        0
49333 nomacs-l10n                        	       0       14        0        0       14
49334 nomad                              	       0        1        0        1        0
49335 nomad-driver-podman                	       0        1        0        1        0
49336 nomnom                             	       0        2        0        2        0
49337 non-mixer                          	       0        2        0        2        0
49338 non-sequencer                      	       0        2        0        2        0
49339 non-session-manager                	       0        2        0        0        2
49340 non-timeline                       	       0        1        0        1        0
49341 nordic                             	       0        1        0        0        1
49342 nordvpn                            	       0       14        3       11        0
49343 nordvpn-release                    	       0       18        0        0       18
49344 normaliz                           	       0       12        0        0       12
49345 normaliz-bin                       	       0       12        1       11        0
49346 normaliz-doc                       	       0        2        0        0        2
49347 norsnet                            	       0        1        0        1        0
49348 nosexcover-build-deps              	       0        1        0        0        1
49349 nosh-service-management            	       0        1        0        1        0
49350 nosh-terminal-extras               	       0        1        0        1        0
49351 nosh-terminal-management           	       0        1        0        1        0
49352 note                               	       0        3        0        3        0
49353 notepadpp-bin32                    	       0        1        0        1        0
49354 notepadpp-common                   	       0        1        0        1        0
49355 notepadqq-common                   	       0        2        0        2        0
49356 notepadqq-gtk                      	       0        1        0        1        0
49357 notes                              	       0        1        0        1        0
49358 notesalexp-keyring                 	       0        2        0        0        2
49359 noteshrink                         	       0        1        0        1        0
49360 notify-osd                         	       0       11        1        2        8
49361 notion                             	       0        5        1        4        0
49362 notmuch-doc                        	       0        1        0        0        1
49363 notmuch-emacs                      	       0        1        0        0        1
49364 notmuch-mutt                       	       0       14        0       14        0
49365 notmuch-vim                        	       0        4        0        0        4
49366 notus-scanner                      	       0        1        0        1        0
49367 nova-api                           	       0        1        0        1        0
49368 nova-cert                          	       0        1        0        1        0
49369 nova-common                        	       0        2        0        2        0
49370 nova-compute                       	       0        1        0        1        0
49371 nova-compute-kvm                   	       0        1        0        0        1
49372 nova-conductor                     	       0        1        0        1        0
49373 nova-console                       	       0        1        0        1        0
49374 nova-consoleauth                   	       0        1        0        1        0
49375 nova-consoleproxy                  	       0        2        0        2        0
49376 nova-scheduler                     	       0        1        0        1        0
49377 novena-eeprom                      	       0        1        0        1        0
49378 novnc                              	       0        6        0        5        1
49379 noweb                              	       0       13        2       11        0
49380 nowebm                             	       0        1        0        0        1
49381 nox                                	       0        2        0        2        0
49382 npapi-sdk-dev                      	       0        1        0        1        0
49383 npfctl                             	       0        1        0        1        0
49384 npm2deb                            	       0        2        0        2        0
49385 nps                                	       0        1        0        1        0
49386 nq                                 	       0        2        0        2        0
49387 nqp                                	       0       10        0       10        0
49388 nqp-data                           	       0       10        0        0       10
49389 nrg2iso                            	       0       19        0       19        0
49390 nrss                               	       0       93        1       92        0
49391 ns2                                	       0        1        0        1        0
49392 nsca                               	       0        3        0        3        0
49393 nsca-client                        	       0        5        1        4        0
49394 nscde                              	       0        1        0        1        0
49395 nsd                                	       0        6        1        5        0
49396 nsight-compute                     	       0       17        0       17        0
49397 nsight-compute-2022.2.1            	       0        1        0        0        1
49398 nsight-compute-2022.3.0            	       0        1        0        0        1
49399 nsight-compute-2024.1.0            	       0        1        0        1        0
49400 nsight-compute-2024.1.1            	       0        1        0        1        0
49401 nsight-compute-2024.3.1            	       0        1        0        1        0
49402 nsight-compute-2024.3.2            	       0        2        0        2        0
49403 nsight-compute-2025.1.0            	       0        1        0        1        0
49404 nsight-compute-target              	       0       17        0        0       17
49405 nsight-graphics-for-l4t            	       0        1        0        1        0
49406 nsight-systems                     	       0       17        0       17        0
49407 nsight-systems-2019.6.2            	       0        1        0        1        0
49408 nsight-systems-2022.1.3            	       0        1        0        1        0
49409 nsight-systems-2023.4.4            	       0        2        0        2        0
49410 nsight-systems-2024.4.1            	       0        1        0        1        0
49411 nsight-systems-2024.4.2            	       0        1        0        1        0
49412 nsight-systems-2024.5.1            	       0        2        0        2        0
49413 nsight-systems-2024.6.2            	       0        1        0        1        0
49414 nsight-systems-cli-2023.1.1        	       0        1        0        1        0
49415 nsight-systems-target              	       0       17        0        0       17
49416 nsis                               	       0       11        2        9        0
49417 nsis-common                        	       0       11        0        0       11
49418 nsis-doc                           	       0        3        0        2        1
49419 nsis-pluginapi                     	       0        3        0        3        0
49420 nslcd                              	       0       34       10       24        0
49421 nslint                             	       0        6        0        6        0
49422 nsnake                             	       0        9        0        9        0
49423 nsntrace                           	       0        2        0        2        0
49424 nss-passwords                      	       0        4        0        4        0
49425 nss-plugin-pem                     	       0      322        0        0      322
49426 nss-updatedb                       	       0        2        0        2        0
49427 nted-doc                           	       0       15        0        0       15
49428 ntfs-3g-dbg                        	       0        2        0        2        0
49429 ntfs-3g-dev                        	       0       10        0       10        0
49430 ntfs-config                        	       0        6        0        6        0
49431 ntfs2btrfs                         	       0        7        0        7        0
49432 ntfsdoc                            	       0        2        0        0        2
49433 ntfsprogs                          	       0       10        0        0       10
49434 ntldd                              	       0        2        0        2        0
49435 ntop                               	       0        2        0        2        0
49436 ntop-data                          	       0        4        0        0        4
49437 ntopng                             	       0        4        0        4        0
49438 ntopng-data                        	       0        4        0        0        4
49439 ntp                                	       0     1061       64      343      654
49440 ntp-doc                            	       0       70        0        0       70
49441 ntpsec-doc                         	       0       65        0        0       65
49442 ntpsec-ntpviz                      	       0        8        5        3        0
49443 ntrack-module-libnl-0              	       0       62        1       61        0
49444 ntrack-module-rtnetlink-0          	       0        2        0        2        0
49445 nttcp                              	       0        1        0        1        0
49446 nuclear                            	       0        1        0        1        0
49447 nudoku                             	       0        9        0        9        0
49448 nuget                              	       0        6        0        6        0
49449 nuitka                             	       0        6        0        6        0
49450 nullidentd                         	       0        6        2        4        0
49451 nullmailer                         	       0       25        5       20        0
49452 num-utils                          	       0        3        0        3        0
49453 numatop                            	       0        3        0        3        0
49454 numba-doc                          	       0       76        0        0       76
49455 numbers2ods                        	       0        3        0        3        0
49456 numconv                            	       0        3        0        3        0
49457 numdiff                            	       0        2        0        2        0
49458 numix-gtk-theme                    	       0       44        3        4       37
49459 numix-icon-theme                   	       0       48        0        0       48
49460 numix-icon-theme-circle            	       0       29        0        0       29
49461 numptyphysics                      	       0       20        1       19        0
49462 numpy-stl                          	       0        4        0        4        0
49463 nunit                              	       0        1        0        0        1
49464 nunit-console                      	       0        1        0        1        0
49465 nunit-gui                          	       0        1        0        1        0
49466 nuntius                            	       0        2        0        2        0
49467 nupkg-newtonsoft.json.6.0.8        	       0        1        0        0        1
49468 nupkg-nunit.2.6.4                  	       0        1        0        0        1
49469 nupkg-nunit.mocks.2.6.4            	       0        1        0        0        1
49470 nupkg-nunit.runners.2.6.4          	       0        1        0        0        1
49471 nuspell                            	       0        2        0        2        0
49472 nut                                	       0       56        0        0       56
49473 nut-doc                            	       0       14        0        0       14
49474 nut-ipmi                           	       0        5        1        4        0
49475 nut-monitor                        	       0       10        1        9        0
49476 nut-snmp                           	       0        6        0        6        0
49477 nut-xml                            	       0        4        0        4        0
49478 nutsqlite                          	       0        1        0        1        0
49479 nuttcp                             	       0        2        0        2        0
49480 nuvola-icon-theme                  	       0       10        0        0       10
49481 nv-codec-headers                   	       0        4        0        4        0
49482 nvclock                            	       0        5        0        5        0
49483 nvclock-gtk                        	       0        1        0        1        0
49484 nvclock-qt                         	       0        1        0        1        0
49485 nvi-doc                            	       0       47        0        0       47
49486 nvidia-alternative                 	       0      189        0        0      189
49487 nvidia-cg-dev                      	       0        4        0        4        0
49488 nvidia-cg-toolkit                  	       0        3        0        3        0
49489 nvidia-container-toolkit           	       0        4        0        4        0
49490 nvidia-container-toolkit-base      	       0        4        0        4        0
49491 nvidia-cuda-dev                    	       0       18        1       17        0
49492 nvidia-cuda-gdb                    	       0       17        0       17        0
49493 nvidia-cuda-mps                    	       0       15        0       15        0
49494 nvidia-cuda-samples                	       0        1        0        1        0
49495 nvidia-cuda-toolkit                	       0       18        1       17        0
49496 nvidia-cuda-toolkit-doc            	       0       17        0       17        0
49497 nvidia-cuda-toolkit-gcc            	       0        1        0        1        0
49498 nvidia-cudnn                       	       0        1        0        1        0
49499 nvidia-driver                      	       0      162        0        6      156
49500 nvidia-driver-cuda                 	       0        4        0        4        0
49501 nvidia-driver-full                 	       0        3        0        0        3
49502 nvidia-driver-libs                 	       0      168        0        0      168
49503 nvidia-driver-libs-i386            	       0        4        0        0        4
49504 nvidia-driver-libs-nonglvnd        	       0        1        0        0        1
49505 nvidia-driver-libs-nonglvnd-i386   	       0        1        0        0        1
49506 nvidia-driver-local-repo-debian12-570.86.15	       0        1        0        0        1
49507 nvidia-egl-common                  	       0      189        0        0      189
49508 nvidia-egl-icd                     	       0      169        0        0      169
49509 nvidia-egl-wayland-common          	       0        1        0        0        1
49510 nvidia-egl-wayland-icd             	       0        1        0        0        1
49511 nvidia-kernel-4.19.0-9-amd64       	       0        1        0        0        1
49512 nvidia-kernel-5.10.0-26-amd64      	       0        1        0        0        1
49513 nvidia-kernel-open                 	       0        3        0        0        3
49514 nvidia-kernel-open-dkms            	       0        6        0        6        0
49515 nvidia-kernel-source               	       0        6        0        0        6
49516 nvidia-kernel-support              	       0      181        0       26      155
49517 nvidia-legacy-304xx-alternative    	       0        1        0        0        1
49518 nvidia-legacy-304xx-kernel-2.6.32-5-amd64	       0        1        0        0        1
49519 nvidia-legacy-340xx-alternative    	       0       10        0        0       10
49520 nvidia-legacy-340xx-driver         	       0        8        0        0        8
49521 nvidia-legacy-340xx-driver-bin     	       0        9        0        9        0
49522 nvidia-legacy-340xx-driver-libs    	       0        8        0        0        8
49523 nvidia-legacy-340xx-driver-libs-i386	       0        2        0        0        2
49524 nvidia-legacy-340xx-kernel-dkms    	       0        8        0        8        0
49525 nvidia-legacy-340xx-kernel-source  	       0        1        0        0        1
49526 nvidia-legacy-340xx-kernel-support 	       0        8        0        4        4
49527 nvidia-legacy-340xx-smi            	       0        5        0        5        0
49528 nvidia-legacy-340xx-vdpau-driver   	       0       10        0        1        9
49529 nvidia-legacy-390xx-alternative    	       0       14        0        0       14
49530 nvidia-legacy-390xx-driver         	       0       12        0        0       12
49531 nvidia-legacy-390xx-driver-bin     	       0       12        0       12        0
49532 nvidia-legacy-390xx-driver-libs    	       0       11        0        0       11
49533 nvidia-legacy-390xx-driver-libs-i386	       0        2        0        0        2
49534 nvidia-legacy-390xx-driver-libs-nonglvnd	       0        2        0        0        2
49535 nvidia-legacy-390xx-driver-libs-nonglvnd-i386	       0        1        0        0        1
49536 nvidia-legacy-390xx-egl-icd        	       0       11        0        0       11
49537 nvidia-legacy-390xx-kernel-dkms    	       0       13        0       13        0
49538 nvidia-legacy-390xx-kernel-source  	       0        2        0        0        2
49539 nvidia-legacy-390xx-kernel-support 	       0       14        0        0       14
49540 nvidia-legacy-390xx-nonglvnd-vulkan-icd	       0        2        0        0        2
49541 nvidia-legacy-390xx-opencl-icd     	       0        1        0        0        1
49542 nvidia-legacy-390xx-smi            	       0       11        1       10        0
49543 nvidia-legacy-390xx-vdpau-driver   	       0       13        0        1       12
49544 nvidia-legacy-390xx-vulkan-icd     	       0       11        0        0       11
49545 nvidia-legacy-check                	       0      186        0        0      186
49546 nvidia-libopencl1                  	       0        7        0        2        5
49547 nvidia-nonglvnd-vulkan-common      	       0        2        0        0        2
49548 nvidia-nonglvnd-vulkan-icd         	       0        1        0        0        1
49549 nvidia-open                        	       0        4        0        0        4
49550 nvidia-open-560                    	       0        1        0        0        1
49551 nvidia-open-570                    	       0        1        0        0        1
49552 nvidia-open-kernel-dkms            	       0        3        0        3        0
49553 nvidia-open-kernel-source          	       0        1        0        0        1
49554 nvidia-open-kernel-support         	       0        3        0        0        3
49555 nvidia-opencl-common               	       0       36        0        0       36
49556 nvidia-opencl-dev                  	       0       17        0        0       17
49557 nvidia-opencl-icd                  	       0       37        0        0       37
49558 nvidia-openjdk-8-jre               	       0       23        0       23        0
49559 nvidia-persistenced                	       0       76        9       66        1
49560 nvidia-powerd                      	       0        3        0        3        0
49561 nvidia-prime                       	       0        2        0        2        0
49562 nvidia-prime-applet                	       0        2        0        2        0
49563 nvidia-primus-vk-common            	       0        6        0        0        6
49564 nvidia-primus-vk-wrapper           	       0        6        0        0        6
49565 nvidia-profiler                    	       0       20        0       20        0
49566 nvidia-settings-legacy-304xx       	       0        1        0        1        0
49567 nvidia-settings-legacy-340xx       	       0        5        1        4        0
49568 nvidia-settings-legacy-390xx       	       0       13        2       11        0
49569 nvidia-settings-tesla-450          	       0        2        0        2        0
49570 nvidia-settings-tesla-470          	       0       14        1       13        0
49571 nvidia-tesla-450-alternative       	       0        2        0        0        2
49572 nvidia-tesla-450-driver            	       0        2        0        0        2
49573 nvidia-tesla-450-driver-bin        	       0        2        0        2        0
49574 nvidia-tesla-450-driver-libs       	       0        2        0        0        2
49575 nvidia-tesla-450-egl-icd           	       0        2        0        0        2
49576 nvidia-tesla-450-kernel-dkms       	       0        2        0        2        0
49577 nvidia-tesla-450-kernel-source     	       0        1        0        0        1
49578 nvidia-tesla-450-kernel-support    	       0        2        0        0        2
49579 nvidia-tesla-450-opencl-icd        	       0        1        0        0        1
49580 nvidia-tesla-450-smi               	       0        2        0        2        0
49581 nvidia-tesla-450-vdpau-driver      	       0        2        0        0        2
49582 nvidia-tesla-450-vulkan-icd        	       0        2        0        0        2
49583 nvidia-tesla-470-alternative       	       0       18        0        0       18
49584 nvidia-tesla-470-driver            	       0       14        0        0       14
49585 nvidia-tesla-470-driver-bin        	       0       14        0       14        0
49586 nvidia-tesla-470-driver-libs       	       0       14        0        0       14
49587 nvidia-tesla-470-egl-icd           	       0       14        0        0       14
49588 nvidia-tesla-470-kernel-dkms       	       0       15        1       14        0
49589 nvidia-tesla-470-kernel-source     	       0        1        0        0        1
49590 nvidia-tesla-470-kernel-support    	       0       15        0       15        0
49591 nvidia-tesla-470-smi               	       0       14        1       13        0
49592 nvidia-tesla-470-vdpau-driver      	       0       15        1        0       14
49593 nvidia-tesla-470-vulkan-icd        	       0       14        0        0       14
49594 nvidia-tesla-535-alternative       	       0        1        0        0        1
49595 nvidia-tesla-alternative           	       0        2        0        0        2
49596 nvidia-tesla-driver                	       0        1        0        0        1
49597 nvidia-tesla-kernel-dkms           	       0        1        1        0        0
49598 nvidia-tesla-kernel-support        	       0        1        0        0        1
49599 nvidia-tesla-legacy-check          	       0        2        0        0        2
49600 nvidia-tesla-vdpau-driver          	       0        1        0        0        1
49601 nvidia-vaapi-driver                	       0        8        1        0        7
49602 nvidia-vdpau-driver                	       0      177        1        4      172
49603 nvidia-visual-profiler             	       0       19        0       19        0
49604 nvidia-vulkan-common               	       0      184        0        0      184
49605 nvidia-vulkan-icd                  	       0      167        0        0      167
49606 nvidia-xconfig                     	       0       31        0       31        0
49607 nvitop                             	       0        1        1        0        0
49608 nvptx-tools                        	       0        5        1        4        0
49609 nvram-wakeup                       	       0        3        0        3        0
49610 nvramtool                          	       0        7        0        7        0
49611 nvtop                              	       0       40        4       36        0
49612 nvtv                               	       0        2        0        2        0
49613 nvu                                	       0        1        0        1        0
49614 nwatch                             	       0        1        0        1        0
49615 nwjs-downloader                    	       0        1        0        0        1
49616 nwqt4                              	       0        1        0        1        0
49617 nx-x11-common                      	       0       72        0        0       72
49618 nx-x11proto-composite-dev          	       0        1        0        1        0
49619 nx-x11proto-core-dev               	       0        1        0        1        0
49620 nx-x11proto-damage-dev             	       0        1        0        1        0
49621 nx-x11proto-randr-dev              	       0        1        0        1        0
49622 nx-x11proto-render-dev             	       0        1        0        1        0
49623 nx-x11proto-scrnsaver-dev          	       0        1        0        1        0
49624 nx-x11proto-xext-dev               	       0        1        0        1        0
49625 nx-x11proto-xfixes-dev             	       0        1        0        1        0
49626 nx-x11proto-xinerama-dev           	       0        1        0        1        0
49627 nxclient                           	       0        2        0        2        0
49628 nxdialog                           	       0        1        0        1        0
49629 nxlibs                             	       0        1        0        0        1
49630 nxnode                             	       0        1        0        1        0
49631 nxserver                           	       0        1        0        1        0
49632 nyacc                              	       0        1        0        0        1
49633 nyancat                            	       0        4        1        3        0
49634 nyxt                               	       0        1        0        1        0
49635 nzbget                             	       0        4        0        4        0
49636 o-saft                             	       0       23        0       23        0
49637 o3read                             	       0        1        0        1        0
49638 oaklisp                            	       0        1        0        1        0
49639 oaklisp-doc                        	       0        1        0        0        1
49640 oar-common                         	       0        1        1        0        0
49641 oar-node                           	       0        1        1        0        0
49642 oar-restful-api                    	       0        1        1        0        0
49643 oar-server                         	       0        1        1        0        0
49644 oar-server-pgsql                   	       0        1        0        0        1
49645 oar-user                           	       0        1        1        0        0
49646 oar-user-pgsql                     	       0        1        0        0        1
49647 oar-web-status                     	       0        1        1        0        0
49648 oasis                              	       0        1        0        1        0
49649 obconf-qt                          	       0        6        0        6        0
49650 obconf-qt-l10n                     	       0        4        0        0        4
49651 obdautodoctor                      	       0        1        0        1        0
49652 obdgpslogger                       	       0        1        0        1        0
49653 obex-data-server                   	       0       18        0       18        0
49654 obexd-client                       	       0        3        0        3        0
49655 obexfs                             	       0        8        0        8        0
49656 obexftp                            	       0       17        0       17        0
49657 obexpushd                          	       0        7        0        7        0
49658 obfsproxy                          	       0        2        0        2        0
49659 obfuscate                          	       0        2        0        2        0
49660 obmenu                             	       0        5        0        5        0
49661 obs-3d-effect                      	       0        1        0        0        1
49662 obs-advanced-scene-switcher        	       0        9        1        0        8
49663 obs-advanced-scene-switcher-data   	       0        9        0        0        9
49664 obs-ashmanix-blur-filter           	       0        1        0        0        1
49665 obs-ashmanix-countdown             	       0        4        0        0        4
49666 obs-backgroundremoval              	       0        1        0        0        1
49667 obs-cli                            	       0        4        0        4        0
49668 obs-color-monitor                  	       0        1        0        0        1
49669 obs-command-source                 	       0        1        0        0        1
49670 obs-detect                         	       0        1        0        0        1
49671 obs-downstream-keyer               	       0        3        0        0        3
49672 obs-gradient-source                	       0        6        0        0        6
49673 obs-localvocal                     	       0        1        0        0        1
49674 obs-midi-mg                        	       0        1        0        1        0
49675 obs-move-transition                	       0        6        0        0        6
49676 obs-ndi                            	       0        2        0        1        1
49677 obs-pipewire-audio-capture         	       0        1        1        0        0
49678 obs-plugin-looking-glass           	       0        3        0        0        3
49679 obs-plugins                        	       0      151        3        4      144
49680 obs-productconverter               	       0        2        0        2        0
49681 obs-scene-as-transition            	       0        2        0        0        2
49682 obs-scene-collection-manager       	       0        7        0        1        6
49683 obs-scene-notes-dock               	       0        5        0        0        5
49684 obs-scene-tree-view                	       0        1        0        0        1
49685 obs-source-clone                   	       0        6        0        1        5
49686 obs-source-copy                    	       0        5        0        1        4
49687 obs-time-source                    	       0        1        0        0        1
49688 obs-transition-table               	       0        7        0        1        6
49689 obs-utils                          	       0        5        1        4        0
49690 obs-vintage-filter                 	       0        2        0        0        2
49691 obs-websocket                      	       0        1        0        1        0
49692 obsession                          	       0       61        1       60        0
49693 obsidian                           	       0       19        1        3       15
49694 obsidian-icon-theme                	       0       25        0        0       25
49695 obxd-lv2                           	       0        1        0        1        0
49696 ocaml-book-en                      	       0        2        0        0        2
49697 ocaml-doc                          	       0        5        0        0        5
49698 ocaml-dune                         	       0        3        0        3        0
49699 ocaml-man                          	       0       63        0        0       63
49700 ocaml-mode                         	       0        2        0        0        2
49701 ocaml-tools                        	       0        1        0        1        0
49702 ocamlbuild                         	       0        1        0        1        0
49703 ocamlviz                           	       0        1        0        1        0
49704 occt-draw                          	       0        4        0        4        0
49705 occt-misc                          	       0      162        0        0      162
49706 oce-draw                           	       0        3        0        3        0
49707 ocean-sound-theme                  	       0       25        0        0       25
49708 ocenaudio                          	       0        2        0        2        0
49709 ocfs2-tools                        	       0        3        1        2        0
49710 ocl-icd-dev                        	       0        4        0        4        0
49711 ocl-icd-libopencl1-amdgpu-pro      	       0        2        0        0        2
49712 ocl-icd-opencl-dev                 	       0       57        0        0       57
49713 oclgrind                           	       0        1        0        1        0
49714 ocproxy                            	       0        3        0        3        0
49715 ocqt5152-libqt5core5a              	       0        2        0        2        0
49716 ocqt5152-libqt5dbus5               	       0        2        0        2        0
49717 ocqt5152-libqt5gui5                	       0        2        0        2        0
49718 ocqt5152-libqt5keychain1           	       0        2        0        2        0
49719 ocqt5152-libqt5network5            	       0        2        0        2        0
49720 ocqt5152-libqt5sql5                	       0        2        0        0        2
49721 ocqt5152-libqt5sql5-sqlite         	       0        2        0        0        2
49722 ocqt5152-libqt5widgets5            	       0        2        0        2        0
49723 ocqt5152-libqt5xml5                	       0        1        0        0        1
49724 ocqt5152-qtsvg5                    	       0        2        0        2        0
49725 ocqt5152-qttranslations5           	       0        2        0        2        0
49726 ocqt5152-qtwayland5                	       0        2        0        0        2
49727 ocrfeeder                          	       0       26        0       26        0
49728 ocrmypdf-doc                       	       0       20        0        0       20
49729 ocs-url                            	       0        6        0        6        0
49730 ocserv                             	       0        1        0        1        0
49731 ocsinventory-agent                 	       0        1        0        1        0
49732 ocsinventory-server                	       0        1        0        1        0
49733 octave-astra-toolbox               	       0        1        0        1        0
49734 octave-audio                       	       0        2        0        0        2
49735 octave-bim                         	       0        1        0        0        1
49736 octave-bsltl                       	       0        1        0        0        1
49737 octave-cgi                         	       0        1        0        0        1
49738 octave-common                      	       0       88        0        0       88
49739 octave-control                     	       0        7        0        0        7
49740 octave-data-smoothing              	       0        1        0        0        1
49741 octave-dataframe                   	       0        1        0        0        1
49742 octave-dicom                       	       0        1        0        0        1
49743 octave-divand                      	       0        1        0        0        1
49744 octave-doc                         	       0       79        0        0       79
49745 octave-doctest                     	       0        1        0        0        1
49746 octave-econometrics                	       0        1        0        0        1
49747 octave-fpl                         	       0        1        0        0        1
49748 octave-ga                          	       0        1        0        0        1
49749 octave-general                     	       0        3        0        0        3
49750 octave-geometry                    	       0        2        0        0        2
49751 octave-gsl                         	       0        2        0        0        2
49752 octave-image                       	       0        4        0        0        4
49753 octave-info                        	       0        3        0        0        3
49754 octave-instrument-control          	       0        1        0        0        1
49755 octave-io                          	       0        6        0        0        6
49756 octave-jsonlab                     	       0        1        0        0        1
49757 octave-linear-algebra              	       0        3        0        0        3
49758 octave-lssa                        	       0        1        0        0        1
49759 octave-mapping                     	       0        1        0        0        1
49760 octave-matgeom                     	       0        3        0        0        3
49761 octave-miscellaneous               	       0        4        0        0        4
49762 octave-missing-functions           	       0        1        0        0        1
49763 octave-msh                         	       0        1        0        0        1
49764 octave-openems                     	       0        4        0        0        4
49765 octave-optics                      	       0        1        0        0        1
49766 octave-optim                       	       0        3        0        0        3
49767 octave-pfstools                    	       0        1        0        0        1
49768 octave-psychtoolbox-3              	       0        1        0        1        0
49769 octave-quaternion                  	       0        1        0        0        1
49770 octave-signal                      	       0        6        0        0        6
49771 octave-sockets                     	       0        1        0        0        1
49772 octave-sparsersb                   	       0        1        0        0        1
49773 octave-splines                     	       0        2        0        0        2
49774 octave-statistics                  	       0        9        0        0        9
49775 octave-strings                     	       0        1        0        0        1
49776 octave-struct                      	       0        5        0        0        5
49777 octave-symbolic                    	       0        1        0        0        1
49778 octave-vlfeat                      	       0        1        0        0        1
49779 octave-zmat                        	       0        2        0        0        2
49780 octave3.0-doc                      	       0        1        0        0        1
49781 octave3.0-htmldoc                  	       0        1        0        0        1
49782 octave3.2-common                   	       0        2        0        0        2
49783 octicons                           	       0        2        0        0        2
49784 octomap-tools                      	       0        2        0        2        0
49785 octovis                            	       0        2        0        2        0
49786 odadrawingsexplorer                	       0        2        0        2        0
49787 odafileconverter                   	       0        4        0        4        0
49788 odamex                             	       0        1        0        1        0
49789 odaviewer                          	       0        1        0        1        0
49790 odb                                	       0        1        0        1        0
49791 odbc-mariadb                       	       0        9        0        2        7
49792 odbc-mdbtools                      	       0        2        0        0        2
49793 odbc-postgresql                    	       0       16        0        0       16
49794 odbcinst1debian2                   	       0      228        0        2      226
49795 odc                                	       0        1        0        1        0
49796 oddjob-mkhomedir                   	       0       14        0        0       14
49797 odil                               	       0        2        0        2        0
49798 odin                               	       0        2        0        2        0
49799 odin2                              	       0        1        0        1        0
49800 odin2-synth                        	       0        1        0        1        0
49801 odoo                               	       0        4        0        4        0
49802 odr-dabmod                         	       0        2        0        2        0
49803 odr-dabmux                         	       0        2        0        2        0
49804 odr-padenc                         	       0        2        0        2        0
49805 odroid-base                        	       0        2        0        2        0
49806 oem-pulseaudio-alsamixer-control-files	       0        1        0        1        0
49807 office2003-schemas                 	       0        3        0        0        3
49808 officebib                          	       0        1        0        1        0
49809 offlineimap                        	       0       15        0        1       14
49810 offlineimap3                       	       0       26        0       26        0
49811 offpunk                            	       0        1        0        1        0
49812 ofono-dev                          	       0        1        0        1        0
49813 ofono-scripts                      	       0        2        0        0        2
49814 ofr                                	       0        1        0        1        0
49815 ofx                                	       0        3        0        3        0
49816 ofxstatement                       	       0        3        1        2        0
49817 ofxstatement-plugins               	       0        3        0        3        0
49818 ogamesim                           	       0        7        0        7        0
49819 oggz-tools                         	       0       10        0       10        0
49820 ogmrip                             	       0       11        0       11        0
49821 ogmrip-dirac                       	       0        1        0        0        1
49822 ogmrip-doc                         	       0       13        0        0       13
49823 ogmrip-flac                        	       0        1        0        0        1
49824 ogmrip-mpeg                        	       0        1        0        1        0
49825 ogmrip-oggz                        	       0        1        0        0        1
49826 ogmrip-plugins                     	       0       10        0        0       10
49827 ogmrip-webm                        	       0        1        0        0        1
49828 ogmtools                           	       0       27        0       27        0
49829 ognibuild                          	       0        1        0        1        0
49830 ogre-1.9-doc                       	       0        1        0        0        1
49831 ogre-1.9-tools                     	       0        1        0        1        0
49832 ohcount                            	       0        2        0        2        0
49833 oidc-agent-cli                     	       0        1        0        1        0
49834 oidentd                            	       0       15        5       10        0
49835 oidua                              	       0        1        0        1        0
49836 oinkmaster                         	       0       12        1       11        0
49837 okteta-dev                         	       0        1        0        0        1
49838 okular-backend-odp                 	       0       16        0        0       16
49839 okular-backend-odt                 	       0       17        0        1       16
49840 okular-csp                         	       0        1        0        1        0
49841 okular-csp-cryptopro               	       0        1        0        0        1
49842 okular-csp-extra-backends          	       0        1        0        0        1
49843 okular-data                        	       0       21        1        1       19
49844 okular-doc                         	       0       20        0        0       20
49845 okular-extra-backends              	       0       83        0        4       79
49846 okular-mobile                      	       0       11        0       11        0
49847 ola                                	       0        4        0        4        0
49848 ola-python                         	       0        1        0        1        0
49849 olinuxino-overlays                 	       0        1        0        1        0
49850 olinuxino-rtk-hciattach            	       0        1        0        1        0
49851 olinuxino-tools                    	       0        1        0        1        0
49852 olive                              	       0        1        0        1        0
49853 olive-editor                       	       0       13        0       13        0
49854 ollama                             	       0        1        0        1        0
49855 olsrd                              	       0        1        0        1        0
49856 olsrd-plugins                      	       0        1        0        1        0
49857 olvwm                              	       0        2        0        2        0
49858 olwm                               	       0        1        0        1        0
49859 omadac                             	       0        1        0        1        0
49860 omake                              	       0        2        0        2        0
49861 omake-doc                          	       0        2        0        0        2
49862 omaque                             	       0        1        0        1        0
49863 omc                                	       0        1        0        1        0
49864 omc-common                         	       0        1        0        1        0
49865 omc-doc                            	       0        1        0        0        1
49866 omedit                             	       0        1        0        1        0
49867 omegat                             	       0        4        0        4        0
49868 omins                              	       0       15        0        0       15
49869 omlibrary                          	       0        1        0        0        1
49870 omniidl                            	       0        3        1        2        0
49871 omniorb                            	       0        1        0        1        0
49872 omniorb-idl                        	       0        1        0        0        1
49873 omnotebook                         	       0        1        0        1        0
49874 omodscan                           	       0        1        0        1        0
49875 omplapp-dev                        	       0        1        0        0        1
49876 omplot                             	       0        1        0        1        0
49877 omshell                            	       0        1        0        1        0
49878 omshell-terminal                   	       0        1        0        1        0
49879 omsimulator                        	       0        1        0        1        0
49880 onboard-common                     	       0       49        4        8       37
49881 onboard-data                       	       0       46        0        0       46
49882 ondir                              	       0        1        0        1        0
49883 onedrive                           	       0        7        0        7        0
49884 oneisenough                        	       0        1        0        1        0
49885 oneko                              	       0       25        1       24        0
49886 onesixtyone                        	       0        3        0        3        0
49887 onetime                            	       0        1        0        1        0
49888 onevpl-tools                       	       0        1        0        1        0
49889 onionbalance                       	       0        2        0        2        0
49890 onioncircuits                      	       0        4        0        4        0
49891 onionprobe                         	       0        2        0        2        0
49892 onionshare                         	       0        9        0        9        0
49893 onionshare-cli                     	       0        7        0        7        0
49894 onlykey                            	       0        1        0        1        0
49895 onnxruntime-tools                  	       0        1        0        1        0
49896 onscripter                         	       0        4        0        4        0
49897 ontospy                            	       0        1        0        1        0
49898 onvif-device-tool                  	       0        1        0        1        0
49899 onvif-tools                        	       0        6        0        6        0
49900 oofem                              	       0        1        0        1        0
49901 oofem-tests                        	       0        1        0        0        1
49902 oolite                             	       0        2        0        2        0
49903 oolite-build-deps                  	       0        1        0        0        1
49904 oolite-data                        	       0        3        0        0        3
49905 oolite-data-sounds                 	       0        3        0        0        3
49906 oolite-dbgsym                      	       0        1        0        1        0
49907 oolite-doc                         	       0        3        0        0        3
49908 oolitestarter                      	       0        2        0        2        0
49909 oomd                               	       0        1        0        1        0
49910 ooniprobe-cli                      	       0        1        0        1        0
49911 oonsoo                             	       0        1        0        1        0
49912 ooo-thumbnailer                    	       0       13        1       12        0
49913 ooo2dbk                            	       0        3        0        3        0
49914 ooobasis3.0-base                   	       0        1        0        0        1
49915 ooobasis3.0-binfilter              	       0        1        0        0        1
49916 ooobasis3.0-calc                   	       0        1        0        0        1
49917 ooobasis3.0-core01                 	       0        1        0        0        1
49918 ooobasis3.0-core02                 	       0        1        0        0        1
49919 ooobasis3.0-core03                 	       0        1        0        0        1
49920 ooobasis3.0-core04                 	       0        1        0        0        1
49921 ooobasis3.0-core05                 	       0        1        0        0        1
49922 ooobasis3.0-core06                 	       0        1        0        0        1
49923 ooobasis3.0-core07                 	       0        1        0        0        1
49924 ooobasis3.0-draw                   	       0        1        0        0        1
49925 ooobasis3.0-extension-pdf-import   	       0        1        0        0        1
49926 ooobasis3.0-extension-presentation-minimizer	       0        1        0        0        1
49927 ooobasis3.0-gnome-integration      	       0        1        0        0        1
49928 ooobasis3.0-graphicfilter          	       0        1        0        0        1
49929 ooobasis3.0-images                 	       0        1        0        0        1
49930 ooobasis3.0-impress                	       0        1        0        0        1
49931 ooobasis3.0-javafilter             	       0        1        0        0        1
49932 ooobasis3.0-kde-integration        	       0        1        0        0        1
49933 ooobasis3.0-math                   	       0        1        0        0        1
49934 ooobasis3.0-onlineupdate           	       0        1        0        0        1
49935 ooobasis3.0-ooofonts               	       0        1        0        0        1
49936 ooobasis3.0-ooolinguistic          	       0        1        0        0        1
49937 ooobasis3.0-pyuno                  	       0        1        0        0        1
49938 ooobasis3.0-ru                     	       0        1        0        0        1
49939 ooobasis3.0-ru-base                	       0        1        0        0        1
49940 ooobasis3.0-ru-binfilter           	       0        1        0        0        1
49941 ooobasis3.0-ru-calc                	       0        1        0        0        1
49942 ooobasis3.0-ru-draw                	       0        1        0        0        1
49943 ooobasis3.0-ru-help                	       0        1        0        0        1
49944 ooobasis3.0-ru-impress             	       0        1        0        0        1
49945 ooobasis3.0-ru-math                	       0        1        0        0        1
49946 ooobasis3.0-ru-res                 	       0        1        0        0        1
49947 ooobasis3.0-ru-writer              	       0        1        0        0        1
49948 ooobasis3.0-testtool               	       0        1        0        0        1
49949 ooobasis3.0-writer                 	       0        1        0        0        1
49950 ooobasis3.0-xsltfilter             	       0        1        0        0        1
49951 ooohg                              	       0        8        0        0        8
49952 oops                               	       0        1        0        1        0
49953 opal-prd                           	       0        1        0        1        0
49954 opal-utils                         	       0        1        0        1        0
49955 opalmod                            	       0        6        0        6        0
49956 opam                               	       0       16        0       16        0
49957 opam-doc                           	       0        8        0        0        8
49958 opam-installer                     	       0       16        0       16        0
49959 opari2                             	       0        1        0        1        0
49960 open-adventure                     	       0        7        0        7        0
49961 open-ecard-app                     	       0        1        0        1        0
49962 open-eid                           	       0        2        0        1        1
49963 open-font-design-toolkit           	       0        2        0        0        2
49964 open-invaders                      	       0       16        0       16        0
49965 open-invaders-data                 	       0       16        0        0       16
49966 open-jtalk                         	       0        3        0        3        0
49967 open-jtalk-mecab-naist-jdic        	       0        3        0        0        3
49968 open-roms                          	       0        2        0        0        2
49969 open-vm-dkms                       	       0        1        0        1        0
49970 open-vm-tools-containerinfo        	       0        1        0        0        1
49971 open-vm-tools-dev                  	       0        1        0        1        0
49972 open-vm-tools-dkms                 	       0        2        0        2        0
49973 openafs-client                     	       0        6        2        4        0
49974 openafs-dbserver                   	       0        1        0        1        0
49975 openafs-doc                        	       0        1        0        0        1
49976 openafs-fileserver                 	       0        1        0        1        0
49977 openafs-fuse                       	       0        1        0        1        0
49978 openafs-krb5                       	       0        5        2        3        0
49979 openafs-modules-dkms               	       0        6        1        5        0
49980 openais                            	       0        2        0        2        0
49981 openal-info                        	       0        7        0        7        0
49982 openal-tools                       	       0        1        0        1        0
49983 openapi-specification              	       0        1        0        0        1
49984 openaptx                           	       0        1        0        1        0
49985 openaptx-utils                     	       0        1        0        1        0
49986 openarena-081-maps                 	       0       33        0        0       33
49987 openarena-081-misc                 	       0       33        0        0       33
49988 openarena-081-players              	       0       33        0        0       33
49989 openarena-081-players-mature       	       0       33        0        0       33
49990 openarena-081-textures             	       0       33        0        0       33
49991 openarena-085-data                 	       0       33        0        0       33
49992 openarena-088-data                 	       0       33        0        0       33
49993 openarena-data                     	       0       33        0        0       33
49994 openarena-server                   	       0        1        1        0        0
49995 openaudible                        	       0        1        0        1        0
49996 openbabel                          	       0       16        2       14        0
49997 openbabel-gui                      	       0        2        0        2        0
49998 openbazaar2                        	       0        1        0        1        0
49999 openbazaarclient                   	       0        1        0        1        0
50000 openbios-ppc                       	       0       25        0        0       25
50001 openbios-sparc                     	       0       24        0        0       24
50002 openbmap-logger                    	       0        1        0        1        0
50003 openboard                          	       0        5        0        5        0
50004 openboard-common                   	       0        5        0        0        5
50005 openboard-fonts-nonfree            	       0        2        0        0        2
50006 openboardview                      	       0        2        0        2        0
50007 openbox-dev                        	       0        1        0        1        0
50008 openbox-gnome-session              	       0        3        0        3        0
50009 openbox-menu                       	       0       20        1       19        0
50010 openbox-themes                     	       0        2        0        0        2
50011 openbve                            	       0        3        0        3        0
50012 openbve-data                       	       0        1        0        1        0
50013 opencachemanager                   	       0        1        0        1        0
50014 opencc                             	       0       10        0       10        0
50015 opencfu                            	       0        1        0        1        0
50016 openchrome-tool                    	       0        2        0        2        0
50017 opencity-data                      	       0        9        0        0        9
50018 opencl-1.2-html-doc                	       0        1        0        0        1
50019 opencl-1.2-man-doc                 	       0        1        0        0        1
50020 opencl-c-headers                   	       0       71        1       70        0
50021 opencl-clhpp-headers               	       0       69        0        0       69
50022 opencl-clhpp-headers-doc           	       0        2        0        0        2
50023 opencl-headers                     	       0       29        0        0       29
50024 opencl-orca-amdgpu-pro-icd         	       0        1        0        0        1
50025 openclipart                        	       0       22        0        0       22
50026 openclipart-png                    	       0       54        0        0       54
50027 openclipart-svg                    	       0       30        0        0       30
50028 openclipart2                       	       0        2        0        0        2
50029 openclipart2-libreoffice           	       0        5        0        5        0
50030 openclipart2-png                   	       0        5        0        0        5
50031 openclipart2-svg                   	       0        2        0        0        2
50032 openclonk                          	       0       10        0       10        0
50033 openclonk-data                     	       0       10        0        0       10
50034 opencollada-dev                    	       0        5        0        5        0
50035 opencollada-tools                  	       0        4        0        4        0
50036 opencolorio-doc                    	       0        4        0        0        4
50037 opencolorio-tools                  	       0        3        0        3        0
50038 openconcerto                       	       0        1        0        0        1
50039 opencpn                            	       0        6        0        6        0
50040 opencpn-data                       	       0        6        0        0        6
50041 opencryptoki                       	       0        5        1        4        0
50042 openctm-tools                      	       0        2        0        2        0
50043 opencu                             	       0        3        0        3        0
50044 opencubicplayer-doc                	       0       27        0        0       27
50045 opencv-data                        	       0      131        0        0      131
50046 opencv-dev-2.4.6.1                 	       0        1        0        1        0
50047 opencv-doc                         	       0        5        0        0        5
50048 opendbx-utils                      	       0        2        0        2        0
50049 opendkim                           	       0       35       10       25        0
50050 opendkim-tools                     	       0       38        2       36        0
50051 opendmarc                          	       0        3        0        3        0
50052 opendnssec                         	       0        4        0        0        4
50053 opendnssec-common                  	       0        4        0        4        0
50054 opendnssec-doc                     	       0        1        0        0        1
50055 opendnssec-enforcer                	       0        4        0        4        0
50056 opendnssec-enforcer-sqlite3        	       0        4        0        4        0
50057 opendnssec-signer                  	       0        4        0        4        0
50058 openems                            	       0        6        0        6        0
50059 openexr                            	       0       11        0       11        0
50060 openexr-viewers                    	       0        4        0        4        0
50061 openfire                           	       0        5        0        0        5
50062 openfoam                           	       0        2        0        2        0
50063 openfoam-examples                  	       0        2        0        0        2
50064 openfortigui                       	       0        4        1        3        0
50065 openfpgaloader                     	       0        1        1        0        0
50066 opengl-4-man-doc                   	       0        1        0        0        1
50067 openglad                           	       0        1        0        1        0
50068 openhab                            	       0        3        1        2        0
50069 openhab-addons                     	       0        2        0        0        2
50070 openhackware                       	       0       31        0        0       31
50071 openhantek                         	       0        7        0        7        0
50072 openhpid                           	       0        8        0        8        0
50073 openimageio-tools                  	       0        5        0        5        0
50074 openipmi                           	       0       93        1       92        0
50075 openjazz                           	       0        1        0        1        0
50076 openjdk-10-jdk-headless            	       0        1        0        0        1
50077 openjdk-10-jre                     	       0        3        0        0        3
50078 openjdk-10-jre-headless            	       0        6        0        6        0
50079 openjdk-11-demo                    	       0        9        0        0        9
50080 openjdk-11-doc                     	       0       17        0        0       17
50081 openjdk-11-jdk-headless            	       0      156        0        0      156
50082 openjdk-11-jre                     	       0      673        2        3      668
50083 openjdk-11-jre-dcevm               	       0        2        0        0        2
50084 openjdk-11-jre-zero                	       0        2        0        0        2
50085 openjdk-14-jre                     	       0        1        0        0        1
50086 openjdk-14-jre-headless            	       0        1        0        1        0
50087 openjdk-15-jdk                     	       0        2        0        0        2
50088 openjdk-15-jdk-headless            	       0        3        0        0        3
50089 openjdk-15-jre                     	       0        2        0        0        2
50090 openjdk-15-jre-headless            	       0        4        0        4        0
50091 openjdk-16-jre                     	       0        2        0        0        2
50092 openjdk-16-jre-headless            	       0        2        0        2        0
50093 openjdk-17-demo                    	       0        7        0        0        7
50094 openjdk-17-doc                     	       0       35        0        0       35
50095 openjdk-17-jdk-headless            	       0      269        0        0      269
50096 openjdk-17-jre-zero                	       0        4        0        0        4
50097 openjdk-18-jdk                     	       0        1        0        0        1
50098 openjdk-18-jdk-headless            	       0        1        0        0        1
50099 openjdk-18-jre                     	       0        2        0        0        2
50100 openjdk-18-jre-headless            	       0        2        0        2        0
50101 openjdk-19-jre                     	       0        1        0        0        1
50102 openjdk-19-jre-headless            	       0        1        0        1        0
50103 openjdk-21-doc                     	       0        7        0        0        7
50104 openjdk-21-jdk                     	       0       17        0        2       15
50105 openjdk-21-jdk-headless            	       0       19        0        0       19
50106 openjdk-21-jre                     	       0       87        1        1       85
50107 openjdk-21-source                  	       0        2        0        2        0
50108 openjdk-22-jdk                     	       0        2        0        0        2
50109 openjdk-22-jdk-headless            	       0        3        0        0        3
50110 openjdk-22-jre                     	       0        3        0        0        3
50111 openjdk-22-jre-headless            	       0        3        0        3        0
50112 openjdk-23-demo                    	       0        1        0        0        1
50113 openjdk-23-jdk                     	       0        3        0        1        2
50114 openjdk-23-jdk-headless            	       0        3        0        0        3
50115 openjdk-23-jre                     	       0        3        0        0        3
50116 openjdk-23-jre-headless            	       0        3        0        3        0
50117 openjdk-23-source                  	       0        1        0        1        0
50118 openjdk-24-demo                    	       0        1        0        0        1
50119 openjdk-24-doc                     	       0        2        0        0        2
50120 openjdk-24-jdk-headless            	       0        3        0        0        3
50121 openjdk-24-jre                     	       0        4        0        0        4
50122 openjdk-24-jre-zero                	       0        1        0        0        1
50123 openjdk-25-jdk                     	       0        1        0        0        1
50124 openjdk-25-jdk-headless            	       0        1        0        0        1
50125 openjdk-25-jre                     	       0        2        0        0        2
50126 openjdk-7-doc                      	       0        3        0        0        3
50127 openjdk-7-jdk                      	       0        1        0        0        1
50128 openjdk-7-jre                      	       0       16        0        0       16
50129 openjdk-7-jre-lib                  	       0        5        0        0        5
50130 openjdk-8-demo                     	       0        2        0        0        2
50131 openjdk-8-doc                      	       0        5        0        0        5
50132 openjdk-8-jdk                      	       0       42        0        0       42
50133 openjdk-8-jdk-headless             	       0       45        1        0       44
50134 openjdk-8-jre                      	       0      172        0        0      172
50135 openjdk-8-jre-zero                 	       0        1        0        0        1
50136 openjdk-8-source                   	       0        2        0        2        0
50137 openjdk-9-jdk                      	       0        1        0        0        1
50138 openjdk-9-jdk-headless             	       0        1        0        0        1
50139 openjdk-9-jre                      	       0        2        0        0        2
50140 openjdk-9-jre-headless             	       0        5        0        5        0
50141 openjfx                            	       0      105        0        0      105
50142 openjfx-source                     	       0       88        0        0       88
50143 openjpeg-doc                       	       0        1        0        0        1
50144 openlogic-openjdk-8-hotspot        	       0        1        0        1        0
50145 openlogic-openjdk-8-hotspot-jre    	       0        1        0        1        0
50146 openlugaru                         	       0        1        0        1        0
50147 openlugaru-data                    	       0        1        0        0        1
50148 openmodelica                       	       0        1        0        0        1
50149 openmotif                          	       0        1        0        0        1
50150 openmotor                          	       0        1        0        1        0
50151 openmp-extras-dev                  	       0        3        0        3        0
50152 openmpi-common                     	       0      197        0        0      197
50153 openmpi-doc                        	       0        7        0        0        7
50154 openmpt123                         	       0        3        0        3        0
50155 openmsx                            	       0       12        0       12        0
50156 openmsx-catapult                   	       0        7        0        7        0
50157 openmsx-data                       	       0       12        0        0       12
50158 openmsx-debugger                   	       0        4        0        4        0
50159 openmw                             	       0       13        0       13        0
50160 openmw-cs                          	       0        7        0        7        0
50161 openmw-cs-dbgsym                   	       0        1        0        1        0
50162 openmw-data                        	       0       14        0        0       14
50163 openmw-dbgsym                      	       0        1        0        1        0
50164 openmw-launcher                    	       0       13        0       13        0
50165 openmw-launcher-dbgsym             	       0        1        0        1        0
50166 openmx                             	       0        1        0        1        0
50167 openmx-data                        	       0        1        0        0        1
50168 opennebula                         	       0        1        0        1        0
50169 opennebula-common                  	       0        1        0        1        0
50170 opennebula-common-onescape         	       0        1        0        0        1
50171 opennebula-flow                    	       0        1        0        1        0
50172 opennebula-gate                    	       0        1        0        1        0
50173 opennebula-migration               	       0        1        0        1        0
50174 opennebula-rubygems                	       0        1        0        1        0
50175 opennebula-sunstone                	       0        1        0        1        0
50176 opennebula-tools                   	       0        1        0        1        0
50177 openni-doc                         	       0        1        0        0        1
50178 openni-utils                       	       0        5        0        5        0
50179 openni2-doc                        	       0        2        0        0        2
50180 openni2-utils                      	       0        4        0        4        0
50181 opennlp                            	       0        1        0        1        0
50182 openobex-apps                      	       0       13        0       13        0
50183 openocd                            	       0       38        0       38        0
50184 openoffice                         	       0       15        0        3       12
50185 openoffice-base                    	       0       14        0        0       14
50186 openoffice-brand-base              	       0       14        0        0       14
50187 openoffice-brand-calc              	       0       14        0        0       14
50188 openoffice-brand-de                	       0        1        0        0        1
50189 openoffice-brand-draw              	       0       14        0        0       14
50190 openoffice-brand-en-gb             	       0        3        0        0        3
50191 openoffice-brand-en-us             	       0        7        0        0        7
50192 openoffice-brand-es                	       0        2        0        0        2
50193 openoffice-brand-impress           	       0       14        0        0       14
50194 openoffice-brand-math              	       0       12        0        0       12
50195 openoffice-brand-writer            	       0       14        0        0       14
50196 openoffice-calc                    	       0       14        0        2       12
50197 openoffice-core01                  	       0       15        0        3       12
50198 openoffice-core02                  	       0       15        0        3       12
50199 openoffice-core03                  	       0       15        0        3       12
50200 openoffice-core04                  	       0       15        0        3       12
50201 openoffice-core05                  	       0       15        0        3       12
50202 openoffice-core06                  	       0       15        0        0       15
50203 openoffice-core07                  	       0       15        0        0       15
50204 openoffice-de                      	       0        1        0        0        1
50205 openoffice-de-base                 	       0        1        0        0        1
50206 openoffice-de-calc                 	       0        1        0        0        1
50207 openoffice-de-draw                 	       0        1        0        0        1
50208 openoffice-de-help                 	       0        1        0        0        1
50209 openoffice-de-impress              	       0        1        0        0        1
50210 openoffice-de-math                 	       0        1        0        0        1
50211 openoffice-de-res                  	       0        1        0        0        1
50212 openoffice-de-writer               	       0        1        0        0        1
50213 openoffice-debian-menus            	       0       12        0       12        0
50214 openoffice-draw                    	       0       14        0        0       14
50215 openoffice-en-gb                   	       0        3        0        0        3
50216 openoffice-en-gb-base              	       0        3        0        0        3
50217 openoffice-en-gb-calc              	       0        3        0        0        3
50218 openoffice-en-gb-draw              	       0        3        0        0        3
50219 openoffice-en-gb-help              	       0        3        0        0        3
50220 openoffice-en-gb-impress           	       0        3        0        0        3
50221 openoffice-en-gb-math              	       0        3        0        0        3
50222 openoffice-en-gb-res               	       0        3        0        0        3
50223 openoffice-en-gb-writer            	       0        3        0        0        3
50224 openoffice-en-us                   	       0        8        0        0        8
50225 openoffice-en-us-base              	       0        8        0        0        8
50226 openoffice-en-us-calc              	       0        8        0        0        8
50227 openoffice-en-us-draw              	       0        8        0        0        8
50228 openoffice-en-us-help              	       0        8        0        0        8
50229 openoffice-en-us-impress           	       0        8        0        0        8
50230 openoffice-en-us-math              	       0        8        0        0        8
50231 openoffice-en-us-res               	       0        8        0        0        8
50232 openoffice-en-us-writer            	       0        8        0        0        8
50233 openoffice-es                      	       0        2        0        0        2
50234 openoffice-es-base                 	       0        2        0        0        2
50235 openoffice-es-calc                 	       0        2        0        0        2
50236 openoffice-es-draw                 	       0        2        0        0        2
50237 openoffice-es-help                 	       0        2        0        0        2
50238 openoffice-es-impress              	       0        2        0        0        2
50239 openoffice-es-math                 	       0        2        0        0        2
50240 openoffice-es-res                  	       0        2        0        0        2
50241 openoffice-es-writer               	       0        2        0        0        2
50242 openoffice-fr                      	       0        1        0        0        1
50243 openoffice-fr-writer               	       0        1        0        0        1
50244 openoffice-gnome-integration       	       0       11        0        0       11
50245 openoffice-graphicfilter           	       0       14        0        0       14
50246 openoffice-images                  	       0       15        0        0       15
50247 openoffice-impress                 	       0       14        0        0       14
50248 openoffice-javafilter              	       0       12        0        0       12
50249 openoffice-math                    	       0       12        0        0       12
50250 openoffice-ogltrans                	       0       12        0        0       12
50251 openoffice-onlineupdate            	       0       12        0        3        9
50252 openoffice-ooofonts                	       0       14        0        0       14
50253 openoffice-ooolinguistic           	       0       13        0        2       11
50254 openoffice-pyuno                   	       0       14        0       14        0
50255 openoffice-ure                     	       0       15        0        3       12
50256 openoffice-writer                  	       0       14        0        2       12
50257 openoffice-xsltfilter              	       0       14        0        0       14
50258 openoffice.org                     	       0        3        0        0        3
50259 openoffice.org-base                	       0        1        0        1        0
50260 openoffice.org-base-core           	       0        1        0        1        0
50261 openoffice.org-calc                	       0        3        0        3        0
50262 openoffice.org-common              	       0        5        0        5        0
50263 openoffice.org-core                	       0        1        0        1        0
50264 openoffice.org-draw                	       0        4        0        4        0
50265 openoffice.org-dtd-officedocument1.0	       0        3        0        3        0
50266 openoffice.org-emailmerge          	       0        1        0        0        1
50267 openoffice.org-evolution           	       0        1        0        0        1
50268 openoffice.org-filter-binfilter    	       0        1        0        1        0
50269 openoffice.org-filter-mobiledev    	       0        1        0        1        0
50270 openoffice.org-gcj                 	       0        1        0        1        0
50271 openoffice.org-gnome               	       0        1        0        0        1
50272 openoffice.org-gtk                 	       0        1        0        1        0
50273 openoffice.org-headless            	       0        1        0        1        0
50274 openoffice.org-help-en-gb          	       0        2        0        1        1
50275 openoffice.org-help-en-us          	       0        4        0        1        3
50276 openoffice.org-help-ru             	       0        1        0        0        1
50277 openoffice.org-hyphenation-de      	       0        1        0        0        1
50278 openoffice.org-hyphenation-en-us   	       0        2        0        0        2
50279 openoffice.org-hyphenation-fr      	       0        1        0        0        1
50280 openoffice.org-impress             	       0        4        0        4        0
50281 openoffice.org-java-common         	       0        5        0        1        4
50282 openoffice.org-kde                 	       0        1        0        1        0
50283 openoffice.org-l10n-de             	       0        2        0        0        2
50284 openoffice.org-l10n-en-gb          	       0        1        0        1        0
50285 openoffice.org-l10n-ru             	       0        1        0        0        1
50286 openoffice.org-l10n-sv             	       0        1        0        0        1
50287 openoffice.org-math                	       0        3        0        3        0
50288 openoffice.org-officebean          	       0        1        0        1        0
50289 openoffice.org-report-builder-bin  	       0        1        0        1        0
50290 openoffice.org-style-andromeda     	       0        1        0        1        0
50291 openoffice.org-style-crystal       	       0        1        0        1        0
50292 openoffice.org-style-tango         	       0        1        0        1        0
50293 openoffice.org-thesaurus-en-us     	       0        3        0        0        3
50294 openoffice.org-ure                 	       0        1        0        0        1
50295 openoffice.org-writer              	       0        5        0        5        0
50296 openoffice.org-writer2latex        	       0        1        0        1        0
50297 openoffice.org3                    	       0        1        0        0        1
50298 openoffice.org3-base               	       0        1        0        0        1
50299 openoffice.org3-calc               	       0        1        0        0        1
50300 openoffice.org3-dict-de            	       0        1        0        0        1
50301 openoffice.org3-dict-en            	       0        1        0        0        1
50302 openoffice.org3-dict-ru            	       0        1        0        0        1
50303 openoffice.org3-dict-uk            	       0        1        0        0        1
50304 openoffice.org3-draw               	       0        1        0        0        1
50305 openoffice.org3-impress            	       0        1        0        0        1
50306 openoffice.org3-math               	       0        1        0        0        1
50307 openoffice.org3-ru                 	       0        1        0        0        1
50308 openoffice.org3-writer             	       0        1        0        0        1
50309 openorienteering-mapper            	       0        1        0        1        0
50310 openpaperwork-core-doc             	       0        1        0        0        1
50311 openpaperwork-gtk-doc              	       0        1        0        0        1
50312 openpgp-applet                     	       0        1        0        1        0
50313 openpref                           	       0       10        0       10        0
50314 openprinting-ppds-postscript-epson 	       0        1        0        0        1
50315 openprinting-ppds-postscript-kyocera	       0        1        0        0        1
50316 openprinting-ppds-postscript-ricoh 	       0        1        0        0        1
50317 openprinting-ppds-postscript-xerox 	       0        1        0        0        1
50318 openprinting-ppds-pxlcolor-ricoh   	       0        1        0        0        1
50319 openprinting-ppds-pxlmono-samsung  	       0        1        0        0        1
50320 openproject                        	       0        3        0        3        0
50321 openra                             	       0        3        0        3        0
50322 openrazer-daemon                   	       0        2        1        1        0
50323 openrazer-driver-dkms              	       0        7        1        6        0
50324 openrazer-meta                     	       0        1        0        0        1
50325 openrct2-data                      	       0        4        0        0        4
50326 openrct2-objects                   	       0        4        0        0        4
50327 openrct2-openmsx                   	       0        1        0        0        1
50328 openrct2-opensfx                   	       0        1        0        0        1
50329 openrct2-title-sequences           	       0        4        0        0        4
50330 openrefine                         	       0        2        0        2        0
50331 openresty                          	       0        1        0        1        0
50332 openresty-openssl3                 	       0        1        0        1        0
50333 openresty-opm                      	       0        1        0        1        0
50334 openresty-pcre2                    	       0        1        0        1        0
50335 openresty-resty                    	       0        1        0        1        0
50336 openresty-zlib                     	       0        1        0        1        0
50337 openrgb                            	       0       14        0       14        0
50338 openrocket                         	       0        1        0        1        0
50339 opensaml-tools                     	       0        1        0        1        0
50340 opensbi                            	       0       25        0        0       25
50341 openscad                           	       0       78        0       78        0
50342 openscad-dbgsym                    	       0        1        0        1        0
50343 openscad-mcad                      	       0       74        0        0       74
50344 openscad-nightly                   	       0        3        0        3        0
50345 openscad-testing                   	       0        3        0        3        0
50346 openscad-testing-data              	       0        6        0        0        6
50347 openscap-common                    	       0        1        0        0        1
50348 openscap-scanner                   	       0        1        0        1        0
50349 openscap-utils                     	       0        1        0        1        0
50350 openscenegraph                     	       0        7        0        7        0
50351 opense-basic                       	       0       11        0        0       11
50352 openseachest                       	       0        4        0        4        0
50353 openshift-imagebuilder             	       0        1        0        1        0
50354 openshot                           	       0       57        0        3       54
50355 openshot-doc                       	       0       10        0        0       10
50356 openshot-qt-doc                    	       0       24        0        0       24
50357 openslide-tools                    	       0        3        0        3        0
50358 opensm                             	       0        1        1        0        0
50359 opensmtpd                          	       0        6        1        5        0
50360 opensmtpd-extras                   	       0        3        0        0        3
50361 opensmtpd-filter-dkimsign          	       0        1        0        1        0
50362 opensmtpd-filter-rspamd            	       0        1        0        1        0
50363 opensnitch                         	       0        5        0        5        0
50364 opensong                           	       0        1        0        0        1
50365 openspades                         	       0        1        0        0        1
50366 openssh-blacklist                  	       0       31        0        0       31
50367 openssh-blacklist-extra            	       0       26        0        0       26
50368 openssh-client-gssapi              	       0       11        0        0       11
50369 openssh-client-ssh1                	       0        5        0        5        0
50370 openssh-known-hosts                	       0        3        1        2        0
50371 openssh-server-gssapi              	       0       11        0        0       11
50372 openssl-blacklist                  	       0       15        2       13        0
50373 openssl-blacklist-extra            	       0        6        0        0        6
50374 openssl-build-deps                 	       0        1        0        0        1
50375 openssl-dbgsym                     	       0        1        0        1        0
50376 openssn                            	       0        2        0        2        0
50377 openssn-data                       	       0        2        0        0        2
50378 opensta                            	       0        4        0        4        0
50379 openstack-dashboard                	       0        1        0        0        1
50380 openstack-dashboard-apache         	       0        1        0        0        1
50381 openstack-debian-images            	       0        1        0        1        0
50382 openstack-deploy                   	       0        1        0        1        0
50383 openstack-pkg-tools                	       0        2        0        2        0
50384 openstereogram                     	       0        1        0        1        0
50385 openstreetmap-map-icons-classic    	       0        2        0        0        2
50386 openstreetmap-map-icons-scalable   	       0        1        0        0        1
50387 openstreetmap-map-icons-square     	       0        2        0        0        2
50388 openswan                           	       0        1        0        1        0
50389 opensync-plugin-irmc               	       0        1        0        1        0
50390 opentabletdriver                   	       0        1        0        1        0
50391 openthesaurus-de-text              	       0        1        0        0        1
50392 opentmpfiles                       	       0        1        0        1        0
50393 opentracker                        	       0        1        0        1        0
50394 openttd-data                       	       0       47        0        0       47
50395 openttd-opengfx                    	       0       45        0        0       45
50396 openttd-openmsx                    	       0       45        0        0       45
50397 openttd-opensfx                    	       0       42        0        0       42
50398 openturns-common                   	       0        3        0        0        3
50399 opentyrian                         	       0        2        0        2        0
50400 openuniverse                       	       0        9        2        7        0
50401 openuniverse-common                	       0       10        0        0       10
50402 openvas                            	       0        2        1        0        1
50403 openvas-cli                        	       0        1        0        1        0
50404 openvas-manager                    	       0        1        0        1        0
50405 openvas-manager-common             	       0        1        0        1        0
50406 openvas-scanner                    	       0        2        0        2        0
50407 openvpn-blacklist                  	       0        3        0        3        0
50408 openvpn-dbgsym                     	       0        2        0        2        0
50409 openvpn-nl                         	       0        1        0        1        0
50410 openvswitch-common                 	       0        4        0        4        0
50411 openvswitch-datapath-source        	       0        1        0        0        1
50412 openvswitch-ipsec                  	       0        1        0        1        0
50413 openvswitch-switch                 	       0        4        0        4        0
50414 openwebrx                          	       0        1        0        1        0
50415 openwince-include                  	       0        1        0        1        0
50416 openwince-jtag                     	       0        2        0        2        0
50417 openwsman                          	       0        1        0        1        0
50418 openyahtzee                        	       0        4        0        4        0
50419 opera                              	       0        2        0        2        0
50420 opera-developer                    	       0        1        0        1        0
50421 opera-legacy                       	       0        1        0        1        0
50422 opgpcard                           	       0        3        0        3        0
50423 ophcrack                           	       0       12        0       12        0
50424 ophcrack-cli                       	       0       24        0       24        0
50425 opie-client                        	       0        1        0        1        0
50426 opie-server                        	       0        1        0        1        0
50427 oping                              	       0       10        0       10        0
50428 opl3-soundfont                     	       0       15        0        0       15
50429 oprofile                           	       0        1        0        1        0
50430 opt                                	       0        4        0        4        0
50431 opticalraytracer                   	       0        3        0        3        0
50432 opuscomment                        	       0        1        0        1        0
50433 opusfile-build-deps-depends        	       0        1        0        0        1
50434 opustags                           	       0        1        0        1        0
50435 ora2pg                             	       0        4        0        4        0
50436 oracle-instantclient11.2-basic     	       0        1        0        1        0
50437 oracle-instantclient11.2-devel     	       0        1        0        1        0
50438 oracle-instantclient11.2-sqlplus   	       0        1        0        1        0
50439 oracle-j2re1.7                     	       0        3        1        2        0
50440 oracle-j2sdk1.7                    	       0        2        0        2        0
50441 oracle-java6-jdk                   	       0        1        0        1        0
50442 oracle-java7-installer             	       0        1        0        0        1
50443 oracle-java7-jdk                   	       0        1        0        1        0
50444 oracle-java7-jre                   	       0        1        0        1        0
50445 oracle-java8-doc                   	       0        1        0        0        1
50446 oracle-java8-installer             	       0        3        0        0        3
50447 oracle-java8-jdk                   	       0        3        0        3        0
50448 oracle-java8-jre                   	       0        3        0        3        0
50449 oracle-java8-set-default           	       0        3        0        0        3
50450 orage-data                         	       0       44        1        4       39
50451 orange                             	       0        2        0        2        0
50452 orbit2                             	       0        7        0        7        0
50453 orbital-eunuchs-sniper             	       0        2        0        2        0
50454 orbital-eunuchs-sniper-data        	       0        2        0        0        2
50455 orca-sops                          	       0        1        0        1        0
50456 orchis-gtk-theme                   	       0       15        0        0       15
50457 oregano                            	       0       16        0       16        0
50458 org-mode                           	       0       13        0        0       13
50459 org-mode-doc                       	       0       10        0        0       10
50460 org-roam-doc                       	       0        2        0        0        2
50461 organize                           	       0        1        0        1        0
50462 origami-pdf                        	       0        4        0        4        0
50463 original-awk                       	       0       13        0       13        0
50464 oroborus                           	       0        5        0        5        0
50465 orosound-link                      	       0        1        0        1        0
50466 orpie                              	       0       10        0       10        0
50467 orthanc                            	       0        2        1        1        0
50468 orthanc-imagej                     	       0        1        0        0        1
50469 orthanc-wsi                        	       0        2        0        2        0
50470 os-brick-common                    	       0        2        0        0        2
50471 os-uninstaller                     	       0        1        0        1        0
50472 os8                                	       0        1        0        1        0
50473 oscar                              	       0        1        0        1        0
50474 osdclock                           	       0        8        0        8        0
50475 osdsh                              	       0        6        0        6        0
50476 oselas.toolchain-2016.06.1-i586-unknown-linux-gnu-gcc-5.4.0-glibc-2.23-binutils-2.26-kernel-4.6-sanitized	       0        1        0        1        0
50477 osgearth-data                      	       0        1        0        0        1
50478 osinfo-db                          	       0      626        0        0      626
50479 osinfo-db-tools                    	       0        6        0        6        0
50480 osk-sdl                            	       0        1        0        1        0
50481 osmcoastline                       	       0        4        1        3        0
50482 osmctools                          	       0        8        1        7        0
50483 osmium-tool                        	       0        6        1        5        0
50484 osmo                               	       0       17        1       16        0
50485 osmo-fl2k                          	       0        1        0        1        0
50486 osmo-sdr                           	       0        7        0        7        0
50487 osmos                              	       0        2        0        2        0
50488 osmose-emulator                    	       0        3        0        3        0
50489 osmosis                            	       0        5        0        5        0
50490 osmpbf-bin                         	       0        4        0        4        0
50491 ospd-openvas                       	       0        1        0        1        0
50492 ospics                             	       0        2        0        0        2
50493 osquery                            	       0        2        0        2        0
50494 oss-compat                         	       0      179        2      177        0
50495 oss-preserve                       	       0        1        0        1        0
50496 oss4-dev                           	       0        2        0        2        0
50497 ossec-hids-agent                   	       0        1        0        0        1
50498 ossec-hids-server                  	       0        1        0        0        1
50499 ossim-core                         	       0        1        0        1        0
50500 osslsigncode                       	       0        4        0        4        0
50501 osspd                              	       0       32        8       24        0
50502 ostinato                           	       0        3        0        3        0
50503 ostree                             	       0       18        0       18        0
50504 otb-bin                            	       0        2        0        2        0
50505 otb-bin-qt                         	       0        2        0        2        0
50506 otb-i18n                           	       0        2        0        0        2
50507 otb-qgis                           	       0        2        0        2        0
50508 otb-testdriver                     	       0        3        0        3        0
50509 otf-freefont                       	       0        4        0        0        4
50510 otf-ipaexfont                      	       0        1        0        0        1
50511 otf-ipaexfont-gothic               	       0        1        0        0        1
50512 otf-ipaexfont-mincho               	       0        1        0        0        1
50513 otf-ipafont                        	       0        1        0        0        1
50514 otf-ipafont-gothic                 	       0        1        0        0        1
50515 otf-ipafont-mincho                 	       0        1        0        0        1
50516 otf-stix                           	       0        2        0        0        2
50517 otf-symbols-circos                 	       0        1        0        0        1
50518 otf-thai-tlwg                      	       0        1        0        1        0
50519 otf2bdf                            	       0        3        0        3        0
50520 othman                             	       0        1        0        1        0
50521 otp                                	       0        6        0        6        0
50522 otpclient                          	       0       15        0       15        0
50523 otpclient-cli                      	       0        7        0        7        0
50524 otpw-bin                           	       0        1        0        1        0
50525 ots                                	       0        1        0        1        0
50526 otter-browser                      	       0        5        0        5        0
50527 out-of-order                       	       0        4        0        4        0
50528 outguess                           	       0       31        0       31        0
50529 overgod                            	       0        3        0        3        0
50530 overgod-data                       	       0        3        0        0        3
50531 overlay-boot                       	       0        5        0        5        0
50532 ovftool                            	       0        1        0        1        0
50533 ovh-rtm-binaries                   	       0        2        0        2        0
50534 ovh-rtm-metrics-toolkit            	       0        2        0        0        2
50535 ovmf                               	       0      547        0        0      547
50536 ovmf-ia32                          	       0        3        0        0        3
50537 ovtr                               	       0        1        0        0        1
50538 ow-shell                           	       0        1        0        1        0
50539 owfs                               	       0        3        0        0        3
50540 owfs-common                        	       0       13        0        0       13
50541 owfs-fuse                          	       0        5        0        5        0
50542 owftpd                             	       0        3        0        3        0
50543 owhttpd                            	       0        3        0        3        0
50544 owncloud                           	       0        1        0        0        1
50545 owncloud-client                    	       0       21        5       16        0
50546 owncloud-client-cmd                	       0        2        0        2        0
50547 owncloud-client-data               	       0        1        0        0        1
50548 owncloud-client-doc                	       0        1        0        0        1
50549 owncloud-client-dolphin            	       0        3        0        1        2
50550 owncloud-client-l10n               	       0        2        0        0        2
50551 owncloud-client-overlays-icons     	       0        3        0        0        3
50552 owncloud-complete-files            	       0        1        0        1        0
50553 owncloud-deps-php5                 	       0        1        0        0        1
50554 owncloud-files                     	       0        2        0        1        1
50555 owrx-connector                     	       0        1        0        0        1
50556 owserver                           	       0        6        0        6        0
50557 oxefmsynth                         	       0        1        0        1        0
50558 oxen-electron-wallet               	       0        1        0        1        0
50559 oxref                              	       0        2        0        2        0
50560 oxygen-icon-theme                  	       0      486        0        0      486
50561 oxygen-sounds                      	       0      567        0        0      567
50562 oxygencursors                      	       0       35        0        0       35
50563 oz                                 	       0        2        0        2        0
50564 p0f                                	       0       12        0       12        0
50565 p11-kit-doc                        	       0        8        0        0        8
50566 pacemaker                          	       0        6        2        4        0
50567 pacemaker-cli-utils                	       0        6        2        4        0
50568 pacemaker-common                   	       0        6        0        0        6
50569 pacemaker-resource-agents          	       0        6        0        6        0
50570 pachi                              	       0        2        0        2        0
50571 pachi-data                         	       0        2        0        0        2
50572 pack-cli                           	       0        1        0        1        0
50573 packagekit-backend-aptcc           	       0        1        0        0        1
50574 packagekit-command-not-found       	       0        3        0        0        3
50575 packagekit-docs                    	       0        1        0        0        1
50576 packagekit-gtk3-module             	       0        6        0        0        6
50577 packagekit-gtk3-module-dbgsym      	       0        3        0        3        0
50578 packages-microsoft-prod            	       0       36        0        0       36
50579 packaging-dev                      	       0        9        0        0        9
50580 packaging-tutorial                 	       0        9        0        0        9
50581 packer                             	       0        6        0        6        0
50582 packeth                            	       0        9        0        9        0
50583 packetsender                       	       0        8        0        8        0
50584 packettracer                       	       0       11        0       10        1
50585 pacman.c                           	       0        1        0        1        0
50586 pacpl                              	       0       13        0       13        0
50587 pacvim                             	       0        4        0        4        0
50588 padevchooser                       	       0        1        0        1        0
50589 padre                              	       0        1        0        1        0
50590 pads                               	       0        3        0        3        0
50591 padthv1-common                     	       0        1        0        0        1
50592 padthv1-lv2                        	       0        1        0        1        0
50593 paexec                             	       0        1        0        1        0
50594 pagein                             	       0        1        0        1        0
50595 pagekite                           	       0        1        0        1        0
50596 pagemon                            	       0        2        0        2        0
50597 pages2epub                         	       0        1        0        1        0
50598 pages2odt                          	       0        1        0        1        0
50599 pagetools                          	       0        4        0        4        0
50600 pairs                              	       0        1        0        1        0
50601 pajackconnect                      	       0        1        0        1        0
50602 pakcs                              	       0        2        0        2        0
50603 paketto                            	       0        1        0        1        0
50604 pal                                	       0        5        0        5        0
50605 pal2nal                            	       0        2        0        2        0
50606 palapeli-data                      	       0       63        0        0       63
50607 palbart                            	       0        1        0        1        0
50608 palemoon-nonsse2                   	       0        1        0        1        0
50609 palp                               	       0       12        0       12        0
50610 pam-dbus-notify                    	       0        1        0        0        1
50611 pam-mkhomedir                      	       0        5        0        0        5
50612 pam-zfs-key                        	       0        1        0        1        0
50613 paman                              	       0       16        0       16        0
50614 pamix                              	       0       16        1       15        0
50615 paml                               	       0        2        0        2        0
50616 pampi                              	       0        2        0        2        0
50617 pamtester                          	       0        7        0        7        0
50618 pan                                	       0       20        0       20        0
50619 pandoc-citeproc                    	       0        8        0        8        0
50620 pandoc-citeproc-preamble           	       0        5        0        5        0
50621 pandoc-data                        	       0      303        0        0      303
50622 pandoc-filter-diagram              	       0        1        0        0        1
50623 pandoc-plantuml-filter             	       0        7        0        7        0
50624 pandoc-sidenote                    	       0        6        0        6        0
50625 pango1.0-tests                     	       0        2        0        1        1
50626 pangoterm                          	       0        2        0        2        0
50627 pangzero                           	       0        3        0        3        0
50628 pantum                             	       0        2        0        2        0
50629 papaya                             	       0        1        0        1        0
50630 paper-icon-theme                   	       0       33        0        0       33
50631 paperkey                           	       0       77        0       77        0
50632 papers                             	       0        1        1        0        0
50633 papers-common                      	       0        1        0        0        1
50634 paperwork-backend-doc              	       0        3        0        0        3
50635 paperwork-gtk-l10n-de              	       0        4        0        0        4
50636 paperwork-gtk-l10n-en              	       0        3        0        0        3
50637 paperwork-gtk-l10n-es              	       0        2        0        0        2
50638 paperwork-gtk-l10n-fr              	       0        2        0        0        2
50639 paperwork-gtk-l10n-uk              	       0        1        0        0        1
50640 paperwork-shell                    	       0        2        0        2        0
50641 papirus-icon-theme                 	       0      353        0        0      353
50642 paps                               	       0        9        0        9        0
50643 paq8                               	       0        1        0        1        0
50644 paq9                               	       0        1        0        1        0
50645 par                                	       0       13        0       13        0
50646 paraclu                            	       0        2        0        2        0
50647 parafly                            	       0        1        0        1        0
50648 paranoia                           	       0        1        0        1        0
50649 paratext-resources-8.0             	       0        1        0        1        0
50650 paraview                           	       0        3        0        3        0
50651 paraview-doc                       	       0        5        0        0        5
50652 parchive                           	       0       12        0       12        0
50653 parchives                          	       0        6        0        0        6
50654 parcimonie                         	       0        5        1        4        0
50655 pari-doc                           	       0       17        1       16        0
50656 pari-elldata                       	       0       16        0        0       16
50657 pari-galdata                       	       0       17        0        0       17
50658 pari-galpol                        	       0       13        0        0       13
50659 pari-gp                            	       0       19        2       17        0
50660 pari-gp2c                          	       0       12        2       10        0
50661 pari-seadata                       	       0       17        0        0       17
50662 paris-traceroute                   	       0        4        1        3        0
50663 parlatype                          	       0        3        0        3        0
50664 parlatype-common                   	       0        1        0        0        1
50665 parley-data                        	       0       51        0        0       51
50666 parmetis-doc                       	       0        2        0        0        2
50667 parmetis-test                      	       0        1        0        1        0
50668 parole-dev                         	       0        1        0        1        0
50669 parolottero                        	       0        4        0        4        0
50670 parolottero-data-sv                	       0        3        0        0        3
50671 parolottero-data-us                	       0        1        0        0        1
50672 parprouted                         	       0        3        0        3        0
50673 parsec                             	       0        2        0        2        0
50674 parsec47                           	       0        5        0        5        0
50675 parsec47-data                      	       0        5        0        0        5
50676 parsero                            	       0        1        0        1        0
50677 parsinsert                         	       0        1        0        1        0
50678 partclone-utils                    	       0        1        0        1        0
50679 parted-doc                         	       0       30        0        0       30
50680 partimage-doc                      	       0        7        0        0        7
50681 partimage-server                   	       0        3        0        3        0
50682 partlibrary                        	       0        1        0        0        1
50683 pasco                              	       0       23        0       23        0
50684 pasdoc                             	       0        2        0        2        0
50685 pasmo                              	       0        5        0        5        0
50686 pass-extension-otp                 	       0       15        0        2       13
50687 pass-extension-tail                	       0        2        0        2        0
50688 pass-extension-tomb                	       0        2        0        2        0
50689 pass-extension-update              	       0        1        0        1        0
50690 pass-git-helper                    	       0        1        0        1        0
50691 passage                            	       0        1        0        1        0
50692 passenger                          	       0       22        2       20        0
50693 passenger-doc                      	       0        3        0        0        3
50694 passepartout                       	       0        1        0        1        0
50695 passwin                            	       0        4        0        0        4
50696 password-gorilla                   	       0        8        1        7        0
50697 passwordgenerator-stt              	       0        1        0        1        0
50698 passwordmaker-cli                  	       0        3        0        3        0
50699 passwordsafe                       	       0       23        0       23        0
50700 passwordsafe-common                	       0       22        0        0       22
50701 pasystray                          	       0       33        3       30        0
50702 pat                                	       0        3        1        2        0
50703 patat                              	       0        2        0        2        0
50704 patator                            	       0       21        0       21        0
50705 patchage                           	       0       10        0       10        0
50706 patchelf                           	       0       32        0       32        0
50707 pathogen                           	       0        9        0        9        0
50708 pathological                       	       0       10        0       10        0
50709 patman                             	       0        1        0        1        0
50710 patool                             	       0       19        0       19        0
50711 patroneo                           	       0        1        0        1        0
50712 paul                               	       0        1        0        1        0
50713 paulstretch                        	       0        2        0        2        0
50714 pavucontrol-qt-l10n                	       0      189        0        0      189
50715 pavuk                              	       0        2        0        2        0
50716 paw++                              	       0        1        0        1        0
50717 paw-common                         	       0        1        0        1        0
50718 paw-demos                          	       0        1        0        1        0
50719 pax-britannica                     	       0        8        0        8        0
50720 pax-britannica-data                	       0        8        0        0        8
50721 pax-utils                          	       0        9        0        9        0
50722 paxctl                             	       0        1        0        1        0
50723 pbbamtools                         	       0        1        0        1        0
50724 pbis-open                          	       0        1        0        1        0
50725 pbis-open-upgrade                  	       0        1        0        0        1
50726 pbskids-dl                         	       0        1        0        1        0
50727 pbskids-dl-keyring                 	       0        1        0        0        1
50728 pcal                               	       0       12        0       12        0
50729 pcalendar                          	       0        1        0        1        0
50730 pcb                                	       0       22        0        0       22
50731 pcb-common                         	       0       23        0        0       23
50732 pcb-gtk                            	       0       23        0       23        0
50733 pcb-lesstif                        	       0        3        0        3        0
50734 pcb-rnd                            	       0       16        0        1       15
50735 pcb-rnd-auto                       	       0       15        0       15        0
50736 pcb-rnd-cloud                      	       0       15        0       15        0
50737 pcb-rnd-core                       	       0       15        0       15        0
50738 pcb-rnd-doc                        	       0       16        0       10        6
50739 pcb-rnd-export                     	       0       15        0       15        0
50740 pcb-rnd-export-extra               	       0       15        0       15        0
50741 pcb-rnd-export-sim                 	       0       15        0       15        0
50742 pcb-rnd-extra                      	       0       15        0       15        0
50743 pcb-rnd-hid-gtk2-gdk               	       0        3        0        3        0
50744 pcb-rnd-hid-gtk2-gl                	       0        3        0        3        0
50745 pcb-rnd-import-geo                 	       0        2        0        2        0
50746 pcb-rnd-import-net                 	       0       15        0       15        0
50747 pcb-rnd-io-alien                   	       0       15        0       15        0
50748 pcb-rnd-io-standard                	       0       15        0       15        0
50749 pcb-rnd-lib-gl                     	       0        3        0        3        0
50750 pcb-rnd-lib-gtk                    	       0        3        0        3        0
50751 pcb-rnd-lib-gui                    	       0       15        0       15        0
50752 pcb-rnd-lib-io                     	       0       15        0       15        0
50753 pcb2gcode                          	       0        4        0        4        0
50754 pcc                                	       0        5        2        3        0
50755 pccts                              	       0        1        0        1        0
50756 pcf2bdf                            	       0       30        1       29        0
50757 pchar                              	       0        4        0        4        0
50758 pci.ids                            	       0     3642        0        0     3642
50759 pcm                                	       0        3        0        3        0
50760 pcmanfm-dbg                        	       0        1        0        0        1
50761 pcmanfm-dbgsym                     	       0        1        0        1        0
50762 pconf-detect                       	       0        3        0        3        0
50763 pconsole                           	       0        4        0        4        0
50764 pcp                                	       0        6        0        6        0
50765 pcp-conf                           	       0        7        0        6        1
50766 pcp-doc                            	       0        1        0        0        1
50767 pcp-gui                            	       0        2        0        2        0
50768 pcre2-utils                        	       0        6        1        5        0
50769 pcredz                             	       0        1        0        1        0
50770 pcregrep                           	       0       11        0       11        0
50771 pcs                                	       0        3        0        3        0
50772 pcsc-lite                          	       0        1        0        1        0
50773 pcsx2                              	       0        2        0        2        0
50774 pct-scanner-scripts                	       0        3        0        3        0
50775 pcvatfreebridge                    	       0        1        0        1        0
50776 pd                                 	       0        2        1        1        0
50777 pd-3dp                             	       0        2        0        2        0
50778 pd-ableton-link                    	       0        5        0        5        0
50779 pd-ambix                           	       0        3        0        3        0
50780 pd-arraysize                       	       0        2        0        2        0
50781 pd-autopreset                      	       0        3        0        3        0
50782 pd-bassemu                         	       0        3        0        3        0
50783 pd-beatpipe                        	       0        3        0        3        0
50784 pd-boids                           	       0        3        0        3        0
50785 pd-bsaylor                         	       0        2        0        2        0
50786 pd-chaos                           	       0        2        0        2        0
50787 pd-cmos                            	       0        2        0        2        0
50788 pd-comport                         	       0        5        0        5        0
50789 pd-creb                            	       0        2        0        2        0
50790 pd-csound                          	       0        3        0        3        0
50791 pd-cxc                             	       0        2        0        2        0
50792 pd-cyclone                         	       0        7        0        7        0
50793 pd-deken                           	       0        3        0        3        0
50794 pd-deken-apt                       	       0        3        0        0        3
50795 pd-earplug                         	       0        2        0        2        0
50796 pd-ekext                           	       0        2        0        2        0
50797 pd-ext13                           	       0        2        0        2        0
50798 pd-extendedview                    	       0        4        0        4        0
50799 pd-fftease                         	       0        2        0        2        0
50800 pd-flext-dev                       	       0        4        0        4        0
50801 pd-flext-doc                       	       0        5        0        0        5
50802 pd-flite                           	       0        2        0        2        0
50803 pd-freeverb                        	       0        3        0        3        0
50804 pd-ggee                            	       0        7        0        7        0
50805 pd-gil                             	       0        4        0        4        0
50806 pd-hcs                             	       0        3        0        3        0
50807 pd-hexloader                       	       0        2        0        2        0
50808 pd-hid                             	       0        3        0        3        0
50809 pd-iem                             	       0        3        0        3        0
50810 pd-iemambi                         	       0        2        0        2        0
50811 pd-iemguts                         	       0        2        0        2        0
50812 pd-iemlib                          	       0        3        0        3        0
50813 pd-iemmatrix                       	       0        2        0        2        0
50814 pd-iemnet                          	       0        7        0        7        0
50815 pd-iemutils                        	       0        3        0        3        0
50816 pd-jmmmp                           	       0        3        0        3        0
50817 pd-jsusfx                          	       0        2        0        2        0
50818 pd-kollabs                         	       0        5        0        5        0
50819 pd-lib-builder                     	       0        5        0        0        5
50820 pd-libdir                          	       0       12        0       12        0
50821 pd-list-abs                        	       0        7        0        7        0
50822 pd-log                             	       0        2        0        2        0
50823 pd-lua                             	       0        2        0        2        0
50824 pd-lyonpotpourri                   	       0        2        0        2        0
50825 pd-mapping                         	       0        4        0        4        0
50826 pd-markex                          	       0        2        0        2        0
50827 pd-maxlib                          	       0        4        0        4        0
50828 pd-mediasettings                   	       0        2        0        2        0
50829 pd-mjlib                           	       0        2        0        2        0
50830 pd-moonlib                         	       0        3        0        3        0
50831 pd-motex                           	       0        2        0        2        0
50832 pd-mrpeach                         	       0        3        0        3        0
50833 pd-mrpeach-net                     	       0        2        0        2        0
50834 pd-nusmuk                          	       0        2        0        2        0
50835 pd-osc                             	       0        6        0        6        0
50836 pd-pan                             	       0        3        0        3        0
50837 pd-pddp                            	       0        7        0        7        0
50838 pd-pdogg                           	       0        2        0        2        0
50839 pd-pdp                             	       0        2        0        2        0
50840 pd-pdstring                        	       0        2        0        2        0
50841 pd-pduino                          	       0        4        0        4        0
50842 pd-plugin                          	       0        2        0        2        0
50843 pd-pmpd                            	       0        2        0        2        0
50844 pd-pool                            	       0        2        0        2        0
50845 pd-puremapping                     	       0        3        0        3        0
50846 pd-purepd                          	       0        5        0        5        0
50847 pd-purest-json                     	       0        2        0        2        0
50848 pd-readanysf                       	       0        2        0        2        0
50849 pd-rtclib                          	       0        4        0        4        0
50850 pd-scaf                            	       0        2        0        2        0
50851 pd-sigpack                         	       0        2        0        2        0
50852 pd-slip                            	       0        2        0        2        0
50853 pd-smlib                           	       0        2        0        2        0
50854 pd-syslog                          	       0        3        0        3        0
50855 pd-tclpd                           	       0        2        0        2        0
50856 pd-testtools                       	       0        3        0        3        0
50857 pd-unauthorized                    	       0        2        0        2        0
50858 pd-upp                             	       0        3        0        3        0
50859 pd-vbap                            	       0        2        0        2        0
50860 pd-wiimote                         	       0        2        0        2        0
50861 pd-windowing                       	       0        2        0        2        0
50862 pd-xbee                            	       0        2        0        2        0
50863 pd-xsample                         	       0        2        0        2        0
50864 pd-zexy                            	       0        7        0        7        0
50865 pdebuild-cross                     	       0        1        1        0        0
50866 pdf-presenter-console              	       0        6        0        6        0
50867 pdf-redact-tools                   	       0        3        0        3        0
50868 pdf.js-common                      	       0        8        0        0        8
50869 pdf2htmlex                         	       0        1        0        1        0
50870 pdfbooklet                         	       0        1        0        1        0
50871 pdfcook                            	       0        1        0        1        0
50872 pdfcrack-dbgsym                    	       0        1        0        1        0
50873 pdfcube                            	       0       15        0       15        0
50874 pdfedit                            	       0        3        0        3        0
50875 pdfgrep                            	       0       65        1       64        0
50876 pdfjam                             	       0        4        0        0        4
50877 pdfminer-data                      	       0        8        0        0        8
50878 pdfmixtool                         	       0        1        0        1        0
50879 pdfmod                             	       0       21        0       21        0
50880 pdfmod-dbg                         	       0        1        0        1        0
50881 pdfproctools                       	       0        9        0        9        0
50882 pdfresurrect                       	       0       14        1       13        0
50883 pdfsam-basic                       	       0        6        2        4        0
50884 pdfsam-visual                      	       0        1        0        1        0
50885 pdfsandwich                        	       0       15        0       15        0
50886 pdfshuffler                        	       0       16        0        1       15
50887 pdfstudioviewer                    	       0        1        0        1        0
50888 pdftk                              	       0      255        0        8      247
50889 pdftoipe                           	       0        5        0        5        0
50890 pdk                                	       0        1        0        1        0
50891 pdksh                              	       0        5        0        5        0
50892 pdl                                	       0        7        1        6        0
50893 pdmenu                             	       0        4        0        4        0
50894 pdns-backend-bind                  	       0        5        1        3        1
50895 pdns-backend-lua2                  	       0        1        0        0        1
50896 pdns-backend-odbc                  	       0        1        0        0        1
50897 pdns-backend-pgsql                 	       0        2        1        0        1
50898 pdns-backend-pipe                  	       0        1        0        0        1
50899 pdns-backend-sqlite3               	       0        2        1        0        1
50900 pdns-backend-tinydns               	       0        2        0        0        2
50901 pdns-recursor                      	       0        5        1        4        0
50902 pdns-server                        	       0        7        2        5        0
50903 pdns-tools                         	       0        5        0        5        0
50904 pdnsd                              	       0        4        0        4        0
50905 pdsh                               	       0        4        0        4        0
50906 peazip                             	       0       11        0       10        1
50907 pebble                             	       0        2        0        2        0
50908 peco                               	       0        2        0        2        0
50909 peek                               	       0       14        0       14        0
50910 peercast-handlers                  	       0        1        0        1        0
50911 peercoin-qt                        	       0        1        0        1        0
50912 peercoin-tx                        	       0        1        0        1        0
50913 peercoind                          	       0        1        0        1        0
50914 peerunity                          	       0        1        0        1        0
50915 peg                                	       0        2        0        2        0
50916 peg-e                              	       0        3        0        3        0
50917 peg-solitaire                      	       0        3        0        3        0
50918 pegasus-frontend                   	       0        1        0        1        0
50919 pegsolitaire                       	       0        2        0        2        0
50920 pekka-kana-2                       	       0        3        0        3        0
50921 pekka-kana-2-data                  	       0        3        0        0        3
50922 pekwm                              	       0       11        0       11        0
50923 pekwm-themes                       	       0        3        0        0        3
50924 pelican                            	       0        7        0        7        0
50925 pelican-doc                        	       0        3        0        0        3
50926 pem                                	       0        1        0        1        0
50927 pencil                             	       0        3        0        0        3
50928 pencil2d                           	       0       15        0       15        0
50929 penguin-command                    	       0        3        0        3        0
50930 penguin-subtitle-player            	       0        1        0        1        0
50931 pengupop                           	       0        1        0        1        0
50932 pentobi                            	       0        2        0        2        0
50933 peony                              	       0        5        0        5        0
50934 peony-admin                        	       0        1        0        0        1
50935 peony-common                       	       0        6        0        0        6
50936 peony-extension-computer-view      	       0        5        0        0        5
50937 peony-extensions                   	       0        5        0        0        5
50938 peony-extensions-common            	       0        1        0        0        1
50939 peony-open-terminal                	       0        5        0        0        5
50940 peony-set-wallpaper                	       0        5        0        0        5
50941 peony-share                        	       0        4        0        0        4
50942 pep8                               	       0        6        0        6        0
50943 pepper                             	       0        3        0        3        0
50944 pepperflashplugin-nonfree          	       0       26        0       26        0
50945 perccli                            	       0        2        0        0        2
50946 perceptualdiff                     	       0        2        0        2        0
50947 percol                             	       0        1        0        1        0
50948 percona-release                    	       0        2        0        2        0
50949 percona-server-client-5.7          	       0        3        0        3        0
50950 percona-server-common-5.7          	       0        3        0        3        0
50951 percona-server-rocksdb-5.7         	       0        1        0        1        0
50952 percona-server-server-5.7          	       0        3        0        3        0
50953 percona-server-tokudb-5.7          	       0        1        0        1        0
50954 percona-toolkit                    	       0        5        0        5        0
50955 percona-xtrabackup-24              	       0        1        0        1        0
50956 percona-zabbix-templates           	       0        1        0        1        0
50957 perf-tools-unstable                	       0        5        0        5        0
50958 perforate                          	       0        6        0        6        0
50959 performous                         	       0       10        0       10        0
50960 performous-composer                	       0        2        0        2        0
50961 performous-tools                   	       0        4        0        4        0
50962 perl-depends                       	       0        2        0        2        0
50963 perl-doc-html                      	       0        2        0        0        2
50964 perl-modules-5.24                  	       0      256       47      209        0
50965 perl-modules-5.26                  	       0        3        0        3        0
50966 perl-modules-5.30                  	       0        9        0        9        0
50967 perl-modules-5.34                  	       0       19        0       19        0
50968 perl-modules-5.38                  	       0      119        3      116        0
50969 perl6                              	       0        1        0        0        1
50970 perl6-readline                     	       0        1        0        1        0
50971 perl6-zef                          	       0        1        0        1        0
50972 perlbrew                           	       0        5        0        5        0
50973 perlconsole                        	       0        4        0        4        0
50974 perlindex                          	       0        2        2        0        0
50975 perlmagick                         	       0       54        0        0       54
50976 perlprimer                         	       0        3        0        3        0
50977 perlprimer-doc                     	       0        4        0        0        4
50978 perlrdf                            	       0        2        0        2        0
50979 perlsgml                           	       0        1        0        1        0
50980 perltidier                         	       0        1        0        1        0
50981 perm                               	       0        1        0        1        0
50982 persepolis                         	       0        3        0        3        0
50983 peruse                             	       0        6        0        6        0
50984 peruse-common                      	       0        7        0        0        7
50985 pescetti                           	       0        9        0        9        0
50986 pesign                             	       0        2        0        2        0
50987 pet                                	       0        1        0        1        0
50988 petit                              	       0        1        0        1        0
50989 petri-foo                          	       0        2        0        2        0
50990 petris                             	       0       17        0       17        0
50991 pex                                	       0        1        0        1        0
50992 pexec                              	       0        2        0        2        0
50993 pff-tools                          	       0       28        0       28        0
50994 pflask                             	       0        1        0        1        0
50995 pflogsumm                          	       0       11        2        9        0
50996 pfm                                	       0        5        0        5        0
50997 pforth                             	       0        3        0        3        0
50998 pfring                             	       0        1        1        0        0
50999 pfring-dkms                        	       0        1        0        1        0
51000 pfsglview                          	       0        3        0        3        0
51001 pfstmo                             	       0        3        0        3        0
51002 pfstools                           	       0        3        0        3        0
51003 pfsview                            	       0        3        0        3        0
51004 pftools                            	       0        2        0        2        0
51005 pg-activity                        	       0        6        1        5        0
51006 pg-auto-failover-cli               	       0        1        0        1        0
51007 pg-bsd-indent                      	       0        1        0        1        0
51008 pg-gvm                             	       0        1        0        1        0
51009 pg-top                             	       0        1        0        1        0
51010 pgaccess                           	       0        1        0        1        0
51011 pgadmin3                           	       0       32        1       31        0
51012 pgadmin3-data                      	       0       34        0        0       34
51013 pgadmin4                           	       0        2        0        0        2
51014 pgadmin4-server                    	       0       12        1       11        0
51015 pgadmin4-web                       	       0        3        0        3        0
51016 pgagent                            	       0       26        0       26        0
51017 pgbadger                           	       0        5        0        5        0
51018 pgbouncer                          	       0        5        2        3        0
51019 pgcharts                           	       0        1        0        1        0
51020 pgdesigner                         	       0        1        0        1        0
51021 pgdg-keyring                       	       0        5        0        0        5
51022 pgdocs-pdf-a4                      	       0        1        0        0        1
51023 pgf                                	       0        6        0        0        6
51024 pgformatter                        	       0        8        0        8        0
51025 pgloader                           	       0        8        0        8        0
51026 pgmfindclip                        	       0        1        0        1        0
51027 pgmodeler                          	       0        8        0        8        0
51028 pgmodeler-common                   	       0        8        0        0        8
51029 pgn-extract                        	       0        5        0        5        0
51030 pgn2web                            	       0        4        0        4        0
51031 pgpgpg                             	       0       13        0       13        0
51032 pgplot5                            	       0        3        0        3        0
51033 pgpool2                            	       0        2        1        1        0
51034 pgreplay                           	       0        4        0        4        0
51035 pgsnap                             	       0        1        0        1        0
51036 pgstaging                          	       0        1        0        1        0
51037 pgstaging-client                   	       0        1        0        0        1
51038 pgstat                             	       0        3        0        3        0
51039 pgtap-doc                          	       0        1        0        0        1
51040 pgtop                              	       0       12        1       11        0
51041 pgtune                             	       0        1        0        1        0
51042 pgxnclient                         	       0        1        0        1        0
51043 phalanx                            	       0        9        0        9        0
51044 phantomjs                          	       0      133        0      133        0
51045 pharo6-64                          	       0        1        0        1        0
51046 pharo6-64-dbgsym                   	       0        1        0        1        0
51047 pharo6-64-ui                       	       0        1        0        1        0
51048 pharo6-64-ui-dbgsym                	       0        1        0        1        0
51049 pharo6-sources-files               	       0        1        0        0        1
51050 pharo6-ui-common                   	       0        1        0        0        1
51051 phasex                             	       0        3        0        3        0
51052 phat-utils                         	       0        1        0        1        0
51053 phatch                             	       0        1        0        1        0
51054 phatch-cli                         	       0        1        0        1        0
51055 phatch-doc                         	       0        1        0        0        1
51056 phidutil2                          	       0        1        0        1        0
51057 phipack                            	       0        1        0        1        0
51058 phlipple                           	       0       11        0       11        0
51059 phlipple-data                      	       0       11        0        0       11
51060 phlipple-dbg                       	       0        1        0        1        0
51061 phnxdeco                           	       0        3        0        3        0
51062 pho                                	       0        1        0        1        0
51063 phoenixtsi-cloud                   	       0        2        0        2        0
51064 phonon                             	       0       48        0        0       48
51065 phonon-backend-gstreamer           	       0       41        0        1       40
51066 phonon-backend-gstreamer-common    	       0       87        0        0       87
51067 phonon-backend-vlc                 	       0       10        0        0       10
51068 phonon-backend-vlc-common          	       0       70        0        0       70
51069 phonon4qt5                         	       0      972        0        0      972
51070 phonon4qt5-backend-gstreamer       	       0       28        0        1       27
51071 phonon4qt5-backend-null            	       0        9        0        0        9
51072 phonon4qt5-backend-vlc             	       0      948        3       17      928
51073 phonon4qt5settings                 	       0        9        0        9        0
51074 phonon4qt6                         	       0       35        0        0       35
51075 phonon4qt6-backend-vlc             	       0       35        0        0       35
51076 phoronix-test-suite                	       0        5        0        5        0
51077 phosh-doc                          	       0        1        0        0        1
51078 photocollage                       	       0        7        0        7        0
51079 photofilmstrip                     	       0       10        0       10        0
51080 photoflare                         	       0        6        1        5        0
51081 photoflow                          	       0        2        0        2        0
51082 photopc                            	       0        6        0        6        0
51083 photoquick                         	       0        1        0        1        0
51084 photoquick-plugins                 	       0        1        0        0        1
51085 phototonic                         	       0       15        0       15        0
51086 php                                	       0      207        0        0      207
51087 php-all-dev                        	       0        4        0        0        4
51088 php-apc                            	       0        4        0        0        4
51089 php-apcu                           	       0       70        5       13       52
51090 php-apcu-bc                        	       0       23        7       12        4
51091 php-auth                           	       0        3        1        2        0
51092 php-auth-http                      	       0        1        0        1        0
51093 php-auth-sasl                      	       0       30        3       27        0
51094 php-bacon-qr-code                  	       0        2        0        2        0
51095 php-bcmath                         	       0       59        0        0       59
51096 php-brick-math                     	       0        1        0        1        0
51097 php-bz2                            	       0       83        0        0       83
51098 php-cache                          	       0        1        1        0        0
51099 php-cache-tag-interop              	       0        1        0        1        0
51100 php-cas                            	       0        3        0        3        0
51101 php-christianriesen-base32         	       0        1        0        1        0
51102 php-christianriesen-otp            	       0        1        0        1        0
51103 php-cli                            	       0      168       27      118       23
51104 php-cli-prompt                     	       0        1        0        1        0
51105 php-code-lts-u2f-php-server        	       0        1        0        1        0
51106 php-codecoverage                   	       0        2        0        2        0
51107 php-codeigniter-framework-doc      	       0        1        0        0        1
51108 php-codesniffer                    	       0        6        0        6        0
51109 php-composer-metadata-minifier     	       0       38        3       35        0
51110 php-console-commandline            	       0        2        0        2        0
51111 php-console-table                  	       0        3        0        3        0
51112 php-crypt-blowfish                 	       0        1        0        1        0
51113 php-crypt-gpg                      	       0        1        0        1        0
51114 php-curl                           	       0      183        0        0      183
51115 php-dasprid-enum                   	       0        2        0        2        0
51116 php-deepcopy                       	       0        2        0        2        0
51117 php-dflydev-dot-access-data        	       0        1        0        1        0
51118 php-doc                            	       0        2        0        0        2
51119 php-doctrine-annotations           	       0        2        0        2        0
51120 php-doctrine-cache                 	       0        1        0        1        0
51121 php-doctrine-collections           	       0        1        0        1        0
51122 php-doctrine-common                	       0        1        0        1        0
51123 php-doctrine-dbal                  	       0        1        0        1        0
51124 php-doctrine-deprecations          	       0        5        0        5        0
51125 php-doctrine-inflector             	       0        2        0        2        0
51126 php-doctrine-instantiator          	       0        3        0        3        0
51127 php-dompdf                         	       0        4        0        4        0
51128 php-dompdf-svg-lib                 	       0        2        0        2        0
51129 php-dragonmantank-cron-expression  	       0        1        0        1        0
51130 php-ds                             	       0        2        1        0        1
51131 php-elisp                          	       0        2        0        1        1
51132 php-eluceo-ical                    	       0        1        0        1        0
51133 php-enchant                        	       0        3        0        0        3
51134 php-file                           	       0        1        1        0        0
51135 php-file-iterator                  	       0        4        0        4        0
51136 php-font-lib                       	       0        5        0        5        0
51137 php-fpdf                           	       0        9        1        8        0
51138 php-fpm                            	       0       72        0        0       72
51139 php-fxsl                           	       0        2        0        2        0
51140 php-gd                             	       0      198        0        0      198
51141 php-gearman                        	       0        1        0        1        0
51142 php-geoip                          	       0        4        2        1        1
51143 php-geshi                          	       0       10        0       10        0
51144 php-getid3                         	       0       15        0       15        0
51145 php-gettext                        	       0       20        1       19        0
51146 php-gmagick                        	       0        3        0        0        3
51147 php-gmp                            	       0       56        0        0       56
51148 php-gnupg                          	       0        3        0        0        3
51149 php-graham-campbell-result-type    	       0        1        0        1        0
51150 php-gregwar-captcha                	       0        1        0        1        0
51151 php-guzzlehttp-guzzle              	       0        7        0        7        0
51152 php-guzzlehttp-promises            	       0        7        0        7        0
51153 php-guzzlehttp-psr7                	       0        7        0        7        0
51154 php-horde-css-parser               	       0        2        0        2        0
51155 php-html-safe                      	       0        1        0        1        0
51156 php-http                           	       0        3        0        0        3
51157 php-http-request                   	       0        1        1        0        0
51158 php-http-request2                  	       0        1        0        1        0
51159 php-icinga                         	       0        6        0        6        0
51160 php-igbinary                       	       0       22        0        3       19
51161 php-illuminate-auth                	       0        1        0        1        0
51162 php-illuminate-broadcasting        	       0        1        0        1        0
51163 php-illuminate-bus                 	       0        1        0        1        0
51164 php-illuminate-cache               	       0        1        0        1        0
51165 php-illuminate-collections         	       0        1        0        1        0
51166 php-illuminate-config              	       0        1        0        1        0
51167 php-illuminate-console             	       0        1        0        1        0
51168 php-illuminate-container           	       0        1        0        1        0
51169 php-illuminate-contracts           	       0        1        0        1        0
51170 php-illuminate-cookie              	       0        1        0        1        0
51171 php-illuminate-database            	       0        1        0        1        0
51172 php-illuminate-encryption          	       0        1        0        1        0
51173 php-illuminate-events              	       0        1        0        1        0
51174 php-illuminate-filesystem          	       0        1        0        1        0
51175 php-illuminate-hashing             	       0        1        0        1        0
51176 php-illuminate-http                	       0        1        0        1        0
51177 php-illuminate-log                 	       0        1        0        1        0
51178 php-illuminate-macroable           	       0        1        0        1        0
51179 php-illuminate-mail                	       0        1        0        1        0
51180 php-illuminate-notifications       	       0        1        0        1        0
51181 php-illuminate-pagination          	       0        1        0        1        0
51182 php-illuminate-pipeline            	       0        1        0        1        0
51183 php-illuminate-queue               	       0        1        0        1        0
51184 php-illuminate-redis               	       0        1        0        1        0
51185 php-illuminate-routing             	       0        1        0        1        0
51186 php-illuminate-session             	       0        1        0        1        0
51187 php-illuminate-support             	       0        1        0        1        0
51188 php-illuminate-testing             	       0        1        0        1        0
51189 php-illuminate-translation         	       0        1        0        1        0
51190 php-illuminate-validation          	       0        1        0        1        0
51191 php-illuminate-view                	       0        1        0        1        0
51192 php-imagick                        	       0       91        6       18       67
51193 php-imap                           	       0       30        0        0       30
51194 php-intl                           	       0      151        0        0      151
51195 php-invoker                        	       0        2        0        2        0
51196 php-json                           	       0       61        0        0       61
51197 php-kolab                          	       0        1        1        0        0
51198 php-kolabformat                    	       0        1        1        0        0
51199 php-laravel-framework              	       0        1        0        1        0
51200 php-laravel-serializable-closure   	       0        1        0        1        0
51201 php-ldap                           	       0       59        0        0       59
51202 php-league-commonmark              	       0        1        0        1        0
51203 php-league-config                  	       0        1        0        1        0
51204 php-league-flysystem               	       0        1        0        1        0
51205 php-league-mime-type-detection     	       0        1        0        1        0
51206 php-letodms-core                   	       0        1        0        1        0
51207 php-letodms-lucene                 	       0        1        0        1        0
51208 php-log                            	       0        4        1        3        0
51209 php-luasandbox                     	       0        9        1        8        0
51210 php-lz4                            	       0        1        0        0        1
51211 php-mail-mimedecode                	       0        1        1        0        0
51212 php-mailparse                      	       0        1        0        0        1
51213 php-mailparse-all-dev              	       0        1        0        0        1
51214 php-mapscript-ng                   	       0        2        1        1        0
51215 php-markdown                       	       0        2        0        2        0
51216 php-matthiasmullie-minify          	       0        1        0        1        0
51217 php-matthiasmullie-path-converter  	       0        1        0        1        0
51218 php-mbstring                       	       0      227        0        0      227
51219 php-mcrypt                         	       0       20        0        0       20
51220 php-mdb2-driver-mysql              	       0        5        1        4        0
51221 php-mdb2-driver-pgsql              	       0        1        0        1        0
51222 php-mdb2-schema                    	       0        1        0        1        0
51223 php-memcached                      	       0       14        0        2       12
51224 php-mongodb                        	       0        1        0        1        0
51225 php-msgpack                        	       0       12        0        3        9
51226 php-mysql                          	       0      212        0        0      212
51227 php-mythtv                         	       0        3        1        2        0
51228 php-nesbot-carbon                  	       0        1        0        1        0
51229 php-net-dime                       	       0        2        1        1        0
51230 php-net-dns2                       	       0        2        0        2        0
51231 php-net-ftp                        	       0        4        1        3        0
51232 php-net-idna2                      	       0        2        0        2        0
51233 php-net-imap                       	       0        4        1        3        0
51234 php-net-ipv6                       	       0        1        0        1        0
51235 php-net-socket                     	       0       32        3       29        0
51236 php-net-url2                       	       0        8        1        7        0
51237 php-net-whois                      	       0        1        0        1        0
51238 php-nette-schema                   	       0        1        0        1        0
51239 php-nette-utils                    	       0        1        0        1        0
51240 php-nrk-predis                     	       0        1        0        1        0
51241 php-oauth                          	       0        1        0        0        1
51242 php-odbc                           	       0        3        0        0        3
51243 php-opis-closure                   	       0        1        0        1        0
51244 php-parsedown                      	       0        1        0        1        0
51245 php-parser                         	       0        4        0        4        0
51246 php-patchwork-utf8                 	       0        1        0        1        0
51247 php-pclzip                         	       0        6        0        6        0
51248 php-pgsql                          	       0       48        0        0       48
51249 php-phar-io-manifest               	       0        3        0        3        0
51250 php-phar-io-version                	       0        4        0        4        0
51251 php-php-gettext                    	       0       36        3       33        0
51252 php-phpdbg                         	       0        2        0        2        0
51253 php-phpdocumentor-reflection-common	       0        2        0        2        0
51254 php-phpdocumentor-reflection-docblock	       0        2        0        2        0
51255 php-phpdocumentor-type-resolver    	       0        2        0        2        0
51256 php-phpoption                      	       0        1        0        1        0
51257 php-phpseclib                      	       0       53        7       46        0
51258 php-phpspec-prophecy               	       0        2        0        2        0
51259 php-pimple                         	       0        2        0        2        0
51260 php-predis                         	       0        1        0        1        0
51261 php-proxy-manager                  	       0        1        0        1        0
51262 php-pspell                         	       0       14        0        0       14
51263 php-psr-http-client                	       0        7        0        7        0
51264 php-psr-simple-cache               	       0        9        2        7        0
51265 php-ramsey-collection              	       0        1        0        1        0
51266 php-ramsey-uuid                    	       0        1        0        1        0
51267 php-random-compat                  	       0        6        1        5        0
51268 php-raphf                          	       0        3        0        0        3
51269 php-react-promise                  	       0       42        3       39        0
51270 php-readline                       	       0       11        0        0       11
51271 php-recode                         	       0        2        0        0        2
51272 php-redis                          	       0       25        0        2       23
51273 php-sabre-dav                      	       0        2        0        2        0
51274 php-sabre-dav-2.1                  	       0        1        0        1        0
51275 php-sabre-event                    	       0        1        0        1        0
51276 php-sabre-http-3                   	       0        1        0        1        0
51277 php-sabre-vobject                  	       0        2        0        2        0
51278 php-sabre-vobject-3                	       0        1        0        1        0
51279 php-sabredav                       	       0        1        0        0        1
51280 php-sass                           	       0        1        1        0        0
51281 php-seclib                         	       0        9        0        9        0
51282 php-services-weather               	       0        1        1        0        0
51283 php-smbclient                      	       0        3        1        1        1
51284 php-smbclient-all-dev              	       0        1        0        0        1
51285 php-snmp                           	       0        5        0        0        5
51286 php-soap                           	       0       44        0        0       44
51287 php-sqlite3                        	       0       46        0        0       46
51288 php-ssh2                           	       0        7        0        3        4
51289 php-swiftmailer                    	       0        2        0        2        0
51290 php-symfony                        	       0        1        0        1        0
51291 php-symfony-amqp-messenger         	       0        1        0        1        0
51292 php-symfony-asset                  	       0        1        0        1        0
51293 php-symfony-browser-kit            	       0        1        0        1        0
51294 php-symfony-class-loader           	       0        3        0        3        0
51295 php-symfony-contracts              	       0        1        0        1        0
51296 php-symfony-debug                  	       0        5        0        5        0
51297 php-symfony-debug-bundle           	       0        1        0        1        0
51298 php-symfony-doctrine-bridge        	       0        1        0        1        0
51299 php-symfony-doctrine-messenger     	       0        1        0        1        0
51300 php-symfony-dom-crawler            	       0        3        0        3        0
51301 php-symfony-dotenv                 	       0        1        0        1        0
51302 php-symfony-error-handler          	       0        2        0        2        0
51303 php-symfony-event-dispatcher       	       0        4        0        4        0
51304 php-symfony-event-dispatcher-contracts	       0        4        0        4        0
51305 php-symfony-form                   	       0        1        0        1        0
51306 php-symfony-framework-bundle       	       0        1        0        1        0
51307 php-symfony-http-client            	       0        1        0        1        0
51308 php-symfony-http-client-contracts  	       0        1        0        1        0
51309 php-symfony-http-foundation        	       0        2        0        2        0
51310 php-symfony-http-kernel            	       0        2        0        2        0
51311 php-symfony-inflector              	       0        1        0        1        0
51312 php-symfony-intl                   	       0        1        0        1        0
51313 php-symfony-ldap                   	       0        1        0        1        0
51314 php-symfony-lock                   	       0        1        0        1        0
51315 php-symfony-mailer                 	       0        2        0        2        0
51316 php-symfony-messenger              	       0        1        0        1        0
51317 php-symfony-monolog-bridge         	       0        1        0        1        0
51318 php-symfony-notifier               	       0        1        0        1        0
51319 php-symfony-options-resolver       	       0        1        0        1        0
51320 php-symfony-password-hasher        	       0        1        0        1        0
51321 php-symfony-polyfill-mbstring      	       0        3        0        3        0
51322 php-symfony-polyfill-php55         	       0        1        0        1        0
51323 php-symfony-polyfill-php81         	       0        1        0        1        0
51324 php-symfony-property-access        	       0        2        0        2        0
51325 php-symfony-property-info          	       0        1        0        1        0
51326 php-symfony-proxy-manager-bridge   	       0        1        0        1        0
51327 php-symfony-rate-limiter           	       0        1        0        1        0
51328 php-symfony-redis-messenger        	       0        1        0        1        0
51329 php-symfony-routing                	       0        3        0        3        0
51330 php-symfony-security-bundle        	       0        1        0        1        0
51331 php-symfony-security-core          	       0        1        0        1        0
51332 php-symfony-security-csrf          	       0        1        0        1        0
51333 php-symfony-security-guard         	       0        1        0        1        0
51334 php-symfony-security-http          	       0        1        0        1        0
51335 php-symfony-semaphore              	       0        1        0        1        0
51336 php-symfony-serializer             	       0        2        0        2        0
51337 php-symfony-stopwatch              	       0        1        0        1        0
51338 php-symfony-templating             	       0        1        0        1        0
51339 php-symfony-translation            	       0        2        0        2        0
51340 php-symfony-translation-contracts  	       0        2        0        2        0
51341 php-symfony-twig-bridge            	       0        1        0        1        0
51342 php-symfony-twig-bundle            	       0        1        0        1        0
51343 php-symfony-uid                    	       0        1        0        1        0
51344 php-symfony-validator              	       0        1        0        1        0
51345 php-symfony-var-dumper             	       0        2        0        2        0
51346 php-symfony-web-link               	       0        1        0        1        0
51347 php-symfony-web-profiler-bundle    	       0        1        0        1        0
51348 php-symfony-workflow               	       0        1        0        1        0
51349 php-text-password                  	       0        1        0        1        0
51350 php-text-template                  	       0        3        0        3        0
51351 php-tideways                       	       0        2        1        0        1
51352 php-tidy                           	       0        8        0        0        8
51353 php-timer                          	       0        3        0        3        0
51354 php-token-stream                   	       0        2        0        2        0
51355 php-tokenizer                      	       0        4        0        4        0
51356 php-twig-doc                       	       0        2        0        0        2
51357 php-twig-extensions                	       0        2        0        2        0
51358 php-uploadprogress                 	       0        5        1        3        1
51359 php-uuid                           	       0        6        0        0        6
51360 php-validate                       	       0        1        0        1        0
51361 php-vlucas-phpdotenv               	       0        1        0        1        0
51362 php-voku-portable-ascii            	       0        1        0        1        0
51363 php-wikidiff2                      	       0       13        2       11        0
51364 php-wpcli                          	       0        1        0        1        0
51365 php-xdebug                         	       0       11        0        2        9
51366 php-xml                            	       0      257        0        0      257
51367 php-xml-dtd                        	       0        1        0        1        0
51368 php-xml-htmlsax3                   	       0        1        0        1        0
51369 php-xml-parser                     	       0        3        1        2        0
51370 php-xml-serializer                 	       0        2        1        1        0
51371 php-xmlrpc                         	       0        7        0        0        7
51372 php-xmlrpc-all-dev                 	       0        1        0        0        1
51373 php-yaml                           	       0       12        1        1       10
51374 php-zend-eventmanager              	       0        1        0        1        0
51375 php-zend-hydrator                  	       0        1        0        1        0
51376 php-zend-stdlib                    	       0        1        0        1        0
51377 php-zip                            	       0      137        0        0      137
51378 php-zmq                            	       0        1        0        0        1
51379 php5                               	       0       35        0        0       35
51380 php5-apcu                          	       0        8        1        7        0
51381 php5-cgi                           	       0       10        2        8        0
51382 php5-cli                           	       0       58       12       46        0
51383 php5-common                        	       0       62       13       49        0
51384 php5-curl                          	       0       14        5        9        0
51385 php5-dev                           	       0        4        0        4        0
51386 php5-fpm                           	       0        5        0        5        0
51387 php5-gd                            	       0       32        7       24        1
51388 php5-geoip                         	       0        1        1        0        0
51389 php5-imagick                       	       0        3        0        3        0
51390 php5-imap                          	       0        6        2        4        0
51391 php5-intl                          	       0       10        1        9        0
51392 php5-json                          	       0       59       13       45        1
51393 php5-ldap                          	       0        4        0        4        0
51394 php5-mcrypt                        	       0       15        2       12        1
51395 php5-memcache                      	       0        1        1        0        0
51396 php5-mysql                         	       0       37        7       29        1
51397 php5-mysqlnd                       	       0        2        0        2        0
51398 php5-pgsql                         	       0        8        0        8        0
51399 php5-pspell                        	       0        3        1        2        0
51400 php5-readline                      	       0       53       11       41        1
51401 php5-recode                        	       0        2        1        1        0
51402 php5-rrd                           	       0        1        1        0        0
51403 php5-sasl                          	       0        1        0        1        0
51404 php5-snmp                          	       0        1        0        1        0
51405 php5-sqlite                        	       0        7        0        7        0
51406 php5-ssh2                          	       0        1        0        1        0
51407 php5-tidy                          	       0        3        0        3        0
51408 php5-xcache                        	       0        2        0        2        0
51409 php5-xmlrpc                        	       0        2        0        2        0
51410 php5-xsl                           	       0        2        1        1        0
51411 php5.6                             	       0        7        0        0        7
51412 php5.6-apcu                        	       0        3        2        1        0
51413 php5.6-bcmath                      	       0        1        1        0        0
51414 php5.6-bz2                         	       0        2        2        0        0
51415 php5.6-cgi                         	       0        5        2        3        0
51416 php5.6-cli                         	       0       12        7        5        0
51417 php5.6-common                      	       0       13        7        6        0
51418 php5.6-curl                        	       0        9        6        3        0
51419 php5.6-dba                         	       0        1        1        0        0
51420 php5.6-dev                         	       0        1        1        0        0
51421 php5.6-enchant                     	       0        1        1        0        0
51422 php5.6-fpm                         	       0        5        2        3        0
51423 php5.6-gd                          	       0       10        5        5        0
51424 php5.6-gmp                         	       0        1        1        0        0
51425 php5.6-imagick                     	       0        1        0        1        0
51426 php5.6-imap                        	       0        4        2        2        0
51427 php5.6-intl                        	       0        6        4        2        0
51428 php5.6-json                        	       0       12        7        5        0
51429 php5.6-ldap                        	       0        3        1        2        0
51430 php5.6-mbstring                    	       0        9        4        5        0
51431 php5.6-mbstring-dbgsym             	       0        1        0        1        0
51432 php5.6-mcrypt                      	       0        4        3        1        0
51433 php5.6-mysql                       	       0        8        5        3        0
51434 php5.6-odbc                        	       0        1        1        0        0
51435 php5.6-opcache                     	       0       12        7        5        0
51436 php5.6-pgsql                       	       0        3        1        2        0
51437 php5.6-pspell                      	       0        3        3        0        0
51438 php5.6-readline                    	       0       12        7        5        0
51439 php5.6-recode                      	       0        1        1        0        0
51440 php5.6-snmp                        	       0        1        1        0        0
51441 php5.6-soap                        	       0        1        0        1        0
51442 php5.6-sqlite3                     	       0        1        1        0        0
51443 php5.6-sqlite3-dbgsym              	       0        1        0        1        0
51444 php5.6-sybase                      	       0        3        2        1        0
51445 php5.6-tidy                        	       0        1        1        0        0
51446 php5.6-xdebug                      	       0        2        1        1        0
51447 php5.6-xml                         	       0        8        5        3        0
51448 php5.6-xmlrpc                      	       0        5        4        1        0
51449 php5.6-xsl                         	       0        3        0        0        3
51450 php5.6-yaml                        	       0        1        0        1        0
51451 php5.6-zip                         	       0        6        5        1        0
51452 php7-mapi                          	       0        1        1        0        0
51453 php7.0                             	       0       21        0        0       21
51454 php7.0-apcu                        	       0        4        1        3        0
51455 php7.0-bcmath                      	       0        2        1        1        0
51456 php7.0-bz2                         	       0       14        5        9        0
51457 php7.0-cgi                         	       0        6        1        5        0
51458 php7.0-cli                         	       0       46       13       33        0
51459 php7.0-common                      	       0       51       14       37        0
51460 php7.0-curl                        	       0       14        5        9        0
51461 php7.0-dba                         	       0        1        1        0        0
51462 php7.0-dev                         	       0        2        0        2        0
51463 php7.0-fpm                         	       0       12        2       10        0
51464 php7.0-gd                          	       0       23        8       15        0
51465 php7.0-geoip                       	       0        1        1        0        0
51466 php7.0-gmp                         	       0        1        1        0        0
51467 php7.0-igbinary                    	       0        1        1        0        0
51468 php7.0-imap                        	       0        8        1        7        0
51469 php7.0-intl                        	       0       14        3       11        0
51470 php7.0-json                        	       0       46       13       33        0
51471 php7.0-ldap                        	       0        3        1        2        0
51472 php7.0-mbstring                    	       0       32        9       23        0
51473 php7.0-mcrypt                      	       0       12        4        8        0
51474 php7.0-memcached                   	       0        1        1        0        0
51475 php7.0-msgpack                     	       0        1        1        0        0
51476 php7.0-mysql                       	       0       21        8       13        0
51477 php7.0-odbc                        	       0        1        0        1        0
51478 php7.0-opcache                     	       0       46       13       33        0
51479 php7.0-pgsql                       	       0        6        1        5        0
51480 php7.0-phpdbg                      	       0        2        0        2        0
51481 php7.0-pspell                      	       0        6        2        4        0
51482 php7.0-readline                    	       0       46       13       33        0
51483 php7.0-recode                      	       0        4        0        4        0
51484 php7.0-snmp                        	       0        1        0        1        0
51485 php7.0-soap                        	       0        9        3        6        0
51486 php7.0-sqlite3                     	       0       11        3        8        0
51487 php7.0-tidy                        	       0        5        1        4        0
51488 php7.0-xml                         	       0       40       11       29        0
51489 php7.0-xmlrpc                      	       0        7        0        7        0
51490 php7.0-xsl                         	       0        4        0        0        4
51491 php7.0-zip                         	       0       17        6       11        0
51492 php7.1                             	       0        2        0        0        2
51493 php7.1-apcu                        	       0        2        1        1        0
51494 php7.1-bcmath                      	       0        1        0        1        0
51495 php7.1-cgi                         	       0        2        0        2        0
51496 php7.1-cli                         	       0        6        2        4        0
51497 php7.1-common                      	       0        9        2        7        0
51498 php7.1-curl                        	       0        2        0        2        0
51499 php7.1-fpm                         	       0        2        1        1        0
51500 php7.1-gd                          	       0        3        0        3        0
51501 php7.1-imagick                     	       0        1        0        1        0
51502 php7.1-imap                        	       0        1        0        1        0
51503 php7.1-intl                        	       0        1        0        1        0
51504 php7.1-json                        	       0        6        2        4        0
51505 php7.1-mbstring                    	       0        3        0        3        0
51506 php7.1-mysql                       	       0        4        0        4        0
51507 php7.1-opcache                     	       0        6        2        4        0
51508 php7.1-phpdbg                      	       0        2        0        2        0
51509 php7.1-readline                    	       0        6        2        4        0
51510 php7.1-soap                        	       0        1        0        1        0
51511 php7.1-xdebug                      	       0        1        0        1        0
51512 php7.1-xml                         	       0        4        0        4        0
51513 php7.1-xmlrpc                      	       0        1        0        1        0
51514 php7.1-xsl                         	       0        1        0        0        1
51515 php7.1-zip                         	       0        2        0        2        0
51516 php7.2                             	       0        2        0        0        2
51517 php7.2-apcu                        	       0        2        1        1        0
51518 php7.2-bcmath                      	       0        1        1        0        0
51519 php7.2-bz2                         	       0        1        1        0        0
51520 php7.2-cgi                         	       0        2        0        2        0
51521 php7.2-cli                         	       0        5        2        3        0
51522 php7.2-common                      	       0        6        2        4        0
51523 php7.2-curl                        	       0        1        1        0        0
51524 php7.2-fpm                         	       0        1        0        1        0
51525 php7.2-gd                          	       0        3        1        2        0
51526 php7.2-imap                        	       0        2        0        2        0
51527 php7.2-intl                        	       0        2        1        1        0
51528 php7.2-json                        	       0        5        2        3        0
51529 php7.2-mbstring                    	       0        4        1        3        0
51530 php7.2-mysql                       	       0        4        1        3        0
51531 php7.2-opcache                     	       0        5        2        3        0
51532 php7.2-phpdbg                      	       0        1        0        1        0
51533 php7.2-pspell                      	       0        2        1        1        0
51534 php7.2-readline                    	       0        5        2        3        0
51535 php7.2-recode                      	       0        2        1        1        0
51536 php7.2-soap                        	       0        1        0        1        0
51537 php7.2-sqlite3                     	       0        2        1        1        0
51538 php7.2-tidy                        	       0        1        0        1        0
51539 php7.2-xml                         	       0        4        1        3        0
51540 php7.2-xmlrpc                      	       0        2        1        1        0
51541 php7.2-xsl                         	       0        2        0        0        2
51542 php7.2-zip                         	       0        4        1        3        0
51543 php7.3                             	       0       31        0        0       31
51544 php7.3-apcu                        	       0        7        2        5        0
51545 php7.3-apcu-bc                     	       0        1        0        1        0
51546 php7.3-bcmath                      	       0        7        2        5        0
51547 php7.3-bz2                         	       0        8        2        6        0
51548 php7.3-cgi                         	       0       10        1        9        0
51549 php7.3-cli                         	       0       58        8       50        0
51550 php7.3-common                      	       0       59        8       51        0
51551 php7.3-curl                        	       0       30        3       27        0
51552 php7.3-dba                         	       0        1        0        1        0
51553 php7.3-dev                         	       0        1        0        1        0
51554 php7.3-enchant                     	       0        2        0        2        0
51555 php7.3-fpm                         	       0       12        2       10        0
51556 php7.3-gd                          	       0       32        4       28        0
51557 php7.3-gmp                         	       0        7        2        5        0
51558 php7.3-igbinary                    	       0        2        0        2        0
51559 php7.3-imagick                     	       0        1        0        1        0
51560 php7.3-imap                        	       0        9        1        8        0
51561 php7.3-intl                        	       0       25        3       22        0
51562 php7.3-json                        	       0       58        8       50        0
51563 php7.3-ldap                        	       0        3        1        2        0
51564 php7.3-mbstring                    	       0       33        4       29        0
51565 php7.3-memcache                    	       0        1        0        1        0
51566 php7.3-memcached                   	       0        1        0        1        0
51567 php7.3-msgpack                     	       0        1        0        1        0
51568 php7.3-mysql                       	       0       36        6       30        0
51569 php7.3-odbc                        	       0        1        0        1        0
51570 php7.3-opcache                     	       0       58        8       50        0
51571 php7.3-pgsql                       	       0       14        2       12        0
51572 php7.3-phpdbg                      	       0        4        1        3        0
51573 php7.3-pspell                      	       0        6        2        4        0
51574 php7.3-readline                    	       0       58        8       50        0
51575 php7.3-recode                      	       0        4        0        4        0
51576 php7.3-redis                       	       0        2        1        1        0
51577 php7.3-snmp                        	       0        1        0        1        0
51578 php7.3-soap                        	       0       11        3        8        0
51579 php7.3-sqlite3                     	       0       12        0       12        0
51580 php7.3-sqlite3-dbgsym              	       0        1        0        1        0
51581 php7.3-tidy                        	       0        4        0        4        0
51582 php7.3-xml                         	       0       39        6       33        0
51583 php7.3-xmlrpc                      	       0        7        1        6        0
51584 php7.3-xsl                         	       0        6        0        0        6
51585 php7.3-zip                         	       0       23        3       20        0
51586 php7.4                             	       0       59        0        0       59
51587 php7.4-apcu                        	       0       10        3        7        0
51588 php7.4-apcu-bc                     	       0        4        1        3        0
51589 php7.4-apcu-bc-dbgsym              	       0        1        0        1        0
51590 php7.4-apcu-dbgsym                 	       0        1        0        1        0
51591 php7.4-bcmath                      	       0       19        3       16        0
51592 php7.4-bz2                         	       0       24        5       19        0
51593 php7.4-cgi                         	       0       15        3       12        0
51594 php7.4-cli                         	       0      110       24       86        0
51595 php7.4-common                      	       0      114       27       87        0
51596 php7.4-curl                        	       0       56       10       46        0
51597 php7.4-dba                         	       0        1        1        0        0
51598 php7.4-dev                         	       0        7        1        6        0
51599 php7.4-enchant                     	       0        1        1        0        0
51600 php7.4-fpm                         	       0       33        4       29        0
51601 php7.4-gd                          	       0       65       13       52        0
51602 php7.4-geoip                       	       0        1        0        1        0
51603 php7.4-gmp                         	       0       15        2       13        0
51604 php7.4-igbinary                    	       0        5        2        3        0
51605 php7.4-imagick                     	       0        6        1        5        0
51606 php7.4-imap                        	       0       19        3       16        0
51607 php7.4-interbase                   	       0        1        1        0        0
51608 php7.4-intl                        	       0       45       12       33        0
51609 php7.4-json                        	       0      110       27       83        0
51610 php7.4-ldap                        	       0       15        3       12        0
51611 php7.4-mbstring                    	       0       67       13       54        0
51612 php7.4-memcache                    	       0        1        0        1        0
51613 php7.4-memcached                   	       0        2        0        2        0
51614 php7.4-msgpack                     	       0        2        0        2        0
51615 php7.4-mysql                       	       0       64       14       50        0
51616 php7.4-odbc                        	       0        3        2        1        0
51617 php7.4-opcache                     	       0      110       27       83        0
51618 php7.4-pgsql                       	       0       22        5       17        0
51619 php7.4-phpdbg                      	       0       10        1        9        0
51620 php7.4-pspell                      	       0       14        3       11        0
51621 php7.4-readline                    	       0      110       27       83        0
51622 php7.4-redis                       	       0        4        2        2        0
51623 php7.4-snmp                        	       0        1        1        0        0
51624 php7.4-soap                        	       0       25        6       19        0
51625 php7.4-sqlite3                     	       0       19        4       15        0
51626 php7.4-sybase                      	       0        1        1        0        0
51627 php7.4-tidy                        	       0       11        2        9        0
51628 php7.4-xdebug                      	       0        1        0        1        0
51629 php7.4-xml                         	       0       74       17       57        0
51630 php7.4-xmlrpc                      	       0       16        3       13        0
51631 php7.4-xsl                         	       0       12        0        0       12
51632 php7.4-yaml                        	       0        2        1        1        0
51633 php7.4-zip                         	       0       51        7       44        0
51634 php8.0                             	       0       11        0        0       11
51635 php8.0-apcu                        	       0        7        2        5        0
51636 php8.0-bcmath                      	       0        4        1        3        0
51637 php8.0-bz2                         	       0        7        1        6        0
51638 php8.0-cgi                         	       0        8        0        8        0
51639 php8.0-cli                         	       0       18        2       16        0
51640 php8.0-common                      	       0       18        3       15        0
51641 php8.0-curl                        	       0       10        3        7        0
51642 php8.0-dev                         	       0        2        0        2        0
51643 php8.0-fpm                         	       0       10        2        8        0
51644 php8.0-gd                          	       0       13        3       10        0
51645 php8.0-gmp                         	       0        4        1        3        0
51646 php8.0-igbinary                    	       0        4        1        3        0
51647 php8.0-imagick                     	       0        4        2        2        0
51648 php8.0-imap                        	       0        7        1        6        0
51649 php8.0-intl                        	       0       13        3       10        0
51650 php8.0-ldap                        	       0        1        1        0        0
51651 php8.0-mbstring                    	       0       13        3       10        0
51652 php8.0-memcache                    	       0        1        0        1        0
51653 php8.0-memcached                   	       0        1        0        1        0
51654 php8.0-msgpack                     	       0        1        0        1        0
51655 php8.0-mysql                       	       0       12        3        9        0
51656 php8.0-opcache                     	       0       18        3       15        0
51657 php8.0-pgsql                       	       0        2        1        1        0
51658 php8.0-pspell                      	       0        8        2        6        0
51659 php8.0-readline                    	       0       18        3       15        0
51660 php8.0-redis                       	       0        3        1        2        0
51661 php8.0-soap                        	       0       10        2        8        0
51662 php8.0-sqlite3                     	       0        8        2        6        0
51663 php8.0-tidy                        	       0        7        2        5        0
51664 php8.0-xml                         	       0       15        3       12        0
51665 php8.0-xsl                         	       0        7        0        0        7
51666 php8.0-zip                         	       0       11        3        8        0
51667 php8.1                             	       0       11        0        0       11
51668 php8.1-apcu                        	       0        7        1        6        0
51669 php8.1-apcu-dbgsym                 	       0        2        0        2        0
51670 php8.1-bcmath                      	       0        8        0        8        0
51671 php8.1-bcmath-dbgsym               	       0        1        0        1        0
51672 php8.1-bz2                         	       0        6        2        4        0
51673 php8.1-bz2-dbgsym                  	       0        1        0        1        0
51674 php8.1-cgi                         	       0        5        0        5        0
51675 php8.1-cgi-dbgsym                  	       0        1        0        1        0
51676 php8.1-cli                         	       0       15        2       13        0
51677 php8.1-cli-dbgsym                  	       0        1        0        1        0
51678 php8.1-common                      	       0       19        2       17        0
51679 php8.1-common-dbgsym               	       0        1        0        1        0
51680 php8.1-curl                        	       0       15        2       13        0
51681 php8.1-curl-dbgsym                 	       0        1        0        1        0
51682 php8.1-dba                         	       0        1        0        1        0
51683 php8.1-dba-dbgsym                  	       0        1        0        1        0
51684 php8.1-dev                         	       0        1        0        1        0
51685 php8.1-enchant                     	       0        1        0        1        0
51686 php8.1-enchant-dbgsym              	       0        1        0        1        0
51687 php8.1-fpm                         	       0        7        0        7        0
51688 php8.1-fpm-dbgsym                  	       0        1        0        1        0
51689 php8.1-gd                          	       0       15        2       13        0
51690 php8.1-gd-dbgsym                   	       0        1        0        1        0
51691 php8.1-gmp                         	       0        8        0        8        0
51692 php8.1-gmp-dbgsym                  	       0        1        0        1        0
51693 php8.1-igbinary                    	       0        5        0        5        0
51694 php8.1-igbinary-dbgsym             	       0        1        0        1        0
51695 php8.1-imagick                     	       0        7        2        5        0
51696 php8.1-imap                        	       0        8        0        8        0
51697 php8.1-imap-dbgsym                 	       0        1        0        1        0
51698 php8.1-interbase                   	       0        1        0        1        0
51699 php8.1-interbase-dbgsym            	       0        1        0        1        0
51700 php8.1-intl                        	       0       12        2       10        0
51701 php8.1-intl-dbgsym                 	       0        1        0        1        0
51702 php8.1-ldap                        	       0        2        0        2        0
51703 php8.1-ldap-dbgsym                 	       0        1        0        1        0
51704 php8.1-lz4                         	       0        1        0        1        0
51705 php8.1-maxminddb                   	       0        1        0        1        0
51706 php8.1-maxminddb-dbgsym            	       0        1        0        1        0
51707 php8.1-mbstring                    	       0       15        2       13        0
51708 php8.1-mbstring-dbgsym             	       0        1        0        1        0
51709 php8.1-mcrypt                      	       0        2        0        2        0
51710 php8.1-memcache                    	       0        2        0        2        0
51711 php8.1-memcached                   	       0        2        0        2        0
51712 php8.1-msgpack                     	       0        2        0        2        0
51713 php8.1-mysql                       	       0       13        2       11        0
51714 php8.1-mysql-dbgsym                	       0        1        0        1        0
51715 php8.1-oauth                       	       0        1        0        1        0
51716 php8.1-odbc                        	       0        1        0        1        0
51717 php8.1-odbc-dbgsym                 	       0        1        0        1        0
51718 php8.1-opcache                     	       0       15        2       13        0
51719 php8.1-opcache-dbgsym              	       0        1        0        1        0
51720 php8.1-pgsql                       	       0        6        1        5        0
51721 php8.1-pgsql-dbgsym                	       0        1        0        1        0
51722 php8.1-phpdbg                      	       0        1        0        1        0
51723 php8.1-phpdbg-dbgsym               	       0        1        0        1        0
51724 php8.1-pspell                      	       0        6        1        5        0
51725 php8.1-pspell-dbgsym               	       0        1        0        1        0
51726 php8.1-readline                    	       0       15        2       13        0
51727 php8.1-readline-dbgsym             	       0        1        0        1        0
51728 php8.1-redis                       	       0        3        0        3        0
51729 php8.1-redis-dbgsym                	       0        1        0        1        0
51730 php8.1-snmp                        	       0        3        0        3        0
51731 php8.1-snmp-dbgsym                 	       0        1        0        1        0
51732 php8.1-soap                        	       0        8        0        8        0
51733 php8.1-soap-dbgsym                 	       0        1        0        1        0
51734 php8.1-sqlite3                     	       0        8        0        8        0
51735 php8.1-sqlite3-dbgsym              	       0        1        0        1        0
51736 php8.1-sybase                      	       0        1        0        1        0
51737 php8.1-sybase-dbgsym               	       0        1        0        1        0
51738 php8.1-tidy                        	       0        5        0        5        0
51739 php8.1-tidy-dbgsym                 	       0        1        0        1        0
51740 php8.1-xdebug                      	       0        2        0        2        0
51741 php8.1-xml                         	       0       14        2       12        0
51742 php8.1-xml-dbgsym                  	       0        1        0        1        0
51743 php8.1-xsl                         	       0        5        0        0        5
51744 php8.1-yaml                        	       0        3        0        3        0
51745 php8.1-yaml-dbgsym                 	       0        1        0        1        0
51746 php8.1-zip                         	       0       13        2       11        0
51747 php8.1-zip-dbgsym                  	       0        1        0        1        0
51748 php8.2                             	       0      164        0        0      164
51749 php8.2-apcu                        	       0       57       18       39        0
51750 php8.2-apcu-dbgsym                 	       0        1        0        1        0
51751 php8.2-dba                         	       0        1        1        0        0
51752 php8.2-ds                          	       0        1        0        1        0
51753 php8.2-enchant                     	       0        3        1        2        0
51754 php8.2-fpm                         	       0       73       27       46        0
51755 php8.2-gmagick                     	       0        3        2        1        0
51756 php8.2-gmp                         	       0       55       17       38        0
51757 php8.2-gnupg                       	       0        4        3        1        0
51758 php8.2-gnupg-dbgsym                	       0        1        0        1        0
51759 php8.2-http                        	       0        6        0        6        0
51760 php8.2-igbinary                    	       0       36       14       22        0
51761 php8.2-igbinary-dbgsym             	       0        1        0        1        0
51762 php8.2-imagick                     	       0       74       23       51        0
51763 php8.2-imap                        	       0       35       12       23        0
51764 php8.2-interbase                   	       0        1        1        0        0
51765 php8.2-intl                        	       0      132       37       95        0
51766 php8.2-lz4                         	       0        1        0        1        0
51767 php8.2-maxminddb                   	       0        1        1        0        0
51768 php8.2-memcache                    	       0       15        6        9        0
51769 php8.2-memcached                   	       0       16        9        7        0
51770 php8.2-msgpack                     	       0       18        9        9        0
51771 php8.2-oauth                       	       0        1        1        0        0
51772 php8.2-odbc                        	       0        2        1        1        0
51773 php8.2-pgsql                       	       0       42       17       25        0
51774 php8.2-pspell                      	       0       20        8       12        0
51775 php8.2-raphf                       	       0        6        1        5        0
51776 php8.2-redis                       	       0       27        8       19        0
51777 php8.2-snmp                        	       0        4        1        3        0
51778 php8.2-sqlite3                     	       0       42       12       30        0
51779 php8.2-ssh2                        	       0        3        1        2        0
51780 php8.2-sybase                      	       0        1        1        0        0
51781 php8.2-tideways                    	       0        1        0        1        0
51782 php8.2-tidy                        	       0       15        6        9        0
51783 php8.2-uploadprogress              	       0        5        1        4        0
51784 php8.2-uuid                        	       0        6        2        4        0
51785 php8.2-xdebug                      	       0       10        5        5        0
51786 php8.2-xmlrpc                      	       0       10        6        4        0
51787 php8.2-xsl                         	       0       12        0        0       12
51788 php8.2-yaml                        	       0       11        2        9        0
51789 php8.2-yaml-dbgsym                 	       0        1        0        1        0
51790 php8.2-zmq                         	       0        1        1        0        0
51791 php8.3                             	       0        8        0        0        8
51792 php8.3-apcu                        	       0        3        1        2        0
51793 php8.3-bcmath                      	       0        7        2        5        0
51794 php8.3-bz2                         	       0        7        2        5        0
51795 php8.3-cgi                         	       0        1        0        1        0
51796 php8.3-cli                         	       0       11        3        8        0
51797 php8.3-common                      	       0       11        3        8        0
51798 php8.3-curl                        	       0       10        3        7        0
51799 php8.3-fpm                         	       0        4        1        3        0
51800 php8.3-gd                          	       0       11        3        8        0
51801 php8.3-gmp                         	       0        6        1        5        0
51802 php8.3-igbinary                    	       0        2        0        2        0
51803 php8.3-imagick                     	       0        9        3        6        0
51804 php8.3-imap                        	       0        5        1        4        0
51805 php8.3-intl                        	       0       10        3        7        0
51806 php8.3-ldap                        	       0        4        1        3        0
51807 php8.3-lz4                         	       0        1        0        1        0
51808 php8.3-mbstring                    	       0       11        3        8        0
51809 php8.3-memcache                    	       0        2        0        2        0
51810 php8.3-memcached                   	       0        1        0        1        0
51811 php8.3-msgpack                     	       0        1        0        1        0
51812 php8.3-mysql                       	       0        8        3        5        0
51813 php8.3-opcache                     	       0       11        3        8        0
51814 php8.3-pgsql                       	       0        6        2        4        0
51815 php8.3-phpdbg                      	       0        4        0        4        0
51816 php8.3-pspell                      	       0        1        1        0        0
51817 php8.3-readline                    	       0       11        3        8        0
51818 php8.3-redis                       	       0        2        0        2        0
51819 php8.3-snmp                        	       0        1        0        1        0
51820 php8.3-soap                        	       0        2        0        2        0
51821 php8.3-sqlite3                     	       0        1        0        1        0
51822 php8.3-ssh2                        	       0        1        1        0        0
51823 php8.3-xdebug                      	       0        2        0        2        0
51824 php8.3-xml                         	       0       10        3        7        0
51825 php8.3-yaml                        	       0        1        0        1        0
51826 php8.3-zip                         	       0        9        3        6        0
51827 php8.4                             	       0        4        0        0        4
51828 php8.4-apcu                        	       0        4        3        1        0
51829 php8.4-bcmath                      	       0        3        3        0        0
51830 php8.4-bz2                         	       0        2        2        0        0
51831 php8.4-cli                         	       0        8        5        3        0
51832 php8.4-common                      	       0        9        6        3        0
51833 php8.4-curl                        	       0        4        3        1        0
51834 php8.4-fpm                         	       0        1        1        0        0
51835 php8.4-gd                          	       0        3        3        0        0
51836 php8.4-gnupg                       	       0        1        1        0        0
51837 php8.4-http                        	       0        1        1        0        0
51838 php8.4-igbinary                    	       0        3        1        2        0
51839 php8.4-imagick                     	       0        5        3        2        0
51840 php8.4-imap                        	       0        1        1        0        0
51841 php8.4-intl                        	       0        2        2        0        0
51842 php8.4-ldap                        	       0        1        1        0        0
51843 php8.4-libvirt-php                 	       0        1        0        1        0
51844 php8.4-mailparse                   	       0        1        1        0        0
51845 php8.4-mbstring                    	       0        3        3        0        0
51846 php8.4-mcrypt                      	       0        2        2        0        0
51847 php8.4-memcache                    	       0        2        1        1        0
51848 php8.4-mysql                       	       0        3        3        0        0
51849 php8.4-oauth                       	       0        1        1        0        0
51850 php8.4-opcache                     	       0        8        6        2        0
51851 php8.4-pgsql                       	       0        1        1        0        0
51852 php8.4-phpdbg                      	       0        4        0        4        0
51853 php8.4-ps                          	       0        1        1        0        0
51854 php8.4-raphf                       	       0        1        1        0        0
51855 php8.4-readline                    	       0        8        6        2        0
51856 php8.4-redis                       	       0        3        1        2        0
51857 php8.4-smbclient                   	       0        1        1        0        0
51858 php8.4-snmp                        	       0        1        1        0        0
51859 php8.4-soap                        	       0        2        2        0        0
51860 php8.4-sqlite3                     	       0        1        1        0        0
51861 php8.4-ssh2                        	       0        3        2        1        0
51862 php8.4-tidy                        	       0        2        2        0        0
51863 php8.4-uuid                        	       0        2        2        0        0
51864 php8.4-xml                         	       0        3        3        0        0
51865 php8.4-xmlrpc                      	       0        2        2        0        0
51866 php8.4-xsl                         	       0        1        0        0        1
51867 php8.4-yaml                        	       0        1        1        0        0
51868 php8.4-zip                         	       0        3        3        0        0
51869 phpldapadmin                       	       0        3        0        3        0
51870 phpliteadmin                       	       0        3        0        3        0
51871 phppgadmin                         	       0        6        0        6        0
51872 phpqrcode                          	       0        3        0        3        0
51873 phpsysinfo                         	       0        3        1        2        0
51874 phpunit                            	       0        2        0        2        0
51875 phpunit-cli-parser                 	       0        2        0        2        0
51876 phpunit-code-unit                  	       0        2        0        2        0
51877 phpunit-code-unit-reverse-lookup   	       0        2        0        2        0
51878 phpunit-comparator                 	       0        3        0        3        0
51879 phpunit-complexity                 	       0        2        0        2        0
51880 phpunit-diff                       	       0        3        0        3        0
51881 phpunit-environment                	       0        3        0        3        0
51882 phpunit-exporter                   	       0        3        0        3        0
51883 phpunit-global-state               	       0        3        0        3        0
51884 phpunit-lines-of-code              	       0        2        0        2        0
51885 phpunit-object-enumerator          	       0        2        0        2        0
51886 phpunit-object-reflector           	       0        3        0        3        0
51887 phpunit-recursion-context          	       0        3        0        3        0
51888 phpunit-resource-operations        	       0        2        0        2        0
51889 phpunit-type                       	       0        2        0        2        0
51890 phpunit-version                    	       0        3        0        3        0
51891 phybin                             	       0        1        0        1        0
51892 phylip                             	       0        4        0        4        0
51893 phyml                              	       0        5        0        5        0
51894 physlock                           	       0        1        0        1        0
51895 phyutility                         	       0        1        0        1        0
51896 pi                                 	       0        6        0        6        0
51897 pia                                	       0       27        2       25        0
51898 pianobar                           	       0        2        0        2        0
51899 pianobooster                       	       0       11        2        9        0
51900 picard-tools                       	       0        5        0        5        0
51901 picasm                             	       0        1        0        1        0
51902 pick                               	       0        1        0        1        0
51903 pico-sdk-source                    	       0        1        0        1        0
51904 picolibc-arm-none-eabi             	       0        2        0        0        2
51905 picolibc-riscv64-unknown-elf       	       0        3        0        0        3
51906 picolisp                           	       0        6        0        6        0
51907 picon-domains                      	       0        2        0        2        0
51908 picon-misc                         	       0        2        0        2        0
51909 picon-news                         	       0        1        0        0        1
51910 picon-unknown                      	       0        2        0        0        2
51911 picon-usenix                       	       0        1        0        0        1
51912 picon-users                        	       0        2        0        0        2
51913 picon-weather                      	       0        3        0        0        3
51914 picosat                            	       0        1        0        1        0
51915 picoscope                          	       0        4        0        4        0
51916 picotool                           	       0        1        0        1        0
51917 picprog                            	       0        1        0        1        0
51918 pidcat                             	       0        1        0        1        0
51919 pidentd                            	       0        7        1        6        0
51920 pidentd-des                        	       0        1        0        0        1
51921 pidgin-audacious                   	       0        5        0        0        5
51922 pidgin-awayonlock                  	       0        5        0        0        5
51923 pidgin-blinklight                  	       0        5        0        5        0
51924 pidgin-build-deps                  	       0        1        0        0        1
51925 pidgin-data                        	       0      422        4       10      408
51926 pidgin-dbgsym                      	       0        1        0        1        0
51927 pidgin-dev                         	       0        4        0        4        0
51928 pidgin-encryption                  	       0        8        0        8        0
51929 pidgin-extprefs                    	       0       17        1       16        0
51930 pidgin-festival                    	       0        6        0        6        0
51931 pidgin-gnome-keyring               	       0       11        1       10        0
51932 pidgin-guifications                	       0       14        3       11        0
51933 pidgin-hotkeys                     	       0        9        1        8        0
51934 pidgin-lastfm                      	       0        3        0        3        0
51935 pidgin-latex                       	       0        8        0        8        0
51936 pidgin-libnotify                   	       0        4        0        4        0
51937 pidgin-librvp                      	       0        5        0        1        4
51938 pidgin-mattermost                  	       0        1        0        1        0
51939 pidgin-mpris                       	       0        2        0        2        0
51940 pidgin-mra                         	       0        4        0        4        0
51941 pidgin-mra-dbg                     	       0        2        0        2        0
51942 pidgin-nateon                      	       0        4        0        1        3
51943 pidgin-nateon-dbg                  	       0        2        0        2        0
51944 pidgin-openfetion                  	       0        1        0        1        0
51945 pidgin-openpgp                     	       0        3        0        3        0
51946 pidgin-opensteamworks              	       0        1        0        1        0
51947 pidgin-otr                         	       0       25        1       24        0
51948 pidgin-plugin-pack                 	       0       31        4       24        3
51949 pidgin-privacy-please              	       0       11        0        1       10
51950 pidgin-sipe                        	       0        9        1        8        0
51951 pidgin-skype                       	       0        6        0        1        5
51952 pidgin-skype-common                	       0        7        0        7        0
51953 pidgin-skype-dbg                   	       0        1        0        1        0
51954 pidgin-themes                      	       0       17        0        0       17
51955 piglit                             	       0        1        0        1        0
51956 pigpio                             	       0        1        0        0        1
51957 pigpio-tools                       	       0        2        0        2        0
51958 pigpiod                            	       0        1        0        1        0
51959 pikchr                             	       0        1        0        1        0
51960 pike7.8                            	       0        1        0        0        1
51961 pike7.8-core                       	       0        2        0        2        0
51962 pike7.8-dev                        	       0        1        0        1        0
51963 pike7.8-doc                        	       0        2        0        0        2
51964 pike7.8-gdbm                       	       0        1        0        1        0
51965 pike7.8-image                      	       0        2        0        2        0
51966 pike7.8-manual                     	       0        2        0        0        2
51967 pike7.8-mysql                      	       0        1        0        1        0
51968 pike7.8-pcre                       	       0        1        0        1        0
51969 pike7.8-pg                         	       0        1        0        1        0
51970 pike7.8-reference                  	       0        2        0        0        2
51971 pike7.8-sdl                        	       0        1        0        1        0
51972 pike7.8-svg                        	       0        1        0        1        0
51973 pike8.0                            	       0        4        0        0        4
51974 pike8.0-core                       	       0        8        0        8        0
51975 pike8.0-dev                        	       0        5        0        5        0
51976 pike8.0-doc                        	       0        3        0        0        3
51977 pike8.0-fuse                       	       0        1        0        1        0
51978 pike8.0-gdbm                       	       0        4        0        4        0
51979 pike8.0-gtk                        	       0        1        0        1        0
51980 pike8.0-image                      	       0        6        0        6        0
51981 pike8.0-mysql                      	       0        4        0        4        0
51982 pike8.0-pcre                       	       0        4        0        4        0
51983 pike8.0-pg                         	       0        4        0        4        0
51984 pike8.0-sdl                        	       0        1        0        1        0
51985 pikopixel.app                      	       0        3        0        3        0
51986 piler                              	       0        1        0        1        0
51987 pilot                              	       0        3        0        3        0
51988 pilot-link                         	       0        6        0        6        0
51989 pinball                            	       0       18        0       18        0
51990 pinball-data                       	       0       18        0        0       18
51991 pinball-table-gnu                  	       0        5        0        0        5
51992 pinball-table-gnu-data             	       0        5        0        0        5
51993 pinball-table-hurd                 	       0        5        0        0        5
51994 pinball-table-hurd-data            	       0        5        0        0        5
51995 pinentry-doc                       	       0       20        0        0       20
51996 pinentry-fltk                      	       0        6        0        6        0
51997 pinentry-qt4                       	       0        9        0        9        0
51998 pinentry-tty                       	       0       32        0       32        0
51999 pinentry-x2go                      	       0        3        0        3        0
52000 pingus-data                        	       0       34        0        0       34
52001 pinhole                            	       0        2        0        2        0
52002 pink-pony                          	       0        2        0        2        0
52003 pink-pony-data                     	       0        2        0        0        2
52004 pinokio                            	       0        3        0        3        0
52005 pinot                              	       0        1        0        1        0
52006 pinpoint                           	       0        4        0        4        0
52007 pinta                              	       0        8        0        8        0
52008 pinthread                          	       0        3        0        0        3
52009 pioasm                             	       0        1        0        1        0
52010 pioneers                           	       0       14        0       14        0
52011 pioneers-console                   	       0       14        0       14        0
52012 pioneers-console-data              	       0       14        0        1       13
52013 pioneers-data                      	       0       14        0        0       14
52014 pioneers-meta-server               	       0        1        0        0        1
52015 pioneers-metaserver                	       0        3        0        3        0
52016 pip-check-reqs                     	       0        5        0        5        0
52017 pipebench                          	       0       24        0       24        0
52018 pipemeter                          	       0        5        0        5        0
52019 pipenightdreams                    	       0        9        0        9        0
52020 pipenightdreams-data               	       0        9        0        0        9
52021 pipenv                             	       0       17        0       17        0
52022 piper                              	       0        9        0        9        0
52023 pipes-sh                           	       0        3        0        3        0
52024 pipette-stt                        	       0        2        0        2        0
52025 pipewalker                         	       0       11        0       11        0
52026 pipewire-alsa                      	       0      171        5       19      147
52027 pipewire-audio                     	       0      162        0        0      162
52028 pipewire-doc                       	       0       22        0        0       22
52029 pipewire-libcamera                 	       0       19        0        9       10
52030 pipewire-media-session             	       0       27        0       27        0
52031 pipewire-media-session-pulseaudio  	       0        1        0        0        1
52032 pipewire-module-xrdp               	       0        7        0        0        7
52033 pipewire-tests                     	       0       14        0        0       14
52034 piratewallet-lite                  	       0        1        0        1        0
52035 pirs                               	       0        1        0        1        0
52036 pirs-profiles                      	       0        1        0        0        1
52037 pisg                               	       0        1        1        0        0
52038 pitcheddelay-lv2                   	       0        1        0        1        0
52039 pithos                             	       0        3        0        3        0
52040 pitivi                             	       0       29        1       28        0
52041 piu-piu                            	       0        4        0        4        0
52042 piuparts                           	       0       23        0       23        0
52043 piuparts-common                    	       0       23        0       23        0
52044 piuparts-slave                     	       0        1        0        1        0
52045 pius                               	       0        2        0        2        0
52046 pixbros                            	       0        1        0        1        0
52047 pixelize                           	       0        2        0        2        0
52048 pixelmed-apps                      	       0        2        0        2        0
52049 pixfrogger                         	       0        1        0        1        0
52050 pixmap                             	       0        4        0        4        0
52051 pizmidi-plugins                    	       0        1        0        1        0
52052 pk-update-icon                     	       0        3        1        0        2
52053 pkcs11-data                        	       0        2        0        2        0
52054 pkg                                	       0        2        0        2        0
52055 pkg-components                     	       0        1        0        1        0
52056 pkg-js-autopkgtest                 	       0        1        0        0        1
52057 pkg-js-tools                       	       0        1        0        1        0
52058 pkg-kde-tools                      	       0       76        0       76        0
52059 pkg-mozilla-archive-keyring        	       0       14        0        0       14
52060 pkg-my-archive-keyring             	       0        1        0        0        1
52061 pkg-perl-tools                     	       0        1        0        1        0
52062 pkgdiff                            	       0        5        0        5        0
52063 pkglab                             	       0        1        0        1        0
52064 pktools                            	       0        4        0        4        0
52065 pktools-dev                        	       0        2        0        2        0
52066 pktstat                            	       0        5        0        5        0
52067 pkwalify                           	       0        2        0        2        0
52068 placnet                            	       0        1        0        1        0
52069 plakativ                           	       0        4        0        4        0
52070 plan                               	       0        7        0        7        0
52071 planarity                          	       0       10        0       10        0
52072 planetblupi                        	       0        3        0        3        0
52073 planetblupi-common                 	       0        3        0        0        3
52074 planetblupi-music-ogg              	       0        3        0        0        3
52075 planetpenguin-racer                	       0        1        0        0        1
52076 planetpenguin-racer-extras         	       0        1        0        0        1
52077 planner                            	       0       28        1       27        0
52078 planner-data                       	       0       30        0        0       30
52079 planner-dev                        	       0        1        0        1        0
52080 planner-doc                        	       0       28        0        0       28
52081 plantuml                           	       0       28        1       27        0
52082 plasma-applet-redshift-control     	       0        5        0        1        4
52083 plasma-bigscreen                   	       0        2        0        2        0
52084 plasma-calendar-addons             	       0        6        0        0        6
52085 plasma-containments-addons         	       0        4        1        3        0
52086 plasma-dataengines-workspace       	       0        4        1        3        0
52087 plasma-dataengines-yawp            	       0        1        0        1        0
52088 plasma-desktop-dev                 	       0        7        0        0        7
52089 plasma-desktop-doc                 	       0       20        0        0       20
52090 plasma-desktopthemes-artwork       	       0        4        0        0        4
52091 plasma-discover-backend-snap       	       0        6        1        5        0
52092 plasma-framework-dev               	       0        1        0        0        1
52093 plasma-gamemode                    	       0        6        2        3        1
52094 plasma-gmailfeed                   	       0        1        0        0        1
52095 plasma-kdevelop                    	       0       12        0        2       10
52096 plasma-marble                      	       0        3        0        1        2
52097 plasma-mediacenter                 	       0        4        0        0        4
52098 plasma-nano                        	       0        2        0        0        2
52099 plasma-netbook                     	       0        1        0        1        0
52100 plasma-pass                        	       0        1        1        0        0
52101 plasma-runner-installer            	       0        9        0        1        8
52102 plasma-runner-telepathy-contact    	       0        8        0        3        5
52103 plasma-scriptengine-googlegadgets  	       0        1        0        1        0
52104 plasma-scriptengine-javascript     	       0       42        1       41        0
52105 plasma-scriptengine-python         	       0        2        1        1        0
52106 plasma-scriptengine-qedje          	       0        1        0        1        0
52107 plasma-scriptengine-ruby           	       0        3        0        0        3
52108 plasma-scriptengine-superkaramba   	       0        4        1        3        0
52109 plasma-scriptengine-webkit         	       0        6        1        5        0
52110 plasma-scriptengines               	       0        2        0        0        2
52111 plasma-settings                    	       0        2        0        2        0
52112 plasma-theme-oxygen                	       0       40        0        0       40
52113 plasma-wayland-protocols           	       0       10        0        0       10
52114 plasma-welcome                     	       0       25        4       21        0
52115 plasma-widget-cwp                  	       0        1        0        1        0
52116 plasma-widget-folderview           	       0        4        1        3        0
52117 plasma-widget-lancelot             	       0        3        1        2        0
52118 plasma-widget-message-indicator    	       0        1        0        1        0
52119 plasma-widget-networkmanagement    	       0        1        0        0        1
52120 plasma-widget-x2go                 	       0        1        0        0        1
52121 plasma-widget-yawp                 	       0        1        0        1        0
52122 plasma-widgets-workspace           	       0        4        1        3        0
52123 plasma-workspace-doc               	       0       23        0        0       23
52124 plasma-workspace-wallpapers        	       0       57        0        0       57
52125 plasma5-integration                	       0       23        2        9       12
52126 plasmidomics                       	       0        1        0        1        0
52127 plaso                              	       0       14        0        1       13
52128 plast                              	       0        1        0        1        0
52129 plastex                            	       0        3        0        3        0
52130 plastic                            	       0        1        0        1        0
52131 plastimatch                        	       0        2        0        2        0
52132 plater                             	       0        6        0        6        0
52133 platformio                         	       0        1        0        1        0
52134 platformio-doc                     	       0        1        0        0        1
52135 play.it                            	       0        1        0        1        0
52136 playdeb                            	       0        1        0        0        1
52137 playitslowly                       	       0        2        0        2        0
52138 playmidi                           	       0       16        0       16        0
52139 plc-utils                          	       0        1        0        1        0
52140 plee-the-bear                      	       0        4        0        4        0
52141 plee-the-bear-data                 	       0        4        0        0        4
52142 plexmediaserver                    	       0        5        2        3        0
52143 plextor-tool                       	       0        1        0        1        0
52144 plink                              	       0        2        0        2        0
52145 plink1.9                           	       0        1        0        1        0
52146 ploop                              	       0        1        0        1        0
52147 plopfolio.app                      	       0        1        0        1        0
52148 plotdrop                           	       0        1        0        1        0
52149 ploticus                           	       0        3        0        3        0
52150 plotnetcfg                         	       0        1        0        1        0
52151 plotutils                          	       0        8        1        7        0
52152 plou                               	       0        1        0        1        0
52153 plover                             	       0        2        0        2        0
52154 plowshare                          	       0        2        0        2        0
52155 plowshare-modules                  	       0        1        0        0        1
52156 plowshare4                         	       0        1        0        0        1
52157 plplot-doc                         	       0        2        0        0        2
52158 plplot-driver-cairo                	       0        4        0        0        4
52159 plplot-driver-qt                   	       0        5        0        0        5
52160 plplot-driver-wxwidgets            	       0        5        0        0        5
52161 plplot-driver-xwin                 	       0        6        0        0        6
52162 plplot-examples                    	       0        1        0        1        0
52163 plplot-tcl                         	       0        4        0        0        4
52164 pluginlib-dev                      	       0        1        0        0        1
52165 pluma-dev                          	       0        1        0        1        0
52166 pluma-doc                          	       0        5        0        0        5
52167 pluma-plugin-bookmarks             	       0        1        0        0        1
52168 pluma-plugin-bracketcompletion     	       0        1        0        0        1
52169 pluma-plugin-codecomment           	       0        1        0        0        1
52170 pluma-plugin-quickhighlight        	       0        1        0        0        1
52171 pluma-plugin-smartspaces           	       0        1        0        0        1
52172 pluma-plugin-sourcecodebrowser     	       0        1        0        0        1
52173 pluma-plugin-synctex               	       0        2        0        0        2
52174 pluma-plugin-terminal              	       0        1        0        0        1
52175 pluma-plugin-wordcompletion        	       0        1        0        0        1
52176 pluma-plugins                      	       0        1        0        0        1
52177 pluma-plugins-common               	       0        2        0        0        2
52178 plume-creator                      	       0        4        0        4        0
52179 pluto-keyring                      	       0        1        0        0        1
52180 plutonia-wad                       	       0        3        0        0        3
52181 plymouth                           	       0       40        4       36        0
52182 plymouth-label                     	       0       28        0        0       28
52183 plymouth-theme-breeze              	       0       12        0        0       12
52184 plymouth-theme-hamara              	       0        2        0        0        2
52185 plymouth-themes                    	       0       26        0        0       26
52186 plymouth-x11                       	       0        6        0        0        6
52187 pmacct                             	       0        2        0        2        0
52188 pmailq                             	       0        1        0        1        0
52189 pmars                              	       0        2        0        2        0
52190 pmbootstrap                        	       0        1        0        1        0
52191 pmccabe                            	       0        7        0        7        0
52192 pmidi                              	       0       19        0       19        0
52193 pms                                	       0        3        0        3        0
52194 pmtools                            	       0        2        0        2        0
52195 pmw                                	       0        1        0        1        0
52196 pmx                                	       0        2        0        1        1
52197 png-definitive-guide               	       0       15        0        0       15
52198 png22pnm                           	       0        1        0        1        0
52199 png23d                             	       0        5        0        5        0
52200 png2html                           	       0        5        0        5        0
52201 png2pdf                            	       0        1        0        1        0
52202 pngcrush                           	       0       49        1       48        0
52203 pngmcposterize                     	       0        1        0        1        0
52204 pngmeta                            	       0       10        0       10        0
52205 pngnq                              	       0       12        1       11        0
52206 pngnq-s9                           	       0        1        0        1        0
52207 pngoptimizer                       	       0        1        0        1        0
52208 pngout                             	       0        1        0        1        0
52209 pngphoon                           	       0        5        0        5        0
52210 pngquadtree                        	       0        1        0        1        0
52211 pngtools                           	       0       19        0       19        0
52212 pnm2ppa                            	       0        6        0        0        6
52213 pnmtodjvurle                       	       0        1        0        1        0
52214 pnp4nagios                         	       0        1        0        0        1
52215 pnp4nagios-bin                     	       0        1        1        0        0
52216 pnp4nagios-web                     	       0        1        1        0        0
52217 pnp4nagios-web-config-nagios3      	       0        1        0        1        0
52218 pnpbios-tools                      	       0        1        0        0        1
52219 pnputils                           	       0        1        0        1        0
52220 pnscan                             	       0       26        0       26        0
52221 po4a                               	       0       28        0       28        0
52222 po4a-build-deps                    	       0        2        0        0        2
52223 poa                                	       0        3        0        3        0
52224 poc-streamer                       	       0        3        0        3        0
52225 pocketnet                          	       0        3        0        2        1
52226 pocketsphinx                       	       0       13        1       12        0
52227 pocketsphinx-en-us                 	       0     2802        0        0     2802
52228 pocketsphinx-testdata              	       0        1        0        0        1
52229 pocl-doc                           	       0        1        0        0        1
52230 pocl-opencl-icd                    	       0       54        0        0       54
52231 pod2pandoc                         	       0        3        0        3        0
52232 pod2pdf                            	       0        3        0        3        0
52233 podget                             	       0        7        2        5        0
52234 podman-compose                     	       0       14        0       14        0
52235 podman-desktop-companion           	       0        1        0        0        1
52236 podman-docker                      	       0        6        0        6        0
52237 podman-remote                      	       0        1        0        1        0
52238 podman-toolbox                     	       0        3        0        3        0
52239 podpisgov                          	       0        1        0        1        0
52240 podsleuth                          	       0        1        0        1        0
52241 poe.app                            	       0        1        0        1        0
52242 poedit                             	       0       14        0       14        0
52243 poedit-common                      	       0       14        0        0       14
52244 poezio                             	       0        1        0        1        0
52245 poke                               	       0        2        0        2        0
52246 poke-elf                           	       0        2        0        0        2
52247 pokerth                            	       0       16        0       16        0
52248 pokerth-data                       	       0       17        0        0       17
52249 polari                             	       0       14        0       14        0
52250 polenum                            	       0       23        0       23        0
52251 policy-rcd-declarative             	       0        1        0        1        0
52252 policy-rcd-declarative-deny-all    	       0        1        0        0        1
52253 policycoreutils                    	       0      103       11       92        0
52254 policycoreutils-dbus               	       0        1        0        0        1
52255 policycoreutils-dev                	       0        4        0        4        0
52256 policycoreutils-gui                	       0        1        0        1        0
52257 policycoreutils-python-utils       	       0        4        0        4        0
52258 policyd-weight                     	       0        1        1        0        0
52259 policykit                          	       0        1        0        1        0
52260 policykit-1-dbgsym                 	       0        1        0        1        0
52261 policykit-1-doc                    	       0       17        0        0       17
52262 policykit-1-gnome-dbgsym           	       0        1        0        1        0
52263 policykit-gnome                    	       0        1        0        1        0
52264 policyrcd-script-zg2               	       0        2        0        2        0
52265 polipo                             	       0        4        1        3        0
52266 polkit-kde-1                       	       0       11        1        2        8
52267 polychromatic                      	       0        2        0        0        2
52268 polychromatic-cli                  	       0        2        0        2        0
52269 polychromatic-common               	       0        2        1        1        0
52270 polychromatic-controller           	       0        2        0        2        0
52271 polychromatic-tray-applet          	       0        2        1        1        0
52272 polygen                            	       0        6        0        6        0
52273 polygen-data                       	       0        6        0        6        0
52274 polyglot                           	       0       12        0       12        0
52275 polyglot-linear-a                  	       0        1        0        1        0
52276 polylib-utils                      	       0        1        0        1        0
52277 polymake                           	       0        3        0        3        0
52278 polymake-common                    	       0        3        0        3        0
52279 polyml                             	       0        2        0        2        0
52280 polyml-modules                     	       0        2        0        0        2
52281 polyorb-servers                    	       0        1        0        1        0
52282 polyphone                          	       0        7        0        7        0
52283 pommed                             	       0        3        0        3        0
52284 pomodoro-logger                    	       0        1        0        0        1
52285 pompem                             	       0       23        0       23        0
52286 ponyprog                           	       0        5        0        5        0
52287 poorman-ids                        	       0        1        0        1        0
52288 popa3d                             	       0        1        0        1        0
52289 popcorn-time                       	       0        2        0        2        0
52290 populations                        	       0        1        0        1        0
52291 poretools                          	       0        1        0        1        0
52292 porg                               	       0        3        0        3        0
52293 portaudio19-dev                    	       0       47        0       47        0
52294 portaudio19-doc                    	       0        1        0        1        0
52295 portmap                            	       0        1        0        1        0
52296 portofino                          	       0        1        0        0        1
52297 portproton                         	       0        2        0        2        0
52298 portsentry                         	       0        3        1        2        0
52299 posh                               	       0        4        0        4        0
52300 positron                           	       0        1        0        1        0
52301 posixtestsuite                     	       0        1        0        0        1
52302 post-el                            	       0        1        0        1        0
52303 postal                             	       0        1        0        1        0
52304 postbird                           	       0        1        0        0        1
52305 posterazor                         	       0       19        2       17        0
52306 postfix-doc                        	       0       37        0        0       37
52307 postfix-gld                        	       0        1        0        1        0
52308 postfix-ldap                       	       0        9        0        9        0
52309 postfix-lmdb                       	       0        4        0        4        0
52310 postfix-mta-sts-resolver           	       0       26        3       23        0
52311 postfix-mysql                      	       0       28        6       22        0
52312 postfix-pgsql                      	       0       10        3        7        0
52313 postfix-policyd-spf-perl           	       0        6        2        4        0
52314 postfix-policyd-spf-python         	       0        9        1        8        0
52315 postfixadmin                       	       0        3        1        2        0
52316 postfwd                            	       0        1        0        1        0
52317 postgis                            	       0       21        1       20        0
52318 postgis-doc                        	       0       21        0        0       21
52319 postgis-gui                        	       0        1        0        1        0
52320 postgrespro-1c-13                  	       0        1        0        0        1
52321 postgrespro-1c-13-client           	       0        1        0        1        0
52322 postgrespro-1c-13-contrib          	       0        1        0        1        0
52323 postgrespro-1c-13-libs             	       0        1        0        0        1
52324 postgrespro-1c-13-server           	       0        1        0        1        0
52325 postgresql                         	       0      183        0        0      183
52326 postgresql-10                      	       0        5        2        3        0
52327 postgresql-10-postgis-2.4          	       0        1        0        1        0
52328 postgresql-10-postgis-2.4-scripts  	       0        1        0        0        1
52329 postgresql-11-postgis-2.5          	       0        4        1        3        0
52330 postgresql-11-postgis-2.5-scripts  	       0        4        0        0        4
52331 postgresql-11-repmgr               	       0        1        0        1        0
52332 postgresql-11-rum                  	       0        1        0        1        0
52333 postgresql-11-slony1-2             	       0        1        0        1        0
52334 postgresql-12                      	       0        4        0        4        0
52335 postgresql-12-ip4r                 	       0        1        0        1        0
52336 postgresql-12-postgis-3            	       0        1        0        1        0
52337 postgresql-12-postgis-3-scripts    	       0        1        0        0        1
52338 postgresql-13                      	       0       89       22       67        0
52339 postgresql-13-cron                 	       0        1        0        1        0
52340 postgresql-13-postgis-3            	       0        7        0        7        0
52341 postgresql-13-postgis-3-scripts    	       0        7        0        0        7
52342 postgresql-13-repmgr               	       0        1        0        1        0
52343 postgresql-13-slony1-2             	       0        1        0        1        0
52344 postgresql-14                      	       0        6        1        5        0
52345 postgresql-14-debversion           	       0        1        0        1        0
52346 postgresql-14-first-last-agg       	       0        1        0        1        0
52347 postgresql-14-ip4r                 	       0        1        0        1        0
52348 postgresql-14-mimeo                	       0        1        0        1        0
52349 postgresql-14-mysql-fdw            	       0        1        0        1        0
52350 postgresql-14-numeral              	       0        1        0        1        0
52351 postgresql-14-orafce               	       0        1        0        1        0
52352 postgresql-14-pgrouting            	       0        1        0        1        0
52353 postgresql-14-pgrouting-doc        	       0        1        0        0        1
52354 postgresql-14-pgrouting-scripts    	       0        1        0        0        1
52355 postgresql-14-pldebugger           	       0        1        0        1        0
52356 postgresql-14-postgis-3            	       0        2        0        2        0
52357 postgresql-14-postgis-3-dbgsym     	       0        1        0        1        0
52358 postgresql-14-postgis-3-scripts    	       0        2        0        0        2
52359 postgresql-14-rum                  	       0        1        0        1        0
52360 postgresql-15                      	       0      118       34       84        0
52361 postgresql-15-ip4r                 	       0        1        0        1        0
52362 postgresql-15-jsquery              	       0        1        0        1        0
52363 postgresql-15-plpgsql-check        	       0        1        0        1        0
52364 postgresql-15-postgis-3            	       0        8        1        7        0
52365 postgresql-15-postgis-3-scripts    	       0        8        0        0        8
52366 postgresql-15-repmgr               	       0        1        0        1        0
52367 postgresql-15-slony1-2             	       0        1        0        1        0
52368 postgresql-16                      	       0       15        3       12        0
52369 postgresql-16-auto-failover        	       0        1        0        1        0
52370 postgresql-16-timescaledb          	       0        1        0        1        0
52371 postgresql-17-pgvector             	       0        1        0        1        0
52372 postgresql-17-postgis-3-scripts    	       0        2        0        0        2
52373 postgresql-8.3                     	       0        1        0        1        0
52374 postgresql-8.4                     	       0        2        0        2        0
52375 postgresql-9.1                     	       0        3        1        2        0
52376 postgresql-9.3                     	       0        2        0        2        0
52377 postgresql-9.4                     	       0       26        6       20        0
52378 postgresql-9.4-postgis-scripts     	       0        1        0        0        1
52379 postgresql-9.6                     	       0       38        3       35        0
52380 postgresql-9.6-postgis-2.3         	       0        2        0        2        0
52381 postgresql-9.6-postgis-2.3-scripts 	       0        2        0        0        2
52382 postgresql-9.6-preprepare          	       0        1        0        1        0
52383 postgresql-all                     	       0        4        0        0        4
52384 postgresql-autodoc                 	       0        3        0        3        0
52385 postgresql-client                  	       0       90        0        0       90
52386 postgresql-client-10               	       0        5        1        4        0
52387 postgresql-client-12               	       0        8        0        8        0
52388 postgresql-client-14               	       0       12        1       11        0
52389 postgresql-client-16               	       0       23        2       21        0
52390 postgresql-client-8.3              	       0        1        0        1        0
52391 postgresql-client-8.4              	       0        2        0        2        0
52392 postgresql-client-9.1              	       0        5        1        4        0
52393 postgresql-client-9.2              	       0        1        0        1        0
52394 postgresql-client-9.3              	       0        3        0        3        0
52395 postgresql-client-9.4              	       0       31        3       28        0
52396 postgresql-client-9.5              	       0        1        0        1        0
52397 postgresql-client-9.6              	       0       56        2       54        0
52398 postgresql-comparator              	       0        5        0        5        0
52399 postgresql-contrib                 	       0       31        0        0       31
52400 postgresql-contrib-8.4             	       0        1        0        1        0
52401 postgresql-contrib-9.1             	       0        2        0        2        0
52402 postgresql-contrib-9.4             	       0        8        0        8        0
52403 postgresql-contrib-9.6             	       0       36        1       35        0
52404 postgresql-doc                     	       0       24        0        0       24
52405 postgresql-doc-10                  	       0        1        0        0        1
52406 postgresql-doc-11                  	       0        7        0        0        7
52407 postgresql-doc-13                  	       0       13        0        0       13
52408 postgresql-doc-15                  	       0       19        0        0       19
52409 postgresql-doc-16                  	       0        5        0        0        5
52410 postgresql-doc-17                  	       0        5        0        0        5
52411 postgresql-doc-9.1                 	       0        3        0        0        3
52412 postgresql-doc-9.4                 	       0        5        0        0        5
52413 postgresql-doc-9.6                 	       0        8        0        0        8
52414 postgresql-filedump                	       0        3        0        3        0
52415 postgresql-filedump-8.3            	       0        1        0        1        0
52416 postgresql-pgsphere                	       0        2        0        2        0
52417 postgresql-plperl-12               	       0        1        0        1        0
52418 postgresql-plperl-13               	       0        5        0        5        0
52419 postgresql-plperl-15               	       0        2        0        2        0
52420 postgresql-plperl-9.1              	       0        1        0        1        0
52421 postgresql-plpython-11             	       0        1        0        1        0
52422 postgresql-plpython3-10            	       0        1        0        1        0
52423 postgresql-plpython3-11            	       0        3        0        3        0
52424 postgresql-plpython3-12            	       0        1        0        1        0
52425 postgresql-plpython3-13            	       0        4        0        4        0
52426 postgresql-plpython3-15            	       0        3        0        3        0
52427 postgresql-pltcl-12                	       0        1        0        1        0
52428 postgresql-pltcl-13                	       0        4        0        4        0
52429 postgresql-pltcl-15                	       0        3        0        3        0
52430 postgresql-pltcl-8.4               	       0        1        0        1        0
52431 postgresql-pltcl-9.1               	       0        2        0        2        0
52432 postgresql-pltcl-9.4               	       0        1        0        1        0
52433 postgresql-postgis                 	       0        8        0        0        8
52434 postgresql-postgis-scripts         	       0        8        0        0        8
52435 postgresql-q3c                     	       0        2        0        2        0
52436 postgresql-server-dev-10           	       0        1        0        1        0
52437 postgresql-server-dev-11           	       0        3        0        3        0
52438 postgresql-server-dev-12           	       0        2        0        2        0
52439 postgresql-server-dev-13           	       0        9        0        9        0
52440 postgresql-server-dev-14           	       0        1        0        1        0
52441 postgresql-server-dev-15           	       0        9        0        9        0
52442 postgresql-server-dev-16           	       0        1        0        1        0
52443 postgresql-server-dev-17           	       0        1        0        1        0
52444 postgresql-server-dev-9.4          	       0        1        0        1        0
52445 postgresql-server-dev-9.6          	       0        1        0        1        0
52446 postgresql-server-dev-all          	       0        9        0        9        0
52447 postgrey                           	       0       23        1       22        0
52448 postinstall                        	       0        1        0        1        0
52449 postsrsd                           	       0        1        1        0        0
52450 potion                             	       0        1        0        1        0
52451 potool                             	       0        3        0        3        0
52452 potrace                            	       0       62        2       60        0
52453 povray                             	       0       30        0       30        0
52454 povray-doc                         	       0       13        0        0       13
52455 povray-examples                    	       0       16        0        0       16
52456 povray-includes                    	       0       27        0        0       27
52457 powder                             	       0        3        0        3        0
52458 power-calibrate                    	       0        5        0        5        0
52459 powercap-utils                     	       0        4        0        4        0
52460 powerdebug                         	       0        2        0        2        0
52461 powerdevil-dev                     	       0        1        0        0        1
52462 powerkit                           	       0        4        0        4        0
52463 powerline-doc                      	       0        3        0        0        3
52464 powerline-gitstatus                	       0        3        0        0        3
52465 powerman                           	       0        2        0        2        0
52466 powermanga                         	       0       12        1       11        0
52467 powermanga-data                    	       0       12        0        0       12
52468 powerpanel                         	       0        5        0        5        0
52469 powerpc-ibm-utils                  	       0        3        0        3        0
52470 powerpc-utils                      	       0        3        0        0        3
52471 powershell-lts                     	       0        1        0        1        0
52472 powershield                        	       0        1        0        1        0
52473 powerstat                          	       0       17        0       17        0
52474 powersupply-gtk                    	       0        1        0        1        0
52475 powertweak                         	       0        1        0        0        1
52476 powertweak-extra                   	       0        1        0        1        0
52477 powertweak-gtk                     	       0        1        0        1        0
52478 powertweakd                        	       0        1        0        1        0
52479 poxml                              	       0       44        0       44        0
52480 poxml-trinity                      	       0        1        0        1        0
52481 pp-popularity-contest              	       0        1        0        1        0
52482 ppa-purge                          	       0        1        0        1        0
52483 ppcoin                             	       0        1        0        1        0
52484 ppd-files-dkaes                    	       0        3        0        0        3
52485 ppdfilt                            	       0        9        0        9        0
52486 ppdfilt-dbgsym                     	       0        1        0        1        0
52487 ppl-dev                            	       0        9        0        9        0
52488 ppmdescreen                        	       0        1        0        1        0
52489 ppp-dev                            	       0        7        0        7        0
52490 ppp-gatekeeper                     	       0        1        0        1        0
52491 pppconfig                          	       0       16        1       15        0
52492 pppdcapiplugin                     	       0        1        0        1        0
52493 pppoe                              	       0       34        1       33        0
52494 pppoeconf                          	       0       27        2       25        0
52495 pprompt                            	       0        1        0        0        1
52496 pps-tools                          	       0       11        0       11        0
52497 ppthtml                            	       0        2        1        1        0
52498 pptpd                              	       0        5        0        5        0
52499 pptview                            	       0        1        0        1        0
52500 pqiv                               	       0       21        0       21        0
52501 pqueue                             	       0        1        1        0        0
52502 pr3287                             	       0        1        0        1        0
52503 praat                              	       0       10        0       10        0
52504 prads                              	       0        2        1        1        0
52505 prank                              	       0        4        0        4        0
52506 praw-doc                           	       0        2        0        0        2
52507 prayer                             	       0        2        0        2        0
52508 prayer-accountd                    	       0        2        0        2        0
52509 prayer-templates-dev               	       0        1        0        1        0
52510 prayer-templates-src               	       0        1        0        0        1
52511 prboom                             	       0        1        0        1        0
52512 prboom-plus                        	       0       27        0       10       17
52513 prboom-plus-game-server            	       0        4        0        3        1
52514 pre-commit                         	       0        6        0        6        0
52515 predict                            	       0        1        0        1        0
52516 predict-gsat                       	       0        1        0        1        0
52517 predictnls                         	       0        1        0        1        0
52518 prelink                            	       0       11        0       11        0
52519 prelude-utils                      	       0        4        0        4        0
52520 premail                            	       0        1        0        1        0
52521 premake4                           	       0        5        0        5        0
52522 presage                            	       0       26        0       26        0
52523 presentty                          	       0        1        0        1        0
52524 prettyping                         	       0        5        0        5        0
52525 prevent-networkmanager             	       0        1        0        0        1
52526 prevent-puppet                     	       0        1        0        0        1
52527 prevent-ruby                       	       0        1        0        0        1
52528 prevent-systemd-running            	       0        1        0        0        1
52529 prevent-unattended-upgrades        	       0        1        0        0        1
52530 preview-latex-style                	       0      448        0        0      448
52531 preview.app                        	       0        3        0        3        0
52532 previsat                           	       0        1        0        1        0
52533 price.app                          	       0        8        0        8        0
52534 prime-phylo                        	       0        1        0        1        0
52535 primecount-bin                     	       0        9        0        9        0
52536 primer3                            	       0        4        0        4        0
52537 primesieve-bin                     	       0       13        0       13        0
52538 primesieve-doc                     	       0        1        0        0        1
52539 primrose                           	       0        8        0        8        0
52540 primus                             	       0       12        0       12        0
52541 primus-libs                        	       0       20        0        0       20
52542 primus-libs-ia32                   	       0        1        0        0        1
52543 primus-nvidia                      	       0        6        0        0        6
52544 primus-vk                          	       0        2        0        2        0
52545 primus-vk-nvidia                   	       0        2        0        0        2
52546 prince                             	       0        1        0        1        0
52547 printconf                          	       0        1        0        1        0
52548 printcore                          	       0        9        0        9        0
52549 printemf                           	       0        1        0        1        0
52550 printer-driver-all                 	       0      567        0        0      567
52551 printer-driver-all-enforce         	       0        4        0        0        4
52552 printfilters-ppd                   	       0        2        0        2        0
52553 printmyfonts-stt                   	       0        2        0        2        0
52554 printop                            	       0        2        0        2        0
52555 printrun                           	       0        7        0        1        6
52556 printrun-common                    	       0       10        0       10        0
52557 prips                              	       0        1        0        1        0
52558 prismstumbler                      	       0        1        0        1        0
52559 pristine-lfs                       	       0        3        0        3        0
52560 pritunl-client-electron            	       0        1        0        1        0
52561 privatenotes                       	       0        1        0        1        0
52562 privbind                           	       0        1        0        1        0
52563 privoxy                            	       0       51       13       38        0
52564 prl-nettool                        	       0        1        0        1        0
52565 proalign                           	       0        1        0        1        0
52566 probabel                           	       0        1        0        1        0
52567 probalign                          	       0        4        0        4        0
52568 probcons                           	       0        4        0        4        0
52569 procenv                            	       0        3        0        3        0
52570 procmail-lib                       	       0        4        0        4        0
52571 procmail-wrapper                   	       0        1        0        1        0
52572 procmeter3                         	       0        6        0        6        0
52573 procmon                            	       0        1        0        1        0
52574 procs                              	       0        1        0        1        0
52575 procserv                           	       0        3        0        3        0
52576 proda                              	       0        4        0        4        0
52577 prodigal                           	       0        1        0        1        0
52578 profanity                          	       0       12        2       10        0
52579 profanity-light                    	       0        1        0        1        0
52580 profile-sync-daemon                	       0        2        0        2        0
52581 profisis                           	       0        1        0        1        0
52582 profnet-bval                       	       0        1        0        1        0
52583 profnet-chop                       	       0        1        0        1        0
52584 profnet-con                        	       0        1        0        1        0
52585 profnet-isis                       	       0        1        0        1        0
52586 profnet-md                         	       0        1        0        1        0
52587 profnet-norsnet                    	       0        1        0        1        0
52588 profnet-prof                       	       0        1        0        1        0
52589 profnet-snapfun                    	       0        1        0        1        0
52590 profphd                            	       0        1        0        1        0
52591 profphd-net                        	       0        1        0        1        0
52592 profphd-utils                      	       0        1        0        1        0
52593 proftmb                            	       0        1        0        1        0
52594 proftpd-basic                      	       0       14        1        2       11
52595 proftpd-doc                        	       0       32        0        0       32
52596 proftpd-mod-crypto                 	       0       11        3        8        0
52597 proftpd-mod-geoip                  	       0        2        0        2        0
52598 proftpd-mod-ldap                   	       0        2        0        2        0
52599 proftpd-mod-mysql                  	       0        3        0        3        0
52600 proftpd-mod-odbc                   	       0        1        0        1        0
52601 proftpd-mod-pgsql                  	       0        2        0        2        0
52602 proftpd-mod-snmp                   	       0        1        0        1        0
52603 proftpd-mod-sqlite                 	       0        1        0        1        0
52604 proftpd-mod-wrap                   	       0       11        3        8        0
52605 proguard                           	       0        1        0        0        1
52606 proguard-cli                       	       0       32        0       32        0
52607 proguard-gui                       	       0        3        0        3        0
52608 progvis                            	       0        1        0        1        0
52609 progvis-examples                   	       0        1        0        0        1
52610 proj                               	       0        2        0        0        2
52611 proj-data                          	       0      712        0        0      712
52612 proj-ps-doc                        	       0        1        0        0        1
52613 projectcenter.app                  	       0        1        0        1        0
52614 projectl                           	       0        1        0        1        0
52615 projectlibre                       	       0        5        0        5        0
52616 projectm-data                      	       0       50        0        0       50
52617 projectm-jack                      	       0       11        0       11        0
52618 projectm-pulseaudio                	       0       12        1       11        0
52619 projectm-sdl                       	       0        4        0        4        0
52620 proll                              	       0        2        0        0        2
52621 prometheus                         	       0        5        1        4        0
52622 prometheus-apache-exporter         	       0        1        0        1        0
52623 prometheus-bind-exporter           	       0        1        0        1        0
52624 prometheus-frr-exporter            	       0        1        0        1        0
52625 prometheus-nginx-exporter          	       0        1        0        1        0
52626 prometheus-node-exporter           	       0        8        3        5        0
52627 prometheus-postgres-exporter       	       0        2        0        2        0
52628 prometheus-process-exporter        	       0        1        0        1        0
52629 promoe                             	       0        1        0        1        0
52630 pronsole                           	       0        9        0        9        0
52631 pronterface                        	       0        8        0        8        0
52632 proofgeneral                       	       0        1        0        1        0
52633 proot                              	       0        9        1        8        0
52634 propaganda-debian                  	       0        2        0        0        2
52635 prosody                            	       0       16        4       12        0
52636 prosody-modules                    	       0        1        0        1        0
52637 prosper                            	       0       26        0        0       26
52638 proteinortho                       	       0        1        0        1        0
52639 protobuf-c-compiler                	       0       24        0       24        0
52640 protobuf-compiler-grpc             	       0        4        0        4        0
52641 protobuf-compiler-grpc-java-plugin 	       0        2        0        2        0
52642 protoc-gen-go                      	       0        2        0        2        0
52643 proton-caller                      	       0        9        0        9        0
52644 proton-mail                        	       0        4        0        4        0
52645 proton-vpn-gnome-desktop           	       0       12        0        0       12
52646 protonmail-import-export-app       	       0        1        0        1        0
52647 protontricks                       	       0       18        0       18        0
52648 protonvpn                          	       0        4        0        0        4
52649 protonvpn-cli                      	       0        4        0        4        0
52650 protonvpn-gui                      	       0        3        0        3        0
52651 protonvpn-stable-release           	       0       26        0        0       26
52652 protracker                         	       0        2        0        0        2
52653 protrekkr                          	       0        2        0        2        0
52654 prottest                           	       0        1        0        1        0
52655 prove6                             	       0        8        0        8        0
52656 prover9                            	       0        3        0        3        0
52657 proxmox-archive-keyring            	       0        1        0        0        1
52658 proxmox-auto-install-assistant     	       0        1        0        1        0
52659 proxmox-backup-client              	       0        3        1        2        0
52660 proxmox-backup-restore-image       	       0        1        0        0        1
52661 proxsmtp                           	       0        1        0        1        0
52662 proxychains                        	       0       22        1       21        0
52663 proxychains4                       	       0       10        0       10        0
52664 proxycheck                         	       0        3        0        3        0
52665 proxytunnel                        	       0        3        1        2        0
52666 prt                                	       0        1        0        1        0
52667 prusa-slicer                       	       0       15        2       13        0
52668 prusa-slicer-dbgsym                	       0        1        0        1        0
52669 pry                                	       0        3        1        2        0
52670 ps-watcher                         	       0        1        0        1        0
52671 psad                               	       0        3        1        2        0
52672 psallinux                          	       0        1        0        1        0
52673 psautohint                         	       0        2        0        2        0
52674 psbasic                            	       0        4        0        4        0
52675 pscan                              	       0        1        0        1        0
52676 psensor-common                     	       0       76        1        1       74
52677 psensor-server                     	       0        4        0        4        0
52678 pseudo                             	       0        4        0        4        0
52679 psf-unifont                        	       0      112        0        0      112
52680 psfex                              	       0        2        0        2        0
52681 psfontmgr                          	       0        1        0        1        0
52682 psgml                              	       0        7        0        7        0
52683 psi                                	       0       26        0       26        0
52684 psi-l10n                           	       0       20        0        1       19
52685 psi-notify                         	       0        1        1        0        0
52686 psi-plugins                        	       0        4        0        4        0
52687 psi-plus                           	       0       17        1       16        0
52688 psi-plus-common                    	       0       18        0        0       18
52689 psi-plus-dbg                       	       0        1        0        1        0
52690 psi-plus-l10n                      	       0       16        0        1       15
52691 psi-plus-plugin-psimedia           	       0        1        0        1        0
52692 psi-plus-plugins                   	       0       18        1       17        0
52693 psi-plus-skins                     	       0        6        0        0        6
52694 psi-plus-sounds                    	       0       17        0        0       17
52695 psi-plus-webkit                    	       0        4        0        4        0
52696 psi-translations                   	       0        1        0        0        1
52697 psi3                               	       0        2        0        2        0
52698 psi4                               	       0        1        0        1        0
52699 psi4-data                          	       0        1        0        0        1
52700 psignifit                          	       0        2        0        2        0
52701 psk31lx                            	       0        6        0        6        0
52702 pskc-utils                         	       0        1        0        1        0
52703 pskctool                           	       0        1        0        1        0
52704 psl                                	       0        2        0        2        0
52705 psl-make-dafsa                     	       0        1        0        1        0
52706 pslib-dev                          	       0        3        0        3        0
52707 pslib1                             	       0        8        0        0        8
52708 pslist                             	       0        5        0        5        0
52709 pspg                               	       0        9        1        8        0
52710 pstack                             	       0        5        0        5        0
52711 pstotext                           	       0       14        0       14        0
52712 psurface                           	       0        1        1        0        0
52713 psychopy                           	       0        1        0        1        0
52714 psychtoolbox-3-common              	       0        3        0        3        0
52715 psychtoolbox-3-lib                 	       0        3        0        3        0
52716 pt2-clone-dbgsym                   	       0        1        0        1        0
52717 ptask                              	       0        1        0        1        0
52718 pterm                              	       0       21        0       21        0
52719 ptop                               	       0        1        0        0        1
52720 ptpd                               	       0        3        0        3        0
52721 ptpython                           	       0        1        0        1        0
52722 ptscotch                           	       0        1        0        1        0
52723 ptunnel                            	       0        3        0        3        0
52724 ptunnel-ng                         	       0        1        1        0        0
52725 ptxdist-1.99.13-common             	       0        1        0        0        1
52726 pub2odg                            	       0        2        0        2        0
52727 publib-dev                         	       0        1        0        1        0
52728 publican                           	       0        2        0        2        0
52729 publican-debian                    	       0        2        0        0        2
52730 publicsuffix                       	       0     3555        3        3     3549
52731 publii                             	       0        2        0        2        0
52732 puddletag                          	       0       23        0       23        0
52733 puf                                	       0        4        0        4        0
52734 pugixml-doc                        	       0        1        0        0        1
52735 pulldown-cmark                     	       0        1        0        1        0
52736 pulsar                             	       0        9        1        8        0
52737 pulse                              	       0        1        0        0        1
52738 pulseaudio-build-deps              	       0        1        0        0        1
52739 pulseaudio-dbgsym                  	       0        1        0        1        0
52740 pulseaudio-dlna                    	       0        7        0        7        0
52741 pulseaudio-equalizer               	       0       35        2       33        0
52742 pulseaudio-esound-compat           	       0        3        0        3        0
52743 pulseaudio-module-bluetooth-dbgsym 	       0        1        0        1        0
52744 pulseaudio-module-gconf            	       0       13        0       13        0
52745 pulseaudio-module-jack             	       0       35        5       30        0
52746 pulseaudio-module-lirc             	       0       12        0       12        0
52747 pulseaudio-module-raop             	       0        7        0        7        0
52748 pulseaudio-utils-dbgsym            	       0        1        0        1        0
52749 pump                               	       0        3        0        3        0
52750 pup                                	       0        2        0        2        0
52751 puppet                             	       0       21        1       13        7
52752 puppet-agent                       	       0       18        1       17        0
52753 puppet-common                      	       0       12        0       10        2
52754 puppet-lint                        	       0        2        0        2        0
52755 puppet-master                      	       0        1        0        0        1
52756 puppet-module-heat                 	       0        1        0        1        0
52757 puppet-module-keystone             	       0        1        0        1        0
52758 puppet-module-nanliu-staging       	       0        1        0        1        0
52759 puppet-module-openstacklib         	       0        1        0        1        0
52760 puppet-module-oslo                 	       0        1        0        1        0
52761 puppet-module-puppetlabs-apache    	       0        1        0        1        0
52762 puppet-module-puppetlabs-apt       	       0        1        0        1        0
52763 puppet-module-puppetlabs-augeas-core	       0        1        0        1        0
52764 puppet-module-puppetlabs-concat    	       0        1        0        1        0
52765 puppet-module-puppetlabs-cron-core 	       0        1        0        1        0
52766 puppet-module-puppetlabs-host-core 	       0        1        0        1        0
52767 puppet-module-puppetlabs-inifile   	       0        1        0        1        0
52768 puppet-module-puppetlabs-mount-core	       0        1        0        1        0
52769 puppet-module-puppetlabs-mysql     	       0        1        0        1        0
52770 puppet-module-puppetlabs-postgresql	       0        1        0        1        0
52771 puppet-module-puppetlabs-rabbitmq  	       0        1        0        1        0
52772 puppet-module-puppetlabs-selinux-core	       0        1        0        1        0
52773 puppet-module-puppetlabs-sshkeys-core	       0        1        0        1        0
52774 puppet-module-puppetlabs-stdlib    	       0        1        0        1        0
52775 puppet-terminus-puppetdb           	       0        1        0        1        0
52776 puppetserver                       	       0        1        1        0        0
52777 pure-ftpd                          	       0       12        2       10        0
52778 pure-ftpd-common                   	       0       27        2       25        0
52779 pure-ftpd-ldap                     	       0        1        0        1        0
52780 pure-ftpd-mysql                    	       0       13        2       11        0
52781 puredata                           	       0       20        0        0       20
52782 puredata-common                    	       0        3        0        3        0
52783 puredata-core                      	       0       21        0       21        0
52784 puredata-dev                       	       0       20        0       20        0
52785 puredata-doc                       	       0       20        0        0       20
52786 puredata-extra                     	       0       20        0       20        0
52787 puredata-gui                       	       0       20        0       20        0
52788 puredata-gui-l10n                  	       0       19        0       19        0
52789 puredata-import                    	       0        9        0        9        0
52790 puredata-utils                     	       0       20        0       20        0
52791 purify                             	       0        2        0        2        0
52792 purity                             	       0        4        0        4        0
52793 purity-ng                          	       0        1        0        1        0
52794 purity-off                         	       0        1        0        0        1
52795 purple-discord                     	       0       15        1        4       10
52796 purple-facebook                    	       0        2        0        2        0
52797 purple-libsteam                    	       0        1        0        1        0
52798 purple-libsteam-build-deps         	       0        1        0        0        1
52799 purple-lurch                       	       0        8        1        2        5
52800 purple-matrix                      	       0        3        0        3        0
52801 purple-xmpp-carbons                	       0        3        0        3        0
52802 purple-xmpp-http-upload            	       0        2        0        1        1
52803 pushover                           	       0        5        0        5        0
52804 pushover-data                      	       0        5        0        0        5
52805 putty-doc                          	       0       12        0        0       12
52806 puzzle-jigsaw                      	       0        4        0        4        0
52807 pv-grub-menu                       	       0        1        0        1        0
52808 pvm                                	       0        8        0        8        0
52809 pvrg-jpeg                          	       0        9        0        9        0
52810 pwauth                             	       0        9        0        9        0
52811 pwget                              	       0        5        1        4        0
52812 pwm                                	       0        1        0        1        0
52813 pwndbg                             	       0        1        0        1        0
52814 pwr60                              	       0        1        0        1        0
52815 pwrdemo60                          	       0        1        0        1        0
52816 pwrrt                              	       0        1        0        1        0
52817 px                                 	       0       10        1        9        0
52818 pxe                                	       0        1        0        1        0
52819 pxelinux                           	       0       21        0       21        0
52820 pxfw                               	       0        3        0        3        0
52821 pxlib-dev                          	       0        4        0        4        0
52822 pxlib1                             	       0      136        0        0      136
52823 pxlinux                            	       0        1        0        1        0
52824 pxsl-tools                         	       0        1        0        1        0
52825 pxz                                	       0        8        1        7        0
52826 py-cpuinfo                         	       0        2        0        2        0
52827 pybik                              	       0       11        0       11        0
52828 pybik-bin                          	       0       11        0       11        0
52829 pybind11-dev                       	       0       58        0       58        0
52830 pybind11-doc                       	       0        1        0        0        1
52831 pybootchartgui                     	       0        1        0        1        0
52832 pybtctool                          	       0        1        0        1        0
52833 pybtex                             	       0        2        0        2        0
52834 pybuild-plugin-pyproject           	       0        5        0        0        5
52835 pycadf-common                      	       0        5        0        0        5
52836 pycharm-community                  	       0        1        0        1        0
52837 pychecker                          	       0        2        0        2        0
52838 pychess                            	       0       13        1       12        0
52839 pycode-browser                     	       0        2        0        2        0
52840 pyconfigure                        	       0        1        0        1        0
52841 pycorrfit                          	       0        1        0        1        0
52842 pydevd                             	       0        1        0        1        0
52843 pydf                               	       0       18        3       15        0
52844 pydocstyle                         	       0        3        0        3        0
52845 pydoctor                           	       0        2        0        2        0
52846 pyecm                              	       0        1        0        1        0
52847 pyensembl                          	       0        1        0        1        0
52848 pyflakes                           	       0        3        0        3        0
52849 pyformex-extra                     	       0        1        0        0        1
52850 pygame-build-deps-depends          	       0        1        0        0        1
52851 pygopherd                          	       0        1        0        1        0
52852 pyhoca-gui                         	       0        3        0        3        0
52853 pyjoke                             	       0        1        0        1        0
52854 pykaraoke                          	       0        1        0        1        0
52855 pykaraoke-bin                      	       0        1        0        1        0
52856 pykml                              	       0        3        0        3        0
52857 pykolab                            	       0        1        0        1        0
52858 pylama                             	       0        3        0        3        0
52859 pyliblo-utils                      	       0        3        0        3        0
52860 pylint-doc                         	       0        9        0        0        9
52861 pylint3                            	       0       10        0        3        7
52862 pymacs                             	       0        1        0        1        0
52863 pymca-data                         	       0        2        0        0        2
52864 pymissile                          	       0        1        0        1        0
52865 pymoctool                          	       0        1        0        1        0
52866 pymol                              	       0        9        1        8        0
52867 pymol-data                         	       0        9        0        0        9
52868 pympress                           	       0        1        0        1        0
52869 pynag                              	       0        1        0        1        0
52870 pynagram                           	       0        1        0        1        0
52871 pyneighborhood                     	       0        1        0        1        0
52872 pyosmium                           	       0        1        0        1        0
52873 pyotherside-doc                    	       0        1        0        0        1
52874 pyotherside-tests                  	       0        2        0        0        2
52875 pyp                                	       0        3        1        2        0
52876 pypi2deb                           	       0        2        0        2        0
52877 pyppd                              	       0        1        0        1        0
52878 pypy                               	       0       13        1       12        0
52879 pypy-asn1crypto                    	       0        1        0        1        0
52880 pypy-dev                           	       0        3        0        3        0
52881 pypy-doc                           	       0        2        0        0        2
52882 pypy-lib                           	       0       13        1       12        0
52883 pypy-lib-testsuite                 	       0        2        0        2        0
52884 pypy-pkg-resources                 	       0        3        0        3        0
52885 pypy-setuptools                    	       0        2        0        2        0
52886 pypy-simplejson                    	       0        1        0        1        0
52887 pypy-six                           	       0        2        0        2        0
52888 pypy-stem                          	       0        1        0        1        0
52889 pypy-tk                            	       0        3        0        3        0
52890 pypy3                              	       0       13        2       11        0
52891 pypy3-doc                          	       0        1        0        0        1
52892 pypy3-venv                         	       0        1        0        0        1
52893 pyqi                               	       0        2        0        2        0
52894 pyqt-builder-doc                   	       0        1        0        0        1
52895 pyqt4-dev-tools                    	       0        1        0        1        0
52896 pyqt5-dev                          	       0       24        0       22        2
52897 pyqt5-doc                          	       0        3        0        0        3
52898 pyqt5-examples                     	       0        4        0        0        4
52899 pyqt5.qsci-dev                     	       0        8        0        7        1
52900 pyqt5chart-dev                     	       0        2        0        2        0
52901 pyqt6-charts-dev                   	       0        2        0        2        0
52902 pyqt6-examples                     	       0        2        0        0        2
52903 pyqt6-webengine-dev                	       0        2        0        2        0
52904 pyqt6.qsci-dev                     	       0        2        0        2        0
52905 pyracerz                           	       0        2        0        2        0
52906 pyro4                              	       0        1        0        0        1
52907 pyro4-doc                          	       0        1        0        0        1
52908 pysassc                            	       0        6        0        6        0
52909 pysatellites                       	       0        1        0        1        0
52910 pysdl2-doc                         	       0        2        0        0        2
52911 pyside-tools                       	       0        7        0        7        0
52912 pyside2-tools                      	       0        7        0        7        0
52913 pysiogame                          	       0       12        1       11        0
52914 pysolfc                            	       0       21        3       18        0
52915 pysolfc-cardsets                   	       0        4        0        0        4
52916 pysph-viewer                       	       0        1        0        1        0
52917 pysubs2                            	       0        1        0        1        0
52918 pysycache                          	       0        2        0        2        0
52919 pysycache-buttons-ice              	       0        2        0        0        2
52920 pysycache-click-dinosaurs          	       0        2        0        0        2
52921 pysycache-dblclick-appleandpear    	       0        2        0        0        2
52922 pysycache-i18n                     	       0        2        0        0        2
52923 pysycache-images                   	       0        2        0        0        2
52924 pysycache-move-animals             	       0        2        0        0        2
52925 pysycache-puzzle-cartoons          	       0        2        0        0        2
52926 pysycache-sounds                   	       0        2        0        0        2
52927 pytagsfs                           	       0        1        0        1        0
52928 pytdeextensions-trinity            	       0        2        0        2        0
52929 pytest-benchmark                   	       0        1        0        1        0
52930 python-acme                        	       0        4        0        4        0
52931 python-acme-doc                    	       0        2        0        0        2
52932 python-acoustid                    	       0        1        0        1        0
52933 python-adns                        	       0        6        1        5        0
52934 python-aiml                        	       0        2        1        1        0
52935 python-aioeventlet                 	       0        1        0        1        0
52936 python-aiorpcx-doc                 	       0        1        0        0        1
52937 python-aiosqlite-doc               	       0        1        0        0        1
52938 python-alabaster                   	       0        9        0        9        0
52939 python-alembic                     	       0        1        0        1        0
52940 python-all                         	       0       62        0        0       62
52941 python-all-dbg                     	       0        4        0        0        4
52942 python-all-dev                     	       0       51        0        0       51
52943 python-alsaaudio                   	       0        6        0        6        0
52944 python-amqp                        	       0        1        0        1        0
52945 python-amqp-doc                    	       0        1        0        0        1
52946 python-amqplib                     	       0        1        0        1        0
52947 python-ansible-runner-doc          	       0        1        0        0        1
52948 python-antlr                       	       0       11        0       11        0
52949 python-anyjson                     	       0        1        0        1        0
52950 python-anyqt-doc                   	       0        1        0        0        1
52951 python-aodh                        	       0        1        0        1        0
52952 python-aodhclient                  	       0        1        0        1        0
52953 python-apipkg                      	       0        1        0        1        0
52954 python-appdirs                     	       0        1        0        1        0
52955 python-appindicator                	       0        3        0        3        0
52956 python-application                 	       0        1        0        1        0
52957 python-apptools                    	       0        1        0        1        0
52958 python-apptools-doc                	       0        1        0        0        1
52959 python-apsw                        	       0       21        0       21        0
52960 python-apsw-doc                    	       0        4        0        0        4
52961 python-apt-common                  	       0     3950        0        0     3950
52962 python-apt-common-devuan           	       0     1571        0        0     1571
52963 python-apt-dev                     	       0        1        0        1        0
52964 python-apt-doc                     	       0        6        0        0        6
52965 python-aptdaemon                   	       0        2        0        2        0
52966 python-aptdaemon-gtk               	       0        1        0        0        1
52967 python-aptdaemon.gtk3widgets       	       0        2        0        2        0
52968 python-aptdaemon.gtkwidgets        	       0        1        0        1        0
52969 python-ara-doc                     	       0        1        0        0        1
52970 python-argcomplete                 	       0        3        0        3        0
52971 python-argparse                    	       0        1        0        1        0
52972 python-artifacts                   	       0        1        0        1        0
52973 python-asn1crypto                  	       0       80        1       79        0
52974 python-astroid                     	       0        4        0        4        0
52975 python-astrometry                  	       0        1        0        1        0
52976 python-astroplan-doc               	       0        1        0        0        1
52977 python-astropy                     	       0        1        0        1        0
52978 python-astropy-doc                 	       0        1        0        0        1
52979 python-astroquery-doc              	       0        1        0        0        1
52980 python-asyncssh-doc                	       0        1        0        0        1
52981 python-atomicwrites                	       0        8        0        8        0
52982 python-attr                        	       0       37        0       37        0
52983 python-attr-doc                    	       0        6        0        0        6
52984 python-audioread                   	       0        1        0        1        0
52985 python-audit                       	       0        1        0        1        0
52986 python-augeas                      	       0        1        0        1        0
52987 python-autobahn                    	       0        2        0        2        0
52988 python-automat                     	       0       22        0       22        0
52989 python-automaton                   	       0        1        0        1        0
52990 python-avahi                       	       0        6        0        6        0
52991 python-avogadro                    	       0        1        0        1        0
52992 python-axiom                       	       0        1        0        1        0
52993 python-babel                       	       0       12        0       12        0
52994 python-babel-localedata            	       0      512        0        0      512
52995 python-backports-abc               	       0        6        2        4        0
52996 python-backports-shutil-get-terminal-size	       0        6        0        6        0
52997 python-backports.functools-lru-cache	       0       89        2       87        0
52998 python-backports.os                	       0        1        0        1        0
52999 python-backports.ssl-match-hostname	       0        1        0        1        0
53000 python-barbicanclient              	       0        1        0        1        0
53001 python-bcrypt                      	       0       18        0       18        0
53002 python-beaker                      	       0        3        0        3        0
53003 python-beautifulsoup               	       0       11        0       11        0
53004 python-bibtex                      	       0        1        0        1        0
53005 python-bibtexparser                	       0        1        0        1        0
53006 python-binplist                    	       0        1        0        1        0
53007 python-biopython-doc               	       0        2        0        0        2
53008 python-biplist                     	       0        1        0        1        0
53009 python-bitarray                    	       0        1        0        1        0
53010 python-bitcoin                     	       0        1        0        1        0
53011 python-bittorrent                  	       0        5        0        5        0
53012 python-black-doc                   	       0        2        0        0        2
53013 python-bleach                      	       0        1        0        1        0
53014 python-bleach-doc                  	       0        1        0        0        1
53015 python-blinker                     	       0       26        5       21        0
53016 python-blinker-doc                 	       0        7        0        0        7
53017 python-blosc-doc                   	       0        1        0        0        1
53018 python-bluez                       	       0        8        0        8        0
53019 python-bobo                        	       0        1        0        1        0
53020 python-boto                        	       0        3        0        3        0
53021 python-bottle                      	       0        1        0        1        0
53022 python-bottleneck-doc              	       0        2        0        0        2
53023 python-brial                       	       0        1        1        0        0
53024 python-brlapi                      	       0        2        0        2        0
53025 python-bs4                         	       0      143        2      141        0
53026 python-bs4-doc                     	       0        4        0        0        4
53027 python-bson                        	       0        6        1        5        0
53028 python-bson-ext                    	       0        5        1        4        0
53029 python-bugbuddy                    	       0        1        0        0        1
53030 python-bz2file                     	       0        1        0        1        0
53031 python-bzrlib                      	       0       13        1       12        0
53032 python-cached-property             	       0        1        0        1        0
53033 python-cachetools                  	       0        1        0        1        0
53034 python-cairo-dev                   	       0        1        0        1        0
53035 python-cairocffi                   	       0        3        0        3        0
53036 python-cairocffi-doc               	       0        7        0        0        7
53037 python-cairosvg                    	       0        1        0        1        0
53038 python-caja                        	       0        4        0        0        4
53039 python-caja-common                 	       0       95        0        0       95
53040 python-caldav                      	       0        1        0        1        0
53041 python-canmatrix                   	       0        1        0        1        0
53042 python-cartopy-data                	       0       11        0        0       11
53043 python-castellan                   	       0        1        0        1        0
53044 python-cbor                        	       0        2        0        2        0
53045 python-ceilometerclient            	       0        1        0        1        0
53046 python-celementtree                	       0        1        0        1        0
53047 python-celery-common               	       0        1        0        0        1
53048 python-central                     	       0       10        2        8        0
53049 python-ceph                        	       0        1        0        0        1
53050 python-cephfs                      	       0        2        0        2        0
53051 python-cerealizer                  	       0        2        0        2        0
53052 python-certbot                     	       0        4        0        4        0
53053 python-certbot-apache              	       0       28        0        0       28
53054 python-certbot-apache-doc          	       0        2        0        0        2
53055 python-certbot-doc                 	       0        5        0        0        5
53056 python-certbot-nginx               	       0        7        0        1        6
53057 python-certifi                     	       0       42        1       41        0
53058 python-cffi                        	       0       19        2       17        0
53059 python-cffi-backend                	       0      145        5      140        0
53060 python-cfflib                      	       0        1        0        1        0
53061 python-chameleon                   	       0        1        0        1        0
53062 python-characteristic              	       0        8        0        8        0
53063 python-chardet-whl                 	       0        6        0        0        6
53064 python-cheetah                     	       0        6        0        6        0
53065 python-cheetah-doc                 	       0        2        0        0        2
53066 python-cherrypy3                   	       0       21        0       21        0
53067 python-chm                         	       0        4        0        4        0
53068 python-cinder                      	       0        1        0        1        0
53069 python-cinderclient                	       0        1        0        1        0
53070 python-cjson                       	       0        1        0        1        0
53071 python-clang                       	       0        1        0        0        1
53072 python-clang-8                     	       0        1        0        1        0
53073 python-cliapp                      	       0        3        1        2        0
53074 python-click                       	       0       28        0       28        0
53075 python-click-plugins               	       0        1        0        1        0
53076 python-cliff                       	       0        1        0        1        0
53077 python-cliff-doc                   	       0        1        0        0        1
53078 python-cligj                       	       0        1        0        1        0
53079 python-cloudfiles                  	       0        1        0        1        0
53080 python-cmd2                        	       0        1        0        1        0
53081 python-coherence                   	       0        1        0        1        0
53082 python-collada                     	       0        7        0        7        0
53083 python-colorama                    	       0       33        0       33        0
53084 python-colorama-whl                	       0        6        0        0        6
53085 python-comedilib                   	       0        1        0        1        0
53086 python-compizconfig                	       0        1        0        1        0
53087 python-concurrent.futures          	       0       15        1       14        0
53088 python-configargparse              	       0        4        0        4        0
53089 python-configobj                   	       0       28        1       27        0
53090 python-configobj-doc               	       0        8        0        0        8
53091 python-configparser                	       0       39        1       38        0
53092 python-congressclient              	       0        1        0        1        0
53093 python-constantly                  	       0       30        0       30        0
53094 python-contextlib2                 	       0        1        0        1        0
53095 python-cotyledon                   	       0        1        0        1        0
53096 python-coverage                    	       0        4        0        4        0
53097 python-coverage-doc                	       0        4        0        0        4
53098 python-cracklib                    	       0        1        0        1        0
53099 python-croniter                    	       0        2        1        1        0
53100 python-cropper-tk                  	       0        1        0        1        0
53101 python-crypto                      	       0      191        7      184        0
53102 python-crypto-doc                  	       0        2        0        0        2
53103 python-cryptography                	       0      150        7      143        0
53104 python-cryptography-doc            	       0       11        0        0       11
53105 python-cryptography-vectors        	       0        3        0        3        0
53106 python-css-parser                  	       0       16        0       16        0
53107 python-csscompressor               	       0        1        0        1        0
53108 python-cssselect                   	       0       20        0       20        0
53109 python-cssselect2-doc              	       0        1        0        0        1
53110 python-cssutils                    	       0       19        0       19        0
53111 python-cupsutils                   	       0        1        0        0        1
53112 python-cursive                     	       0        1        0        1        0
53113 python-cvxopt                      	       0        1        1        0        0
53114 python-cvxopt-doc                  	       0        1        0        0        1
53115 python-cycler                      	       0       26        0       26        0
53116 python-cycler-doc                  	       0        5        0        0        5
53117 python-cypari2                     	       0        1        1        0        0
53118 python-cysignals-pari              	       0        1        1        0        0
53119 python-dask-doc                    	       0        1        0        0        1
53120 python-dateutil                    	       0      105        1      103        1
53121 python-dbg                         	       0        4        0        4        0
53122 python-dbus-dbg                    	       0        1        0        1        0
53123 python-dbus-doc                    	       0        7        0        0        7
53124 python-dbus.mainloop.pyqt5         	       0        7        0        7        0
53125 python-debianbts                   	       0      115        3       63       49
53126 python-debtagshw                   	       0        1        0        1        0
53127 python-debtcollector               	       0        2        0        2        0
53128 python-decorator                   	       0       58        0       56        2
53129 python-defer                       	       0        7        0        7        0
53130 python-demgengeo                   	       0        1        0        1        0
53131 python-demjson                     	       0        1        0        1        0
53132 python-designateclient             	       0        1        0        1        0
53133 python-dev                         	       0       73        2       71        0
53134 python-dev-is-python2              	       0       14        0       14        0
53135 python-dfdatetime                  	       0        1        0        1        0
53136 python-dfvfs                       	       0        1        0        1        0
53137 python-dfwinreg                    	       0        1        0        1        0
53138 python-dicom                       	       0        2        0        0        2
53139 python-diff-match-patch            	       0        1        0        1        0
53140 python-distlib                     	       0        5        0        5        0
53141 python-distlib-whl                 	       0        6        0        0        6
53142 python-distorm3                    	       0        5        0        5        0
53143 python-distributed-doc             	       0        3        0        0        3
53144 python-distro                      	       0        6        0        6        0
53145 python-distro-info                 	       0        5        0        5        0
53146 python-distutils-extra             	       0        2        0        2        0
53147 python-django                      	       0        2        0        2        0
53148 python-django-appconf              	       0        1        0        1        0
53149 python-django-babel                	       0        1        0        1        0
53150 python-django-common               	       0        4        0        4        0
53151 python-django-compressor           	       0        1        0        1        0
53152 python-django-doc                  	       0        5        0        0        5
53153 python-django-haystack-doc         	       0        1        0        0        1
53154 python-django-horizon              	       0        1        0        1        0
53155 python-django-openstack-auth       	       0        1        0        1        0
53156 python-django-pyscss               	       0        1        0        1        0
53157 python-django-wkhtmltopdf          	       0        1        0        1        0
53158 python-dmidecode-data              	       0        3        0        0        3
53159 python-dns                         	       0        5        0        5        0
53160 python-dnspython                   	       0       89        3       86        0
53161 python-doc                         	       0        1        0        0        1
53162 python-doc8-doc                    	       0        1        0        0        1
53163 python-docker                      	       0        1        0        1        0
53164 python-dockerpty                   	       0        1        0        1        0
53165 python-docopt                      	       0        2        0        2        0
53166 python-docutils-build-deps         	       0        1        0        0        1
53167 python-dogpile.cache               	       0        1        0        1        0
53168 python-dsv                         	       0        1        0        1        0
53169 python-dtcwt-doc                   	       0        1        0        0        1
53170 python-dtfabric                    	       0        1        0        1        0
53171 python-dulwich                     	       0        1        0        1        0
53172 python-easyprocess                 	       0        1        0        1        0
53173 python-ecdsa                       	       0        4        1        3        0
53174 python-editobj                     	       0        3        0        3        0
53175 python-editor                      	       0        1        0        1        0
53176 python-efilter                     	       0        1        0        1        0
53177 python-egenix-mx-base-dbg          	       0        1        0        1        0
53178 python-egenix-mx-base-dev          	       0        2        0        2        0
53179 python-egenix-mxbeebase            	       0        2        0        2        0
53180 python-egenix-mxbeebase-doc        	       0        2        0        0        2
53181 python-egenix-mxdatetime           	       0       32        2       30        0
53182 python-egenix-mxdatetime-doc       	       0        4        0        0        4
53183 python-egenix-mxproxy              	       0        2        0        2        0
53184 python-egenix-mxproxy-doc          	       0        2        0        0        2
53185 python-egenix-mxqueue              	       0        2        0        2        0
53186 python-egenix-mxqueue-doc          	       0        2        0        0        2
53187 python-egenix-mxstack              	       0        2        0        2        0
53188 python-egenix-mxstack-doc          	       0        2        0        0        2
53189 python-egenix-mxtexttools          	       0        3        0        3        0
53190 python-egenix-mxtexttools-doc      	       0        3        0        0        3
53191 python-egenix-mxtools              	       0       32        0       32        0
53192 python-egenix-mxtools-doc          	       0        3        0        0        3
53193 python-egenix-mxuid                	       0        2        0        2        0
53194 python-egenix-mxuid-doc            	       0        2        0        0        2
53195 python-egenix-mxurl                	       0        2        0        2        0
53196 python-egenix-mxurl-doc            	       0        2        0        0        2
53197 python-elasticsearch               	       0        1        0        1        0
53198 python-electrum                    	       0        2        0        2        0
53199 python-elementtree                 	       0        2        0        0        2
53200 python-elib.intl                   	       0        2        0        2        0
53201 python-enchant                     	       0        9        0        9        0
53202 python-entrypoints                 	       0       27        1       26        0
53203 python-enum34                      	       0      158        2      156        0
53204 python-enum34-doc                  	       0        2        0        0        2
53205 python-envisage                    	       0        1        0        1        0
53206 python-epsilon                     	       0        2        0        2        0
53207 python-et-xmlfile                  	       0        5        0        5        0
53208 python-ethtool                     	       0        1        0        1        0
53209 python-evdev-doc                   	       0        3        0        0        3
53210 python-eventlet                    	       0        1        0        1        0
53211 python-eventlib                    	       0        1        0        1        0
53212 python-evolution                   	       0        1        0        1        0
53213 python-examples                    	       0        1        0        0        1
53214 python-excelerator                 	       0        2        0        2        0
53215 python-execnet                     	       0        1        0        1        0
53216 python-extras                      	       0        3        0        3        0
53217 python-eyed3                       	       0        9        0        9        0
53218 python-fasteners                   	       0       12        0       12        0
53219 python-fastimport                  	       0        2        0        2        0
53220 python-fdsend                      	       0        1        0        1        0
53221 python-fiona                       	       0        1        0        1        0
53222 python-fisx-common                 	       0        2        0        0        2
53223 python-fixtures                    	       0        3        0        3        0
53224 python-flask                       	       0        6        1        5        0
53225 python-flask-autoindex             	       0        1        0        1        0
53226 python-flask-babel                 	       0        1        0        1        0
53227 python-flask-doc                   	       0        6        0        0        6
53228 python-flask-oldsessions           	       0        1        0        1        0
53229 python-flask-openid                	       0        1        0        1        0
53230 python-flask-restful-doc           	       0        1        0        0        1
53231 python-flask-silk                  	       0        1        0        1        0
53232 python-flup                        	       0        1        0        1        0
53233 python-fontforge                   	       0        1        0        1        0
53234 python-fonttools-doc               	       0        1        0        0        1
53235 python-foomatic                    	       0        1        0        1        0
53236 python-formencode                  	       0        4        0        4        0
53237 python-fpconst                     	       0       15        1       11        3
53238 python-fpylll                      	       0        1        1        0        0
53239 python-fs                          	       0        1        0        1        0
53240 python-fsapfs                      	       0        1        0        1        0
53241 python-fsspec-doc                  	       0        1        0        0        1
53242 python-fstab                       	       0        1        0        0        1
53243 python-funcsigs                    	       0       27        0       27        0
53244 python-funcsigs-doc                	       0        3        0        0        3
53245 python-functools32                 	       0       12        1       11        0
53246 python-fuse                        	       0        5        0        5        0
53247 python-future                      	       0       16        0       16        0
53248 python-future-doc                  	       0        4        0        0        4
53249 python-futurist                    	       0        1        0        1        0
53250 python-gamera                      	       0        1        0        1        0
53251 python-gamin                       	       0        2        1        1        0
53252 python-gammu                       	       0        4        0        4        0
53253 python-gammu-doc                   	       0        1        0        0        1
53254 python-gconf                       	       0       14        0        0       14
53255 python-gdal                        	       0        7        1        6        0
53256 python-gdata                       	       0        6        0        6        0
53257 python-gdata-doc                   	       0        2        0        0        2
53258 python-gdbm                        	       0       14        1       13        0
53259 python-gdbm-dbg                    	       0        1        0        1        0
53260 python-genshi                      	       0        4        0        4        0
53261 python-genshi-doc                  	       0        5        0        0        5
53262 python-geoip                       	       0        7        0        7        0
53263 python-gevent                      	       0        3        2        1        0
53264 python-gflags                      	       0        1        0        1        0
53265 python-gi-dbg                      	       0        1        0        1        0
53266 python-gi-dev                      	       0       14        0       14        0
53267 python-git                         	       0        2        0        2        0
53268 python-git-doc                     	       0        1        0        0        1
53269 python-gitdb                       	       0        3        0        3        0
53270 python-gitlab-doc                  	       0        2        0        0        2
53271 python-glade-1.2                   	       0        1        0        0        1
53272 python-glade2                      	       0      160        0        0      160
53273 python-glance                      	       0        1        0        1        0
53274 python-glance-store                	       0        1        0        1        0
53275 python-glanceclient                	       0        1        0        1        0
53276 python-gmenu                       	       0        1        0        1        0
53277 python-gmpy                        	       0        6        1        5        0
53278 python-gmpy-doc                    	       0        3        0        0        3
53279 python-gmpy2                       	       0        2        0        2        0
53280 python-gmpy2-common                	       0       18        0        0       18
53281 python-gmpy2-doc                   	       0        1        0        0        1
53282 python-gnocchiclient               	       0        1        0        1        0
53283 python-gnome2                      	       0       11        0        0       11
53284 python-gnomedesktop                	       0        1        0        1        0
53285 python-gnomekeyring                	       0        2        0        2        0
53286 python-gnucash                     	       0        2        0        2        0
53287 python-gnupg                       	       0        3        1        2        0
53288 python-gnupginterface              	       0        4        0        0        4
53289 python-gnuplot                     	       0        1        0        1        0
53290 python-gnutls                      	       0        1        0        1        0
53291 python-gobject                     	       0       70        0        0       70
53292 python-gobject-2-dbg               	       0        2        0        2        0
53293 python-gobject-2-dev               	       0        1        0        1        0
53294 python-gobject-dbg                 	       0        1        0        0        1
53295 python-goocalendar                 	       0        1        0        1        0
53296 python-googleapi                   	       0        2        0        2        0
53297 python-gpg                         	       0       51        2       49        0
53298 python-gpgme                       	       0        6        1        5        0
53299 python-gpod                        	       0        1        0        1        0
53300 python-gps                         	       0        4        0        4        0
53301 python-graphy                      	       0        1        0        1        0
53302 python-greenlet                    	       0        8        2        6        0
53303 python-greenlet-dev                	       0        2        0        2        0
53304 python-greenlet-doc                	       0        2        0        0        2
53305 python-grib-doc                    	       0        4        0        0        4
53306 python-gridfs                      	       0        5        1        4        0
53307 python-gst-1.0                     	       0        2        0        2        0
53308 python-gst0.10                     	       0        6        1        5        0
53309 python-gtk-1.2                     	       0        1        0        1        0
53310 python-gtk2                        	       0      200        0        5      195
53311 python-gtk2-doc                    	       0        3        0        3        0
53312 python-gtkglext1                   	       0        7        0        7        0
53313 python-gtksourceview2              	       0        1        0        1        0
53314 python-gtkspell                    	       0        2        0        2        0
53315 python-gtkspellcheck               	       0        3        0        3        0
53316 python-gudev                       	       0        1        0        1        0
53317 python-gvgen                       	       0        1        1        0        0
53318 python-h5py                        	       0        1        0        1        0
53319 python-h5py-doc                    	       0        1        0        0        1
53320 python-hachoir-core                	       0        1        0        1        0
53321 python-hachoir-metadata            	       0        1        0        1        0
53322 python-hachoir-parser              	       0        1        0        1        0
53323 python-hamcrest                    	       0        4        0        4        0
53324 python-heat                        	       0        1        0        1        0
53325 python-heatclient                  	       0        1        0        1        0
53326 python-hippocanvas                 	       0        1        0        1        0
53327 python-hiredis                     	       0        1        1        0        0
53328 python-hl7                         	       0        1        0        1        0
53329 python-hp3parclient                	       0        1        0        1        0
53330 python-html5-parser                	       0       12        0       12        0
53331 python-html5lib                    	       0      149        2      146        1
53332 python-html5lib-whl                	       0        6        0        0        6
53333 python-httplib2                    	       0       78        3       75        0
53334 python-hyperlink                   	       0       22        0       22        0
53335 python-hypothesis-doc              	       0        1        0        0        1
53336 python-icalendar                   	       0        2        0        2        0
53337 python-id3                         	       0        2        0        2        0
53338 python-idna                        	       0      123        2      121        0
53339 python-imageio-doc                 	       0        1        0        0        1
53340 python-imagesize                   	       0        9        0        9        0
53341 python-imaging                     	       0       57        6       51        0
53342 python-imaging-doc-html            	       0        1        0        0        1
53343 python-imaging-doc-pdf             	       0        2        0        0        2
53344 python-imaging-tk                  	       0        2        0        0        2
53345 python-imaplib2                    	       0        1        0        1        0
53346 python-imdbpy                      	       0        1        0        1        0
53347 python-imexam-doc                  	       0        1        0        0        1
53348 python-impacket                    	       0        1        0        1        0
53349 python-incremental                 	       0       30        0       30        0
53350 python-iniparse                    	       0        6        0        6        0
53351 python-inotifyx                    	       0        2        1        1        0
53352 python-ipaddr                      	       0       25        2       23        0
53353 python-ipaddress                   	       0      145        2      143        0
53354 python-ipy                         	       0        8        0        8        0
53355 python-ipykernel                   	       0        2        1        1        0
53356 python-ipython                     	       0        4        1        3        0
53357 python-ipython-doc                 	       0        5        0        0        5
53358 python-ipython-genutils            	       0        7        1        6        0
53359 python-ipywidgets                  	       0        1        0        1        0
53360 python-ipywidgets-doc              	       0        1        0        0        1
53361 python-irc                         	       0        2        0        2        0
53362 python-is-python2                  	       0      133        4      129        0
53363 python-iso8601                     	       0        1        0        1        0
53364 python-isodate                     	       0        2        0        2        0
53365 python-isort                       	       0        4        0        4        0
53366 python-itsdangerous                	       0        6        1        5        0
53367 python-jabber                      	       0        1        0        1        0
53368 python-jdcal                       	       0        5        0        5        0
53369 python-jedi                        	       0        2        0        2        0
53370 python-jinja2                      	       0       28        2       26        0
53371 python-jinja2-doc                  	       0       10        0        0       10
53372 python-joblib                      	       0        1        0        1        0
53373 python-josepy                      	       0        2        0        2        0
53374 python-jpylyzer-doc                	       0        1        0        0        1
53375 python-json-pointer                	       0        1        0        1        0
53376 python-jsonpatch                   	       0        1        0        1        0
53377 python-jsonrpclib                  	       0        2        0        2        0
53378 python-jsonschema                  	       0        3        1        2        0
53379 python-jsonschema-doc              	       0        1        0        0        1
53380 python-junitxml                    	       0        2        0        2        0
53381 python-jupyter-client              	       0        2        1        1        0
53382 python-jupyter-client-doc          	       0        2        0        0        2
53383 python-jupyter-console             	       0        1        0        1        0
53384 python-jupyter-console-doc         	       0        2        0        0        2
53385 python-jupyter-core                	       0        2        0        2        0
53386 python-jupyter-core-doc            	       0        1        0        0        1
53387 python-jwt                         	       0       22        1       21        0
53388 python-kaa-base                    	       0        3        1        2        0
53389 python-kaa-imlib2                  	       0        2        1        1        0
53390 python-kaa-metadata                	       0        3        1        2        0
53391 python-kafka                       	       0        1        0        1        0
53392 python-kazoo                       	       0        1        0        1        0
53393 python-kde4                        	       0        4        1        3        0
53394 python-kerberos                    	       0        1        0        1        0
53395 python-keybinder                   	       0        1        0        1        0
53396 python-keyczar                     	       0        1        0        1        0
53397 python-keyring                     	       0       47        1       46        0
53398 python-keyrings.alt                	       0       45        3       42        0
53399 python-keystone                    	       0        1        0        1        0
53400 python-keystoneauth1               	       0        1        0        1        0
53401 python-keystoneclient              	       0        1        0        1        0
53402 python-keystonemiddleware          	       0        1        0        1        0
53403 python-kid                         	       0        1        0        1        0
53404 python-kivy-examples               	       0        1        0        0        1
53405 python-kiwisolver                  	       0       18        0       18        0
53406 python-kolab                       	       0        1        0        1        0
53407 python-kolabformat                 	       0        1        0        1        0
53408 python-kombu                       	       0        1        0        1        0
53409 python-l20n                        	       0        1        0        1        0
53410 python-laditools                   	       0        1        0        1        0
53411 python-langdetect                  	       0        1        0        1        0
53412 python-launchpadlib                	       0        8        1        7        0
53413 python-lazr.restfulclient          	       0        9        1        8        0
53414 python-lazr.uri                    	       0        9        1        8        0
53415 python-lazy-object-proxy           	       0        4        0        4        0
53416 python-ldap                        	       0        3        0        3        0
53417 python-ldappool                    	       0        1        0        1        0
53418 python-ldaptor                     	       0        1        0        1        0
53419 python-ldb                         	       0       98        6       92        0
53420 python-ldtp                        	       0        1        0        1        0
53421 python-levenshtein                 	       0        2        0        2        0
53422 python-libbde                      	       0        1        0        1        0
53423 python-libdiscid-doc               	       0        1        0        0        1
53424 python-libesedb                    	       0        1        0        1        0
53425 python-libevt                      	       0        1        0        1        0
53426 python-libevtx                     	       0        1        0        1        0
53427 python-libewf                      	       0        1        0        1        0
53428 python-libfsntfs                   	       0        2        0        2        0
53429 python-libfvde                     	       0        1        0        1        0
53430 python-libfwnt                     	       0        1        0        1        0
53431 python-libfwsi                     	       0        1        0        1        0
53432 python-libhamlib2                  	       0        1        0        1        0
53433 python-liblnk                      	       0        1        0        1        0
53434 python-libmsiecf                   	       0        1        0        1        0
53435 python-libolecf                    	       0        1        0        1        0
53436 python-libpcap                     	       0        1        0        1        0
53437 python-libqcow                     	       0        1        0        1        0
53438 python-librdf                      	       0        1        0        1        0
53439 python-libregf                     	       0        1        0        1        0
53440 python-libscca                     	       0        1        0        1        0
53441 python-libsigscan                  	       0        1        0        1        0
53442 python-libsmbios                   	       0        1        0        1        0
53443 python-libsmdev                    	       0        1        0        1        0
53444 python-libsmraw                    	       0        1        0        1        0
53445 python-libsvm                      	       0        1        0        0        1
53446 python-libtorrent                  	       0       18        0       18        0
53447 python-libvhdi                     	       0        1        0        1        0
53448 python-libvirt                     	       0       22        2       20        0
53449 python-libvmdk                     	       0        1        0        1        0
53450 python-libvshadow                  	       0        1        0        1        0
53451 python-libvslvm                    	       0        1        0        1        0
53452 python-libxslt1                    	       0        6        0        6        0
53453 python-linecache2                  	       0        2        0        2        0
53454 python-livereload-doc              	       0        3        0        0        3
53455 python-lldb                        	       0        1        0        0        1
53456 python-lldb-7                      	       0        1        0        0        1
53457 python-llfuse-doc                  	       0        1        0        0        1
53458 python-lockfile                    	       0       17        0       17        0
53459 python-lockfile-doc                	       0        1        0        0        1
53460 python-logilab-common              	       0        1        0        1        0
53461 python-logsparser                  	       0        1        1        0        0
53462 python-logutils                    	       0        1        0        1        0
53463 python-louie                       	       0        2        0        2        0
53464 python-louis                       	       0        2        0        2        0
53465 python-lunr-doc                    	       0        1        0        0        1
53466 python-lxml                        	       0      172        3      169        0
53467 python-lxml-dbg                    	       0        2        0        2        0
53468 python-lxml-doc                    	       0        8        0        0        8
53469 python-lz4                         	       0        9        0        9        0
53470 python-lzma                        	       0        6        0        6        0
53471 python-lzo                         	       0        7        0        7        0
53472 python-m2crypto                    	       0        5        1        4        0
53473 python-m2r-doc                     	       0        1        0        0        1
53474 python-macaron                     	       0        1        1        0        0
53475 python-magic                       	       0       13        1       12        0
53476 python-magnumclient                	       0        1        0        1        0
53477 python-mako                        	       0       10        0       10        0
53478 python-mako-doc                    	       0       14        0        0       14
53479 python-manilaclient                	       0        1        0        1        0
53480 python-mapnik                      	       0        1        0        1        0
53481 python-mapscript                   	       0        1        0        1        0
53482 python-markdown                    	       0       17        1       16        0
53483 python-markdown-doc                	       0        7        0        0        7
53484 python-markupsafe                  	       0       43        3       40        0
53485 python-matplotlib                  	       0       23        2       21        0
53486 python-matplotlib-data             	       0      560        0        0      560
53487 python-matplotlib-doc              	       0        7        0        0        7
53488 python-matplotlib2-data            	       0       24        0        0       24
53489 python-matplotlib2-doc             	       0        1        0        0        1
53490 python-mccabe                      	       0        4        0        4        0
53491 python-md-toc-doc                  	       0        1        0        0        1
53492 python-mechanize                   	       0       19        0       19        0
53493 python-mediainfodll                	       0        1        0        1        0
53494 python-meld3                       	       0        2        0        2        0
53495 python-memcache                    	       0        3        0        3        0
53496 python-microversion-parse          	       0        1        0        1        0
53497 python-migrate                     	       0        1        0        1        0
53498 python-mimeparse                   	       0        3        0        3        0
53499 python-minimock                    	       0        1        0        1        0
53500 python-mistralclient               	       0        1        0        1        0
53501 python-mistune                     	       0        1        0        1        0
53502 python-mlt                         	       0        6        0        6        0
53503 python-mock                        	       0       18        0       17        1
53504 python-mock-doc                    	       0        2        0        0        2
53505 python-mode                        	       0        1        0        1        0
53506 python-modestmaps                  	       0        1        0        1        0
53507 python-moinmoin                    	       0        2        1        1        0
53508 python-monascaclient               	       0        1        0        1        0
53509 python-monotonic                   	       0       13        0       13        0
53510 python-moovida                     	       0        1        0        0        1
53511 python-more-itertools              	       0        8        0        8        0
53512 python-mpi4py                      	       0        2        0        2        0
53513 python-mpi4py-doc                  	       0        1        0        0        1
53514 python-mpltoolkits.basemap-data    	       0        4        0        0        4
53515 python-mpmath                      	       0        4        1        3        0
53516 python-mpmath-doc                  	       0        4        0        0        4
53517 python-mrjob                       	       0        1        0        1        0
53518 python-msgpack                     	       0       23        1       22        0
53519 python-msrplib                     	       0        1        0        1        0
53520 python-munch                       	       0        1        0        1        0
53521 python-murano                      	       0        1        0        1        0
53522 python-muranoclient                	       0        1        0        1        0
53523 python-musicbrainzngs              	       0       23        0       23        0
53524 python-musicbrainzngs-doc          	       0        2        0        0        2
53525 python-mutagen-doc                 	       0        4        0        0        4
53526 python-mygpoclient                 	       0        1        1        0        0
53527 python-mysql.connector             	       0        3        0        3        0
53528 python-mysqldb                     	       0       14        2       12        0
53529 python-mysqldb-dbg                 	       0        1        0        1        0
53530 python-nacl                        	       0       19        0       19        0
53531 python-nacl-doc                    	       0        4        0        0        4
53532 python-natsort-doc                 	       0        3        0        0        3
53533 python-nautilus                    	       0        1        0        0        1
53534 python-nbconvert                   	       0        1        0        1        0
53535 python-nbconvert-doc               	       0        1        0        0        1
53536 python-nbformat                    	       0        1        1        0        0
53537 python-nbsphinx-doc                	       0        1        0        0        1
53538 python-nbxmpp                      	       0        2        0        2        0
53539 python-nbxmpp-doc                  	       0        1        0        0        1
53540 python-ndg-httpsclient             	       0        8        1        7        0
53541 python-neovim                      	       0        2        0        2        0
53542 python-netaddr                     	       0        4        0        4        0
53543 python-netifaces                   	       0       23        0       23        0
53544 python-netlib                      	       0        1        1        0        0
53545 python-networkx                    	       0        6        0        6        0
53546 python-networkx-doc                	       0        1        0        0        1
53547 python-neutron                     	       0        1        0        1        0
53548 python-neutron-fwaas               	       0        1        0        1        0
53549 python-neutron-lib                 	       0        1        0        1        0
53550 python-neutron-vpnaas              	       0        1        0        1        0
53551 python-neutronclient               	       0        1        0        1        0
53552 python-nevow                       	       0        2        0        2        0
53553 python-newt                        	       0        6        0        6        0
53554 python-nibabel                     	       0        1        0        1        0
53555 python-nipy                        	       0        1        0        1        0
53556 python-nipy-lib                    	       0        1        0        1        0
53557 python-nipype                      	       0        1        0        1        0
53558 python-nitime                      	       0        1        0        1        0
53559 python-nltk                        	       0        1        0        1        0
53560 python-nose                        	       0       15        0       15        0
53561 python-nose-doc                    	       0        6        0        0        6
53562 python-nose2-doc                   	       0        1        0        0        1
53563 python-notebook                    	       0        1        1        0        0
53564 python-notebook-doc                	       0        2        0        0        2
53565 python-notify                      	       0      151        1      150        0
53566 python-notify2                     	       0        1        0        1        0
53567 python-notmuch                     	       0        1        0        1        0
53568 python-nova                        	       0        1        0        1        0
53569 python-novaclient                  	       0        1        0        1        0
53570 python-novnc                       	       0        2        0        2        0
53571 python-ntdb                        	       0       35        1       34        0
53572 python-numexpr                     	       0        3        0        3        0
53573 python-numpy-dbg                   	       0        2        0        2        0
53574 python-numpy-doc                   	       0       14        0       13        1
53575 python-nut                         	       0        6        0        6        0
53576 python-nwsclient                   	       0        1        1        0        0
53577 python-nwsserver                   	       0        1        1        0        0
53578 python-oauth                       	       0        6        1        5        0
53579 python-oauth2client                	       0        2        0        2        0
53580 python-oauthlib                    	       0       20        1       19        0
53581 python-obexftp                     	       0        1        0        1        0
53582 python-objgraph-doc                	       0        4        0        0        4
53583 python-odf                         	       0        1        0        1        0
53584 python-odf-doc                     	       0      106        0        0      106
53585 python-ogg                         	       0       10        0       10        0
53586 python-olefile                     	       0       66        0       66        0
53587 python-ooolib                      	       0        1        1        0        0
53588 python-opencv                      	       0        4        0        4        0
53589 python-opengl                      	       0       19        0       19        0
53590 python-openid                      	       0        4        2        2        0
53591 python-openpyxl                    	       0        6        0        5        1
53592 python-openssl                     	       0      124        3      121        0
53593 python-openssl-doc                 	       0        8        0        0        8
53594 python-openstackclient             	       0        1        0        1        0
53595 python-openstackclient-doc         	       0        2        0        0        2
53596 python-openstacksdk                	       0        1        0        1        0
53597 python-openvswitch                 	       0        1        0        1        0
53598 python-os-api-ref-common           	       0        1        0        0        1
53599 python-os-brick                    	       0        1        0        1        0
53600 python-os-client-config            	       0        1        0        1        0
53601 python-os-vif                      	       0        1        0        1        0
53602 python-os-win                      	       0        1        0        1        0
53603 python-osc-lib                     	       0        1        0        1        0
53604 python-oslo.cache                  	       0        1        0        1        0
53605 python-oslo.concurrency            	       0        1        0        1        0
53606 python-oslo.config                 	       0        2        0        2        0
53607 python-oslo.context                	       0        1        0        1        0
53608 python-oslo.db                     	       0        1        0        1        0
53609 python-oslo.i18n                   	       0        2        0        2        0
53610 python-oslo.log                    	       0        1        0        1        0
53611 python-oslo.messaging              	       0        1        0        1        0
53612 python-oslo.middleware             	       0        1        0        1        0
53613 python-oslo.policy                 	       0        1        0        1        0
53614 python-oslo.privsep                	       0        1        0        1        0
53615 python-oslo.reports                	       0        1        0        1        0
53616 python-oslo.rootwrap               	       0        1        0        1        0
53617 python-oslo.serialization          	       0        1        0        1        0
53618 python-oslo.service                	       0        1        0        1        0
53619 python-oslo.utils                  	       0        1        0        1        0
53620 python-oslo.versionedobjects       	       0        1        0        1        0
53621 python-oslo.vmware                 	       0        1        0        1        0
53622 python-oslosphinx-common           	       0        1        0        0        1
53623 python-osmgpsmap                   	       0        1        0        1        0
53624 python-osprofiler                  	       0        1        0        1        0
53625 python-otr                         	       0        1        0        1        0
53626 python-ow                          	       0        1        0        1        0
53627 python-owslib                      	       0        2        0        2        0
53628 python-packagekit                  	       0        4        0        4        0
53629 python-packaging                   	       0        6        0        6        0
53630 python-pacparser                   	       0        1        0        1        0
53631 python-paho-mqtt                   	       0        1        0        1        0
53632 python-pam                         	       0       22        1       21        0
53633 python-pampy                       	       0        1        0        1        0
53634 python-pandas                      	       0        2        0        2        0
53635 python-pandas-doc                  	       0        5        0        0        5
53636 python-pandas-lib                  	       0        2        0        2        0
53637 python-pandocfilters               	       0        1        1        0        0
53638 python-parallax                    	       0        4        2        2        0
53639 python-parallel                    	       0        1        1        0        0
53640 python-paramiko                    	       0       24        1       23        0
53641 python-parse                       	       0        1        0        1        0
53642 python-parsedatetime               	       0        7        0        7        0
53643 python-parso                       	       0        1        0        1        0
53644 python-parted                      	       0        3        0        3        0
53645 python-parted-doc                  	       0        2        0        0        2
53646 python-passlib                     	       0        6        0        5        1
53647 python-paste                       	       0        4        0        4        0
53648 python-pastedeploy                 	       0        2        0        2        0
53649 python-pastedeploy-tpl             	       0        5        0        0        5
53650 python-pastescript                 	       0        1        0        1        0
53651 python-pastescript-doc             	       0        1        0        0        1
53652 python-path                        	       0        1        0        1        0
53653 python-pathlib                     	       0        9        1        8        0
53654 python-pathlib2                    	       0       13        0       13        0
53655 python-patsy-doc                   	       0        1        0        0        1
53656 python-pbkdf2                      	       0        2        0        2        0
53657 python-pbr                         	       0       20        0       20        0
53658 python-pcapy                       	       0        1        0        1        0
53659 python-pdfkit                      	       0        1        0        1        0
53660 python-pdfminer                    	       0        2        0        2        0
53661 python-pdfrw                       	       0        3        0        3        0
53662 python-pdfrw-doc                   	       0        1        0        0        1
53663 python-pdftools                    	       0        1        0        1        0
53664 python-pecan                       	       0        1        0        1        0
53665 python-peewee-doc                  	       0        6        0        0        6
53666 python-pefile                      	       0        1        0        1        0
53667 python-pep8                        	       0        2        0        2        0
53668 python-petsc4py-doc                	       0        1        0        1        0
53669 python-pexpect                     	       0       45        4       41        0
53670 python-pexpect-doc                 	       0        9        0        0        9
53671 python-pgm                         	       0        1        0        1        0
53672 python-pgpdump                     	       0        1        0        1        0
53673 python-phoneutils                  	       0        1        0        1        0
53674 python-pickleshare                 	       0        6        0        6        0
53675 python-pika                        	       0        1        0        1        0
53676 python-pika-pool                   	       0        1        0        1        0
53677 python-pil-dbg                     	       0        1        0        1        0
53678 python-pil-doc                     	       0       11        0        0       11
53679 python-pil.imagetk                 	       0       17        0       17        0
53680 python-pilkit                      	       0        1        0        1        0
53681 python-pint                        	       0        1        0        1        0
53682 python-pip                         	       0       40        0       40        0
53683 python-pip-whl                     	       0      252        0        0      252
53684 python-pivy                        	       0        7        0        7        0
53685 python-pkgconfig                   	       0        2        0        2        0
53686 python-pkginfo-doc                 	       0       21        0        0       21
53687 python-plastex                     	       0        1        0        1        0
53688 python-pluggy                      	       0        8        0        8        0
53689 python-ply                         	       0       34        2       32        0
53690 python-ply-doc                     	       0        8        0        0        8
53691 python-pmw                         	       0        3        0        3        0
53692 python-png                         	       0        1        0        1        0
53693 python-podcastparser-doc           	       0        1        0        0        1
53694 python-poppler                     	       0        1        0        1        0
53695 python-positional                  	       0        1        0        1        0
53696 python-posix-ipc                   	       0        1        0        1        0
53697 python-potr                        	       0        1        0        1        0
53698 python-powerline                   	       0        1        0        1        0
53699 python-ppl-doc                     	       0        8        0        0        8
53700 python-prettytable                 	       0        2        0        2        0
53701 python-progressbar                 	       0        1        0        1        0
53702 python-prometheus-client           	       0        1        0        1        0
53703 python-prompt-toolkit              	       0        6        0        6        0
53704 python-protobuf                    	       0        8        0        8        0
53705 python-prov                        	       0        1        0        1        0
53706 python-psutil                      	       0       17        2       14        1
53707 python-psutil-doc                  	       0        5        0        0        5
53708 python-psyco-doc                   	       0        1        0        0        1
53709 python-psycopg2                    	       0       27        2       25        0
53710 python-psycopg2-doc                	       0        5        0        0        5
53711 python-ptyprocess                  	       0       36        3       33        0
53712 python-py                          	       0       10        0       10        0
53713 python-pyalsa                      	       0        3        0        3        0
53714 python-pyao                        	       0        3        0        3        0
53715 python-pyasn1                      	       0      105        3      102        0
53716 python-pyasn1-modules              	       0       36        2       34        0
53717 python-pyatspi                     	       0        5        0        5        0
53718 python-pyatspi2                    	       0        3        0        0        3
53719 python-pyaudio                     	       0        2        0        2        0
53720 python-pycadf                      	       0        1        0        1        0
53721 python-pycalendar                  	       0        1        0        1        0
53722 python-pychart                     	       0        1        0        1        0
53723 python-pychromecast                	       0        1        0        1        0
53724 python-pycparser                   	       0       22        2       20        0
53725 python-pycryptopp                  	       0        1        0        1        0
53726 python-pycuda-doc                  	       0        2        0        0        2
53727 python-pycurl-dbg                  	       0        1        0        1        0
53728 python-pycurl-doc                  	       0        3        0        0        3
53729 python-pydbus-doc                  	       0        1        0        0        1
53730 python-pydhcplib                   	       0        1        1        0        0
53731 python-pydicom                     	       0        2        0        2        0
53732 python-pydl-doc                    	       0        1        0        0        1
53733 python-pydot                       	       0        3        0        2        1
53734 python-pydotplus                   	       0        2        0        2        0
53735 python-pyexiv2                     	       0        6        0        6        0
53736 python-pyexiv2-doc                 	       0        5        0        0        5
53737 python-pyface                      	       0        2        0        2        0
53738 python-pyflakes                    	       0        3        0        3        0
53739 python-pyftpdlib                   	       0        1        0        1        0
53740 python-pygame                      	       0       27        0       27        0
53741 python-pygame-doc                  	       0        3        0        0        3
53742 python-pygame-sdl2                 	       0        1        0        1        0
53743 python-pygit2-doc                  	       0        1        0        0        1
53744 python-pyglet                      	       0        6        0        6        0
53745 python-pygments-doc                	       0        8        0        0        8
53746 python-pygoocanvas                 	       0        4        0        4        0
53747 python-pygooglechart               	       0        1        0        1        0
53748 python-pygraphviz                  	       0        5        0        5        0
53749 python-pygraphviz-doc              	       0        2        0        0        2
53750 python-pygresql                    	       0        1        0        1        0
53751 python-pyhsm                       	       0        1        0        1        0
53752 python-pyicu                       	       0       23        2       21        0
53753 python-pyinotify-doc               	       0        4        0        0        4
53754 python-pyisomd5sum                 	       0        1        0        1        0
53755 python-pyjavaproperties            	       0        1        0        1        0
53756 python-pykaraoke                   	       0        1        0        1        0
53757 python-pykka                       	       0        1        0        1        0
53758 python-pylibacl                    	       0        7        0        7        0
53759 python-pylibmc                     	       0        2        0        2        0
53760 python-pylirc                      	       0        1        0        1        0
53761 python-pymad                       	       0        4        0        4        0
53762 python-pymemcache                  	       0        1        0        1        0
53763 python-pymetar                     	       0        1        1        0        0
53764 python-pymongo                     	       0        6        1        5        0
53765 python-pymongo-doc                 	       0        2        0        0        2
53766 python-pymongo-ext                 	       0        5        1        4        0
53767 python-pymtp                       	       0        1        0        1        0
53768 python-pymysql                     	       0        3        0        3        0
53769 python-pymysql-doc                 	       0        1        0        0        1
53770 python-pyodbc                      	       0        2        0        2        0
53771 python-pyogg                       	       0        1        0        0        1
53772 python-pyorbit                     	       0       13        0       13        0
53773 python-pyparsing                   	       0       51        0       50        1
53774 python-pypdf                       	       0        1        0        1        0
53775 python-pypdf2                      	       0       10        0       10        0
53776 python-pyproj                      	       0        3        0        3        0
53777 python-pyptlib                     	       0        2        0        2        0
53778 python-pyqrcode                    	       0        1        0        1        0
53779 python-pyqt5                       	       0       30        0       30        0
53780 python-pyqt5-dbg                   	       0        1        0        1        0
53781 python-pyqt5.qsci                  	       0        4        0        4        0
53782 python-pyqt5.qtmultimedia          	       0        1        0        1        0
53783 python-pyqt5.qtopengl              	       0        1        0        1        0
53784 python-pyqt5.qtserialport          	       0        1        0        1        0
53785 python-pyqt5.qtsvg                 	       0       17        0       17        0
53786 python-pyqt5.qtwebkit              	       0       18        0       18        0
53787 python-pyqt5.qwt-doc               	       0        2        0        0        2
53788 python-pyqtgraph                   	       0        1        0        1        0
53789 python-pyqtgraph-doc               	       0        1        0        0        1
53790 python-pyquery                     	       0        1        0        1        0
53791 python-pyramid                     	       0        1        0        1        0
53792 python-pyramid-beaker              	       0        1        0        1        0
53793 python-pyregion-doc                	       0        1        0        0        1
53794 python-pyresample-test             	       0        2        0        0        2
53795 python-pyroute2                    	       0        1        0        1        0
53796 python-pysaml2                     	       0        1        0        1        0
53797 python-pyscss                      	       0        1        0        1        0
53798 python-pyshp                       	       0        1        0        1        0
53799 python-pyside                      	       0        8        0        0        8
53800 python-pyside.phonon               	       0        8        0        8        0
53801 python-pyside.qtcore               	       0        8        0        8        0
53802 python-pyside.qtdeclarative        	       0        8        0        8        0
53803 python-pyside.qtgui                	       0        8        0        8        0
53804 python-pyside.qthelp               	       0        8        0        8        0
53805 python-pyside.qtnetwork            	       0        8        0        8        0
53806 python-pyside.qtopengl             	       0        8        0        8        0
53807 python-pyside.qtscript             	       0        8        0        8        0
53808 python-pyside.qtsql                	       0        8        0        8        0
53809 python-pyside.qtsvg                	       0        8        0        8        0
53810 python-pyside.qttest               	       0        8        0        8        0
53811 python-pyside.qtuitools            	       0        8        0        8        0
53812 python-pyside.qtwebkit             	       0        8        0        8        0
53813 python-pyside.qtxml                	       0        8        0        8        0
53814 python-pyside2-doc                 	       0        1        0        1        0
53815 python-pyside2.qtcore              	       0        3        0        3        0
53816 python-pyside2.qtgui               	       0        3        0        3        0
53817 python-pyside2.qtopengl            	       0        2        0        2        0
53818 python-pyside2.qtsvg               	       0        2        0        2        0
53819 python-pyside2.qtwidgets           	       0        3        0        3        0
53820 python-pyside2uic                  	       0        6        0        6        0
53821 python-pysimplesoap                	       0       66        3       63        0
53822 python-pysnmp4-doc                 	       0        1        0        0        1
53823 python-pysolr                      	       0        1        0        1        0
53824 python-pyspatialite                	       0        2        0        2        0
53825 python-pysqlite2                   	       0       11        0       11        0
53826 python-pysqlite2-dbg               	       0        1        0        1        0
53827 python-pysqlite2-doc               	       0        1        0        0        1
53828 python-pytest                      	       0       10        0       10        0
53829 python-pytest-doc                  	       0        2        0        0        2
53830 python-pytest-forked               	       0        1        0        1        0
53831 python-pytest-trio-doc             	       0        1        0        0        1
53832 python-pytest-xdist                	       0        1        0        1        0
53833 python-pytestqt-doc                	       0        1        0        0        1
53834 python-pytools-doc                 	       0        1        0        0        1
53835 python-pyudev                      	       0        4        0        4        0
53836 python-pyvirtualdisplay            	       0        1        0        1        0
53837 python-pyvorbis                    	       0       10        0       10        0
53838 python-pywt-doc                    	       0        2        0        0        2
53839 python-pyxattr                     	       0       17        0       17        0
53840 python-pyxattr-doc                 	       0        3        0        0        3
53841 python-pyxmpp                      	       0        2        1        1        0
53842 python-q-text-as-data              	       0        2        0        2        0
53843 python-qgis                        	       0        2        0        2        0
53844 python-qgis-common                 	       0        3        0        0        3
53845 python-qrcode                      	       0        5        0        5        0
53846 python-qrencode                    	       0        2        0        2        0
53847 python-qrtools                     	       0        4        0        4        0
53848 python-qscintilla2                 	       0        4        0        4        0
53849 python-qt-binding                  	       0        1        0        1        0
53850 python-qt3-doc                     	       0        1        0        1        0
53851 python-qt4                         	       0       26        1       25        0
53852 python-qt4-dbg                     	       0        1        0        1        0
53853 python-qt4-dbus                    	       0        9        1        8        0
53854 python-qt4-dev                     	       0        1        0        0        1
53855 python-qt4-gl                      	       0       11        0       11        0
53856 python-qt4-sql                     	       0        4        0        4        0
53857 python-qt4reactor                  	       0        1        0        1        0
53858 python-qtawesome                   	       0        1        0        1        0
53859 python-qtawesome-common            	       0       34        0        0       34
53860 python-qtawesome-doc               	       0        1        0        0        1
53861 python-qtpy                        	       0        1        0        1        0
53862 python-rabbyt                      	       0        2        0        2        0
53863 python-radix                       	       0        1        1        0        0
53864 python-rados                       	       0        2        0        2        0
53865 python-rbd                         	       0        2        0        2        0
53866 python-rbtools                     	       0        1        0        1        0
53867 python-rcssmin                     	       0        1        0        1        0
53868 python-rdflib                      	       0        2        0        2        0
53869 python-recaptcha                   	       0        3        2        1        0
53870 python-redis                       	       0        2        1        1        0
53871 python-regex                       	       0       18        0       18        0
53872 python-rencode                     	       0        9        0        9        0
53873 python-renderpm                    	       0       35        0       34        1
53874 python-reportlab                   	       0       36        0       35        1
53875 python-reportlab-accel             	       0       36        0       35        1
53876 python-reportlab-doc               	       0        2        0        0        2
53877 python-repoze.lru                  	       0       22        3       19        0
53878 python-repoze.who                  	       0        1        0        1        0
53879 python-requests                    	       0       85        2       83        0
53880 python-requests-doc                	       0       24        0        0       24
53881 python-requests-kerberos           	       0        1        0        1        0
53882 python-requests-toolbelt           	       0        2        0        2        0
53883 python-requests-toolbelt-doc       	       0        2        0        0        2
53884 python-requests-whl                	       0        6        0        0        6
53885 python-requestsexceptions          	       0        1        0        1        0
53886 python-retrying                    	       0        1        0        1        0
53887 python-rfc3339                     	       0        4        0        4        0
53888 python-rfc3986                     	       0        2        0        2        0
53889 python-rjsmin                      	       0        1        0        1        0
53890 python-rope                        	       0        2        0        2        0
53891 python-ropemacs                    	       0        1        0        1        0
53892 python-ropemode                    	       0        2        0        2        0
53893 python-routes                      	       0       21        0       21        0
53894 python-rpm                         	       0        1        0        1        0
53895 python-rpy2                        	       0        2        0        2        0
53896 python-rrdtool                     	       0        1        1        0        0
53897 python-rsa                         	       0        2        0        2        0
53898 python-rsvg                        	       0        2        0        2        0
53899 python-rtslib-fb                   	       0        1        0        1        0
53900 python-ryu                         	       0        1        0        1        0
53901 python-sagenb                      	       0        1        0        1        0
53902 python-sagenb-export               	       0        1        0        1        0
53903 python-sagetex                     	       0        1        0        1        0
53904 python-saharaclient                	       0        1        0        1        0
53905 python-sane                        	       0        4        0        4        0
53906 python-scandir                     	       0       13        1       12        0
53907 python-scapy                       	       0        1        0        1        0
53908 python-scgi                        	       0        3        1        2        0
53909 python-scientific-doc              	       0        1        0        0        1
53910 python-scipy                       	       0       11        0       11        0
53911 python-scipy-doc                   	       0        8        0        0        8
53912 python-sclapp                      	       0        1        0        1        0
53913 python-scour                       	       0       45        0       45        0
53914 python-scp                         	       0        1        0        1        0
53915 python-scrapy-doc                  	       0        1        0        0        1
53916 python-seaborn                     	       0        1        0        1        0
53917 python-seafile                     	       0        1        0        1        0
53918 python-searpc                      	       0        1        0        1        0
53919 python-secretstorage               	       0       46        3       43        0
53920 python-secretstorage-doc           	       0        6        0        0        6
53921 python-selenium                    	       0        2        0        2        0
53922 python-selenium-doc                	       0        3        0        0        3
53923 python-selinux                     	       0        3        0        3        0
53924 python-semanage                    	       0        3        0        3        0
53925 python-semantic-version            	       0        1        0        1        0
53926 python-semantic-version-doc        	       0        1        0        0        1
53927 python-send2trash                  	       0        1        0        1        0
53928 python-sendfile                    	       0        2        0        2        0
53929 python-senlinclient                	       0        1        0        1        0
53930 python-sepolgen                    	       0        2        0        2        0
53931 python-sepolicy                    	       0        1        0        1        0
53932 python-serial                      	       0       28        2       26        0
53933 python-service-identity            	       0       35        0       35        0
53934 python-setools                     	       0        2        0        2        0
53935 python-setproctitle                	       0        4        0        4        0
53936 python-setuptools                  	       0      181        3      178        0
53937 python-setuptools-doc              	       0       19        0        0       19
53938 python-setuptools-scm              	       0        1        0        1        0
53939 python-setuptools-whl              	       0        6        0        0        6
53940 python-sh                          	       0        1        0        1        0
53941 python-shapely                     	       0        2        0        2        0
53942 python-simplebayes-doc             	       0        1        0        0        1
53943 python-simplegeneric               	       0        8        0        8        0
53944 python-simplejson                  	       0       38        4       34        0
53945 python-simpletal                   	       0        2        0        1        1
53946 python-simpy-gui                   	       0        1        0        1        0
53947 python-simpy3-doc                  	       0        2        0        0        2
53948 python-singledispatch              	       0       10        1        9        0
53949 python-sip                         	       0       59        1       58        0
53950 python-sip-dbg                     	       0        2        0        2        0
53951 python-sip-dev                     	       0        2        0        2        0
53952 python-sip-tqt                     	       0        1        0        1        0
53953 python-sipsimple                   	       0        1        0        1        0
53954 python-six-doc                     	       0        2        0        0        2
53955 python-six-whl                     	       0        6        0        0        6
53956 python-skimage-doc                 	       0        1        0        0        1
53957 python-sklearn-doc                 	       0        2        0        0        2
53958 python-slowaes                     	       0        2        0        2        0
53959 python-smartpm                     	       0        2        1        1        0
53960 python-smbus                       	       0        2        0        2        0
53961 python-smmap                       	       0        3        0        3        0
53962 python-snappy                      	       0        2        0        2        0
53963 python-snowballstemmer             	       0        1        1        0        0
53964 python-socks                       	       0        3        0        3        0
53965 python-socksipy                    	       0        2        0        2        0
53966 python-software-properties         	       0        4        0        4        0
53967 python-sortedcontainers            	       0        2        0        2        0
53968 python-sortedcontainers-doc        	       0        4        0        0        4
53969 python-soupsieve                   	       0       78        1       77        0
53970 python-sourcecodegen               	       0        1        0        1        0
53971 python-soya                        	       0        2        0        2        0
53972 python-soya-doc                    	       0        1        0        0        1
53973 python-sparqlwrapper               	       0        1        0        1        0
53974 python-sphinx                      	       0       10        0       10        0
53975 python-sphinx-feature-classification-doc	       0        2        0        0        2
53976 python-sphinx-gallery-doc          	       0        1        0        0        1
53977 python-sphinx-rtd-theme            	       0        6        0        6        0
53978 python-sphinxcontrib.bibtex-doc    	       0        1        0        0        1
53979 python-sphinxcontrib.programoutput-doc	       0        1        0        0        1
53980 python-sphinxcontrib.spelling-doc  	       0        1        0        0        1
53981 python-sqlalchemy                  	       0       14        0       14        0
53982 python-sqlalchemy-doc              	       0        4        0        0        4
53983 python-sqlalchemy-ext              	       0       11        0       11        0
53984 python-sqlite                      	       0        7        1        6        0
53985 python-sqlitecachec                	       0        1        0        1        0
53986 python-sqlparse                    	       0        2        0        2        0
53987 python-sqlparse-doc                	       0        4        0        0        4
53988 python-statistics                  	       0        1        0        1        0
53989 python-statsmodels                 	       0        1        0        0        1
53990 python-statsmodels-doc             	       0        1        0        0        1
53991 python-statsmodels-lib             	       0        1        0        1        0
53992 python-stdnum                      	       0        1        0        1        0
53993 python-stemmer                     	       0        1        1        0        0
53994 python-stevedore                   	       0        3        0        3        0
53995 python-subprocess32                	       0       26        0       26        0
53996 python-subunit                     	       0        2        0        2        0
53997 python-subversion                  	       0        7        0        7        0
53998 python-suds                        	       0        8        0        8        0
53999 python-sugar3                      	       0       41        0       41        0
54000 python-superqt-doc                 	       0        1        0        0        1
54001 python-surfer                      	       0        1        0        1        0
54002 python-svn                         	       0        8        0        8        0
54003 python-swiftclient                 	       0        1        0        1        0
54004 python-sympy                       	       0        4        1        3        0
54005 python-sympy-doc                   	       0        8        0        0        8
54006 python-systemd                     	       0        1        1        0        0
54007 python-tables                      	       0        3        0        3        0
54008 python-tables-data                 	       0       97        0        0       97
54009 python-tables-doc                  	       0        4        0        0        4
54010 python-tables-lib                  	       0        3        0        3        0
54011 python-tablib                      	       0        1        0        1        0
54012 python-tagpy                       	       0        2        0        2        0
54013 python-taskflow                    	       0        1        0        1        0
54014 python-tdb                         	       0       99        3       96        0
54015 python-tegaki                      	       0        1        0        1        0
54016 python-tegaki-gtk                  	       0        1        0        1        0
54017 python-telepathy                   	       0       41        0       41        0
54018 python-tempita                     	       0        4        0        4        0
54019 python-termcolor                   	       0        1        0        1        0
54020 python-terminado                   	       0        1        1        0        0
54021 python-testpath                    	       0        1        1        0        0
54022 python-testresources               	       0        1        0        1        0
54023 python-testscenarios               	       0        3        0        3        0
54024 python-testtools                   	       0        3        0        3        0
54025 python-testtools-doc               	       0        2        0        0        2
54026 python-texttable                   	       0        1        0        1        0
54027 python-tidylib                     	       0        1        0        1        0
54028 python-tinycss2-common             	       0      371        0        0      371
54029 python-tinycss2-doc                	       0        2        0        0        2
54030 python-tk                          	       0       93        0       93        0
54031 python-tk-dbg                      	       0        1        0        1        0
54032 python-tksnack                     	       0        1        0        1        0
54033 python-tktreectrl-doc              	       0        1        0        0        1
54034 python-tofu                        	       0        1        0        1        0
54035 python-toolz-doc                   	       0        2        0        0        2
54036 python-tooz                        	       0        1        0        1        0
54037 python-torctl                      	       0        1        0        1        0
54038 python-tornado                     	       0        6        2        4        0
54039 python-tornado-doc                 	       0        5        0        0        5
54040 python-tqdm                        	       0        2        0        2        0
54041 python-tqt                         	       0        1        0        1        0
54042 python-traceback2                  	       0        2        0        2        0
54043 python-traitlets                   	       0        6        0        6        0
54044 python-traits                      	       0        3        0        3        0
54045 python-traitsui                    	       0        2        0        2        0
54046 python-translate                   	       0        1        0        1        0
54047 python-translationstring           	       0        1        0        1        0
54048 python-trie                        	       0        1        0        1        0
54049 python-trie-doc                    	       0        1        0        0        1
54050 python-trinity-trinity             	       0        1        0        1        0
54051 python-tripleo-heat-templates      	       0        1        0        1        0
54052 python-trml2pdf                    	       0        1        0        1        0
54053 python-trollius                    	       0        6        0        6        0
54054 python-troveclient                 	       0        1        0        1        0
54055 python-tsk                         	       0        1        0        1        0
54056 python-ttystatus                   	       0        3        1        2        0
54057 python-twill                       	       0        1        0        1        0
54058 python-twisted                     	       0       12        0        1       11
54059 python-twisted-bin                 	       0       33        1       32        0
54060 python-twisted-bin-dbg             	       0        1        0        1        0
54061 python-twisted-conch               	       0        5        0        1        4
54062 python-twisted-core                	       0       33        1       32        0
54063 python-twisted-lore                	       0        3        0        3        0
54064 python-twisted-mail                	       0        5        0        1        4
54065 python-twisted-names               	       0        6        0        1        5
54066 python-twisted-news                	       0        3        0        1        2
54067 python-twisted-runner              	       0        3        0        1        2
54068 python-twisted-web                 	       0       12        0        4        8
54069 python-twisted-web2                	       0        2        0        2        0
54070 python-twisted-words               	       0        4        0        1        3
54071 python-twodict                     	       0        2        0        2        0
54072 python-txaio                       	       0        2        0        2        0
54073 python-txaio-doc                   	       0        1        0        0        1
54074 python-typing                      	       0       10        0       10        0
54075 python-tz                          	       0       73        1       71        1
54076 python-tzlocal                     	       0        1        0        1        0
54077 python-u-msgpack                   	       0        1        0        1        0
54078 python-ubjson                      	       0        1        0        1        0
54079 python-ubuntutools                 	       0        1        0        1        0
54080 python-ufl-doc                     	       0        2        0        0        2
54081 python-unbound                     	       0        2        1        1        0
54082 python-unicodecsv                  	       0        2        0        2        0
54083 python-unidecode                   	       0        1        0        1        0
54084 python-unittest2                   	       0        2        0        2        0
54085 python-uno                         	       0        1        0        1        0
54086 python-unrardll                    	       0        1        0        1        0
54087 python-uritemplate                 	       0        2        0        2        0
54088 python-uritools                    	       0        5        0        5        0
54089 python-urlgrabber                  	       0        7        0        7        0
54090 python-urllib3                     	       0       95        2       93        0
54091 python-urllib3-whl                 	       0        6        0        0        6
54092 python-urwid-doc                   	       0        5        0        0        5
54093 python-usb                         	       0        3        0        3        0
54094 python-utmp                        	       0        2        0        2        0
54095 python-vatnumber                   	       0        1        0        0        1
54096 python-vcversioner                 	       0        1        0        1        0
54097 python-venusian                    	       0        1        0        1        0
54098 python-virtualenv                  	       0       22        2       20        0
54099 python-vobject                     	       0       14        0       13        1
54100 python-voluptuous                  	       0        1        0        1        0
54101 python-vte                         	       0        2        0        0        2
54102 python-vtk6                        	       0        2        0        2        0
54103 python-wadllib                     	       0        9        1        8        0
54104 python-waitress                    	       0        2        0        2        0
54105 python-waitress-doc                	       0        1        0        0        1
54106 python-warlock                     	       0        1        0        1        0
54107 python-wcwidth                     	       0        6        0        6        0
54108 python-webdav                      	       0        1        0        1        0
54109 python-webencodings                	       0      146        2      144        0
54110 python-webkit                      	       0        1        0        1        0
54111 python-webob                       	       0       19        0       19        0
54112 python-webob-doc                   	       0        3        0        0        3
54113 python-websocket                   	       0        1        0        1        0
54114 python-websockify                  	       0        2        0        2        0
54115 python-webtest                     	       0        2        0        2        0
54116 python-werkzeug                    	       0       11        1        9        1
54117 python-werkzeug-doc                	       0        5        0        0        5
54118 python-wheel                       	       0       41        0       40        1
54119 python-wheel-common                	       0        3        0        3        0
54120 python-widgetsnbextension          	       0        1        0        1        0
54121 python-wimpiggy                    	       0        1        0        1        0
54122 python-wnck                        	       0       11        0       11        0
54123 python-wrapt                       	       0        6        0        6        0
54124 python-wsaccel                     	       0        1        0        1        0
54125 python-wsgi-intercept              	       0        1        0        1        0
54126 python-wsme                        	       0        1        0        1        0
54127 python-wxgtk-media3.0              	       0        1        0        0        1
54128 python-wxgtk-media4.0              	       0        1        0        0        1
54129 python-wxgtk-webview3.0            	       0        1        0        0        1
54130 python-wxgtk-webview4.0            	       0        1        0        0        1
54131 python-wxgtk2.8                    	       0        3        0        3        0
54132 python-wxgtk3.0                    	       0       45        1       44        0
54133 python-wxgtk3.0-dev                	       0        1        0        1        0
54134 python-wxgtk4.0                    	       0        1        0        0        1
54135 python-wxversion                   	       0       48        1       47        0
54136 python-xapian                      	       0       28        5       23        0
54137 python-xapp                        	       0        1        0        1        0
54138 python-xappy                       	       0        2        1        1        0
54139 python-xattr                       	       0        4        0        4        0
54140 python-xcaplib                     	       0        1        0        1        0
54141 python-xdg                         	       0       79        2       77        0
54142 python-xkcd-doc                    	       0        1        0        0        1
54143 python-xkit                        	       0        1        0        1        0
54144 python-xklavier                    	       0        1        0        1        0
54145 python-xlib                        	       0       18        0       18        0
54146 python-xlrd                        	       0        9        0        9        0
54147 python-xlsxwriter                  	       0        2        0        2        0
54148 python-xlwt                        	       0       10        0        9        1
54149 python-xmltodict                   	       0        1        0        1        0
54150 python-xmmsclient                  	       0        4        0        4        0
54151 python-xstatic                     	       0        1        0        1        0
54152 python-xstatic-angular             	       0        1        0        1        0
54153 python-xstatic-angular-bootstrap   	       0        1        0        1        0
54154 python-xstatic-angular-fileupload  	       0        1        0        1        0
54155 python-xstatic-angular-gettext     	       0        1        0        1        0
54156 python-xstatic-angular-lrdragndrop 	       0        1        0        1        0
54157 python-xstatic-angular-schema-form 	       0        1        0        1        0
54158 python-xstatic-bootstrap-datepicker	       0        1        0        1        0
54159 python-xstatic-bootstrap-scss      	       0        1        0        1        0
54160 python-xstatic-bootswatch          	       0        1        0        1        0
54161 python-xstatic-d3                  	       0        1        0        1        0
54162 python-xstatic-font-awesome        	       0        1        0        1        0
54163 python-xstatic-hogan               	       0        1        0        1        0
54164 python-xstatic-jasmine             	       0        1        0        1        0
54165 python-xstatic-jquery              	       0        1        0        1        0
54166 python-xstatic-jquery-migrate      	       0        1        0        1        0
54167 python-xstatic-jquery-ui           	       0        1        0        1        0
54168 python-xstatic-jquery.quicksearch  	       0        1        0        1        0
54169 python-xstatic-jquery.tablesorter  	       0        1        0        1        0
54170 python-xstatic-jsencrypt           	       0        1        0        1        0
54171 python-xstatic-magic-search        	       0        1        0        1        0
54172 python-xstatic-mdi                 	       0        1        0        1        0
54173 python-xstatic-objectpath          	       0        1        0        1        0
54174 python-xstatic-rickshaw            	       0        1        0        1        0
54175 python-xstatic-roboto-fontface     	       0        1        0        1        0
54176 python-xstatic-smart-table         	       0        1        0        1        0
54177 python-xstatic-spin                	       0        1        0        1        0
54178 python-xstatic-term.js             	       0        1        0        1        0
54179 python-xstatic-tv4                 	       0        1        0        1        0
54180 python-xvfbwrapper                 	       0        2        0        2        0
54181 python-yaml                        	       0       42        5       37        0
54182 python-yaql                        	       0        1        0        1        0
54183 python-yara                        	       0        5        0        5        0
54184 python-yubico                      	       0        1        0        1        0
54185 python-yubico-tools                	       0        6        0        6        0
54186 python-zake                        	       0        1        0        1        0
54187 python-zaqarclient                 	       0        1        0        1        0
54188 python-zbar                        	       0        7        0        7        0
54189 python-zconfig                     	       0        1        0        1        0
54190 python-zdaemon                     	       0        1        0        1        0
54191 python-zeitgeist                   	       0        4        0        4        0
54192 python-zeroconf                    	       0        3        0        3        0
54193 python-zinnia                      	       0        1        0        1        0
54194 python-zmq                         	       0        8        2        6        0
54195 python-zope.browser                	       0        1        1        0        0
54196 python-zope.component              	       0        5        1        4        0
54197 python-zope.configuration          	       0        1        1        0        0
54198 python-zope.contenttype            	       0        1        1        0        0
54199 python-zope.deprecation            	       0        1        1        0        0
54200 python-zope.event                  	       0        5        1        4        0
54201 python-zope.exceptions             	       0        1        1        0        0
54202 python-zope.hookable               	       0        5        1        4        0
54203 python-zope.i18n                   	       0        1        1        0        0
54204 python-zope.i18nmessageid          	       0        1        1        0        0
54205 python-zope.interface              	       0       43        4       39        0
54206 python-zope.interface-dbg          	       0        1        0        1        0
54207 python-zope.location               	       0        1        1        0        0
54208 python-zope.proxy                  	       0        1        1        0        0
54209 python-zope.publisher              	       0        1        1        0        0
54210 python-zope.schema                 	       0        1        1        0        0
54211 python-zope.security               	       0        1        1        0        0
54212 python-zope.testbrowser            	       0        1        1        0        0
54213 python-zsi                         	       0        1        0        1        0
54214 python2-dbg                        	       0        5        0        5        0
54215 python2-dev                        	       0       72        1       71        0
54216 python2-doc                        	       0        5        0        0        5
54217 python2.2                          	       0        1        0        1        0
54218 python2.4                          	       0        1        0        1        0
54219 python2.4-dev                      	       0        1        0        1        0
54220 python2.4-doc                      	       0        1        0        0        1
54221 python2.4-minimal                  	       0        3        0        3        0
54222 python2.5                          	       0        7        0        7        0
54223 python2.5-dev                      	       0        1        0        1        0
54224 python2.5-minimal                  	       0       10        1        9        0
54225 python2.6                          	       0       39        3       36        0
54226 python2.6-dbg                      	       0        1        0        1        0
54227 python2.6-dev                      	       0        1        0        1        0
54228 python2.6-minimal                  	       0       60        3       57        0
54229 python2.7-dbg                      	       0        7        0        7        0
54230 python2.7-dev                      	       0      123        2      121        0
54231 python2.7-doc                      	       0       11        0        0       11
54232 python2.7-examples                 	       0        2        0        0        2
54233 python3-accessible-pygments        	       0        2        0        2        0
54234 python3-acdcli                     	       0        1        0        1        0
54235 python3-acora                      	       0        2        0        2        0
54236 python3-acoustid                   	       0       22        0       22        0
54237 python3-actdiag                    	       0        4        0        4        0
54238 python3-actionlib                  	       0        2        0        2        0
54239 python3-actionlib-msgs             	       0        2        0        2        0
54240 python3-adal                       	       0        8        0        8        0
54241 python3-admesh                     	       0        2        0        2        0
54242 python3-aeidon                     	       0       59        0       59        0
54243 python3-afdko                      	       0        2        0        2        0
54244 python3-affine                     	       0        8        0        8        0
54245 python3-agate                      	       0       12        0       12        0
54246 python3-agatedbf                   	       0       12        0       12        0
54247 python3-agateexcel                 	       0       12        0       12        0
54248 python3-agatesql                   	       0       12        0       12        0
54249 python3-aggdraw                    	       0        4        0        4        0
54250 python3-aiodogstatsd               	       0        1        0        1        0
54251 python3-aiofiles                   	       0       19        0       19        0
54252 python3-aiohttp-mako               	       0        1        0        1        0
54253 python3-aiohttp-retry              	       0        1        0        1        0
54254 python3-aiohttp-session            	       0        1        0        1        0
54255 python3-aioopenssl                 	       0        4        0        4        0
54256 python3-aioquic                    	       0        1        0        1        0
54257 python3-aioredis                   	       0       29        0       29        0
54258 python3-aiosasl                    	       0        2        0        2        0
54259 python3-aiosmtpd                   	       0        3        0        3        0
54260 python3-aiosmtplib                 	       0        1        0        1        0
54261 python3-aiosqlite                  	       0       35        0       35        0
54262 python3-ajpy                       	       0       21        0       21        0
54263 python3-all                        	       0      489        0        0      489
54264 python3-all-dbg                    	       0        6        0        0        6
54265 python3-all-dev                    	       0      450        0        0      450
54266 python3-alsaaudio                  	       0        9        1        8        0
54267 python3-altgraph                   	       0        3        0        3        0
54268 python3-ament-cmake-test           	       0        2        0        2        0
54269 python3-ament-lint                 	       0        1        0        1        0
54270 python3-ament-package              	       0        2        0        2        0
54271 python3-ament-xmllint              	       0        1        0        1        0
54272 python3-amqp                       	       0        6        0        6        0
54273 python3-amqplib                    	       0        1        0        1        0
54274 python3-aniso8601                  	       0        3        0        3        0
54275 python3-annexremote                	       0        4        0        4        0
54276 python3-annotated-types            	       0       10        1        9        0
54277 python3-ansible-compat             	       0        8        0        8        0
54278 python3-ansible-pygments           	       0        1        0        1        0
54279 python3-ansible-runner             	       0        1        0        1        0
54280 python3-antlr4                     	       0        9        0        9        0
54281 python3-anyjson                    	       0        7        0        7        0
54282 python3-anyqt                      	       0        1        0        1        0
54283 python3-apipkg                     	       0        1        0        1        0
54284 python3-aplpy                      	       0        2        0        2        0
54285 python3-applicationinsights        	       0        6        0        6        0
54286 python3-apptools                   	       0        3        0        3        0
54287 python3-apscheduler                	       0        3        0        3        0
54288 python3-aptdaemon                  	       0       10        0       10        0
54289 python3-aptdaemon.gtk3widgets      	       0        8        0        8        0
54290 python3-aptly                      	       0        1        0        1        0
54291 python3-apycula                    	       0        1        0        1        0
54292 python3-arcp                       	       0        4        0        4        0
54293 python3-arcus                      	       0       22        0       22        0
54294 python3-argh                       	       0        6        0        6        0
54295 python3-argon2                     	       0       65        1       64        0
54296 python3-args                       	       0        7        0        7        0
54297 python3-arrayfire                  	       0        1        0        1        0
54298 python3-artifacts                  	       0       13        0       13        0
54299 python3-asciitree                  	       0        7        0        7        0
54300 python3-asdf                       	       0        2        0        2        0
54301 python3-asdf-astropy               	       0        2        0        2        0
54302 python3-asdf-coordinates-schemas   	       0        2        0        2        0
54303 python3-asdf-standard              	       0        2        0        2        0
54304 python3-asdf-transform-schemas     	       0        2        0        2        0
54305 python3-asdf-wcs-schemas           	       0        2        0        2        0
54306 python3-asteval                    	       0        4        0        4        0
54307 python3-astlib                     	       0        2        0        2        0
54308 python3-astor                      	       0        6        0        6        0
54309 python3-astroml                    	       0        2        0        2        0
54310 python3-astroplan                  	       0        2        0        2        0
54311 python3-astropy-affiliated         	       0        2        0        0        2
54312 python3-astropy-healpix            	       0        3        0        3        0
54313 python3-astropy-sphinx-theme       	       0        2        0        2        0
54314 python3-astroquery                 	       0        2        0        2        0
54315 python3-astroscrappy               	       0        3        0        3        0
54316 python3-astunparse                 	       0       11        0       11        0
54317 python3-asyncclick                 	       0        1        0        1        0
54318 python3-asyncpg                    	       0        3        0        3        0
54319 python3-aubio                      	       0        7        0        7        0
54320 python3-audioop-lts                	       0        1        0        1        0
54321 python3-audioread                  	       0       23        0       23        0
54322 python3-audit                      	       0        4        0        4        0
54323 python3-authheaders                	       0        1        0        1        0
54324 python3-authlib                    	       0        1        0        1        0
54325 python3-authres                    	       0       11        1       10        0
54326 python3-autobahn                   	       0       20        0       20        0
54327 python3-autopage                   	       0        5        0        5        0
54328 python3-avahi                      	       0       37        0       37        0
54329 python3-avro                       	       0        9        0        9        0
54330 python3-awscrt                     	       0       20        0       20        0
54331 python3-axolotl                    	       0       44        0       44        0
54332 python3-axolotl-curve25519         	       0       44        3       41        0
54333 python3-azext-devops               	       0        6        0        6        0
54334 python3-azure                      	       0        8        0        8        0
54335 python3-azure-cli                  	       0        6        0        6        0
54336 python3-azure-cli-core             	       0        6        0        6        0
54337 python3-azure-cli-telemetry        	       0        6        0        6        0
54338 python3-azure-cli-testsdk          	       0        2        0        2        0
54339 python3-azure-cosmos               	       0        6        0        6        0
54340 python3-azure-cosmosdb-table       	       0        1        0        1        0
54341 python3-azure-datalake-store       	       0        6        0        6        0
54342 python3-azure-devtools             	       0        1        0        1        0
54343 python3-azure-functions-devops-build	       0        2        0        2        0
54344 python3-azure-kusto-data           	       0        1        1        0        0
54345 python3-azure-multiapi-storage     	       0        6        0        6        0
54346 python3-azure-storage              	       0        7        0        7        0
54347 python3-b2sdk                      	       0        1        0        1        0
54348 python3-babelfish                  	       0        7        1        6        0
54349 python3-bandit                     	       0        4        0        4        0
54350 python3-barbicanclient             	       0        1        0        1        0
54351 python3-bareos                     	       0        2        0        2        0
54352 python3-barman                     	       0        5        1        4        0
54353 python3-base58                     	       0       20        0       20        0
54354 python3-bashate                    	       0        2        0        2        0
54355 python3-basix                      	       0        1        0        1        0
54356 python3-beancount                  	       0        1        0        1        0
54357 python3-behave                     	       0        1        0        1        0
54358 python3-bidict                     	       0        8        0        8        0
54359 python3-billiard                   	       0        3        0        3        0
54360 python3-binary-memcached           	       0        3        0        3        0
54361 python3-biopython                  	       0        3        0        3        0
54362 python3-biplist                    	       0        1        0        1        0
54363 python3-bitcoinlib                 	       0        2        0        2        0
54364 python3-bitstruct                  	       0        1        0        1        0
54365 python3-bleak                      	       0        7        0        7        0
54366 python3-blessed                    	       0       24        1       23        0
54367 python3-blessings                  	       0        5        0        5        0
54368 python3-blockdev                   	       0        1        0        1        0
54369 python3-blockdiag                  	       0        4        0        4        0
54370 python3-blurhash                   	       0        1        0        1        0
54371 python3-bond                       	       0        1        0        1        0
54372 python3-bondpy                     	       0        1        0        1        0
54373 python3-boolean                    	       0        3        0        3        0
54374 python3-booleanoperations          	       0        6        0        6        0
54375 python3-botan                      	       0        3        0        3        0
54376 python3-boto                       	       0        9        0        9        0
54377 python3-boto3                      	       0       19        0       19        0
54378 python3-bottle-sqlite              	       0        1        0        1        0
54379 python3-box                        	       0        1        0        1        0
54380 python3-bpfcc                      	       0        3        0        3        0
54381 python3-braceexpand                	       0        3        0        3        0
54382 python3-bracex                     	       0        9        0        9        0
54383 python3-breathe                    	       0        2        0        2        0
54384 python3-breezy-dbgsym              	       0        1        0        1        0
54385 python3-breezy.tests               	       0        2        0        2        0
54386 python3-brial                      	       0        7        0        7        0
54387 python3-brian                      	       0        1        0        1        0
54388 python3-brian-lib                  	       0        1        0        1        0
54389 python3-bsddb3                     	       0       44        3       41        0
54390 python3-btchip                     	       0        2        1        1        0
54391 python3-btrfsutil                  	       0        2        0        2        0
54392 python3-bugzilla                   	       0        2        0        2        0
54393 python3-build                      	       0       12        0       12        0
54394 python3-buildbot-doc               	       0        1        0        0        1
54395 python3-buildlog-consultant        	       0        1        0        1        0
54396 python3-bz2file                    	       0        2        0        2        0
54397 python3-cachecontrol               	       0       15        0       15        0
54398 python3-cached-property            	       0       63        0       63        0
54399 python3-cachetools                 	       0       39        1       38        0
54400 python3-cairo-dev                  	       0        4        0        4        0
54401 python3-cairo-doc                  	       0        1        0        0        1
54402 python3-cairosvg                   	       0       36        0       36        0
54403 python3-caldav                     	       0        2        0        2        0
54404 python3-calmjs                     	       0        1        0        1        0
54405 python3-calmjs.parse               	       0        1        0        1        0
54406 python3-calmjs.types               	       0        1        0        1        0
54407 python3-can                        	       0        1        0        1        0
54408 python3-canonicaljson              	       0        4        0        4        0
54409 python3-cap-ng                     	       0       45        5       40        0
54410 python3-carquinyol                 	       0        1        0        1        0
54411 python3-cartopy                    	       0        9        0        9        0
54412 python3-casa-formats-io            	       0        2        0        2        0
54413 python3-casacore                   	       0        5        0        5        0
54414 python3-castellan                  	       0        1        0        1        0
54415 python3-catalogue                  	       0        1        0        1        0
54416 python3-catkin                     	       0        3        0        3        0
54417 python3-catkin-pkg                 	       0        4        0        4        0
54418 python3-cattr                      	       0       13        1       12        0
54419 python3-cbor2                      	       0        3        0        3        0
54420 python3-ccdproc                    	       0        3        0        3        0
54421 python3-cclib                      	       0       38        1       37        0
54422 python3-cdio                       	       0        7        0        7        0
54423 python3-cdo                        	       0        2        0        2        0
54424 python3-cdsapi                     	       0        2        0        2        0
54425 python3-celery                     	       0        3        0        3        0
54426 python3-ceph                       	       0        3        0        0        3
54427 python3-ceph-argparse              	       0        7        0        7        0
54428 python3-ceph-common                	       0        5        0        5        0
54429 python3-cephfs                     	       0        7        0        7        0
54430 python3-cerberus                   	       0        8        1        7        0
54431 python3-certbot-apache             	       0       70        9       61        0
54432 python3-certbot-dns-cloudflare     	       0        3        0        3        0
54433 python3-certbot-dns-dnsimple       	       0        1        0        1        0
54434 python3-certbot-dns-gandi          	       0        7        0        7        0
54435 python3-certbot-dns-ovh            	       0        3        0        3        0
54436 python3-certbot-dns-rfc2136        	       0        4        0        4        0
54437 python3-certbot-dns-standalone     	       0        1        0        1        0
54438 python3-certbot-nginx              	       0       25        7       18        0
54439 python3-certipy                    	       0        1        0        1        0
54440 python3-cffsubr                    	       0        4        0        4        0
54441 python3-cfgv                       	       0        7        0        7        0
54442 python3-chameleon                  	       0        1        0        1        0
54443 python3-characteristic             	       0        1        0        1        0
54444 python3-charon                     	       0       21        0       21        0
54445 python3-cheetah                    	       0        6        2        4        0
54446 python3-ci-info                    	       0        1        0        1        0
54447 python3-cinderclient               	       0        2        0        2        0
54448 python3-citeproc                   	       0        1        0        1        0
54449 python3-clang                      	       0        2        0        0        2
54450 python3-clang-11                   	       0        1        0        1        0
54451 python3-clang-19                   	       0        1        0        1        0
54452 python3-cleo                       	       0        8        0        8        0
54453 python3-clevercsv                  	       0        1        0        1        0
54454 python3-cli-helpers                	       0       14        0       14        0
54455 python3-cliapp                     	       0       22        2       20        0
54456 python3-click-default-group        	       0        3        0        3        0
54457 python3-click-didyoumean           	       0        4        0        4        0
54458 python3-click-plugins              	       0       59        1       58        0
54459 python3-click-repl                 	       0        3        0        3        0
54460 python3-click-threading            	       0        7        0        7        0
54461 python3-cliff                      	       0        6        0        6        0
54462 python3-cligj                      	       0       13        0       13        0
54463 python3-clint                      	       0        7        0        7        0
54464 python3-cloudflare                 	       0        4        0        4        0
54465 python3-cloudscraper               	       0        1        0        1        0
54466 python3-cmarkgfm                   	       0        5        0        5        0
54467 python3-cmd2                       	       0        6        0        6        0
54468 python3-coda                       	       0        4        0        4        0
54469 python3-collada                    	       0        3        0        3        0
54470 python3-colored                    	       0        1        0        1        0
54471 python3-colors                     	       0        1        0        1        0
54472 python3-comm                       	       0        9        1        8        0
54473 python3-commonmark                 	       0       27        1       26        0
54474 python3-commonmark-bkrs            	       0        1        0        1        0
54475 python3-compose                    	       0       10        0       10        0
54476 python3-compreffor                 	       0        1        0        1        0
54477 python3-conda-package-streaming    	       0        1        0        1        0
54478 python3-confection                 	       0        1        0        1        0
54479 python3-confget                    	       0        1        0        1        0
54480 python3-configshell-fb             	       0        1        0        1        0
54481 python3-confluent-kafka            	       0        3        0        3        0
54482 python3-confuse                    	       0       10        0       10        0
54483 python3-connection-pool            	       0        1        0        1        0
54484 python3-construct                  	       0       12        0       12        0
54485 python3-construct-classes          	       0        1        0        1        0
54486 python3-contextlib2                	       0       39        0       39        0
54487 python3-convertdate                	       0        5        0        5        0
54488 python3-coreapi                    	       0        3        0        3        0
54489 python3-coreschema                 	       0        3        0        3        0
54490 python3-cotyledon                  	       0        1        0        1        0
54491 python3-cov-core                   	       0       14        1       13        0
54492 python3-cpl                        	       0        3        0        3        0
54493 python3-cpuset                     	       0        3        0        3        0
54494 python3-crashtest                  	       0        8        0        8        0
54495 python3-crayons                    	       0        2        0        2        0
54496 python3-crcelk                     	       0        1        0        1        0
54497 python3-crcmod                     	       0        8        0        8        0
54498 python3-crontab                    	       0        2        0        2        0
54499 python3-crypto                     	       0       45        3       42        0
54500 python3-crypto-dbg                 	       0        1        0        1        0
54501 python3-cs                         	       0        1        0        1        0
54502 python3-csa                        	       0        1        0        1        0
54503 python3-csdr                       	       0        1        0        1        0
54504 python3-cson                       	       0        8        0        8        0
54505 python3-csscompressor              	       0        2        0        2        0
54506 python3-cssmin                     	       0        4        0        4        0
54507 python3-csvkit                     	       0       12        0       12        0
54508 python3-ctdopts                    	       0        1        0        1        0
54509 python3-cu2qu                      	       0        1        0        1        0
54510 python3-cursive                    	       0        1        0        1        0
54511 python3-curtsies                   	       0        9        0        9        0
54512 python3-cvxopt                     	       0        6        0        6        0
54513 python3-cwiid                      	       0        4        0        4        0
54514 python3-cwl-utils                  	       0        3        0        3        0
54515 python3-cxx-dev                    	       0        1        0        1        0
54516 python3-cymem                      	       0        1        0        1        0
54517 python3-cymruwhois                 	       0        8        0        8        0
54518 python3-cypari2                    	       0        8        0        8        0
54519 python3-cysignals-pari             	       0        9        0        8        1
54520 python3-cython-blis                	       0        1        0        1        0
54521 python3-dacite                     	       0        8        0        8        0
54522 python3-daemon                     	       0        8        0        8        0
54523 python3-daemonize                  	       0        5        0        5        0
54524 python3-daphne                     	       0        1        0        1        0
54525 python3-dask-sphinx-theme          	       0        1        0        1        0
54526 python3-databases                  	       0        1        0        1        0
54527 python3-datacache                  	       0        1        0        1        0
54528 python3-datalad                    	       0        4        0        4        0
54529 python3-dateparser                 	       0        5        0        5        0
54530 python3-datrie                     	       0        2        0        2        0
54531 python3-dbf                        	       0        1        0        1        0
54532 python3-dbfread                    	       0       12        0       12        0
54533 python3-dbg                        	       0       14        0       14        0
54534 python3-dbus.mainloop.qt           	       0        1        0        1        0
54535 python3-dcmstack                   	       0        1        0        1        0
54536 python3-ddt                        	       0        1        0        1        0
54537 python3-debmutate                  	       0        7        0        7        0
54538 python3-debtagshw                  	       0        1        0        1        0
54539 python3-debtcollector              	       0       12        0       12        0
54540 python3-deepdiff                   	       0        1        0        1        0
54541 python3-defcon                     	       0       16        0       16        0
54542 python3-defconqt                   	       0        3        0        3        0
54543 python3-defer                      	       0       14        0       14        0
54544 python3-demjson                    	       0        2        0        2        0
54545 python3-dendropy                   	       0        1        0        1        0
54546 python3-descartes                  	       0        2        0        2        0
54547 python3-designateclient            	       0        1        0        1        0
54548 python3-devedeng                   	       0        1        0        1        0
54549 python3-devpi-common               	       0        1        0        1        0
54550 python3-dfdatetime                 	       0       13        0       13        0
54551 python3-dfvfs                      	       0       13        0       13        0
54552 python3-dfwinreg                   	       0       13        0       13        0
54553 python3-diagnostic-msgs            	       0        1        0        1        0
54554 python3-diagrams                   	       0        1        0        1        0
54555 python3-dialog                     	       0        6        0        6        0
54556 python3-dicom                      	       0        4        0        0        4
54557 python3-dict2xml                   	       0        2        0        2        0
54558 python3-dicttoxml                  	       0        2        0        2        0
54559 python3-digiham                    	       0        1        0        1        0
54560 python3-dijitso                    	       0        1        0        1        0
54561 python3-dipy                       	       0        1        0        1        0
54562 python3-dipy-lib                   	       0        1        0        1        0
54563 python3-dirspec                    	       0        1        0        1        0
54564 python3-diskimage-builder          	       0        1        0        1        0
54565 python3-distributed                	       0       15        1       14        0
54566 python3-django-allauth             	       0        2        0        2        0
54567 python3-django-appconf             	       0        2        0        2        0
54568 python3-django-auth-ldap           	       0        1        0        1        0
54569 python3-django-cas-server          	       0        1        0        1        0
54570 python3-django-compressor          	       0        2        0        2        0
54571 python3-django-extensions          	       0        2        0        2        0
54572 python3-django-filters             	       0        2        0        2        0
54573 python3-django-gravatar2           	       0        2        0        2        0
54574 python3-django-guardian            	       0        2        0        2        0
54575 python3-django-haystack            	       0        2        0        2        0
54576 python3-django-hvad                	       0        1        0        1        0
54577 python3-django-hyperkitty          	       0        2        0        2        0
54578 python3-django-js-reverse          	       0        1        0        1        0
54579 python3-django-mailman3            	       0        2        0        2        0
54580 python3-django-maintenance-mode    	       0        1        0        1        0
54581 python3-django-picklefield         	       0        2        0        2        0
54582 python3-django-postorius           	       0        2        0        2        0
54583 python3-django-q                   	       0        2        0        2        0
54584 python3-django-sass-processor      	       0        1        0        1        0
54585 python3-django-tagging             	       0        3        0        3        0
54586 python3-django-wkhtmltopdf         	       0        1        0        1        0
54587 python3-djangorestframework        	       0        2        0        2        0
54588 python3-dkim                       	       0        2        0        2        0
54589 python3-dmidecode                  	       0        2        0        2        0
54590 python3-dnaio                      	       0        1        0        1        0
54591 python3-dnf                        	       0        3        0        3        0
54592 python3-dns                        	       0       81        1       80        0
54593 python3-dnslib                     	       0        4        0        4        0
54594 python3-doc                        	       0      114        0        0      114
54595 python3-doc8                       	       0        1        0        1        0
54596 python3-dockerpycreds              	       0        4        0        4        0
54597 python3-docs-theme                 	       0        1        0        1        0
54598 python3-docx                       	       0        1        0        1        0
54599 python3-dogpile.cache              	       0       11        0       11        0
54600 python3-dolfinx                    	       0        1        0        1        0
54601 python3-dolfinx-real               	       0        1        0        0        1
54602 python3-dominate                   	       0        3        0        3        0
54603 python3-donfig                     	       0        1        0        1        0
54604 python3-dotenv-cli                 	       0        1        0        1        0
54605 python3-dotmap                     	       0        2        0        2        0
54606 python3-doxypypy                   	       0        3        0        3        0
54607 python3-dput                       	       0        6        0        6        0
54608 python3-drizzle                    	       0        1        0        1        0
54609 python3-drslib                     	       0        1        0        1        0
54610 python3-dtfabric                   	       0       13        0       13        0
54611 python3-duniterpy                  	       0        1        0        1        0
54612 python3-dvdvideo                   	       0        1        0        1        0
54613 python3-dynamic-reconfigure        	       0        1        0        1        0
54614 python3-easydict                   	       0        1        0        1        0
54615 python3-easygui                    	       0        5        0        5        0
54616 python3-easyprocess                	       0        5        0        5        0
54617 python3-easysnmp                   	       0        1        0        1        0
54618 python3-easywebdav                 	       0        3        0        3        0
54619 python3-ebooklib                   	       0        4        0        4        0
54620 python3-ecasound                   	       0        6        0        6        0
54621 python3-eccodes                    	       0        2        0        2        0
54622 python3-ecdsa                      	       0       36        0       36        0
54623 python3-echo                       	       0        1        0        1        0
54624 python3-ecmwflibs                  	       0        1        0        1        0
54625 python3-editables                  	       0        1        0        1        0
54626 python3-editobj3                   	       0        4        0        4        0
54627 python3-editor                     	       0        2        0        2        0
54628 python3-edlib                      	       0        1        0        1        0
54629 python3-eduvpn-client              	       0        5        0        5        0
54630 python3-eduvpn-common              	       0        3        0        3        0
54631 python3-einsteinpy                 	       0        1        0        1        0
54632 python3-elasticsearch              	       0       15        0       15        0
54633 python3-elasticsearch-curator      	       0        1        0        1        0
54634 python3-elementpath                	       0        4        0        4        0
54635 python3-email-validator            	       0       10        0       10        0
54636 python3-emcee                      	       0        2        0        2        0
54637 python3-empy                       	       0        5        0        5        0
54638 python3-enet                       	       0        8        0        8        0
54639 python3-engineio                   	       0        8        0        8        0
54640 python3-enrich                     	       0        8        0        8        0
54641 python3-envisage                   	       0        3        0        3        0
54642 python3-enzyme                     	       0        6        0        6        0
54643 python3-ephem                      	       0       15        3       12        0
54644 python3-epr                        	       0        3        0        3        0
54645 python3-escript                    	       0        1        1        0        0
54646 python3-espeak                     	       0        1        0        1        0
54647 python3-et-xmlfile                 	       0      111        1      110        0
54648 python3-etcd3                      	       0        3        0        3        0
54649 python3-etcd3gw                    	       0        3        0        3        0
54650 python3-etelemetry                 	       0        1        0        1        0
54651 python3-etesync                    	       0        1        0        1        0
54652 python3-ethtool                    	       0        1        0        1        0
54653 python3-eventlet                   	       0       11        0       11        0
54654 python3-exabgp                     	       0        1        0        1        0
54655 python3-exactimage                 	       0        1        0        1        0
54656 python3-examples                   	       0       70        0        0       70
54657 python3-execnet                    	       0        4        0        4        0
54658 python3-exif                       	       0       25        0        0       25
54659 python3-exifread                   	       0       27        0       27        0
54660 python3-expeyes                    	       0        1        0        1        0
54661 python3-expiringdict               	       0        1        0        1        0
54662 python3-extractor                  	       0        1        0        1        0
54663 python3-extras                     	       0       15        0       15        0
54664 python3-extruct                    	       0        2        0        2        0
54665 python3-eyed3                      	       0       56        0       56        0
54666 python3-ezdxf                      	       0        3        0        3        0
54667 python3-fabio                      	       0        1        0        1        0
54668 python3-fabric                     	       0        7        0        7        0
54669 python3-fabulous                   	       0        2        0        2        0
54670 python3-fake-factory               	       0        1        0        1        0
54671 python3-falcon                     	       0        2        0        2        0
54672 python3-fann2                      	       0        1        0        1        0
54673 python3-fast-histogram             	       0        1        0        1        0
54674 python3-fastapi                    	       0       14        0       14        0
54675 python3-feedgenerator              	       0        7        0        7        0
54676 python3-ffc                        	       0        1        0        1        0
54677 python3-ffcx                       	       0        1        0        1        0
54678 python3-fhs                        	       0        1        0        1        0
54679 python3-fhs-doc                    	       0        1        0        0        1
54680 python3-fiat                       	       0        1        0        1        0
54681 python3-fido2                      	       0       36        0       36        0
54682 python3-fife                       	       0        7        0        7        0
54683 python3-filetype                   	       0       53        0       53        0
54684 python3-findlibs                   	       0        1        0        1        0
54685 python3-findpython                 	       0        2        0        2        0
54686 python3-fiona                      	       0        7        0        7        0
54687 python3-fire                       	       0        1        0        1        0
54688 python3-firebase-messaging         	       0        1        0        1        0
54689 python3-fisx                       	       0        2        0        2        0
54690 python3-fitsio                     	       0        6        0        6        0
54691 python3-flake8-black               	       0        2        0        2        0
54692 python3-flaky                      	       0        1        0        1        0
54693 python3-flasgger                   	       0        2        0        2        0
54694 python3-flask-babel                	       0        5        0        5        0
54695 python3-flask-compress             	       0        1        0        1        0
54696 python3-flask-httpauth             	       0        4        0        4        0
54697 python3-flask-login                	       0        1        0        1        0
54698 python3-flask-restful              	       0        3        0        3        0
54699 python3-flask-socketio             	       0        8        0        8        0
54700 python3-flatbuffers                	       0       17        0       17        0
54701 python3-flatlatex                  	       0        6        1        5        0
54702 python3-flexcache                  	       0        1        0        1        0
54703 python3-flexparser                 	       0        1        0        1        0
54704 python3-fltk                       	       0        1        0        1        0
54705 python3-fltk-doc                   	       0        1        0        0        1
54706 python3-flufl.bounce               	       0        2        0        2        0
54707 python3-flufl.i18n                 	       0        2        0        2        0
54708 python3-flufl.lock                 	       0        2        0        2        0
54709 python3-fontmake                   	       0        2        0        2        0
54710 python3-fontmath                   	       0        3        0        3        0
54711 python3-fontparts                  	       0        2        0        2        0
54712 python3-fontpens                   	       0       14        0       14        0
54713 python3-fpdf                       	       0        1        0        1        0
54714 python3-fpylll                     	       0        9        0        9        0
54715 python3-fpyutils                   	       0        2        0        2        0
54716 python3-freeipa                    	       0        1        0        1        0
54717 python3-freezegun                  	       0       12        0       12        0
54718 python3-fsapfs                     	       0       13        0       13        0
54719 python3-ftdi                       	       0        1        0        1        0
54720 python3-ftdi-doc                   	       0        1        0        0        1
54721 python3-ftdi1                      	       0        2        0        2        0
54722 python3-ftputil                    	       0        1        0        1        0
54723 python3-full                       	       0       62        0        0       62
54724 python3-funcparserlib              	       0       10        0       10        0
54725 python3-funcsigs                   	       0        4        0        4        0
54726 python3-furl                       	       0        1        0        1        0
54727 python3-fusepy                     	       0       16        0       16        0
54728 python3-futurist                   	       0        3        0        3        0
54729 python3-fuzzywuzzy                 	       0        8        0        8        0
54730 python3-galpy                      	       0        1        0        1        0
54731 python3-gammapy                    	       0        1        0        1        0
54732 python3-ganeti-rapi                	       0        1        0        1        0
54733 python3-gbinder                    	       0        7        2        5        0
54734 python3-gdcm                       	       0        5        0        5        0
54735 python3-gdl                        	       0        1        0        1        0
54736 python3-gencpp                     	       0        2        0        2        0
54737 python3-geneagrapher               	       0        3        1        2        0
54738 python3-genetic                    	       0        1        0        1        0
54739 python3-genlisp                    	       0        2        0        2        0
54740 python3-genmsg                     	       0        4        0        4        0
54741 python3-genpy                      	       0        4        0        4        0
54742 python3-geographiclib              	       0        9        0        9        0
54743 python3-geoip2                     	       0        1        0        1        0
54744 python3-geojson                    	       0        7        0        7        0
54745 python3-geolinks                   	       0        3        0        3        0
54746 python3-geomet                     	       0        4        0        4        0
54747 python3-geometry-msgs              	       0        2        0        2        0
54748 python3-geopandas                  	       0        5        0        5        0
54749 python3-geopy                      	       0        7        0        7        0
54750 python3-geotiepoints               	       0        2        0        2        0
54751 python3-germinate                  	       0        1        0        1        0
54752 python3-gerritlib                  	       0        1        0        1        0
54753 python3-ges-1.0                    	       0       34        0       34        0
54754 python3-getdns                     	       0        1        0        1        0
54755 python3-gevent-websocket           	       0        7        0        7        0
54756 python3-gflags                     	       0        1        0        1        0
54757 python3-ghostscript                	       0        2        0        2        0
54758 python3-gimmik                     	       0        1        0        1        0
54759 python3-ginga                      	       0        1        0        1        0
54760 python3-git                        	       0       22        0       22        0
54761 python3-git-big-picture            	       0        7        0        7        0
54762 python3-git-os-job                 	       0        1        0        1        0
54763 python3-git-repo-updater           	       0        1        0        1        0
54764 python3-gitdb                      	       0       22        0       22        0
54765 python3-gitlab                     	       0       26        0       26        0
54766 python3-gjson                      	       0        1        0        1        0
54767 python3-glad                       	       0        1        0        1        0
54768 python3-glanceclient               	       0        2        0        2        0
54769 python3-gleetex                    	       0        1        0        1        0
54770 python3-glob2                      	       0        3        0        3        0
54771 python3-glue                       	       0        1        0        1        0
54772 python3-glymur                     	       0        2        0        2        0
54773 python3-glyphslib                  	       0        2        0        2        0
54774 python3-gnucash-dbgsym             	       0        1        0        1        0
54775 python3-gnuplot                    	       0        1        0        1        0
54776 python3-google-api-core            	       0        1        0        1        0
54777 python3-google-auth                	       0       18        1       17        0
54778 python3-google-auth-httplib2       	       0       14        0       14        0
54779 python3-google-auth-oauthlib       	       0        5        0        5        0
54780 python3-google-i18n-address        	       0        4        0        4        0
54781 python3-googleapis-common-protos   	       0        1        0        1        0
54782 python3-gphoto2                    	       0        6        0        6        0
54783 python3-gphoto2cffi                	       0        1        0        1        0
54784 python3-gpumodules                 	       0       28        0       28        0
54785 python3-gpxpy                      	       0       16        0       16        0
54786 python3-graph-tool                 	       0        1        0        1        0
54787 python3-graphql-core               	       0        1        0        1        0
54788 python3-graphviz                   	       0       13        1       12        0
54789 python3-grib                       	       0        2        0        2        0
54790 python3-grpc-tools                 	       0        2        0        2        0
54791 python3-grpcio                     	       0       11        0       11        0
54792 python3-gsw                        	       0        1        0        1        0
54793 python3-gtfparse                   	       0        1        0        1        0
54794 python3-gtkspellcheck              	       0       14        1       13        0
54795 python3-gtts-token                 	       0       12        0       12        0
54796 python3-guessit                    	       0        7        1        6        0
54797 python3-guidata                    	       0        3        0        3        0
54798 python3-guizero                    	       0        2        0        2        0
54799 python3-gunicorn                   	       0        8        0        8        0
54800 python3-guzzle-sphinx-theme        	       0        3        0        3        0
54801 python3-gv                         	       0        1        0        1        0
54802 python3-gvm                        	       0        1        0        1        0
54803 python3-gwcs                       	       0        2        0        2        0
54804 python3-gwebsockets                	       0        1        0        1        0
54805 python3-gyoto                      	       0        1        0        1        0
54806 python3-h5netcdf                   	       0        5        0        5        0
54807 python3-hamlib                     	       0        4        0        4        0
54808 python3-harmony                    	       0        2        0        2        0
54809 python3-hatch-vcs                  	       0       16        0       16        0
54810 python3-hatchling                  	       0       16        0       16        0
54811 python3-hawkey                     	       0        3        0        3        0
54812 python3-hdf-compass                	       0        1        0        1        0
54813 python3-hdf4                       	       0        3        0        3        0
54814 python3-healpy                     	       0        4        0        4        0
54815 python3-heapdict                   	       0       12        0       12        0
54816 python3-heatclient                 	       0        1        0        1        0
54817 python3-hgapi                      	       0        1        0        1        0
54818 python3-hglib                      	       0        1        0        1        0
54819 python3-hidapi                     	       0        3        0        3        0
54820 python3-hips                       	       0        1        0        1        0
54821 python3-hisat2                     	       0        1        0        1        0
54822 python3-hkdf                       	       0       16        0       16        0
54823 python3-hsluv                      	       0        4        0        4        0
54824 python3-html-text                  	       0        2        0        2        0
54825 python3-html5rdf                   	       0        1        0        1        0
54826 python3-htmlmin                    	       0        1        0        1        0
54827 python3-htseq                      	       0        1        0        1        0
54828 python3-httmock                    	       0        1        0        1        0
54829 python3-http-ece                   	       0        1        0        1        0
54830 python3-httpretty                  	       0        4        0        4        0
54831 python3-hunspell                   	       0        1        0        1        0
54832 python3-hupper                     	       0        2        0        2        0
54833 python3-hy                         	       0        4        0        4        0
54834 python3-i3ipc                      	       0        3        0        3        0
54835 python3-iapws                      	       0        1        0        1        0
54836 python3-icmplib                    	       0        1        0        1        0
54837 python3-identify                   	       0        6        0        6        0
54838 python3-igraph                     	       0        1        0        1        0
54839 python3-ijson                      	       0        4        1        3        0
54840 python3-ilorest                    	       0        1        0        1        0
54841 python3-imageio                    	       0       13        0       13        0
54842 python3-imaplib2                   	       0       27        0       27        0
54843 python3-imath                      	       0        5        0        5        0
54844 python3-imdbpy                     	       0        8        0        8        0
54845 python3-imexam                     	       0        2        0        2        0
54846 python3-imgviz                     	       0        2        0        2        0
54847 python3-iminuit                    	       0        1        0        1        0
54848 python3-immutabledict              	       0        4        0        4        0
54849 python3-imobiledevice              	       0        6        0        5        1
54850 python3-impacket                   	       0       34        0       34        0
54851 python3-importlib-resources        	       0        6        0        6        0
54852 python3-infinity                   	       0        4        0        4        0
54853 python3-influxdb                   	       0       37        1       36        0
54854 python3-iniparse                   	       0       60        0       60        0
54855 python3-inject                     	       0        1        0        1        0
54856 python3-inputremapper              	       0        3        0        3        0
54857 python3-installer                  	       0        9        0        9        0
54858 python3-intelhex                   	       0        7        0        7        0
54859 python3-ipaddr                     	       0        1        0        1        0
54860 python3-ipahealthcheck-core        	       0        1        0        1        0
54861 python3-ipdb                       	       0        3        0        3        0
54862 python3-ipy                        	       0       25        0       25        0
54863 python3-irc                        	       0        2        0        2        0
54864 python3-irodsclient                	       0        1        0        1        0
54865 python3-isc-dhcp-leases            	       0        1        0        1        0
54866 python3-iso8601                    	       0       23        0       23        0
54867 python3-isodate                    	       0       66        0       66        0
54868 python3-itemadapter                	       0        1        0        1        0
54869 python3-itemloaders                	       0        1        0        1        0
54870 python3-itypes                     	       0        4        0        4        0
54871 python3-jack-client                	       0        3        0        3        0
54872 python3-jae                        	       0        2        0        2        0
54873 python3-jarabe                     	       0        1        0        1        0
54874 python3-javaproperties             	       0        6        0        6        0
54875 python3-jdcal                      	       0      100        5       95        0
54876 python3-jenkins                    	       0        2        0        2        0
54877 python3-jira                       	       0        2        0        2        0
54878 python3-jplephem                   	       0        3        0        3        0
54879 python3-jpylyzer                   	       0        2        0        2        0
54880 python3-js8py                      	       0        1        0        1        0
54881 python3-jschema-to-python          	       0        1        0        1        0
54882 python3-jsmin                      	       0        7        0        7        0
54883 python3-json-tricks                	       0        2        0        2        0
54884 python3-jsonext                    	       0        1        0        1        0
54885 python3-jsonhyperschema-codec      	       0        1        0        1        0
54886 python3-jsonnet                    	       0        1        0        1        0
54887 python3-jsonpatch                  	       0       16        1       15        0
54888 python3-jsonpath-rw                	       0        2        0        2        0
54889 python3-jsonpath-rw-ext            	       0        1        0        1        0
54890 python3-jsonpickle                 	       0        3        0        3        0
54891 python3-jsonrpc                    	       0        1        0        1        0
54892 python3-jsonrpclib-pelix           	       0        3        0        3        0
54893 python3-jstyleson                  	       0        2        0        2        0
54894 python3-jupyter-events             	       0        2        2        0        0
54895 python3-jupyter-server             	       0        6        1        5        0
54896 python3-jupyter-server-mathjax     	       0        2        1        1        0
54897 python3-jupyter-server-terminals   	       0        2        1        1        0
54898 python3-jupyter-sphinx-theme       	       0        8        1        7        0
54899 python3-jupyter-telemetry          	       0        1        0        1        0
54900 python3-jupyterlab-server          	       0        5        1        4        0
54901 python3-jwcrypto                   	       0       11        0       11        0
54902 python3-k8sclient                  	       0        1        0        1        0
54903 python3-kaitaistruct               	       0       12        0       12        0
54904 python3-kanboard                   	       0        1        0        1        0
54905 python3-kanjidraw                  	       0        1        0        1        0
54906 python3-kazoo                      	       0        3        0        3        0
54907 python3-kea-connector              	       0        3        0        3        0
54908 python3-keepalive                  	       0        1        0        1        0
54909 python3-keras                      	       0        1        0        1        0
54910 python3-keras-applications         	       0        2        0        2        0
54911 python3-keras-preprocessing        	       0        2        0        2        0
54912 python3-keyring-pass               	       0        1        0        1        0
54913 python3-keyrings.alt               	       0       82        1       81        0
54914 python3-keystoneauth1              	       0        9        0        9        0
54915 python3-keystoneclient             	       0        8        0        8        0
54916 python3-keystonemiddleware         	       0        3        0        3        0
54917 python3-keyutils                   	       0       27        4       23        0
54918 python3-kineticstools              	       0        1        0        1        0
54919 python3-kismetcapturefreaklabszigbee	       0        1        0        1        0
54920 python3-kismetcapturertl433        	       0        1        0        1        0
54921 python3-kismetcapturertladsb       	       0        1        0        1        0
54922 python3-kismetcapturertlamr        	       0        1        0        1        0
54923 python3-kiss-headers               	       0        1        0        1        0
54924 python3-kitchen                    	       0        2        0        2        0
54925 python3-kivy                       	       0        7        1        6        0
54926 python3-klaus                      	       0        1        0        1        0
54927 python3-knack                      	       0        6        0        6        0
54928 python3-kombu                      	       0        6        0        6        0
54929 python3-kopano                     	       0        1        0        1        0
54930 python3-kopano-search              	       0        1        1        0        0
54931 python3-kopano-utils               	       0        1        1        0        0
54932 python3-kubernetes                 	       0        3        0        3        0
54933 python3-kytos-sphinx-theme         	       0        1        0        1        0
54934 python3-l20n                       	       0        2        0        2        0
54935 python3-labgrid                    	       0        1        0        1        0
54936 python3-langtable                  	       0        1        0        1        0
54937 python3-lark                       	       0        4        0        4        0
54938 python3-lasagne                    	       0        1        0        1        0
54939 python3-latexcodec                 	       0       13        1       12        0
54940 python3-lazr.config                	       0        2        0        2        0
54941 python3-lazr.delegates             	       0        2        0        2        0
54942 python3-lazy-loader                	       0        2        0        2        0
54943 python3-ldap3                      	       0       51        0       51        0
54944 python3-ldapdomaindump             	       0       34        0       34        0
54945 python3-leather                    	       0       12        0       12        0
54946 python3-lensfun                    	       0        3        0        3        0
54947 python3-lesscpy                    	       0        2        0        2        0
54948 python3-lexicon                    	       0        5        0        5        0
54949 python3-libbde                     	       0       13        0       13        0
54950 python3-libcegui-mk2-0.8.7         	       0        1        0        0        1
54951 python3-libcomps                   	       0        3        0        3        0
54952 python3-libconcord                 	       0        3        0        3        0
54953 python3-libcreg                    	       0       13        0       13        0
54954 python3-libdnf                     	       0        3        0        3        0
54955 python3-libesedb                   	       0       13        0       13        0
54956 python3-libevt                     	       0       13        0       13        0
54957 python3-libevtx                    	       0       13        0       13        0
54958 python3-libewf                     	       0       13        0       13        0
54959 python3-libfdt                     	       0        1        0        1        0
54960 python3-libfsext                   	       0       13        0       13        0
54961 python3-libfshfs                   	       0       13        0       13        0
54962 python3-libfsntfs                  	       0       17        0       17        0
54963 python3-libfsxfs                   	       0       13        0       13        0
54964 python3-libfvde                    	       0       13        0       13        0
54965 python3-libfwnt                    	       0       13        0       13        0
54966 python3-libfwsi                    	       0       13        0       13        0
54967 python3-libgpiod                   	       0        1        0        1        0
54968 python3-liblnk                     	       0       13        0       13        0
54969 python3-liblo                      	       0       12        0       12        0
54970 python3-libluksde                  	       0       13        0       13        0
54971 python3-libmodernize               	       0        4        0        4        0
54972 python3-libmsiecf                  	       0       13        0       13        0
54973 python3-libnacl                    	       0        1        0        1        0
54974 python3-libnmap                    	       0        6        1        5        0
54975 python3-libolecf                   	       0       13        0       13        0
54976 python3-libproxy                   	       0        2        0        2        0
54977 python3-libpulse                   	       0        1        0        1        0
54978 python3-libqcow                    	       0       14        0       14        0
54979 python3-librdf                     	       0        1        0        1        0
54980 python3-librecaptcha               	       0        2        0        2        0
54981 python3-libregf                    	       0       13        0       13        0
54982 python3-librouteros                	       0        1        0        1        0
54983 python3-libscca                    	       0       13        0       13        0
54984 python3-libsigscan                 	       0       13        0       13        0
54985 python3-libsmdev                   	       0       13        0       13        0
54986 python3-libsmraw                   	       0       13        0       13        0
54987 python3-libsvm                     	       0        2        0        2        0
54988 python3-libtiff                    	       0        1        0        1        0
54989 python3-libtmux                    	       0        9        0        9        0
54990 python3-libusb1                    	       0        1        0        0        1
54991 python3-libvhdi                    	       0       13        0       13        0
54992 python3-libvmdk                    	       0       13        0       13        0
54993 python3-libvshadow                 	       0       13        0       13        0
54994 python3-libvslvm                   	       0       13        0       13        0
54995 python3-license-expression         	       0        3        0        3        0
54996 python3-linecache2                 	       0        3        0        3        0
54997 python3-listparser                 	       0        3        0        3        0
54998 python3-lldb                       	       0        1        0        0        1
54999 python3-lldb-11                    	       0        3        0        0        3
55000 python3-lldb-14                    	       0       10        0        0       10
55001 python3-lldb-15                    	       0        1        0        0        1
55002 python3-lldb-16                    	       0        5        0        0        5
55003 python3-lldb-19                    	       0        4        0        0        4
55004 python3-llfuse                     	       0       58        0       57        1
55005 python3-llvmlite                   	       0       75        0       75        0
55006 python3-lmdb                       	       0        1        0        1        0
55007 python3-lmfit                      	       0        1        0        1        0
55008 python3-locket                     	       0       20        1       19        0
55009 python3-logbook                    	       0        8        0        8        0
55010 python3-logfury                    	       0        2        0        2        0
55011 python3-logilab-constraint         	       0        1        0        1        0
55012 python3-loguru                     	       0        2        0        2        0
55013 python3-logutils                   	       0       10        1        9        0
55014 python3-lxc                        	       0       30        0       30        0
55015 python3-lxml-dbg                   	       0        1        0        1        0
55016 python3-lzo                        	       0       64        2       62        0
55017 python3-lzstring                   	       0        1        0        1        0
55018 python3-m2crypto                   	       0       13        0       13        0
55019 python3-m2r                        	       0        4        0        4        0
55020 python3-m3u8                       	       0        3        0        3        0
55021 python3-macholib                   	       0        3        0        3        0
55022 python3-magcode-core               	       0        1        0        1        0
55023 python3-mailman-hyperkitty         	       0        2        0        2        0
55024 python3-mailmanclient              	       0        2        0        2        0
55025 python3-maison                     	       0        1        0        1        0
55026 python3-mallard.ducktype           	       0        3        0        3        0
55027 python3-mando                      	       0        1        0        1        0
55028 python3-manimpango                 	       0        1        0        1        0
55029 python3-map-msgs                   	       0        1        0        1        0
55030 python3-mapi                       	       0        1        1        0        0
55031 python3-mapnik                     	       0        5        0        5        0
55032 python3-mapproxy                   	       0        2        1        1        0
55033 python3-mapscript                  	       0        2        0        2        0
55034 python3-markdown2                  	       0        2        0        2        0
55035 python3-markups                    	       0       22        0       22        0
55036 python3-marshmallow                	       0        9        0        9        0
55037 python3-mastodon                   	       0        2        0        2        0
55038 python3-mathgl                     	       0        1        0        1        0
55039 python3-matplotlib-venn            	       0        1        0        1        0
55040 python3-matrix-common              	       0        4        0        4        0
55041 python3-matrix-nio                 	       0        7        0        7        0
55042 python3-maxminddb                  	       0        1        0        1        0
55043 python3-md-toc                     	       0        2        0        2        0
55044 python3-mdit-py-plugins            	       0        2        0        2        0
55045 python3-mdp                        	       0        2        0        2        0
55046 python3-mdx-math                   	       0       24        0       24        0
55047 python3-mechanicalsoup             	       0        1        0        1        0
55048 python3-mediafile                  	       0       11        0       11        0
55049 python3-meld3                      	       0        1        0        1        0
55050 python3-memcache                   	       0       16        1       15        0
55051 python3-memoized-property          	       0        1        0        1        0
55052 python3-memory-allocator           	       0        8        0        8        0
55053 python3-mensa                      	       0        1        0        1        0
55054 python3-mercurial-extension-utils  	       0        5        0        5        0
55055 python3-merge3                     	       0       42        0       42        0
55056 python3-meshio                     	       0        2        0        2        0
55057 python3-meshtastic                 	       0        2        0        2        0
55058 python3-mesonpy                    	       0        3        0        3        0
55059 python3-message-filters            	       0        1        0        1        0
55060 python3-metaconfig                 	       0        1        0        1        0
55061 python3-mf2py                      	       0        2        0        2        0
55062 python3-microversion-parse         	       0        1        0        1        0
55063 python3-midiutil                   	       0        1        0        1        0
55064 python3-mido                       	       0        4        1        3        0
55065 python3-migrate                    	       0        5        0        5        0
55066 python3-miio                       	       0        2        0        2        0
55067 python3-milter                     	       0        1        0        1        0
55068 python3-mimeparse                  	       0        7        0        7        0
55069 python3-minecraftpi                	       0        4        0        4        0
55070 python3-minidb                     	       0        3        0        3        0
55071 python3-minieigen                  	       0        4        0        4        0
55072 python3-mintpy                     	       0        2        0        2        0
55073 python3-mistletoe                  	       0       12        0       12        0
55074 python3-mistune                    	       0       35        2       33        0
55075 python3-mistune0                   	       0        8        0        8        0
55076 python3-mitogen                    	       0        2        0        2        0
55077 python3-mlpy                       	       0        1        0        1        0
55078 python3-mlpy-lib                   	       0        1        0        1        0
55079 python3-mlt                        	       0       23        0       23        0
55080 python3-mlt7                       	       0        1        0        1        0
55081 python3-mmllib                     	       0        1        0        1        0
55082 python3-mnemonic                   	       0       19        0       19        0
55083 python3-mockldap                   	       0        2        0        2        0
55084 python3-montage-wrapper            	       0        2        0        2        0
55085 python3-move-base-msgs             	       0        2        0        2        0
55086 python3-moviepy                    	       0        1        0        1        0
55087 python3-mpi4py                     	       0       21        1       20        0
55088 python3-mpl-scatter-density        	       0        1        0        1        0
55089 python3-mplcursors                 	       0        1        0        1        0
55090 python3-mpltoolkits.basemap        	       0        3        1        2        0
55091 python3-mpv                        	       0        2        0        2        0
55092 python3-msal                       	       0        9        0        9        0
55093 python3-msal-extensions            	       0        8        0        8        0
55094 python3-msgpack-numpy              	       0        3        0        3        0
55095 python3-msrest                     	       0        8        0        8        0
55096 python3-msrestazure                	       0        8        0        8        0
55097 python3-multi-key-dict             	       0        2        0        2        0
55098 python3-multipart                  	       0        6        0        6        0
55099 python3-multipledispatch           	       0        1        0        1        0
55100 python3-multipletau                	       0        1        0        1        0
55101 python3-multiprocess               	       0        2        0        2        0
55102 python3-munch                      	       0       11        0       11        0
55103 python3-munkres                    	       0       36        1       35        0
55104 python3-murmurhash                 	       0        2        0        2        0
55105 python3-musicpd                    	       0        1        0        1        0
55106 python3-mutatormath                	       0        2        0        2        0
55107 python3-mwclient                   	       0        1        0        1        0
55108 python3-mygpoclient                	       0       20        1       19        0
55109 python3-myhdl                      	       0        2        0        2        0
55110 python3-mysql.connector            	       0        3        0        3        0
55111 python3-myst-parser                	       0        2        0        2        0
55112 python3-mythtv                     	       0        6        1        5        0
55113 python3-nagiosplugin               	       0        3        0        3        0
55114 python3-nameparser                 	       0        1        0        1        0
55115 python3-nautilus                   	       0       51        0        0       51
55116 python3-nav-msgs                   	       0        1        0        1        0
55117 python3-nbsphinx                   	       0        9        1        8        0
55118 python3-ncclient                   	       0        1        0        1        0
55119 python3-ndcube                     	       0        2        0        2        0
55120 python3-ndg-httpsclient            	       0        2        0        2        0
55121 python3-neovim                     	       0       18        0        1       17
55122 python3-networkmanager             	       0        2        0        2        0
55123 python3-neutron                    	       0        1        0        1        0
55124 python3-neutron-lib                	       0        1        0        1        0
55125 python3-neutron-vpnaas             	       0        1        0        1        0
55126 python3-neutronclient              	       0        3        0        3        0
55127 python3-nibabel                    	       0        3        0        3        0
55128 python3-nipy                       	       0        1        0        1        0
55129 python3-nipy-lib                   	       0        1        0        1        0
55130 python3-nipype                     	       0        1        0        1        0
55131 python3-nitime                     	       0        1        0        1        0
55132 python3-nlopt                      	       0        3        0        3        0
55133 python3-nmap                       	       0        3        0        3        0
55134 python3-nmea2                      	       0        2        0        2        0
55135 python3-nodelet                    	       0        1        0        1        0
55136 python3-nodelet-topic-tools        	       0        1        0        1        0
55137 python3-nose-parameterized         	       0        1        0        1        0
55138 python3-nose-random                	       0        1        0        1        0
55139 python3-nose2                      	       0       20        0       20        0
55140 python3-notcurses                  	       0        2        0        2        0
55141 python3-notmuch                    	       0        2        0        2        0
55142 python3-nototools                  	       0        1        0        1        0
55143 python3-nova                       	       0        1        0        1        0
55144 python3-novaclient                 	       0        3        0        3        0
55145 python3-novnc                      	       0        4        0        4        0
55146 python3-nox                        	       0        2        0        2        0
55147 python3-nss                        	       0        2        0        2        0
55148 python3-ntlm-auth                  	       0       63        0       63        0
55149 python3-ntplib                     	       0        1        0        1        0
55150 python3-nudatus                    	       0        1        0        1        0
55151 python3-num2words                  	       0        6        0        6        0
55152 python3-numba                      	       0       75        0       75        0
55153 python3-numpy-dbg                  	       0        3        0        3        0
55154 python3-nut                        	       0        5        0        5        0
55155 python3-nwdiag                     	       0        4        0        4        0
55156 python3-oauth2client               	       0       14        0       14        0
55157 python3-objgraph                   	       0        6        1        5        0
55158 python3-obspy-deps                 	       0        2        0        0        2
55159 python3-odil                       	       0        2        0        2        0
55160 python3-ofxparse                   	       0        5        0        5        0
55161 python3-olm                        	       0        7        0        7        0
55162 python3-omegaconf                  	       0        1        0        1        0
55163 python3-omg                        	       0        1        0        1        0
55164 python3-onewire                    	       0        1        0        1        0
55165 python3-onnx                       	       0        1        0        1        0
55166 python3-ontospy                    	       0        1        0        1        0
55167 python3-opencv-apps                	       0        2        0        2        0
55168 python3-opendht                    	       0        1        0        1        0
55169 python3-openid                     	       0        4        0        4        0
55170 python3-openpyxl                   	       0      111        2      109        0
55171 python3-openrazer                  	       0        2        0        2        0
55172 python3-openscap                   	       0        1        0        1        0
55173 python3-opensnitch-ui              	       0        5        0        5        0
55174 python3-openstackclient            	       0        2        0        2        0
55175 python3-openstacksdk               	       0        4        0        4        0
55176 python3-opentimestamps             	       0        1        0        1        0
55177 python3-openturns                  	       0        2        0        2        0
55178 python3-openvswitch                	       0        4        0        4        0
55179 python3-ordered-set                	       0        1        0        1        0
55180 python3-orderedattrdict            	       0        2        0        2        0
55181 python3-orderedmultidict           	       0        1        0        1        0
55182 python3-os-brick                   	       0        1        0        1        0
55183 python3-os-client-config           	       0        4        0        4        0
55184 python3-os-ken                     	       0        1        0        1        0
55185 python3-os-resource-classes        	       0        2        0        2        0
55186 python3-os-service-types           	       0        9        0        9        0
55187 python3-os-traits                  	       0        2        0        2        0
55188 python3-os-vif                     	       0        2        0        2        0
55189 python3-os-win                     	       0        1        0        1        0
55190 python3-osc-lib                    	       0        5        0        5        0
55191 python3-osc-placement              	       0        1        0        1        0
55192 python3-oslo.cache                 	       0        3        0        3        0
55193 python3-oslo.concurrency           	       0        3        0        3        0
55194 python3-oslo.config                	       0       11        0       11        0
55195 python3-oslo.context               	       0       10        0       10        0
55196 python3-oslo.db                    	       0        3        0        3        0
55197 python3-oslo.i18n                  	       0       12        0       12        0
55198 python3-oslo.limit                 	       0        1        0        1        0
55199 python3-oslo.log                   	       0       10        0       10        0
55200 python3-oslo.messaging             	       0        3        0        3        0
55201 python3-oslo.metrics               	       0        3        0        3        0
55202 python3-oslo.middleware            	       0        3        0        3        0
55203 python3-oslo.policy                	       0        3        0        3        0
55204 python3-oslo.privsep               	       0        2        0        2        0
55205 python3-oslo.reports               	       0        2        0        2        0
55206 python3-oslo.rootwrap              	       0        2        0        2        0
55207 python3-oslo.serialization         	       0       11        0       11        0
55208 python3-oslo.service               	       0        3        0        3        0
55209 python3-oslo.upgradecheck          	       0        3        0        3        0
55210 python3-oslo.utils                 	       0       12        0       12        0
55211 python3-oslo.versionedobjects      	       0        2        0        2        0
55212 python3-oslosphinx                 	       0        1        0        1        0
55213 python3-osprofiler                 	       0        2        0        2        0
55214 python3-othman                     	       0        1        0        1        0
55215 python3-overpass                   	       0        2        0        2        0
55216 python3-overpy                     	       0        2        0        2        0
55217 python3-overrides                  	       0        2        1        1        0
55218 python3-ovsdbapp                   	       0        2        0        2        0
55219 python3-owslib                     	       0       42        0       42        0
55220 python3-packagekit                 	       0        3        0        3        0
55221 python3-pacparser                  	       0        1        0        1        0
55222 python3-pafy                       	       0        1        0        1        0
55223 python3-paho-mqtt                  	       0       13        1       12        0
55224 python3-pako                       	       0        2        0        2        0
55225 python3-pallets-sphinx-themes      	       0        1        0        1        0
55226 python3-pamela                     	       0        1        0        1        0
55227 python3-panflute                   	       0        1        0        1        0
55228 python3-parallax                   	       0        2        0        2        0
55229 python3-parallel                   	       0        5        0        5        0
55230 python3-parameterized              	       0        6        0        6        0
55231 python3-paraview                   	       0        3        0        3        0
55232 python3-parfive                    	       0        1        0        1        0
55233 python3-parse                      	       0        1        0        1        0
55234 python3-parse-type                 	       0        1        0        1        0
55235 python3-parsel                     	       0        1        0        1        0
55236 python3-partd                      	       0       20        0       20        0
55237 python3-passlib                    	       0       76        0       76        0
55238 python3-pastedeploy-tpl            	       0       10        0        0       10
55239 python3-pastescript                	       0       11        3        8        0
55240 python3-path                       	       0        2        0        2        0
55241 python3-path-and-address           	       0        5        0        5        0
55242 python3-pathvalidate               	       0        1        0        1        0
55243 python3-patsy                      	       0       11        0       11        0
55244 python3-pbcommand                  	       0        1        0        1        0
55245 python3-pbcore                     	       0        1        0        1        0
55246 python3-pbsuite-utils              	       0        1        0        1        0
55247 python3-pcapy                      	       0        2        0        2        0
55248 python3-pcbasic                    	       0        4        0        4        0
55249 python3-pcbasic-doc                	       0        2        0        0        2
55250 python3-pcp                        	       0        6        0        6        0
55251 python3-pcpasswd                   	       0        1        0        1        0
55252 python3-pcre                       	       0        4        0        4        0
55253 python3-pdfkit                     	       0        4        0        4        0
55254 python3-pdfrw                      	       0        2        0        2        0
55255 python3-pdm                        	       0        2        0        2        0
55256 python3-pdm-pep517                 	       0        2        0        2        0
55257 python3-pdoc                       	       0        1        0        1        0
55258 python3-pecan                      	       0        4        0        4        0
55259 python3-peewee                     	       0       20        1       19        0
55260 python3-pendulum                   	       0        5        0        5        0
55261 python3-pep517                     	       0        8        0        8        0
55262 python3-periodictable              	       0        6        1        5        0
55263 python3-petsc4py                   	       0        1        0        1        0
55264 python3-petsc4py-real              	       0        1        0        0        1
55265 python3-petsc4py-real3.18          	       0        1        0        0        1
55266 python3-pex                        	       0        1        0        1        0
55267 python3-pg8000                     	       0        2        0        2        0
55268 python3-pgmagick                   	       0        1        0        1        0
55269 python3-pgpy                       	       0        2        0        2        0
55270 python3-pgpy-doc                   	       0        2        0        0        2
55271 python3-pgspecial                  	       0        4        0        4        0
55272 python3-pgzero                     	       0        1        0        1        0
55273 python3-phonenumbers               	       0        4        0        4        0
55274 python3-photutils                  	       0        3        0        3        0
55275 python3-phply                      	       0       43        3       40        0
55276 python3-pika                       	       0        3        1        2        0
55277 python3-pil-dbg                    	       0        1        0        1        0
55278 python3-pil.imagetk-dbg            	       0        1        0        1        0
55279 python3-pilkit                     	       0        1        0        1        0
55280 python3-ping3                      	       0        1        0        1        0
55281 python3-pint                       	       0        5        0        5        0
55282 python3-pip-whl                    	       0      489        0        0      489
55283 python3-pipdeptree                 	       0        6        0        6        0
55284 python3-pkgconfig                  	       0       11        0       11        0
55285 python3-plac                       	       0        1        0        1        0
55286 python3-plakativ                   	       0        4        0        4        0
55287 python3-plaso                      	       0       13        0       13        0
55288 python3-plaster                    	       0        2        0        2        0
55289 python3-plaster-pastedeploy        	       0        2        0        2        0
55290 python3-plastex                    	       0        3        1        2        0
55291 python3-plist                      	       0        8        0        7        1
55292 python3-plotly                     	       0       44        0       44        0
55293 python3-plplot                     	       0        1        0        1        0
55294 python3-plplot-qt                  	       0        1        0        1        0
55295 python3-pluginbase                 	       0        2        0        2        0
55296 python3-plyvel                     	       0        2        0        2        0
55297 python3-pocketsphinx               	       0        2        0        2        0
55298 python3-podcastparser              	       0       19        0       19        0
55299 python3-poetry                     	       0        7        0        7        0
55300 python3-poetry-core                	       0       10        0       10        0
55301 python3-poezio-poopt               	       0        1        0        1        0
55302 python3-poliastro                  	       0        1        0        1        0
55303 python3-polib                      	       0       20        0       20        0
55304 python3-pony                       	       0        1        0        1        0
55305 python3-popcon                     	       0        1        0        1        0
55306 python3-port-for                   	       0        1        0        1        0
55307 python3-portalocker                	       0       11        0       11        0
55308 python3-positional                 	       0        1        0        1        0
55309 python3-posix-ipc                  	       0        1        0        0        1
55310 python3-potr                       	       0        1        0        1        0
55311 python3-power                      	       0        1        0        1        0
55312 python3-powerline-gitstatus        	       0        3        0        3        0
55313 python3-praw                       	       0        2        0        2        0
55314 python3-prawcore                   	       0        2        0        2        0
55315 python3-preshed                    	       0        1        0        1        0
55316 python3-pretty-yaml                	       0        1        0        1        0
55317 python3-prettytable                	       0       24        0       24        0
55318 python3-primecountpy               	       0        8        0        8        0
55319 python3-proglog                    	       0        1        0        1        0
55320 python3-progress                   	       0        1        0        1        0
55321 python3-progressbar2               	       0        1        0        1        0
55322 python3-proselint                  	       0        2        0        2        0
55323 python3-protego                    	       0        1        0        1        0
55324 python3-proton-client              	       0        4        0        4        0
55325 python3-proton-keyring-linux-secretservice	       0        3        0        3        0
55326 python3-proton-vpn-connection      	       0        1        0        1        0
55327 python3-proton-vpn-killswitch      	       0        1        0        1        0
55328 python3-proton-vpn-killswitch-network-manager	       0        3        0        3        0
55329 python3-proton-vpn-killswitch-network-manager-wireguard	       0        2        0        2        0
55330 python3-proton-vpn-logger          	       0        3        0        3        0
55331 python3-proton-vpn-network-manager-openvpn	       0        3        0        3        0
55332 python3-proton-vpn-network-manager-wireguard	       0        2        0        2        0
55333 python3-protonvpn-nm-lib           	       0        4        0        4        0
55334 python3-prov                       	       0        5        0        5        0
55335 python3-proxmoxer                  	       0        1        0        1        0
55336 python3-psautohint                 	       0        4        0        4        0
55337 python3-pskc                       	       0        1        0        1        0
55338 python3-psycopg2cffi               	       0        4        0        4        0
55339 python3-psycopg3                   	       0        1        0        1        0
55340 python3-ptable                     	       0        4        0        4        0
55341 python3-ptrace                     	       0        1        0        1        0
55342 python3-public                     	       0        4        0        4        0
55343 python3-publicsuffix2              	       0       12        0       12        0
55344 python3-pubsub                     	       0        4        0        4        0
55345 python3-pulp                       	       0        2        0        2        0
55346 python3-pulsectl                   	       0        1        0        1        0
55347 python3-pure-sasl                  	       0        3        0        3        0
55348 python3-pweave                     	       0        1        0        1        0
55349 python3-pwquality                  	       0        3        0        3        0
55350 python3-pyaarlo                    	       0        1        0        1        0
55351 python3-pyaes                      	       0       28        1       27        0
55352 python3-pyalsa                     	       0        4        0        4        0
55353 python3-pyaps3                     	       0        2        0        2        0
55354 python3-pyasn                      	       0        4        0        4        0
55355 python3-pyaudio                    	       0       22        0       22        0
55356 python3-pyavm                      	       0        2        0        2        0
55357 python3-pyaxmlparser               	       0        6        0        6        0
55358 python3-pybeam                     	       0        3        0        3        0
55359 python3-pybigwig                   	       0        1        0        1        0
55360 python3-pybind11                   	       0        3        0        3        0
55361 python3-pybtex                     	       0       13        1       12        0
55362 python3-pybtex-docutils            	       0       10        1        9        0
55363 python3-pycadf                     	       0        3        0        3        0
55364 python3-pycoast                    	       0        3        0        3        0
55365 python3-pycriu                     	       0       10        0       10        0
55366 python3-pycuda                     	       0        1        0        1        0
55367 python3-pydantic                   	       0       33        0       33        0
55368 python3-pydbus                     	       0       14        0       14        0
55369 python3-pydecorate                 	       0        1        0        1        0
55370 python3-pydenticon                 	       0        1        0        1        0
55371 python3-pydicom                    	       0        7        0        7        0
55372 python3-pydispatch                 	       0        1        0        1        0
55373 python3-pydl                       	       0        2        0        2        0
55374 python3-pydotplus                  	       0        1        0        1        0
55375 python3-pyds9                      	       0        1        0        1        0
55376 python3-pydub                      	       0        3        0        3        0
55377 python3-pydyf                      	       0        8        0        8        0
55378 python3-pyelftools                 	       0       26        0       26        0
55379 python3-pyface                     	       0        3        0        3        0
55380 python3-pyfavicon                  	       0        3        0        3        0
55381 python3-pyfftw                     	       0        2        0        2        0
55382 python3-pyfiglet                   	       0        3        0        3        0
55383 python3-pyftpdlib                  	       0        3        0        3        0
55384 python3-pyfuse3                    	       0       30        1       29        0
55385 python3-pyfzf                      	       0        1        0        1        0
55386 python3-pygac                      	       0        2        0        2        0
55387 python3-pygal                      	       0        1        0        1        0
55388 python3-pygame-dbgsym              	       0        1        0        1        0
55389 python3-pygame-sdl2                	       0       12        0       12        0
55390 python3-pygccxml                   	       0       37        0       37        0
55391 python3-pygeoif                    	       0        4        0        4        0
55392 python3-pygeoip                    	       0        1        0        1        0
55393 python3-pyginac                    	       0        1        1        0        0
55394 python3-pyginac-dbgsym             	       0        1        1        0        0
55395 python3-pyglet                     	       0       15        0       15        0
55396 python3-pyglfw                     	       0        1        0        1        0
55397 python3-pyglossary                 	       0        1        0        1        0
55398 python3-pygpu                      	       0        3        0        3        0
55399 python3-pygrace                    	       0        1        0        1        0
55400 python3-pygresql                   	       0        1        0        1        0
55401 python3-pyhamtools                 	       0        2        0        2        0
55402 python3-pyjavaproperties           	       0        1        0        1        0
55403 python3-pyjokes                    	       0        1        0        1        0
55404 python3-pykcs11                    	       0        3        0        3        0
55405 python3-pykde4                     	       0        1        0        1        0
55406 python3-pykdl                      	       0        1        0        1        0
55407 python3-pykdtree                   	       0        4        0        4        0
55408 python3-pykeepass                  	       0        2        0        2        0
55409 python3-pykml                      	       0        3        0        3        0
55410 python3-pykwalify                  	       0        6        0        6        0
55411 python3-pylama                     	       0        3        0        3        0
55412 python3-pylast                     	       0        3        0        3        0
55413 python3-pyldap                     	       0        1        0        0        1
55414 python3-pylev                      	       0        8        0        8        0
55415 python3-pylibdmtx                  	       0        1        0        1        0
55416 python3-pylibmc                    	       0        1        0        1        0
55417 python3-pyls                       	       0        2        0        2        0
55418 python3-pyls-black                 	       0        2        0        2        0
55419 python3-pyls-jsonrpc               	       0        2        0        2        0
55420 python3-pylsp-isort                	       0        1        0        1        0
55421 python3-pylsp-rope                 	       0        1        0        1        0
55422 python3-pylsqpack                  	       0        1        0        1        0
55423 python3-pymacaroons                	       0        4        0        4        0
55424 python3-pymad                      	       0        1        0        1        0
55425 python3-pymap3d                    	       0        3        0        3        0
55426 python3-pymca5                     	       0        1        0        1        0
55427 python3-pymdownx                   	       0        3        0        3        0
55428 python3-pymeasure                  	       0        4        0        4        0
55429 python3-pymeeus                    	       0        5        0        5        0
55430 python3-pymemcache                 	       0        3        0        3        0
55431 python3-pymoc                      	       0        1        0        1        0
55432 python3-pymodbus                   	       0        3        0        3        0
55433 python3-pymoebinv                  	       0        1        1        0        0
55434 python3-pymoebinv-dbgsym           	       0        1        1        0        0
55435 python3-pymol                      	       0        9        1        8        0
55436 python3-pympler                    	       0        2        0        2        0
55437 python3-pymummer                   	       0        1        0        1        0
55438 python3-pynag                      	       0        1        0        1        0
55439 python3-pynest2d                   	       0       21        0       21        0
55440 python3-pyninjotiff                	       0        1        0        1        0
55441 python3-pynliner                   	       0        1        0        1        0
55442 python3-pynlpl                     	       0        2        0        2        0
55443 python3-pynput                     	       0        2        0        2        0
55444 python3-pynvml                     	       0        8        0        8        0
55445 python3-pyo                        	       0        9        0        9        0
55446 python3-pyocd                      	       0        1        0        1        0
55447 python3-pyodbc                     	       0       16        3       13        0
55448 python3-pyorbital                  	       0        2        0        2        0
55449 python3-pyotp                      	       0        7        0        7        0
55450 python3-pypandoc                   	       0        9        0        9        0
55451 python3-pypeg2                     	       0        1        0        1        0
55452 python3-pyperform                  	       0        1        0        1        0
55453 python3-pyphen                     	       0       12        0       12        0
55454 python3-pyproject-api              	       0        1        0        1        0
55455 python3-pyproject-hooks            	       0        6        0        6        0
55456 python3-pyproject-metadata         	       0        3        0        3        0
55457 python3-pyprojroot                 	       0        1        0        1        0
55458 python3-pyqrcode                   	       0       23        0       23        0
55459 python3-pyqt-distutils             	       0        3        0        3        0
55460 python3-pyqt4                      	       0        8        0        8        0
55461 python3-pyqt4.qtopengl             	       0        2        0        2        0
55462 python3-pyqt5-dbg                  	       0        2        0        2        0
55463 python3-pyqt5.qsci                 	       0       70        3       67        0
55464 python3-pyqt5.qtbluetooth          	       0        2        0        2        0
55465 python3-pyqt5.qtnfc                	       0        2        0        2        0
55466 python3-pyqt5.qtx11extras          	       0        5        0        5        0
55467 python3-pyqt5.qtxmlpatterns-dbg    	       0        1        0        1        0
55468 python3-pyqt5.qwt                  	       0       42        0       42        0
55469 python3-pyqt5.sip-dbg              	       0        1        0        1        0
55470 python3-pyqtbuild                  	       0        6        0        6        0
55471 python3-pyqtconsole                	       0        1        0        1        0
55472 python3-pyquery                    	       0       15        0       15        0
55473 python3-pyramid                    	       0        2        0        2        0
55474 python3-pyramid-chameleon          	       0        1        0        1        0
55475 python3-pyramid-tm                 	       0        1        0        1        0
55476 python3-pyrdfa                     	       0        2        0        2        0
55477 python3-pyregfi                    	       0       25        0       25        0
55478 python3-pyregion                   	       0        2        0        2        0
55479 python3-pyresample                 	       0        2        0        2        0
55480 python3-pyro4                      	       0        1        0        1        0
55481 python3-pyroma                     	       0        1        0        1        0
55482 python3-pyroute2                   	       0       13        0       13        0
55483 python3-pyroute2.core              	       0        1        0        1        0
55484 python3-pyroute2.ethtool           	       0        1        0        1        0
55485 python3-pyroute2.ipdb              	       0        1        0        1        0
55486 python3-pyroute2.ipset             	       0        1        0        1        0
55487 python3-pyroute2.ndb               	       0        1        0        1        0
55488 python3-pyroute2.nftables          	       0        1        0        1        0
55489 python3-pyroute2.nslink            	       0        1        0        1        0
55490 python3-pyroute2.protocols         	       0        1        0        1        0
55491 python3-pyrr                       	       0        1        0        1        0
55492 python3-pyrss2gen                  	       0        3        0        3        0
55493 python3-pysal                      	       0        1        0        1        0
55494 python3-pysam                      	       0        3        0        3        0
55495 python3-pysaml2                    	       0        1        0        1        0
55496 python3-pyshp                      	       0       14        0       14        0
55497 python3-pyside.qtcore              	       0        1        0        1        0
55498 python3-pyside.qtgui               	       0        1        0        1        0
55499 python3-pyside.qtsvg               	       0        1        0        1        0
55500 python3-pyside.qtuitools           	       0        1        0        1        0
55501 python3-pyside.qtxml               	       0        1        0        1        0
55502 python3-pyside2.qt3dcore           	       0        3        0        3        0
55503 python3-pyside2.qt3dextras         	       0        2        0        2        0
55504 python3-pyside2.qt3dinput          	       0        2        0        2        0
55505 python3-pyside2.qt3dlogic          	       0        1        0        1        0
55506 python3-pyside2.qt3drender         	       0        3        0        3        0
55507 python3-pyside2.qtcharts           	       0        1        0        1        0
55508 python3-pyside2.qtconcurrent       	       0        1        0        1        0
55509 python3-pyside2.qthelp             	       0        1        0        1        0
55510 python3-pyside2.qtlocation         	       0        1        0        1        0
55511 python3-pyside2.qtmultimedia       	       0        2        0        2        0
55512 python3-pyside2.qtmultimediawidgets	       0        2        0        2        0
55513 python3-pyside2.qtpositioning      	       0        1        0        1        0
55514 python3-pyside2.qtqml              	       0        5        0        5        0
55515 python3-pyside2.qtquick            	       0        4        0        4        0
55516 python3-pyside2.qtquickcontrols2   	       0        1        0        1        0
55517 python3-pyside2.qtquickwidgets     	       0        2        0        2        0
55518 python3-pyside2.qtscript           	       0        1        0        1        0
55519 python3-pyside2.qtscripttools      	       0        1        0        1        0
55520 python3-pyside2.qtsensors          	       0        1        0        1        0
55521 python3-pyside2.qtsql              	       0        1        0        1        0
55522 python3-pyside2.qttest             	       0        1        0        1        0
55523 python3-pyside2.qttexttospeech     	       0        1        0        1        0
55524 python3-pyside2.qtwebsockets       	       0        1        0        1        0
55525 python3-pyside2.qtx11extras        	       0        4        0        4        0
55526 python3-pyside2.qtxmlpatterns      	       0        1        0        1        0
55527 python3-pyside2uic                 	       0        1        0        1        0
55528 python3-pysmi                      	       0       63        1       62        0
55529 python3-pysnmp4                    	       0       63        1       62        0
55530 python3-pysnmp4-mibs               	       0        2        0        2        0
55531 python3-pysol-cards                	       0        3        1        2        0
55532 python3-pysolid                    	       0        2        0        2        0
55533 python3-pyspectral                 	       0        2        0        2        0
55534 python3-pysph                      	       0        1        0        1        0
55535 python3-pysrt                      	       0        6        0        6        0
55536 python3-pystache                   	       0       41        0       41        0
55537 python3-pystray                    	       0        3        0        3        0
55538 python3-pysubs2                    	       0        1        0        1        0
55539 python3-pyswarms                   	       0        1        0        1        0
55540 python3-pysynphot                  	       0        1        0        1        0
55541 python3-pytde                      	       0        3        0        3        0
55542 python3-pyte                       	       0        7        0        7        0
55543 python3-pytest-arraydiff           	       0       35        0       35        0
55544 python3-pytest-astropy             	       0       34        0       21       13
55545 python3-pytest-astropy-header      	       0       34        0       34        0
55546 python3-pytest-asyncio             	       0        1        0        1        0
55547 python3-pytest-benchmark           	       0        1        0        1        0
55548 python3-pytest-codeblocks          	       0        1        1        0        0
55549 python3-pytest-cov                 	       0       36        5       31        0
55550 python3-pytest-cython              	       0        2        0        2        0
55551 python3-pytest-django              	       0        1        0        1        0
55552 python3-pytest-filter-subpackage   	       0       34        0       34        0
55553 python3-pytest-flask               	       0        1        0        1        0
55554 python3-pytest-helpers-namespace   	       0        1        0        1        0
55555 python3-pytest-lazy-fixture        	       0        1        0        1        0
55556 python3-pytest-mock                	       0       25        0       25        0
55557 python3-pytest-openfiles           	       0       30        0       30        0
55558 python3-pytest-pep8                	       0        1        0        1        0
55559 python3-pytest-pylint              	       0        1        0        1        0
55560 python3-pytest-remotedata          	       0       37        0       37        0
55561 python3-pytest-runner              	       0        2        0        2        0
55562 python3-pytest-twisted             	       0        1        0        1        0
55563 python3-pytest-xdist               	       0        4        0        4        0
55564 python3-pytestqt                   	       0        1        0        1        0
55565 python3-python-multipart           	       0        3        0        3        0
55566 python3-python-qt-binding          	       0        2        0        2        0
55567 python3-python-telegram-bot        	       0        2        0        2        0
55568 python3-python-utils               	       0       25        0       25        0
55569 python3-python-zxcvbn-rs-py        	       0        2        0        2        0
55570 python3-pythonjsonlogger           	       0        3        1        2        0
55571 python3-pythonmagick               	       0        1        0        1        0
55572 python3-pytimeparse                	       0       12        0       12        0
55573 python3-pytkdocs                   	       0        3        0        3        0
55574 python3-pytools                    	       0       11        0       11        0
55575 python3-pytqt                      	       0        3        0        3        0
55576 python3-pytzdata                   	       0        6        0        6        0
55577 python3-pyu2f                      	       0        3        0        3        0
55578 python3-pyuca                      	       0        2        0        2        0
55579 python3-pyvirtualdisplay           	       0        5        0        5        0
55580 python3-pyvisa                     	       0        5        0        5        0
55581 python3-pyvisa-py                  	       0        5        0        4        1
55582 python3-pyvo                       	       0        2        0        2        0
55583 python3-pywayland-doc              	       0        1        0        0        1
55584 python3-pywps                      	       0        2        0        2        0
55585 python3-pywt                       	       0       13        0       13        0
55586 python3-pyx                        	       0        3        1        2        0
55587 python3-pyxb                       	       0        1        0        1        0
55588 python3-pyxid                      	       0        1        0        1        0
55589 python3-pyzbar                     	       0        4        0        4        0
55590 python3-pyzfs                      	       0        3        0        3        0
55591 python3-q-text-as-data             	       0        5        1        4        0
55592 python3-qcelemental                	       0        1        0        1        0
55593 python3-qgis                       	       0       39        3       36        0
55594 python3-qgis-common                	       0       40        1        3       36
55595 python3-qrcodegen                  	       0        2        0        2        0
55596 python3-qrencode                   	       0        6        0        6        0
55597 python3-qrtools                    	       0       40        1       39        0
55598 python3-qt5reactor                 	       0        1        0        1        0
55599 python3-qtpy-pyqt6                 	       0        3        0        0        3
55600 python3-quark-sphinx-theme         	       0        1        0        1        0
55601 python3-questplus                  	       0        1        0        1        0
55602 python3-queuelib                   	       0        1        0        1        0
55603 python3-qutip                      	       0        1        0        1        0
55604 python3-qwt                        	       0        5        1        4        0
55605 python3-qwt3d-qt5                  	       0        1        0        1        0
55606 python3-radicale                   	       0       13        1       12        0
55607 python3-radio-beam                 	       0        2        0        2        0
55608 python3-rados                      	       0        7        0        7        0
55609 python3-randomize                  	       0        1        0        1        0
55610 python3-rangehttpserver            	       0        6        0        6        0
55611 python3-rapidjson                  	       0        1        0        1        0
55612 python3-rarfile                    	       0       11        0       11        0
55613 python3-rasterio                   	       0        7        0        7        0
55614 python3-ratelimiter                	       0        2        0        2        0
55615 python3-rawkit                     	       0        1        0        1        0
55616 python3-rbd                        	       0        6        0        6        0
55617 python3-rcon                       	       0        1        0        1        0
55618 python3-rcssmin                    	       0        2        0        2        0
55619 python3-rdflib                     	       0       14        0       14        0
55620 python3-rdflib-jsonld              	       0        2        0        2        0
55621 python3-readability                	       0        4        0        4        0
55622 python3-readme-renderer            	       0        6        0        6        0
55623 python3-rebulk                     	       0        7        0        7        0
55624 python3-recipe-scrapers            	       0        2        0        2        0
55625 python3-reclass                    	       0        1        0        1        0
55626 python3-recurring-ical-events      	       0        2        0        2        0
55627 python3-reedsolo                   	       0        3        0        3        0
55628 python3-refurb                     	       0        1        0        1        0
55629 python3-regions                    	       0        1        0        1        0
55630 python3-relational                 	       0        1        0        1        0
55631 python3-relatorio                  	       0        2        0        2        0
55632 python3-renderdoc                  	       0        3        0        3        0
55633 python3-renpy                      	       0        5        0        5        0
55634 python3-reparser                   	       0        1        0        1        0
55635 python3-repolib                    	       0        3        0        3        0
55636 python3-repoze.sphinx.autointerface	       0        1        0        1        0
55637 python3-repoze.who                 	       0        1        0        1        0
55638 python3-reproject                  	       0        3        0        3        0
55639 python3-requests-cache             	       0       13        1       12        0
55640 python3-requests-futures           	       0        5        0        5        0
55641 python3-requests-kerberos          	       0       62        0       62        0
55642 python3-requests-ntlm              	       0       63        0       63        0
55643 python3-requests-unixsocket        	       0        4        0        4        0
55644 python3-requestsexceptions         	       0        6        0        6        0
55645 python3-requirement-parser         	       0        2        0        2        0
55646 python3-resolvelib                 	       0       49        0       49        0
55647 python3-resource-retriever         	       0        1        0        1        0
55648 python3-restructuredtext-lint      	       0        2        0        2        0
55649 python3-retry                      	       0        1        0        1        0
55650 python3-retrying                   	       0       16        0       16        0
55651 python3-rfc6555                    	       0        5        0        5        0
55652 python3-rgain                      	       0        1        0        1        0
55653 python3-rgain3                     	       0        1        0        1        0
55654 python3-rgw                        	       0        3        0        3        0
55655 python3-ring-doorbell              	       0        1        0        1        0
55656 python3-rioxarray                  	       0        2        0        2        0
55657 python3-rjsmin                     	       0        4        0        4        0
55658 python3-robot-detection            	       0        2        0        2        0
55659 python3-rosbag                     	       0        1        0        1        0
55660 python3-rosboost-cfg               	       0        1        0        1        0
55661 python3-rosclean                   	       0        1        0        1        0
55662 python3-roscpp-msg                 	       0        2        0        2        0
55663 python3-roscreate                  	       0        1        0        1        0
55664 python3-rosdep2                    	       0        1        0        1        0
55665 python3-rosdistro                  	       0        1        0        1        0
55666 python3-rosgraph                   	       0        2        0        2        0
55667 python3-rosgraph-msgs              	       0        2        0        2        0
55668 python3-rosinstall                 	       0        1        0        1        0
55669 python3-rosinstall-generator       	       0        1        0        1        0
55670 python3-roslaunch                  	       0        1        0        1        0
55671 python3-roslib                     	       0        2        0        2        0
55672 python3-roslz4                     	       0        1        0        1        0
55673 python3-rosmake                    	       0        1        0        1        0
55674 python3-rosmaster                  	       0        1        0        1        0
55675 python3-rosmsg                     	       0        1        0        1        0
55676 python3-rosnode                    	       0        1        0        1        0
55677 python3-rosparam                   	       0        1        0        1        0
55678 python3-rospkg                     	       0        2        0        2        0
55679 python3-rospy                      	       0        2        0        2        0
55680 python3-rosservice                 	       0        1        0        1        0
55681 python3-rostest                    	       0        1        0        1        0
55682 python3-rostopic                   	       0        1        0        1        0
55683 python3-rosunit                    	       0        2        0        2        0
55684 python3-roswtf                     	       0        1        0        1        0
55685 python3-rpaths                     	       0        1        0        1        0
55686 python3-rply                       	       0        7        0        7        0
55687 python3-rq                         	       0        2        0        2        0
55688 python3-rrdtool                    	       0        1        0        1        0
55689 python3-rsa                        	       0       24        1       23        0
55690 python3-rstcheck                   	       0        3        0        3        0
55691 python3-rtmidi                     	       0        5        0        5        0
55692 python3-rtslib-fb                  	       0        1        0        1        0
55693 python3-ruffus                     	       0        1        0        1        0
55694 python3-ruyaml                     	       0        1        0        1        0
55695 python3-rx                         	       0        1        0        1        0
55696 python3-s3transfer                 	       0       22        0       22        0
55697 python3-sabyenc                    	       0        1        0        1        0
55698 python3-sadisplay                  	       0        1        0        1        0
55699 python3-sage                       	       0        8        0        8        0
55700 python3-sagenb-export              	       0        8        0        8        0
55701 python3-sagetex                    	       0        7        0        7        0
55702 python3-saltpylint                 	       0        1        0        1        0
55703 python3-samsungctl                 	       0        1        0        1        0
55704 python3-saneyaml                   	       0        1        0        1        0
55705 python3-sarif-python-om            	       0        1        0        1        0
55706 python3-satpy                      	       0        1        0        1        0
55707 python3-savitar                    	       0       22        0       22        0
55708 python3-scapy                      	       0       20        0       20        0
55709 python3-schedule                   	       0        1        0        1        0
55710 python3-schema                     	       0       39        0       39        0
55711 python3-schema-salad               	       0        3        0        3        0
55712 python3-scikit-fmm                 	       0        1        0        1        0
55713 python3-scp                        	       0       10        0       10        0
55714 python3-scramp                     	       0        1        0        1        0
55715 python3-scrapy                     	       0        1        0        1        0
55716 python3-screed                     	       0        2        0        2        0
55717 python3-screeninfo                 	       0        3        0        3        0
55718 python3-scruffy                    	       0        2        0        2        0
55719 python3-sdl2                       	       0       13        0       13        0
55720 python3-seaborn                    	       0       10        0       10        0
55721 python3-seafile                    	       0        1        0        1        0
55722 python3-searpc                     	       0        2        0        2        0
55723 python3-searx                      	       0        5        0        5        0
55724 python3-securesystemslib           	       0        1        0        1        0
55725 python3-sedparse                   	       0        1        0        1        0
55726 python3-selinux                    	       0       72        4       68        0
55727 python3-semanage                   	       0        4        0        4        0
55728 python3-semantic-version           	       0       22        1       21        0
55729 python3-sensor-msgs                	       0        2        0        2        0
55730 python3-sentinelsat                	       0        4        0        4        0
55731 python3-sepolgen                   	       0        4        0        4        0
55732 python3-sepolicy                   	       0        4        0        4        0
55733 python3-seqdiag                    	       0        4        0        4        0
55734 python3-serial-asyncio             	       0       10        0       10        0
55735 python3-serializable               	       0        1        0        1        0
55736 python3-serpent                    	       0        1        0        1        0
55737 python3-setools                    	       0        9        0        9        0
55738 python3-setoolsgui                 	       0        2        0        2        0
55739 python3-setuptools-git             	       0        3        0        3        0
55740 python3-setuptools-whl             	       0      486        0        0      486
55741 python3-sexpdata                   	       0        1        0        1        0
55742 python3-sfml                       	       0        2        0        2        0
55743 python3-sgp4                       	       0        2        0        2        0
55744 python3-shape-msgs                 	       0        1        0        1        0
55745 python3-shellescape                	       0        4        0        4        0
55746 python3-shodan                     	       0        6        1        5        0
55747 python3-shortuuid                  	       0        1        0        1        0
55748 python3-showinfilemanager          	       0        2        0        2        0
55749 python3-shtab                      	       0       19        0       19        0
55750 python3-sigmavirus24-urltemplate   	       0        2        0        0        2
55751 python3-signedjson                 	       0        4        0        4        0
55752 python3-silx                       	       0        1        0        1        0
55753 python3-simple-cdd                 	       0        3        0        3        0
55754 python3-simplebayes                	       0        4        0        4        0
55755 python3-simplegeneric              	       0       18        0       18        0
55756 python3-simplematch                	       0        1        0        1        0
55757 python3-simpy                      	       0        1        0        1        0
55758 python3-simpy3                     	       0        2        0        2        0
55759 python3-single-version             	       0        1        0        1        0
55760 python3-singledispatch             	       0        4        0        4        0
55761 python3-sip-dbg                    	       0        1        0        1        0
55762 python3-sip-tqt                    	       0        3        0        3        0
55763 python3-skimage                    	       0       15        0       15        0
55764 python3-skimage-lib                	       0       15        0       15        0
55765 python3-skyfield                   	       0        2        0        2        0
55766 python3-skytools                   	       0        1        0        1        0
55767 python3-sleekxmpp                  	       0        1        0        1        0
55768 python3-slepc4py                   	       0        1        0        1        0
55769 python3-slepc4py-real              	       0        1        0        0        1
55770 python3-slepc4py-real3.18          	       0        1        0        0        1
55771 python3-slimit                     	       0        4        0        4        0
55772 python3-slip                       	       0        2        0        2        0
55773 python3-slip-dbus                  	       0        2        0        2        0
55774 python3-slixmpp                    	       0        2        0        2        0
55775 python3-slixmpp-lib                	       0        2        0        2        0
55776 python3-smart-open                 	       0        1        0        1        0
55777 python3-smbus                      	       0       10        0       10        0
55778 python3-smclib                     	       0        1        0        1        0
55779 python3-smmap                      	       0       22        0       22        0
55780 python3-smoke-zephyr               	       0        1        0        1        0
55781 python3-snappy                     	       0       21        0       21        0
55782 python3-snuggs                     	       0        7        0        7        0
55783 python3-soapysdr                   	       0        6        0        6        0
55784 python3-socketio                   	       0        8        0        8        0
55785 python3-socksipychain              	       0        1        0        1        0
55786 python3-softlayer                  	       0        5        0        5        0
55787 python3-sortedcollections          	       0        7        0        7        0
55788 python3-soundfile                  	       0        5        0        5        0
55789 python3-spake2                     	       0       15        0       15        0
55790 python3-sparqlwrapper              	       0       14        0       14        0
55791 python3-sparse                     	       0        3        0        3        0
55792 python3-specreduce                 	       0        1        0        1        0
55793 python3-specreduce-data            	       0        1        0        1        0
55794 python3-spectral-cube              	       0        2        0        2        0
55795 python3-specutils                  	       0        2        0        2        0
55796 python3-speg                       	       0        8        0        8        0
55797 python3-spf                        	       0       10        1        9        0
55798 python3-spf-engine                 	       0        9        1        8        0
55799 python3-spglib                     	       0        1        0        1        0
55800 python3-sphinx-a4doc               	       0        2        0        2        0
55801 python3-sphinx-argparse            	       0        2        0        2        0
55802 python3-sphinx-astropy             	       0        2        0        2        0
55803 python3-sphinx-autoapi             	       0        1        0        1        0
55804 python3-sphinx-autobuild           	       0        2        0        2        0
55805 python3-sphinx-autodoc-typehints   	       0        2        0        2        0
55806 python3-sphinx-automodapi          	       0        2        0        2        0
55807 python3-sphinx-autorun             	       0        2        0        2        0
55808 python3-sphinx-book-theme          	       0        3        0        3        0
55809 python3-sphinx-bootstrap-theme     	       0        9        1        8        0
55810 python3-sphinx-celery              	       0        2        0        2        0
55811 python3-sphinx-click               	       0        1        0        1        0
55812 python3-sphinx-copybutton          	       0        8        0        8        0
55813 python3-sphinx-feature-classification	       0        2        0        2        0
55814 python3-sphinx-gallery             	       0        3        0        3        0
55815 python3-sphinx-inline-tabs         	       0        6        0        6        0
55816 python3-sphinx-issues              	       0        1        0        1        0
55817 python3-sphinx-markdown-tables     	       0        1        0        1        0
55818 python3-sphinx-multiversion        	       0        1        0        1        0
55819 python3-sphinx-panels              	       0        1        0        1        0
55820 python3-sphinx-paramlinks          	       0        2        0        2        0
55821 python3-sphinx-prompt              	       0        2        0        0        2
55822 python3-sphinx-qt-documentation    	       0        1        0        1        0
55823 python3-sphinx-rst-builder         	       0        2        0        2        0
55824 python3-sphinx-rtd-theme           	       0       44        4       40        0
55825 python3-sphinx-sitemap             	       0        1        0        1        0
55826 python3-sphinx-tabs                	       0        2        0        2        0
55827 python3-sphinx-tabs-doc            	       0        1        0        0        1
55828 python3-sphinx-testing             	       0        2        0        2        0
55829 python3-sphinxbase                 	       0        2        0        2        0
55830 python3-sphinxcontrib-asyncio      	       0        2        0        2        0
55831 python3-sphinxcontrib-log-cabinet  	       0        1        1        0        0
55832 python3-sphinxcontrib-mermaid      	       0        1        0        1        0
55833 python3-sphinxcontrib-pecanwsme    	       0        1        0        1        0
55834 python3-sphinxcontrib.actdiag      	       0        3        1        2        0
55835 python3-sphinxcontrib.apidoc       	       0        6        0        6        0
55836 python3-sphinxcontrib.autoprogram  	       0        3        0        3        0
55837 python3-sphinxcontrib.bibtex       	       0       10        1        9        0
55838 python3-sphinxcontrib.blockdiag    	       0        2        1        1        0
55839 python3-sphinxcontrib.devhelp      	       0        1        1        0        0
55840 python3-sphinxcontrib.ditaa        	       0        1        0        1        0
55841 python3-sphinxcontrib.doxylink     	       0        3        1        2        0
55842 python3-sphinxcontrib.httpdomain   	       0        2        0        2        0
55843 python3-sphinxcontrib.jquery       	       0        9        0        9        0
55844 python3-sphinxcontrib.nwdiag       	       0        2        1        1        0
55845 python3-sphinxcontrib.plantuml     	       0        3        0        3        0
55846 python3-sphinxcontrib.programoutput	       0        2        0        2        0
55847 python3-sphinxcontrib.qthelp       	       0        2        1        1        0
55848 python3-sphinxcontrib.restbuilder  	       0        2        1        1        0
55849 python3-sphinxcontrib.seqdiag      	       0        2        1        1        0
55850 python3-sphinxcontrib.serializinghtml	       0        3        1        2        0
55851 python3-sphinxcontrib.spelling     	       0        2        0        2        0
55852 python3-sphinxcontrib.svg2pdfconverter	       0        2        1        1        0
55853 python3-sphinxcontrib.trio         	       0        1        0        1        0
55854 python3-sphinxcontrib.websupport   	       0        3        0        3        0
55855 python3-sphinxext-opengraph        	       0        1        0        1        0
55856 python3-sphinxtesters              	       0        2        0        2        0
55857 python3-spidev                     	       0        1        0        1        0
55858 python3-spotify                    	       0        1        0        1        0
55859 python3-spur                       	       0        1        0        1        0
55860 python3-spyne                      	       0        1        0        1        0
55861 python3-sql                        	       0        1        0        1        0
55862 python3-sqlalchemy-i18n            	       0        2        0        2        0
55863 python3-sqlalchemy-utc             	       0        1        0        1        0
55864 python3-sqlalchemy-utils           	       0        4        0        4        0
55865 python3-sqlite-migrate             	       0        2        0        2        0
55866 python3-sqlitedict                 	       0        1        0        1        0
55867 python3-srsly                      	       0        1        0        1        0
55868 python3-srt                        	       0        1        0        1        0
55869 python3-sshtunnel                  	       0        7        0        7        0
55870 python3-standard-aifc              	       0        1        0        1        0
55871 python3-standard-asynchat          	       0        1        0        1        0
55872 python3-standard-chunk             	       0        1        0        1        0
55873 python3-standard-sunau             	       0        1        0        1        0
55874 python3-starlette                  	       0       14        0       14        0
55875 python3-statsd                     	       0        3        0        3        0
55876 python3-statsmodels                	       0        5        0        5        0
55877 python3-statsmodels-lib            	       0        5        0        5        0
55878 python3-std-msgs                   	       0        4        0        4        0
55879 python3-std-srvs                   	       0        1        0        1        0
55880 python3-stdeb                      	       0        6        0        6        0
55881 python3-stdnum                     	       0        6        0        6        0
55882 python3-stereo-msgs                	       0        1        0        1        0
55883 python3-stetl                      	       0        3        1        2        0
55884 python3-stevedore                  	       0       30        0       30        0
55885 python3-stl                        	       0       25        0       25        0
55886 python3-stopit                     	       0        1        0        1        0
55887 python3-streamlink-doc             	       0        1        0        0        1
55888 python3-streamparser               	       0        1        0        1        0
55889 python3-strictyaml                 	       0        6        0        6        0
55890 python3-subliminal                 	       0        6        0        6        0
55891 python3-subprocess-tee             	       0        8        0        8        0
55892 python3-subunit                    	       0       10        0       10        0
55893 python3-subversion                 	       0        2        0        2        0
55894 python3-suds                       	       0       12        0       12        0
55895 python3-sunpy                      	       0        1        0        1        0
55896 python3-surfer                     	       0        1        0        1        0
55897 python3-svg.path                   	       0        8        0        8        0
55898 python3-svgelements                	       0        2        0        2        0
55899 python3-svglib                     	       0        1        0        1        0
55900 python3-svgwrite                   	       0        2        0        2        0
55901 python3-svtplay-dl                 	       0        3        0        0        3
55902 python3-swiftclient                	       0        3        0        3        0
55903 python3-swiglpk                    	       0        1        0        1        0
55904 python3-syndom                     	       0        1        0        1        0
55905 python3-synphot                    	       0        1        0        1        0
55906 python3-taglib                     	       0        5        0        5        0
55907 python3-talloc-dev                 	       0        1        0        1        0
55908 python3-tango                      	       0        1        0        1        0
55909 python3-tap                        	       0        1        0        1        0
55910 python3-taurus                     	       0        1        0        1        0
55911 python3-taurus-pyqtgraph           	       0        1        0        1        0
55912 python3-tenacity                   	       0       48        0       48        0
55913 python3-termbox                    	       0        5        0        5        0
55914 python3-termcolor                  	       0       21        1       20        0
55915 python3-terminado                  	       0       57        3       54        0
55916 python3-terminaltables             	       0       16        0       16        0
55917 python3-testfixtures               	       0        1        0        1        0
55918 python3-testpath                   	       0       17        3       14        0
55919 python3-testresources              	       0        7        0        7        0
55920 python3-testscenarios              	       0        3        0        3        0
55921 python3-texext                     	       0        1        0        1        0
55922 python3-text-unidecode             	       0        2        0        2        0
55923 python3-textile                    	       0       21        0       21        0
55924 python3-textual                    	       0        1        0        1        0
55925 python3-tf                         	       0        2        0        2        0
55926 python3-tf2                        	       0        2        0        2        0
55927 python3-tf2-msgs                   	       0        2        0        2        0
55928 python3-tf2-ros                    	       0        2        0        2        0
55929 python3-tf2-sensor-msgs            	       0        1        0        1        0
55930 python3-theano                     	       0        3        0        3        0
55931 python3-thefuzz                    	       0        2        0        2        0
55932 python3-thinc                      	       0        1        0        1        0
55933 python3-thrift                     	       0       41        0       41        0
55934 python3-throttler                  	       0        1        0        1        0
55935 python3-tifffile                   	       0       13        0       13        0
55936 python3-time-machine               	       0        1        0        1        0
55937 python3-tinydb                     	       0        1        0        1        0
55938 python3-tkstackrpms                	       0        2        0        2        0
55939 python3-tld                        	       0       28        0       28        0
55940 python3-tlpui                      	       0        2        0        2        0
55941 python3-tmdbsimple                 	       0        1        0        1        0
55942 python3-tmuxp                      	       0        8        0        8        0
55943 python3-tokenize-rt                	       0        1        0        1        0
55944 python3-tomli-w                    	       0        9        1        8        0
55945 python3-toolz                      	       0       21        0       21        0
55946 python3-tooz                       	       0        3        0        3        0
55947 python3-topic-tools                	       0        1        0        1        0
55948 python3-toposort                   	       0       11        0       11        0
55949 python3-torch                      	       0       13        0       13        0
55950 python3-torchaudio                 	       0        3        0        3        0
55951 python3-torchvision                	       0        4        0        4        0
55952 python3-torrequest                 	       0        1        0        1        0
55953 python3-tpm2-pkcs11-tools          	       0        2        0        2        0
55954 python3-tpm2-pytss                 	       0        2        0        2        0
55955 python3-tr                         	       0        6        0        6        0
55956 python3-traceback2                 	       0        3        0        3        0
55957 python3-traits                     	       0        3        0        3        0
55958 python3-traitsui                   	       0        3        0        3        0
55959 python3-trajectory-msgs            	       0        1        0        1        0
55960 python3-transaction                	       0        1        0        1        0
55961 python3-translationstring          	       0        2        0        2        0
55962 python3-treq                       	       0        4        0        4        0
55963 python3-trezor                     	       0        1        0        1        0
55964 python3-trie                       	       0       20        0       20        0
55965 python3-trollimage                 	       0        1        0        1        0
55966 python3-trollius                   	       0        1        0        1        0
55967 python3-trollsched                 	       0        1        0        1        0
55968 python3-trollsift                  	       0        2        0        2        0
55969 python3-trufont                    	       0        3        0        3        0
55970 python3-tsk                        	       0       14        0       14        0
55971 python3-ttkthemes                  	       0        3        0        3        0
55972 python3-ttystatus                  	       0       22        2       20        0
55973 python3-tvdb-api                   	       0        3        0        3        0
55974 python3-twilio                     	       0        1        0        1        0
55975 python3-twitter                    	       0        1        0        1        0
55976 python3-txaio                      	       0       22        0       22        0
55977 python3-txtorcon                   	       0       14        0       14        0
55978 python3-typechecks                 	       0        1        0        1        0
55979 python3-typed-ast                  	       0       12        0       12        0
55980 python3-typedload                  	       0        2        0        2        0
55981 python3-typeshed                   	       0       85        0        0       85
55982 python3-u-msgpack                  	       0       19        0       19        0
55983 python3-uamqp                      	       0        8        0        8        0
55984 python3-ubjson                     	       0       19        0       19        0
55985 python3-ubuntutools                	       0        2        0        2        0
55986 python3-udatetime                  	       0        2        0        2        0
55987 python3-ufl                        	       0        2        0        2        0
55988 python3-ufl-legacy                 	       0        1        0        1        0
55989 python3-uflash                     	       0        1        0        1        0
55990 python3-uflash-doc                 	       0        1        0        0        1
55991 python3-ufo-extractor              	       0        3        0        3        0
55992 python3-ufo2ft                     	       0        5        0        5        0
55993 python3-ufonormalizer              	       0        3        0        3        0
55994 python3-ufoprocessor               	       0        2        0        2        0
55995 python3-uhashring                  	       0        3        0        3        0
55996 python3-uhd                        	       0        3        0        3        0
55997 python3-uinput                     	       0        5        1        4        0
55998 python3-ukui-menu                  	       0        1        0        1        0
55999 python3-ulid                       	       0        2        0        2        0
56000 python3-umu-launcher               	       0        1        0        1        0
56001 python3-unbound                    	       0        4        0        4        0
56002 python3-uncertainties              	       0        6        0        6        0
56003 python3-unearth                    	       0        2        0        2        0
56004 python3-unicodecsv                 	       0        4        0        4        0
56005 python3-unittest2                  	       0       12        0       12        0
56006 python3-unpaddedbase64             	       0       11        0       11        0
56007 python3-unrardll                   	       0        5        0        5        0
56008 python3-upstream-ontologist        	       0        4        0        4        0
56009 python3-uranium                    	       0       22        0       22        0
56010 python3-uritools                   	       0       67        0       67        0
56011 python3-url-normalize              	       0       13        1       12        0
56012 python3-usb1                       	       0        4        0        4        0
56013 python3-uvicorn                    	       0       17        0       17        0
56014 python3-uvloop                     	       0       39        7       32        0
56015 python3-vagrant                    	       0        2        0        2        0
56016 python3-validators                 	       0        2        0        2        0
56017 python3-validictory                	       0        2        0        2        0
56018 python3-vatnumber                  	       0        3        0        3        0
56019 python3-vcf                        	       0        1        0        1        0
56020 python3-vcr                        	       0        6        0        6        0
56021 python3-vcstools                   	       0        2        0        2        0
56022 python3-vdf                        	       0       19        0       19        0
56023 python3-venusian                   	       0        2        0        2        0
56024 python3-venv                       	       0      436        1       15      420
56025 python3-versioneer                 	       0        2        0        2        0
56026 python3-versiontools               	       0        1        0        1        0
56027 python3-veusz                      	       0        2        0        2        0
56028 python3-veusz.helpers              	       0        2        0        2        0
56029 python3-vigra                      	       0        2        0        2        0
56030 python3-vine                       	       0        6        0        6        0
56031 python3-virt-firmware              	       0        1        0        1        0
56032 python3-virtualenv-clone           	       0       26        0       26        0
56033 python3-virtualenvwrapper          	       0        9        1        8        0
56034 python3-virtualsmartcard           	       0        2        0        2        0
56035 python3-vispy                      	       0        3        0        3        0
56036 python3-visualization-msgs         	       0        1        0        1        0
56037 python3-vitrage                    	       0        1        0        1        0
56038 python3-vmdkstream                 	       0        2        0        2        0
56039 python3-voluptuous                 	       0        7        0        7        0
56040 python3-vsts-cd-manager            	       0        2        0        2        0
56041 python3-vtk7                       	       0        1        0        1        0
56042 python3-vtk9                       	       0       13        0       13        0
56043 python3-vtkgdcm                    	       0        3        0        3        0
56044 python3-w3lib                      	       0        3        0        3        0
56045 python3-warlock                    	       0        2        0        2        0
56046 python3-wasabi                     	       0        1        0        1        0
56047 python3-watson                     	       0        1        0        1        0
56048 python3-wcag-contrast-ratio        	       0        1        0        1        0
56049 python3-wchartype                  	       0        1        0        1        0
56050 python3-wcmatch                    	       0        9        0        9        0
56051 python3-webdav                     	       0        4        0        4        0
56052 python3-webdavclient               	       0        1        0        1        0
56053 python3-webpy                      	       0        5        0        5        0
56054 python3-websockify                 	       0       11        0       11        0
56055 python3-webtest                    	       0        6        0        6        0
56056 python3-webview                    	       0        2        0        2        0
56057 python3-webvtt                     	       0        2        0        2        0
56058 python3-wget                       	       0        2        0        2        0
56059 python3-whatthepatch               	       0        2        0        2        0
56060 python3-wheel-whl                  	       0      117        0        0      117
56061 python3-whichcraft                 	       0        1        0        1        0
56062 python3-whisper                    	       0        3        0        3        0
56063 python3-wicd                       	       0        1        0        1        0
56064 python3-widgetsnbextension         	       0       52        0       52        0
56065 python3-wikitrans                  	       0        1        0        1        0
56066 python3-wilderness                 	       0        1        0        1        0
56067 python3-willow                     	       0        7        0        7        0
56068 python3-winrm                      	       0       62        0       62        0
56069 python3-woob                       	       0        1        0        1        0
56070 python3-wordcloud                  	       0        1        1        0        0
56071 python3-wsaccel                    	       0       19        0       19        0
56072 python3-wsgilog                    	       0        1        0        1        0
56073 python3-wstool                     	       0        1        0        1        0
56074 python3-wxgtk-media4.0             	       0        4        0        4        0
56075 python3-wxgtk-webview4.0           	       0        3        0        3        0
56076 python3-wxmplot                    	       0        2        0        2        0
56077 python3-wxutils                    	       0        5        0        5        0
56078 python3-x-wr-timezone              	       0        2        0        2        0
56079 python3-x2gobroker                 	       0        1        0        1        0
56080 python3-xarray                     	       0        6        0        6        0
56081 python3-xarray-sentinel            	       0        2        0        2        0
56082 python3-xdo                        	       0        2        0        2        0
56083 python3-xeus-python-shell          	       0        1        0        1        0
56084 python3-xgboost                    	       0        1        0        1        0
56085 python3-xhtml2pdf                  	       0        3        0        3        0
56086 python3-xkit                       	       0        2        0        2        0
56087 python3-xlsxwriter                 	       0       24        1       23        0
56088 python3-xlwt                       	       0       34        0       34        0
56089 python3-xmlschema                  	       0        3        0        3        0
56090 python3-xmodem                     	       0        2        0        2        0
56091 python3-xopen                      	       0        1        0        1        0
56092 python3-xstatic                    	       0        1        0        1        0
56093 python3-xstatic-json2yaml          	       0        1        1        0        0
56094 python3-xtermcolor                 	       0        1        0        1        0
56095 python3-xvfbwrapper                	       0        5        0        5        0
56096 python3-xyzservices                	       0        3        0        3        0
56097 python3-yappi                      	       0        4        0        4        0
56098 python3-yapps                      	       0        7        0        7        0
56099 python3-yapsy                      	       0        1        0        1        0
56100 python3-yara                       	       0       13        0       13        0
56101 python3-yaswfp                     	       0       28        0       28        0
56102 python3-yattag                     	       0        5        0        5        0
56103 python3-ykman                      	       0       36        0       36        0
56104 python3-yoyo                       	       0        3        0        3        0
56105 python3-yubikey-manager            	       0       25        0        0       25
56106 python3-yubiotp                    	       0        1        0        1        0
56107 python3-zake                       	       0        3        0        3        0
56108 python3-zc.lockfile                	       0       13        4        9        0
56109 python3-zeep                       	       0       10        0       10        0
56110 python3-zeitgeist                  	       0        3        0        3        0
56111 python3-zict                       	       0       15        0       15        0
56112 python3-zipstream-ng               	       0        1        0        1        0
56113 python3-zlmdb                      	       0        1        0        1        0
56114 python3-zombie-imp                 	       0        9        0        9        0
56115 python3-zombie-telnetlib           	       0        2        0        2        0
56116 python3-zope.component             	       0       78       19       59        0
56117 python3-zope.configuration         	       0        2        0        2        0
56118 python3-zope.deprecation           	       0        2        0        2        0
56119 python3-zope.hookable              	       0       77       19       58        0
56120 python3-zope.i18nmessageid         	       0        2        0        2        0
56121 python3-zope.schema                	       0        2        0        2        0
56122 python3-zstd                       	       0        2        0        2        0
56123 python3-zxcvbn                     	       0        2        0        2        0
56124 python3-zzzeeksphinx               	       0        1        0        1        0
56125 python3.10                         	       0       27        0       27        0
56126 python3.10-dbg                     	       0        1        0        1        0
56127 python3.10-dev                     	       0        9        0        9        0
56128 python3.10-doc                     	       0        1        0        0        1
56129 python3.10-minimal                 	       0       28        3       25        0
56130 python3.11-dbg                     	       0        5        0        5        0
56131 python3.11-doc                     	       0      102        0        0      102
56132 python3.11-full                    	       0       59        0        0       59
56133 python3.12-dbg                     	       0        1        0        1        0
56134 python3.12-doc                     	       0       13        0        0       13
56135 python3.12-examples                	       0        3        0        3        0
56136 python3.12-full                    	       0        3        0        0        3
56137 python3.13-dbg                     	       0        1        0        1        0
56138 python3.13-doc                     	       0        7        0        0        7
56139 python3.13-examples                	       0        1        0        1        0
56140 python3.13-full                    	       0        1        0        0        1
56141 python3.2                          	       0        6        0        6        0
56142 python3.2-minimal                  	       0        6        0        6        0
56143 python3.3                          	       0        4        0        4        0
56144 python3.3-minimal                  	       0        4        0        4        0
56145 python3.4-dev                      	       0        3        0        3        0
56146 python3.5                          	       0      209        3      206        0
56147 python3.5-dbg                      	       0        3        0        3        0
56148 python3.5-dev                      	       0       17        0       17        0
56149 python3.5-doc                      	       0        3        0        0        3
56150 python3.5-minimal                  	       0      213       23      190        0
56151 python3.5-venv                     	       0        3        0        3        0
56152 python3.6                          	       0        7        0        7        0
56153 python3.6-dev                      	       0        1        0        1        0
56154 python3.6-minimal                  	       0        7        0        7        0
56155 python3.7-dbg                      	       0        3        0        3        0
56156 python3.7-dev                      	       0       32        0       32        0
56157 python3.7-doc                      	       0        7        0        0        7
56158 python3.7-examples                 	       0        1        0        1        0
56159 python3.7-venv                     	       0       14        1       13        0
56160 python3.8                          	       0        5        0        5        0
56161 python3.8-dev                      	       0        1        0        1        0
56162 python3.8-minimal                  	       0        6        0        6        0
56163 python3.9-dbg                      	       0        6        0        6        0
56164 python3.9-doc                      	       0       12        0        0       12
56165 python3.9-examples                 	       0        2        0        2        0
56166 python3.9-full                     	       0        2        0        0        2
56167 pytqt-doc                          	       0        1        0        1        0
56168 pytrainer                          	       0        1        0        1        0
56169 pyupgrade                          	       0        1        0        1        0
56170 pyvcf                              	       0        1        0        1        0
56171 pyvnc2swf                          	       0        1        0        1        0
56172 pywps                              	       0        2        0        0        2
56173 pywps-doc                          	       0        1        0        0        1
56174 pywps-wsgi                         	       0        2        0        0        2
56175 pyxplot                            	       0        3        0        3        0
56176 pyxplot-doc                        	       0        2        0        0        2
56177 pyzfs-doc                          	       0        1        0        0        1
56178 pyzor                              	       0       20        3       17        0
56179 pyzor-doc                          	       0        4        0        0        4
56180 q2templates                        	       0        1        0        1        0
56181 q4os-api                           	       0        2        0        2        0
56182 q4os-base                          	       0        2        0        2        0
56183 q4os-cpuq                          	       0        1        0        1        0
56184 q4os-cpuq5                         	       0        1        0        1        0
56185 q4os-debfxs                        	       0        1        0        1        0
56186 q4os-deps                          	       0        2        0        0        2
56187 q4os-desktop                       	       0        2        0        0        2
56188 q4os-desktop-plasma                	       0        1        0        0        1
56189 q4os-desktop-trinity               	       0        1        0        0        1
56190 q4os-fonts-droid                   	       0        1        0        0        1
56191 q4os-fonts-noto                    	       0        2        0        0        2
56192 q4os-i18n                          	       0        2        0        1        1
56193 q4os-keyrings                      	       0        2        0        0        2
56194 q4os-qt5-style02                   	       0        1        0        0        1
56195 q4os-screenscaler                  	       0        1        0        1        0
56196 q4os-setup                         	       0        2        0        2        0
56197 q4os-styles-gtk3                   	       0        1        0        0        1
56198 q4os-styles-qt4                    	       0        1        0        0        1
56199 q4os-sw-profiler                   	       0        2        0        2        0
56200 q4os-sw-profiler-data              	       0        2        0        0        2
56201 q4os-swcentre                      	       0        2        0        2        0
56202 q4os-swcentre-data                 	       0        2        0        0        2
56203 q4os-tde-qtplugin                  	       0        1        0        0        1
56204 q4os-welcome                       	       0        1        0        1        0
56205 q4os-welcome-common                	       0        2        0        0        2
56206 q4os-welcome5                      	       0        1        0        1        0
56207 qabcs                              	       0        3        0        3        0
56208 qabcs-data                         	       0        3        0        0        3
56209 qalculate                          	       0        9        0        0        9
56210 qapt-deb-installer                 	       0       13        0       13        0
56211 qapt-utils                         	       0        6        0        0        6
56212 qarte                              	       0        4        0        4        0
56213 qasconfig                          	       0       32        1       31        0
56214 qashctl                            	       0       25        0       25        0
56215 qasmixer                           	       0       62        5       57        0
56216 qasmixer-dbgsym                    	       0        1        0        1        0
56217 qastools-common                    	       0       68        4        8       56
56218 qbankmanager                       	       0        1        0        1        0
56219 qbe                                	       0        1        0        1        0
56220 qbittorrent-dbg                    	       0        2        0        2        0
56221 qbittorrent-nox                    	       0       13        0       13        0
56222 qbrew                              	       0        1        0        1        0
56223 qbs                                	       0        3        0        3        0
56224 qbs-common                         	       0       11        0        0       11
56225 qbs-dev                            	       0        2        0        2        0
56226 qbs-doc                            	       0        2        0        0        2
56227 qbs-doc-html                       	       0        1        0        0        1
56228 qbs-examples                       	       0        1        0        1        0
56229 qc                                 	       0        1        0        1        0
56230 qc-usb-utils                       	       0        1        0        1        0
56231 qca-qt5-2-utils                    	       0        2        0        2        0
56232 qcad                               	       0        2        0        0        2
56233 qcad-data                          	       0        1        0        0        1
56234 qcad-doc                           	       0        1        0        0        1
56235 qcalcfilehash                      	       0        1        0        1        0
56236 qcam                               	       0        1        0        1        0
56237 qccrypt                            	       0        6        0        6        0
56238 qcodec2                            	       0        1        0        1        0
56239 qcomicbook                         	       0       13        0       13        0
56240 qconf                              	       0        4        0        4        0
56241 qcontrol                           	       0        1        0        1        0
56242 qcoro-doc                          	       0        3        0        3        0
56243 qcoro-qt5-dev                      	       0        1        0        1        0
56244 qd                                 	       0        1        0        1        0
56245 qdbm-doc                           	       0        1        0        0        1
56246 qdbus                              	       0      134        0        0      134
56247 qdigidoc4                          	       0        2        0        2        0
56248 qdiskinfo                          	       0        3        0        3        0
56249 qdl                                	       0        1        0        1        0
56250 qdmr                               	       0        7        1        6        0
56251 qelectrotech                       	       0       17        0       17        0
56252 qelectrotech-data                  	       0       17        0        0       17
56253 qelectrotech-examples              	       0       17        0        0       17
56254 qemu                               	       0      123        0        0      123
56255 qemu-efi                           	       0       24        0        0       24
56256 qemu-efi-aarch64                   	       0      179        0        0      179
56257 qemu-efi-arm                       	       0      169        0        0      169
56258 qemu-efi-riscv64                   	       0        2        0        0        2
56259 qemu-guest-agent-vz                	       0        1        0        1        0
56260 qemu-guest-agent-vz-dbg            	       0        1        0        1        0
56261 qemu-jfp-tools                     	       0        3        0        3        0
56262 qemu-keymaps                       	       0        1        0        0        1
56263 qemu-kvm                           	       0       65        2       63        0
56264 qemu-slof                          	       0       23        0        0       23
56265 qemu-system                        	       0      176        0        0      176
56266 qemu-system-data                   	       0      616        0        0      616
56267 qemu-system-modules-opengl         	       0       67        0        2       65
56268 qemu-system-modules-spice          	       0       55        0        2       53
56269 qemu-system-xen                    	       0       10        2        2        6
56270 qemubuilder                        	       0        9        1        8        0
56271 qemuctl                            	       0        2        0        2        0
56272 qfinderpro                         	       0        1        0        1        0
56273 qfits-tools                        	       0        3        0        3        0
56274 qfitsview                          	       0        1        0        1        0
56275 qflow                              	       0        6        0        6        0
56276 qflow-tech-osu018                  	       0        6        0        0        6
56277 qflow-tech-osu035                  	       0        6        0        0        6
56278 qflow-tech-osu050                  	       0        6        0        0        6
56279 qgama                              	       0        1        0        1        0
56280 qgfe                               	       0        1        0        1        0
56281 qgis                               	       0       42        3       39        0
56282 qgis-api-doc                       	       0        1        0        0        1
56283 qgis-common                        	       0       46        1        1       44
56284 qgis-plugin-globe-common           	       0        1        0        0        1
56285 qgis-plugin-grass                  	       0       38        3       35        0
56286 qgis-plugin-grass-common           	       0       41        0        0       41
56287 qgis-provider-grass                	       0       38        3       35        0
56288 qgis-providers                     	       0       44        3       41        0
56289 qgis-providers-common              	       0       46        0        0       46
56290 qgis-server                        	       0        2        0        0        2
56291 qgis-server-bin                    	       0        2        0        2        0
56292 qgis-server-common                 	       0        2        0        0        2
56293 qgis-server-dummy                  	       0        2        0        2        0
56294 qgis-server-landingpage            	       0        2        0        2        0
56295 qgis-server-wcs                    	       0        2        0        2        0
56296 qgis-server-wfs                    	       0        2        0        2        0
56297 qgis-server-wfs3                   	       0        2        0        2        0
56298 qgis-server-wms                    	       0        2        0        2        0
56299 qgis-server-wmts                   	       0        2        0        2        0
56300 qgis-sip                           	       0        3        0        0        3
56301 qgit                               	       0       25        1       24        0
56302 qgnomeplatform-qt5                 	       0        7        0        0        7
56303 qgo                                	       0       11        0       11        0
56304 qhimdtransfer                      	       0        1        0        1        0
56305 qiv                                	       0       46        1       45        0
56306 qjackrcd                           	       0       12        0       12        0
56307 qjoypad                            	       0       14        0       14        0
56308 ql600pdrv                          	       0        1        0        0        1
56309 ql700pdrv                          	       0        5        0        0        5
56310 qlandkartegt                       	       0        1        0        1        0
56311 qlandkartegt-garmin                	       0        1        0        1        0
56312 qlcplus                            	       0        7        1        6        0
56313 qlcplus-data                       	       0        7        0        0        7
56314 qlogo                              	       0        4        0        4        0
56315 qmail                              	       0        7        1        6        0
56316 qmail-run                          	       0        4        0        4        0
56317 qmail-tools                        	       0        1        0        1        0
56318 qmail-uids-gids                    	       0        5        0        0        5
56319 qmapshack                          	       0       16        0       16        0
56320 qmenu                              	       0        1        0        1        0
56321 qmhandle                           	       0        1        0        1        0
56322 qmidiarp                           	       0        7        0        7        0
56323 qmidinet                           	       0       13        0       13        0
56324 qmidiroute                         	       0       11        0       11        0
56325 qml                                	       0       12        0       12        0
56326 qml-module-gsettings               	       0        3        0        0        3
56327 qml-module-gsettings1.0            	       0      513        0        0      513
56328 qml-module-io-thp-pyotherside      	       0       30        0        2       28
56329 qml-module-lomiri-components       	       0        1        0        0        1
56330 qml-module-lomiri-components-labs  	       0        1        0        0        1
56331 qml-module-lomiri-performancemetrics	       0        1        0        0        1
56332 qml-module-ofono                   	       0        1        0        0        1
56333 qml-module-org-kde-analitza        	       0       46        0        0       46
56334 qml-module-org-kde-charts          	       0       59        0        0       59
56335 qml-module-org-kde-extensionplugin 	       0       13        0        0       13
56336 qml-module-org-kde-games-core      	       0       63        0        0       63
56337 qml-module-org-kde-graphicaleffects	       0        1        0        0        1
56338 qml-module-org-kde-i18n-localedata 	       0        1        0        0        1
56339 qml-module-org-kde-kaccounts       	       0       25        0        0       25
56340 qml-module-org-kde-kcmutils        	       0      857        0        0      857
56341 qml-module-org-kde-kholidays       	       0      542        0        0      542
56342 qml-module-org-kde-kio             	       0      564        0        5      559
56343 qml-module-org-kde-kirigami        	       0        5        0        0        5
56344 qml-module-org-kde-kirigami-addons-datetime	       0        1        0        0        1
56345 qml-module-org-kde-kirigami-addons-labs-components	       0        2        0        0        2
56346 qml-module-org-kde-kirigami-addons-labs-mobileform	       0       47        0        0       47
56347 qml-module-org-kde-kirigami-addons-sounds	       0        1        0        0        1
56348 qml-module-org-kde-kirigami-addons-treeview	       0        1        0        0        1
56349 qml-module-org-kde-kquickimageeditor	       0        9        0        0        9
56350 qml-module-org-kde-notifications   	       0        5        0        0        5
56351 qml-module-org-kde-okular          	       0       12        0        0       12
56352 qml-module-org-kde-people          	       0      709        0        0      709
56353 qml-module-org-kde-purpose         	       0      770        1        2      767
56354 qml-module-org-kde-qqc2breezestyle 	       0        2        0        0        2
56355 qml-module-org-kde-runnermodel     	       0      942        0        0      942
56356 qml-module-org-kde-solid           	       0      544        0        0      544
56357 qml-module-org-kde-syntaxhighlighting	       0      438        0        0      438
56358 qml-module-org-kde-telepathy       	       0        6        0        2        4
56359 qml-module-org-nemomobile-mpris    	       0        1        0        0        1
56360 qml-module-qmltermwidget           	       0        6        0        0        6
56361 qml-module-qt-labs-animation       	       0       24        0        0       24
56362 qml-module-qt-labs-calendar        	       0       15        0        0       15
56363 qml-module-qt-labs-location        	       0        2        0        0        2
56364 qml-module-qt-labs-qmlmodels       	       0      427        1        4      422
56365 qml-module-qt-labs-sharedimage     	       0        2        0        0        2
56366 qml-module-qt-labs-wavefrontmesh   	       0        2        0        0        2
56367 qml-module-qt-websockets           	       0        2        0        0        2
56368 qml-module-qt3d                    	       0        4        0        0        4
56369 qml-module-qtaudioengine           	       0        2        0        0        2
56370 qml-module-qtbluetooth             	       0        2        0        0        2
56371 qml-module-qtcharts                	       0       47        2        1       44
56372 qml-module-qtdatavisualization     	       0        3        0        0        3
56373 qml-module-qtfeedback              	       0        4        0        0        4
56374 qml-module-qtgamepad               	       0        1        0        0        1
56375 qml-module-qtgstreamer             	       0       17        0        0       17
56376 qml-module-qtlocation              	       0       21        0        0       21
56377 qml-module-qtmultimedia            	       0      865        1        2      862
56378 qml-module-qtnfc                   	       0        1        0        0        1
56379 qml-module-qtorganizer             	       0        1        0        0        1
56380 qml-module-qtpositioning           	       0       21        0        0       21
56381 qml-module-qtpublishsubscribe      	       0        1        0        0        1
56382 qml-module-qtqml-statemachine      	       0       41        0        0       41
56383 qml-module-qtqml-workerscript2     	       0        1        0        0        1
56384 qml-module-qtquick-controls-styles-breeze	       0       36        0        0       36
56385 qml-module-qtquick-extras          	       0      110        0        0      110
56386 qml-module-qtquick-localstorage    	       0       52        0        2       50
56387 qml-module-qtquick-particles2      	       0      747        1        2      744
56388 qml-module-qtquick-pdf             	       0        3        0        0        3
56389 qml-module-qtquick-scene2d         	       0        1        0        0        1
56390 qml-module-qtquick-scene3d         	       0        6        0        0        6
56391 qml-module-qtquick-shapes          	       0      886        1        3      882
56392 qml-module-qtquick-xmllistmodel    	       0       56        0        3       53
56393 qml-module-qtremoteobjects         	       0        1        0        0        1
56394 qml-module-qtscxml                 	       0        3        0        0        3
56395 qml-module-qtsensors               	       0        1        0        0        1
56396 qml-module-qtsysteminfo            	       0        3        0        0        3
56397 qml-module-qttest                  	       0        9        0        0        9
56398 qml-module-qtwayland-client-texturesharing	       0        1        0        0        1
56399 qml-module-qtwayland-compositor    	       0        3        0        0        3
56400 qml-module-qtwebchannel            	       0       16        0        1       15
56401 qml-module-qtwebengine             	       0      748        1        2      745
56402 qml-module-qtwebkit                	       0      498        0        0      498
56403 qml-module-qtwebsockets            	       0        3        0        0        3
56404 qml-module-qtwebview               	       0        6        0        0        6
56405 qml-module-snapd                   	       0        1        0        0        1
56406 qml-module-termwidget              	       0       28        0        2       26
56407 qml6-module-assets-downloader      	       0        1        0        0        1
56408 qml6-module-org-kde-activities     	       0       23        1        0       22
56409 qml6-module-org-kde-baloo          	       0       23        0        0       23
56410 qml6-module-org-kde-bluezqt        	       0       52        6       12       34
56411 qml6-module-org-kde-breeze         	       0       23        2        3       18
56412 qml6-module-org-kde-calendarcore   	       0        1        0        0        1
56413 qml6-module-org-kde-config         	       0       45        0        1       44
56414 qml6-module-org-kde-contacts       	       0        1        0        0        1
56415 qml6-module-org-kde-games-core     	       0        5        0        0        5
56416 qml6-module-org-kde-graphicaleffects	       0       23        0        6       17
56417 qml6-module-org-kde-guiaddons      	       0        4        0        0        4
56418 qml6-module-org-kde-i18n-localedata	       0        3        0        0        3
56419 qml6-module-org-kde-iconthemes     	       0       25        0        0       25
56420 qml6-module-org-kde-kaccounts      	       0        3        0        0        3
56421 qml6-module-org-kde-kholidays      	       0       24        0        0       24
56422 qml6-module-org-kde-kirigamiaddons-datetime	       0       39        0        0       39
56423 qml6-module-org-kde-kirigamiaddons-delegates	       0       40        0        0       40
56424 qml6-module-org-kde-kirigamiaddons-formcard	       0       41        0        0       41
56425 qml6-module-org-kde-kirigamiaddons-labs-components	       0        7        0        0        7
56426 qml6-module-org-kde-kirigamiaddons-settings	       0        7        0        0        7
56427 qml6-module-org-kde-kirigamiaddons-statefulapp	       0        3        0        0        3
56428 qml6-module-org-kde-kirigamiaddons-tableview	       0       23        0        0       23
56429 qml6-module-org-kde-kirigamiaddons-treeview	       0       39        0        0       39
56430 qml6-module-org-kde-kquickcontrols 	       0       23        0        4       19
56431 qml6-module-org-kde-kquickimageeditor	       0        2        0        0        2
56432 qml6-module-org-kde-ksysguard      	       0       23        1        2       20
56433 qml6-module-org-kde-layershell     	       0        2        0        0        2
56434 qml6-module-org-kde-marble         	       0        1        0        0        1
56435 qml6-module-org-kde-marble-imageprovider	       0        1        0        0        1
56436 qml6-module-org-kde-newstuff       	       0       36        0        2       34
56437 qml6-module-org-kde-okular         	       0        2        0        0        2
56438 qml6-module-org-kde-people         	       0       36        0        0       36
56439 qml6-module-org-kde-prison         	       0       52        0        0       52
56440 qml6-module-org-kde-purpose        	       0       51        0        0       51
56441 qml6-module-org-kde-syntaxhighlighting	       0       24        0        0       24
56442 qml6-module-org-kde-userfeedback   	       0       27        0        0       27
56443 qml6-module-qml                    	       0        1        0        0        1
56444 qml6-module-qmltime                	       0        6        0        0        6
56445 qml6-module-qt-labs-animation      	       0       17        0        0       17
56446 qml6-module-qt-labs-folderlistmodel	       0       61        2        1       58
56447 qml6-module-qt-labs-lottieqt       	       0       13        0        0       13
56448 qml6-module-qt-labs-settings       	       0       20        0        0       20
56449 qml6-module-qt-labs-sharedimage    	       0       18        0        0       18
56450 qml6-module-qt-labs-wavefrontmesh  	       0       18        0        0       18
56451 qml6-module-qt3d-animation         	       0        2        0        0        2
56452 qml6-module-qt3d-core              	       0        2        0        0        2
56453 qml6-module-qt3d-extras            	       0        2        0        0        2
56454 qml6-module-qt3d-input             	       0        2        0        0        2
56455 qml6-module-qt3d-logic             	       0        2        0        0        2
56456 qml6-module-qt3d-render            	       0        2        0        0        2
56457 qml6-module-qtcharts               	       0        6        0        0        6
56458 qml6-module-qtdatavisualization    	       0        2        0        0        2
56459 qml6-module-qtgraphs               	       0        1        0        0        1
56460 qml6-module-qtgrpc                 	       0        1        0        0        1
56461 qml6-module-qtlocation             	       0        1        0        0        1
56462 qml6-module-qtmultimedia           	       0       64        0        0       64
56463 qml6-module-qtnetwork              	       0        6        0        0        6
56464 qml6-module-qtpositioning          	       0       25        0        0       25
56465 qml6-module-qtprotobuf             	       0        1        0        0        1
56466 qml6-module-qtqml                  	       0       96        0        0       96
56467 qml6-module-qtqml-base             	       0       69        0        0       69
56468 qml6-module-qtqml-models           	       0      126        0        0      126
56469 qml6-module-qtqml-statemachine     	       0       18        0        0       18
56470 qml6-module-qtqml-xmllistmodel     	       0       19        0        0       19
56471 qml6-module-qtquick                	       0      144        0        0      144
56472 qml6-module-qtquick-localstorage   	       0       19        0        0       19
56473 qml6-module-qtquick-nativestyle    	       0       19        0        0       19
56474 qml6-module-qtquick-particles      	       0       50        0        0       50
56475 qml6-module-qtquick-pdf            	       0        6        0        0        6
56476 qml6-module-qtquick-scene2d        	       0        3        0        0        3
56477 qml6-module-qtquick-scene3d        	       0        3        0        0        3
56478 qml6-module-qtquick-shapes         	       0      102        1        6       95
56479 qml6-module-qtquick-timeline       	       0       24        0        0       24
56480 qml6-module-qtquick-timeline-blendtrees	       0        1        0        0        1
56481 qml6-module-qtquick-tooling        	       0       64        0        0       64
56482 qml6-module-qtquick-vectorimage    	       0        1        0        0        1
56483 qml6-module-qtquick-virtualkeyboard	       0       38        2        1       35
56484 qml6-module-qtquick3d              	       0        6        0        0        6
56485 qml6-module-qtquick3d-assetutils   	       0        1        0        0        1
56486 qml6-module-qtquick3d-effects      	       0        1        0        0        1
56487 qml6-module-qtquick3d-helpers      	       0        1        0        0        1
56488 qml6-module-qtquick3d-materialeditor	       0        1        0        0        1
56489 qml6-module-qtquick3d-particleeffects	       0        1        0        0        1
56490 qml6-module-qtquick3d-particles3d  	       0       11        0        0       11
56491 qml6-module-qtquick3d-physics      	       0        2        0        0        2
56492 qml6-module-qtquick3d-spatialaudio 	       0       20        0        0       20
56493 qml6-module-qtquick3d-xr           	       0        1        0        0        1
56494 qml6-module-qtremoteobjects        	       0        2        0        0        2
56495 qml6-module-qtscxml                	       0        2        0        0        2
56496 qml6-module-qtsensors              	       0        4        0        0        4
56497 qml6-module-qttest                 	       0       11        0        0       11
56498 qml6-module-qttexttospeech         	       0        2        0        0        2
56499 qml6-module-qtwayland-client-texturesharing	       0        6        0        0        6
56500 qml6-module-qtwayland-compositor   	       0        7        0        0        7
56501 qml6-module-qtwebchannel           	       0       37        0        0       37
56502 qml6-module-qtwebengine            	       0       40        0        0       40
56503 qml6-module-qtwebengine-controlsdelegates	       0        4        0        0        4
56504 qml6-module-qtwebsockets           	       0        3        0        0        3
56505 qml6-module-qtwebview              	       0       20        0        0       20
56506 qml6-module-quick3d                	       0        1        0        0        1
56507 qml6-module-quick3d-assetutils     	       0        1        0        0        1
56508 qml6-module-quick3d-effects        	       0        1        0        0        1
56509 qml6-module-quick3d-helpers        	       0        1        0        0        1
56510 qml6-module-quick3d-particleeffects	       0        1        0        0        1
56511 qml6-module-quick3d-particles3d    	       0        1        0        0        1
56512 qml6-module-sso-onlineaccounts     	       0       31        0        0       31
56513 qmlscene                           	       0       28        0       26        2
56514 qmltermwidget-common               	       0       29        0        0       29
56515 qmmp-plugin-projectm               	       0       11        2        9        0
56516 qmpdclient                         	       0        2        0        2        0
56517 qnapi                              	       0        3        0        3        0
56518 qnetstatview                       	       0        2        0        2        0
56519 qodem                              	       0        1        0        1        0
56520 qodem-x11                          	       0        1        0        1        0
56521 qof-data                           	       0        1        0        0        1
56522 qogir-dark                         	       0        1        0        0        1
56523 qogir-icon-theme                   	       0        1        0        1        0
56524 qogir-light                        	       0        1        0        0        1
56525 qogir-win                          	       0        1        0        0        1
56526 qogir-win-dark                     	       0        1        0        0        1
56527 qogir-win-light                    	       0        1        0        0        1
56528 qoi                                	       0        1        1        0        0
56529 qonk                               	       0        5        0        5        0
56530 qosmic                             	       0        3        0        3        0
56531 qownnotes                          	       0        2        0        2        0
56532 qpdf-doc                           	       0        1        0        0        1
56533 qpdfview-translations              	       0      297        0        2      295
56534 qperf                              	       0        2        0        2        0
56535 qpopper                            	       0        3        0        3        0
56536 qprint                             	       0       18        0       18        0
56537 qprint-doc                         	       0        1        0        0        1
56538 qpwgraph                           	       0       29        2       27        0
56539 qpxtool                            	       0       14        0       14        0
56540 qqmusic                            	       0        1        0        1        0
56541 qqwing                             	       0        2        0        2        0
56542 qrazercfg                          	       0        3        0        3        0
56543 qrazercfg-applet                   	       0        2        0        2        0
56544 qrcp                               	       0        1        0        1        0
56545 qreator                            	       0        5        0        5        0
56546 qrenderdoc                         	       0        3        0        3        0
56547 qrisk2                             	       0        1        0        1        0
56548 qrouter                            	       0        6        0        6        0
56549 qrq                                	       0       12        0       12        0
56550 qrterminal                         	       0        2        0        2        0
56551 qrtr-tools                         	       0        1        0        1        0
56552 qsampler                           	       0        2        0        2        0
56553 qsapecng                           	       0        2        0        2        0
56554 qsapecng-doc                       	       0        1        0        0        1
56555 qsf                                	       0        1        0        1        0
56556 qshutdown                          	       0       11        0       11        0
56557 qsopt-ex                           	       0        3        0        3        0
56558 qspeakers                          	       0        2        0        2        0
56559 qsstv                              	       0       17        0       17        0
56560 qstardict                          	       0       10        0       10        0
56561 qstat                              	       0       17        1       16        0
56562 qstopmotion                        	       0        4        0        4        0
56563 qt-assistant-compat                	       0        3        0        3        0
56564 qt-at-spi                          	       0      102        0        0      102
56565 qt-ponies-data                     	       0        1        0        0        1
56566 qt3-apps-dev                       	       0        1        0        1        0
56567 qt3-assistant                      	       0        1        0        1        0
56568 qt3-dev-tools                      	       0        2        0        2        0
56569 qt3-doc                            	       0        2        0        0        2
56570 qt3-linguist                       	       0        1        0        1        0
56571 qt3-qtconfig                       	       0        1        0        1        0
56572 qt3d-assimpsceneimport-plugin      	       0       44        0        0       44
56573 qt3d-defaultgeometryloader-plugin  	       0       44        0        0       44
56574 qt3d-gltfsceneio-plugin            	       0       44        0        0       44
56575 qt3d-scene2d-plugin                	       0       44        0        0       44
56576 qt3d5-dev                          	       0        6        0        6        0
56577 qt3d5-dev-tools                    	       0        3        0        3        0
56578 qt3d5-doc                          	       0       39        0        0       39
56579 qt3d5-doc-html                     	       0       11        0        0       11
56580 qt3d5-examples                     	       0        3        0        0        3
56581 qt4-demos                          	       0        2        0        2        0
56582 qt4-designer                       	       0       16        0       16        0
56583 qt4-dev-tools                      	       0       11        0       11        0
56584 qt4-doc                            	       0       15        0        0       15
56585 qt4-doc-html                       	       0        3        0        0        3
56586 qt4-linguist-tools                 	       0       19        0       19        0
56587 qt4-qmake                          	       0       39        0       39        0
56588 qt4-qmlviewer                      	       0        3        0        0        3
56589 qt4-qtconfig                       	       0       13        0       13        0
56590 qt5-default                        	       0       11        0        0       11
56591 qt5-doc                            	       0       38        0        0       38
56592 qt5-doc-html                       	       0       10        0        0       10
56593 qt5-flatpak-platformtheme          	       0        6        0        0        6
56594 qt5-gtk-platformtheme-dbgsym       	       0        1        0        1        0
56595 qt5-gtk2-platformtheme             	       0      334        1       13      320
56596 qt5-image-formats-plugin-pdf       	       0       38        3       17       18
56597 qt5-qmake-bin-dbgsym               	       0        1        0        1        0
56598 qt5-qmltooling-plugins             	       0      179        0        0      179
56599 qt5-quick-demos                    	       0        5        0        0        5
56600 qt5-style-kvantum-l10n             	       0       52        0        0       52
56601 qt5-style-kvantum-themes           	       0       48        0        0       48
56602 qt5-style-plugin-cleanlooks        	       0      308        5       16      287
56603 qt5-style-plugin-motif             	       0      308        1        3      304
56604 qt5-style-plugins                  	       0      322        0        0      322
56605 qt5-styles-ukui                    	       0        8        0        0        8
56606 qt5-ukui-platformtheme             	       0        6        0        0        6
56607 qt5-xdgdesktopportal-platformtheme 	       0       10        0        0       10
56608 qt5dxcb-plugin                     	       0       32        1       16       15
56609 qt5keychain-dev                    	       0       16        0        2       14
56610 qt5qevercloud-dev                  	       0        2        0        2        0
56611 qt5serialport-examples             	       0        5        0        0        5
56612 qt6-3d-defaultgeometryloader-plugin	       0        1        0        0        1
56613 qt6-3d-doc                         	       0        1        0        0        1
56614 qt6-3d-doc-dev                     	       0        1        0        0        1
56615 qt6-3d-doc-html                    	       0        1        0        0        1
56616 qt6-3d-examples                    	       0        1        0        0        1
56617 qt6-5compat-doc                    	       0        1        0        0        1
56618 qt6-5compat-doc-html               	       0        1        0        0        1
56619 qt6-base-doc                       	       0        2        0        0        2
56620 qt6-base-doc-dev                   	       0        2        0        0        2
56621 qt6-base-doc-html                  	       0        2        0        0        2
56622 qt6-base-examples                  	       0        2        0        0        2
56623 qt6-charts-doc                     	       0        1        0        0        1
56624 qt6-charts-doc-html                	       0        1        0        0        1
56625 qt6-charts-examples                	       0        1        0        0        1
56626 qt6-connectivity-doc               	       0        1        0        0        1
56627 qt6-connectivity-doc-html          	       0        1        0        0        1
56628 qt6-connectivity-examples          	       0        1        0        0        1
56629 qt6-datavis3d-doc                  	       0        1        0        0        1
56630 qt6-datavis3d-doc-html             	       0        1        0        0        1
56631 qt6-datavis3d-examples             	       0        1        0        0        1
56632 qt6-declarative-doc                	       0        1        0        0        1
56633 qt6-declarative-doc-dev            	       0        1        0        0        1
56634 qt6-declarative-doc-html           	       0        1        0        0        1
56635 qt6-declarative-examples           	       0        1        0        0        1
56636 qt6-graphs-doc                     	       0        1        0        0        1
56637 qt6-graphs-doc-html                	       0        1        0        0        1
56638 qt6-graphs-examples                	       0        1        0        0        1
56639 qt6-grpc-doc                       	       0        1        0        0        1
56640 qt6-grpc-doc-dev                   	       0        1        0        0        1
56641 qt6-grpc-doc-html                  	       0        1        0        0        1
56642 qt6-grpc-examples                  	       0        1        0        0        1
56643 qt6-httpserver-doc                 	       0        1        0        0        1
56644 qt6-httpserver-doc-html            	       0        1        0        0        1
56645 qt6-httpserver-examples            	       0        1        0        0        1
56646 qt6-image-formats-plugin-pdf       	       0       10        2        1        7
56647 qt6-imageformats-doc               	       0        1        0        0        1
56648 qt6-imageformats-doc-html          	       0        1        0        0        1
56649 qt6-location-doc                   	       0        1        0        0        1
56650 qt6-location-doc-dev               	       0        1        0        0        1
56651 qt6-location-doc-html              	       0        1        0        0        1
56652 qt6-location-examples              	       0        1        0        0        1
56653 qt6-location-plugins               	       0        1        0        0        1
56654 qt6-multimedia-doc                 	       0        1        0        0        1
56655 qt6-multimedia-doc-html            	       0        1        0        0        1
56656 qt6-multimedia-examples            	       0        1        0        0        1
56657 qt6-networkauth-doc                	       0        1        0        0        1
56658 qt6-networkauth-doc-dev            	       0        1        0        0        1
56659 qt6-networkauth-doc-html           	       0        1        0        0        1
56660 qt6-networkauth-examples           	       0        1        0        0        1
56661 qt6-positioning-doc                	       0        1        0        0        1
56662 qt6-positioning-doc-dev            	       0        1        0        0        1
56663 qt6-positioning-doc-html           	       0        1        0        0        1
56664 qt6-positioning-examples           	       0        1        0        0        1
56665 qt6-qmllint-plugins                	       0        7        0        0        7
56666 qt6-qmlls-plugins                  	       0        1        0        0        1
56667 qt6-qmltooling-plugins             	       0       64        0        0       64
56668 qt6-qmltooling-quick3dprofiler-plugin	       0        1        0        0        1
56669 qt6-qpa-plugins                    	       0      537        0        0      537
56670 qt6-quick3d-assetimporters-plugin  	       0        1        0        0        1
56671 qt6-quick3d-doc                    	       0        1        0        0        1
56672 qt6-quick3d-doc-dev                	       0        1        0        0        1
56673 qt6-quick3d-doc-html               	       0        1        0        0        1
56674 qt6-quick3d-examples               	       0        1        0        0        1
56675 qt6-quick3dphysics-examples        	       0        1        0        0        1
56676 qt6-remoteobjects-doc              	       0        1        0        0        1
56677 qt6-remoteobjects-doc-dev          	       0        1        0        0        1
56678 qt6-remoteobjects-doc-html         	       0        1        0        0        1
56679 qt6-remoteobjects-examples         	       0        1        0        0        1
56680 qt6-scxml-doc                      	       0        1        0        0        1
56681 qt6-scxml-doc-dev                  	       0        1        0        0        1
56682 qt6-scxml-doc-html                 	       0        1        0        0        1
56683 qt6-scxml-examples                 	       0        1        0        0        1
56684 qt6-sensors-doc                    	       0        1        0        0        1
56685 qt6-sensors-doc-dev                	       0        1        0        0        1
56686 qt6-sensors-doc-html               	       0        1        0        0        1
56687 qt6-sensors-examples               	       0        1        0        0        1
56688 qt6-serialbus-doc                  	       0        1        0        0        1
56689 qt6-serialbus-doc-dev              	       0        1        0        0        1
56690 qt6-serialbus-doc-html             	       0        1        0        0        1
56691 qt6-serialbus-examples             	       0        1        0        0        1
56692 qt6-serialport-doc                 	       0        1        0        0        1
56693 qt6-serialport-doc-html            	       0        1        0        0        1
56694 qt6-serialport-examples            	       0        1        0        0        1
56695 qt6-shadertools-doc                	       0        1        0        0        1
56696 qt6-shadertools-doc-dev            	       0        1        0        0        1
56697 qt6-shadertools-doc-html           	       0        1        0        0        1
56698 qt6-speech-doc                     	       0        1        0        0        1
56699 qt6-speech-doc-html                	       0        1        0        0        1
56700 qt6-speech-examples                	       0        1        0        0        1
56701 qt6-speech-flite-plugin            	       0       23        0        0       23
56702 qt6-speech-speechd-plugin          	       0        3        0        0        3
56703 qt6-svg-doc                        	       0        1        0        0        1
56704 qt6-svg-doc-dev                    	       0        1        0        0        1
56705 qt6-svg-doc-html                   	       0        1        0        0        1
56706 qt6-svg-examples                   	       0        1        0        0        1
56707 qt6-tools-doc                      	       0        1        0        0        1
56708 qt6-tools-doc-dev                  	       0        1        0        0        1
56709 qt6-tools-doc-html                 	       0        1        0        0        1
56710 qt6-tools-examples                 	       0        1        0        0        1
56711 qt6-virtualkeyboard-plugin         	       0       24        2        1       21
56712 qt6-wayland-doc                    	       0        1        0        0        1
56713 qt6-wayland-doc-html               	       0        1        0        0        1
56714 qt6-wayland-examples               	       0        1        0        0        1
56715 qt6-webchannel-doc                 	       0        1        0        0        1
56716 qt6-webchannel-doc-dev             	       0        1        0        0        1
56717 qt6-webchannel-doc-html            	       0        1        0        0        1
56718 qt6-webchannel-examples            	       0        1        0        0        1
56719 qt6-webengine-doc                  	       0        1        0        0        1
56720 qt6-webengine-doc-dev              	       0        1        0        0        1
56721 qt6-webengine-doc-html             	       0        1        0        0        1
56722 qt6-webengine-examples             	       0        1        0        0        1
56723 qt6-websockets-doc                 	       0        1        0        0        1
56724 qt6-websockets-doc-dev             	       0        1        0        0        1
56725 qt6-websockets-doc-html            	       0        1        0        0        1
56726 qt6-websockets-examples            	       0        1        0        0        1
56727 qt6-webview-doc                    	       0        1        0        0        1
56728 qt6-webview-doc-html               	       0        1        0        0        1
56729 qt6-webview-examples               	       0        1        0        0        1
56730 qt6-webview-plugins                	       0        1        0        0        1
56731 qt6-xdgdesktopportal-platformtheme 	       0        8        1        0        7
56732 qtads                              	       0        3        0        3        0
56733 qtalarm                            	       0        1        0        1        0
56734 qtbase-opensource-src-build-deps   	       0        1        0        0        1
56735 qtbase5-dev-tools-dbgsym           	       0        1        0        1        0
56736 qtbase5-doc                        	       0       39        0        0       39
56737 qtbase5-doc-dev                    	       0        4        0        0        4
56738 qtbase5-doc-html                   	       0       15        0        0       15
56739 qtbase5-examples                   	       0        6        0        0        6
56740 qtbase5-gles-dev                   	       0        1        0        1        0
56741 qtcharts5-doc                      	       0       36        0        0       36
56742 qtcharts5-doc-html                 	       0       10        0        0       10
56743 qtcharts5-examples                 	       0        1        0        0        1
56744 qtchess                            	       0        1        0        0        1
56745 qtconnectivity5-dev                	       0        5        0        5        0
56746 qtconnectivity5-doc                	       0       38        0        0       38
56747 qtconnectivity5-doc-html           	       0       10        0        0       10
56748 qtconnectivity5-examples           	       0        3        0        0        3
56749 qtcore4-l10n                       	       0      180        0        0      180
56750 qtcreator-doc                      	       0       60        0        0       60
56751 qtcurve                            	       0       23        0        0       23
56752 qtcurve-l10n                       	       0       24        0        0       24
56753 qtcurve-trinity                    	       0        2        0        0        2
56754 qtdatavisualization5-doc           	       0       32        0        0       32
56755 qtdatavisualization5-doc-html      	       0        9        0        0        9
56756 qtdatavisualization5-examples      	       0        3        0        0        3
56757 qtdbustest-runner                  	       0        1        0        1        0
56758 qtdeclarative4-kqtquickcharts-1    	       0        2        0        2        0
56759 qtdeclarative5-doc                 	       0       38        0        0       38
56760 qtdeclarative5-doc-dev             	       0        1        0        0        1
56761 qtdeclarative5-doc-html            	       0       10        0        0       10
56762 qtdeclarative5-examples            	       0        6        0        0        6
56763 qtdeclarative5-private-dev         	       0        7        0        7        0
56764 qtearth                            	       0        1        0        1        0
56765 qtel                               	       0        4        0        4        0
56766 qtel-icons                         	       0        4        0        0        4
56767 qtemu                              	       0        2        0        2        0
56768 qtenginio5-doc                     	       0        1        0        0        1
56769 qterm                              	       0        3        1        2        0
56770 qtermwidget-data                   	       0        9        0        3        6
56771 qtfeedback5-dev                    	       0        2        0        2        0
56772 qtfeedback5-examples               	       0        3        0        3        0
56773 qtgain                             	       0        1        0        1        0
56774 qtgamepad5-doc                     	       0       22        0        0       22
56775 qtgamepad5-doc-html                	       0        8        0        0        8
56776 qtgamepad5-examples                	       0        2        0        0        2
56777 qtgraphicaleffects5-doc            	       0       38        0        0       38
56778 qtgraphicaleffects5-doc-html       	       0       10        0        0       10
56779 qtgstreamer-doc                    	       0        1        0        0        1
56780 qtgstreamer-plugins-qt5            	       0      554        0        0      554
56781 qthid-fcd-controller               	       0        9        1        8        0
56782 qtikz                              	       0        4        0        4        0
56783 qtiplot                            	       0        1        0        1        0
56784 qtiplot-doc                        	       0        1        0        0        1
56785 qtkeychain-qt6-dev                 	       0        4        0        4        0
56786 qtlocation5-dev                    	       0        8        0        8        0
56787 qtlocation5-doc                    	       0       38        0        0       38
56788 qtlocation5-doc-dev                	       0        1        0        0        1
56789 qtlocation5-doc-html               	       0       10        0        0       10
56790 qtlocation5-examples               	       0        2        0        0        2
56791 qtltools                           	       0        1        0        1        0
56792 qtmib                              	       0        1        0        1        0
56793 qtmir                              	       0        1        0        0        1
56794 qtmir-desktop                      	       0        2        0        0        2
56795 qtmultimedia5-doc                  	       0       38        0        0       38
56796 qtmultimedia5-doc-html             	       0       10        0        0       10
56797 qtmultimedia5-examples             	       0        3        0        0        3
56798 qtnetworkauth5-doc                 	       0       32        0        0       32
56799 qtnetworkauth5-doc-html            	       0        9        0        0        9
56800 qtnetworkauth5-examples            	       0        3        0        0        3
56801 qtop                               	       0        8        0        8        0
56802 qtox                               	       0       22        0       22        0
56803 qtpass                             	       0       11        0       11        0
56804 qtpdf5-dev                         	       0        2        0        2        0
56805 qtpdf5-doc                         	       0        1        0        0        1
56806 qtpdf5-doc-html                    	       0        1        0        0        1
56807 qtpdf5-examples                    	       0        3        0        0        3
56808 qtpim5-dev                         	       0        2        0        2        0
56809 qtpim5-examples                    	       0        3        0        0        3
56810 qtpositioning5-dev                 	       0       55        0       55        0
56811 qtpositioning5-doc                 	       0        1        0        0        1
56812 qtproj                             	       0        1        0        1        0
56813 qtqr                               	       0       43        1       42        0
56814 qtquickcontrols2-5-dev             	       0       31        0       31        0
56815 qtquickcontrols2-5-doc             	       0       39        0        0       39
56816 qtquickcontrols2-5-doc-html        	       0       11        0        0       11
56817 qtquickcontrols2-5-examples        	       0        4        0        0        4
56818 qtquickcontrols2-5-private-dev     	       0        3        0        3        0
56819 qtquickcontrols5-doc               	       0       39        0        0       39
56820 qtquickcontrols5-doc-html          	       0       11        0        0       11
56821 qtquickcontrols5-examples          	       0        2        0        0        2
56822 qtractor                           	       0       18        1       17        0
56823 qtrassa                            	       0        1        0        1        0
56824 qtremoteobjects5-doc               	       0        1        0        0        1
56825 qtremoteobjects5-doc-html          	       0        1        0        0        1
56826 qtremoteobjects5-examples          	       0        2        0        0        2
56827 qtscript-tools                     	       0        1        0        1        0
56828 qtscript5-doc                      	       0       38        0        0       38
56829 qtscript5-doc-html                 	       0       10        0        0       10
56830 qtscript5-examples                 	       0        2        0        0        2
56831 qtscxml5-doc                       	       0       32        0        0       32
56832 qtscxml5-doc-html                  	       0        9        0        0        9
56833 qtscxml5-examples                  	       0        2        0        0        2
56834 qtsensors5-doc                     	       0       39        0        0       39
56835 qtsensors5-doc-html                	       0       11        0        0       11
56836 qtsensors5-examples                	       0        3        0        0        3
56837 qtserialbus5-doc                   	       0       32        0        0       32
56838 qtserialbus5-doc-html              	       0        9        0        0        9
56839 qtserialbus5-examples              	       0        2        0        0        2
56840 qtserialport5-doc                  	       0       38        0        0       38
56841 qtserialport5-doc-html             	       0       10        0        0       10
56842 qtspeech5-doc                      	       0        1        0        0        1
56843 qtspeech5-doc-html                 	       0        1        0        0        1
56844 qtspeech5-examples                 	       0        2        0        0        2
56845 qtspeech5-flite-plugin             	       0       14        0        0       14
56846 qtsvg5-doc                         	       0       38        0        0       38
56847 qtsvg5-doc-html                    	       0       10        0        0       10
56848 qtsvg5-examples                    	       0        2        0        0        2
56849 qtsystems5-dev                     	       0        6        0        6        0
56850 qtsystems5-examples                	       0        4        0        0        4
56851 qtsystems5-private-dev             	       0        4        0        4        0
56852 qtsystems5-tools                   	       0        3        0        3        0
56853 qttinysa                           	       0        2        0        2        0
56854 qttools5-doc                       	       0       40        0        0       40
56855 qttools5-doc-html                  	       0       11        0        0       11
56856 qttools5-examples                  	       0        3        0        0        3
56857 qttools5-private-dev               	       0        7        0        7        0
56858 qtvirtualkeyboard-plugin           	       0       15        0        1       14
56859 qtvirtualkeyboard5-doc             	       0       36        0        0       36
56860 qtvirtualkeyboard5-doc-html        	       0       10        0        0       10
56861 qtvirtualkeyboard5-examples        	       0        2        0        0        2
56862 qtwayland5-dev-tools               	       0       14        0       14        0
56863 qtwayland5-doc                     	       0       40        0        0       40
56864 qtwayland5-doc-html                	       0       10        0        0       10
56865 qtwayland5-examples                	       0        2        0        0        2
56866 qtwayland5-private-dev             	       0        6        0        6        0
56867 qtwebchannel5-doc                  	       0       39        0        0       39
56868 qtwebchannel5-doc-html             	       0       10        0        0       10
56869 qtwebchannel5-examples             	       0        2        0        0        2
56870 qtwebengine5-dev                   	       0       46        0       46        0
56871 qtwebengine5-doc                   	       0       69        0        0       69
56872 qtwebengine5-doc-html              	       0       10        0        0       10
56873 qtwebengine5-examples              	       0        3        0        0        3
56874 qtwebengine5-private-dev           	       0        4        0        4        0
56875 qtwebkit5-doc                      	       0        3        0        0        3
56876 qtwebkit5-examples-doc             	       0        3        0        0        3
56877 qtwebsockets5-doc                  	       0       39        0        0       39
56878 qtwebsockets5-doc-html             	       0       10        0        0       10
56879 qtwebsockets5-examples             	       0        2        0        0        2
56880 qtwebview5-doc                     	       0       37        0        0       37
56881 qtwebview5-doc-html                	       0       10        0        0       10
56882 qtwebview5-examples                	       0        2        0        0        2
56883 qtx11extras5-doc                   	       0       39        0        0       39
56884 qtx11extras5-doc-html              	       0       10        0        0       10
56885 qtxdg-dev-tools                    	       0        4        0        4        0
56886 qtxmlpatterns5-dev-tools           	       0       26        0       24        2
56887 qtxmlpatterns5-doc                 	       0       39        0        0       39
56888 qtxmlpatterns5-doc-html            	       0       10        0        0       10
56889 qtxmlpatterns5-examples            	       0        2        0        0        2
56890 quagga                             	       0        2        0        0        2
56891 quagga-bgpd                        	       0        2        0        2        0
56892 quagga-core                        	       0        3        0        3        0
56893 quagga-isisd                       	       0        2        0        2        0
56894 quagga-ospf6d                      	       0        2        0        2        0
56895 quagga-ospfd                       	       0        3        0        3        0
56896 quagga-pimd                        	       0        2        0        2        0
56897 quagga-ripd                        	       0        2        0        2        0
56898 quagga-ripngd                      	       0        2        0        2        0
56899 quake                              	       0        8        0        8        0
56900 quake-armagon                      	       0        2        0        0        2
56901 quake-armagon-music                	       0        1        0        0        1
56902 quake-dissolution                  	       0        2        0        0        2
56903 quake-dissolution-music            	       0        1        0        0        1
56904 quake-music                        	       0        3        0        0        3
56905 quake-registered                   	       0        4        0        0        4
56906 quake-shareware                    	       0        1        0        0        1
56907 quake2                             	       0        8        0        8        0
56908 quake2-data                        	       0        1        0        0        1
56909 quake2-demo-data                   	       0        3        0        0        3
56910 quake2-full-data                   	       0        2        0        0        2
56911 quake2-groundzero-data             	       0        1        0        0        1
56912 quake2-groundzero-music            	       0        1        0        0        1
56913 quake2-music                       	       0        2        0        0        2
56914 quake2-reckoning-data              	       0        1        0        0        1
56915 quake2-reckoning-music             	       0        1        0        0        1
56916 quake3                             	       0        6        0        6        0
56917 quake3-data                        	       0        1        0        1        0
56918 quake3-demo-data                   	       0        2        0        2        0
56919 quake4                             	       0        1        0        1        0
56920 quakespasm                         	       0       11        0       11        0
56921 quakespasm-build-deps              	       0        1        0        0        1
56922 quakespasm-dbgsym                  	       0        1        0        1        0
56923 qualitis-carpaltunnel              	       0        1        1        0        0
56924 qualitis-utils                     	       0        4        2        2        0
56925 qualys-cloud-agent                 	       0        1        0        0        1
56926 quantum-espresso                   	       0        1        0        1        0
56927 quantum-espresso-data              	       0        1        0        0        1
56928 quarry                             	       0       11        0       11        0
56929 quarto                             	       0        5        1        4        0
56930 quassel-core                       	       0        1        0        1        0
56931 quassel-data                       	       0      144        1        0      143
56932 quaternion                         	       0       15        0       15        0
56933 qucs-s                             	       0        2        0        2        0
56934 quelcom                            	       0        3        0        3        0
56935 quentier-qt5                       	       0        1        0        1        0
56936 quick-n-easy-web-builder-11        	       0        1        0        0        1
56937 quickcal                           	       0        3        0        3        0
56938 quickgui                           	       0        2        0        0        2
56939 quickplot                          	       0        2        0        2        0
56940 quickroute-gps                     	       0        1        0        1        0
56941 quicktime-utils                    	       0        7        1        6        0
56942 quicktime-x11utils                 	       0        5        0        5        0
56943 quilt-el                           	       0        2        0        2        0
56944 quintuple-agent                    	       0        1        0        1        0
56945 quisk                              	       0        7        0        7        0
56946 quitcount                          	       0        1        0        1        0
56947 quiteinsane                        	       0        1        0        1        0
56948 quiterss                           	       0       18        1       17        0
56949 quollwriter                        	       0        1        0        1        0
56950 quotatool                          	       0       25        2       23        0
56951 qupzilla                           	       0        6        0        5        1
56952 qupzilla-plugin-kwallet            	       0        1        0        0        1
56953 qutebrowser-qtwebengine            	       0       54        0        0       54
56954 qutebrowser-qtwebkit               	       0        2        0        0        2
56955 qutemol                            	       0        1        0        1        0
56956 quvi                               	       0       10        0       10        0
56957 qv4l2                              	       0       25        1       24        0
56958 qvge                               	       0        2        0        2        0
56959 qvidcap                            	       0        1        0        1        0
56960 qweborf                            	       0        3        0        3        0
56961 qwertone                           	       0        3        0        3        0
56962 qwinff                             	       0       14        0       14        0
56963 qwo                                	       0        1        0        1        0
56964 qxgedit                            	       0        4        0        4        0
56965 qxp2epub                           	       0        1        0        1        0
56966 qxp2odg                            	       0        2        0        2        0
56967 qxw                                	       0        8        0        8        0
56968 r-base                             	       0       76        0        0       76
56969 r-base-dev                         	       0      132        0        0      132
56970 r-bioc-all                         	       0        1        0        1        0
56971 r-bioc-annotate                    	       0        1        0        1        0
56972 r-bioc-annotationdbi               	       0        1        0        1        0
56973 r-bioc-beachmat                    	       0        1        0        1        0
56974 r-bioc-biobase                     	       0       34        1       33        0
56975 r-bioc-biocgenerics                	       0       34        1       33        0
56976 r-bioc-biocneighbors               	       0        1        0        1        0
56977 r-bioc-biocparallel                	       0        1        0        1        0
56978 r-bioc-biocsingular                	       0        1        0        1        0
56979 r-bioc-biocstyle                   	       0        3        0        3        0
56980 r-bioc-biocversion                 	       0       33        1       32        0
56981 r-bioc-biocviews                   	       0        1        0        1        0
56982 r-bioc-biostrings                  	       0        1        0        1        0
56983 r-bioc-bluster                     	       0        1        0        1        0
56984 r-bioc-complexheatmap              	       0        1        0        1        0
56985 r-bioc-consensusclusterplus        	       0        1        0        1        0
56986 r-bioc-degreport                   	       0        1        0        1        0
56987 r-bioc-delayedarray                	       0        1        0        1        0
56988 r-bioc-delayedmatrixstats          	       0        1        0        1        0
56989 r-bioc-deseq2                      	       0        1        0        1        0
56990 r-bioc-edger                       	       0        1        0        1        0
56991 r-bioc-genefilter                  	       0        1        0        1        0
56992 r-bioc-geneplotter                 	       0        1        0        1        0
56993 r-bioc-genomeinfodb                	       0        1        0        1        0
56994 r-bioc-genomeinfodbdata            	       0        1        0        1        0
56995 r-bioc-genomicalignments           	       0        1        0        1        0
56996 r-bioc-genomicranges               	       0        1        0        1        0
56997 r-bioc-glmgampoi                   	       0        1        0        1        0
56998 r-bioc-go.db                       	       0        1        0        1        0
56999 r-bioc-graph                       	       0        6        0        6        0
57000 r-bioc-hdf5array                   	       0        1        0        1        0
57001 r-bioc-hsmmsinglecell              	       0        1        0        1        0
57002 r-bioc-iranges                     	       0        1        0        1        0
57003 r-bioc-limma                       	       0        1        0        1        0
57004 r-bioc-matrixgenerics              	       0        1        0        1        0
57005 r-bioc-monocle                     	       0        1        0        1        0
57006 r-bioc-org.hs.eg.db                	       0        1        0        1        0
57007 r-bioc-rbgl                        	       0        1        0        1        0
57008 r-bioc-rhdf5                       	       0        1        0        1        0
57009 r-bioc-rhdf5filters                	       0        1        0        1        0
57010 r-bioc-rhdf5lib                    	       0        1        0        1        0
57011 r-bioc-rhtslib                     	       0        1        0        1        0
57012 r-bioc-rsamtools                   	       0        1        0        1        0
57013 r-bioc-s4vectors                   	       0        1        0        1        0
57014 r-bioc-scran                       	       0        1        0        1        0
57015 r-bioc-scuttle                     	       0        1        0        1        0
57016 r-bioc-shortread                   	       0        1        0        1        0
57017 r-bioc-singlecellexperiment        	       0        1        0        1        0
57018 r-bioc-sparsematrixstats           	       0        1        0        1        0
57019 r-bioc-summarizedexperiment        	       0        1        0        1        0
57020 r-bioc-tximport                    	       0        1        0        1        0
57021 r-bioc-xvector                     	       0        1        0        1        0
57022 r-bioc-zlibbioc                    	       0        1        0        1        0
57023 r-cran-abind                       	       0       37        1       36        0
57024 r-cran-actuar                      	       0        8        0        8        0
57025 r-cran-ade4                        	       0        2        0        2        0
57026 r-cran-adegraphics                 	       0        1        0        1        0
57027 r-cran-admisc                      	       0       32        1       31        0
57028 r-cran-aer                         	       0       35        1       34        0
57029 r-cran-afex                        	       0        7        0        7        0
57030 r-cran-airr                        	       0        1        0        1        0
57031 r-cran-amelia                      	       0        6        0        6        0
57032 r-cran-amore                       	       0        3        0        3        0
57033 r-cran-ape                         	       0        9        0        9        0
57034 r-cran-areal                       	       0        4        0        4        0
57035 r-cran-arm                         	       0       11        0       11        0
57036 r-cran-av                          	       0       32        1       31        0
57037 r-cran-backports                   	       0       39        1       38        0
57038 r-cran-base64enc                   	       0       41        1       40        0
57039 r-cran-base64url                   	       0        4        0        4        0
57040 r-cran-batchjobs                   	       0        5        0        5        0
57041 r-cran-batchtools                  	       0        4        0        4        0
57042 r-cran-bayesfactor                 	       0        8        0        8        0
57043 r-cran-bayesfm                     	       0        6        0        6        0
57044 r-cran-bayesm                      	       0        2        0        2        0
57045 r-cran-bayesplot                   	       0        8        0        8        0
57046 r-cran-bayestestr                  	       0        6        0        6        0
57047 r-cran-bbmisc                      	       0        6        0        6        0
57048 r-cran-bbmle                       	       0        7        0        7        0
57049 r-cran-bdsmatrix                   	       0       34        1       33        0
57050 r-cran-beeswarm                    	       0        7        0        7        0
57051 r-cran-bench                       	       0       33        1       32        0
57052 r-cran-bh                          	       0       36        1       35        0
57053 r-cran-biasedurn                   	       0        6        0        6        0
57054 r-cran-bibtex                      	       0        4        0        4        0
57055 r-cran-bindr                       	       0        4        0        4        0
57056 r-cran-bindrcpp                    	       0        4        0        4        0
57057 r-cran-biocmanager                 	       0       34        1       33        0
57058 r-cran-bit                         	       0       39        1       38        0
57059 r-cran-bitops                      	       0       37        1       36        0
57060 r-cran-blme                        	       0        6        0        6        0
57061 r-cran-blob                        	       0       39        1       38        0
57062 r-cran-blockmodeling               	       0        1        0        1        0
57063 r-cran-bms                         	       0        1        0        1        0
57064 r-cran-bold                        	       0        1        0        1        0
57065 r-cran-bookdown                    	       0       34        2       32        0
57066 r-cran-bradleyterry2               	       0        1        0        1        0
57067 r-cran-brew                        	       0       38        1       37        0
57068 r-cran-brglm                       	       0        1        0        1        0
57069 r-cran-brglm2                      	       0        5        0        5        0
57070 r-cran-bridgesampling              	       0        8        0        8        0
57071 r-cran-brio                        	       0       38        1       37        0
57072 r-cran-brms                        	       0        8        0        8        0
57073 r-cran-brobdingnag                 	       0        8        0        8        0
57074 r-cran-broom                       	       0       36        1       35        0
57075 r-cran-broom.helpers               	       0        5        0        5        0
57076 r-cran-broom.mixed                 	       0        8        0        8        0
57077 r-cran-ca                          	       0        6        0        6        0
57078 r-cran-cachem                      	       0       38        1       37        0
57079 r-cran-caic4                       	       0        6        0        6        0
57080 r-cran-cairo                       	       0       34        1       33        0
57081 r-cran-cairodevice                 	       0        1        0        1        0
57082 r-cran-callr                       	       0       38        1       37        0
57083 r-cran-car                         	       0       35        1       34        0
57084 r-cran-cardata                     	       0       35        1       34        0
57085 r-cran-caret                       	       0       36        1       35        0
57086 r-cran-catools                     	       0       35        1       34        0
57087 r-cran-cba                         	       0       34        1       33        0
57088 r-cran-cellranger                  	       0       35        1       34        0
57089 r-cran-checkmate                   	       0       37        1       36        0
57090 r-cran-chk                         	       0        6        0        6        0
57091 r-cran-chron                       	       0       36        1       35        0
57092 r-cran-circlize                    	       0        3        0        3        0
57093 r-cran-classint                    	       0       35        1       34        0
57094 r-cran-cliapp                      	       0       32        1       31        0
57095 r-cran-clipr                       	       0       38        1       37        0
57096 r-cran-clisymbols                  	       0        1        0        1        0
57097 r-cran-clubsandwich                	       0       33        1       32        0
57098 r-cran-clue                        	       0        7        0        7        0
57099 r-cran-cmdfun                      	       0        1        0        1        0
57100 r-cran-cmprsk                      	       0        5        0        5        0
57101 r-cran-coda                        	       0       18        0       18        0
57102 r-cran-coin                        	       0        6        0        6        0
57103 r-cran-collapse                    	       0       34        1       33        0
57104 r-cran-colorspace                  	       0       40        1       39        0
57105 r-cran-colourpicker                	       0        9        0        9        0
57106 r-cran-combinat                    	       0        3        0        3        0
57107 r-cran-commonmark                  	       0       41        1       40        0
57108 r-cran-conditionz                  	       0        1        0        1        0
57109 r-cran-conflicted                  	       0        5        0        5        0
57110 r-cran-conquer                     	       0       36        1       35        0
57111 r-cran-contfrac                    	       0        9        0        9        0
57112 r-cran-conting                     	       0        1        0        1        0
57113 r-cran-corpcor                     	       0        1        0        1        0
57114 r-cran-corrplot                    	       0        5        0        5        0
57115 r-cran-covr                        	       0       35        1       34        0
57116 r-cran-cowplot                     	       0        8        0        8        0
57117 r-cran-crayon                      	       0       43        1       42        0
57118 r-cran-credentials                 	       0       36        1       35        0
57119 r-cran-crosstalk                   	       0       36        2       34        0
57120 r-cran-crul                        	       0        7        0        7        0
57121 r-cran-ctmcd                       	       0        1        0        1        0
57122 r-cran-cubature                    	       0        2        0        2        0
57123 r-cran-cubelyr                     	       0       32        1       31        0
57124 r-cran-cutpointr                   	       0        1        0        1        0
57125 r-cran-cvar                        	       0        6        0        6        0
57126 r-cran-cvst                        	       0       35        1       34        0
57127 r-cran-cyclocomp                   	       0        5        0        5        0
57128 r-cran-datawizard                  	       0        6        0        6        0
57129 r-cran-date                        	       0        7        0        7        0
57130 r-cran-dbi                         	       0       39        1       38        0
57131 r-cran-dbitest                     	       0       36        1       35        0
57132 r-cran-dbplyr                      	       0       35        1       34        0
57133 r-cran-dbscan                      	       0        6        0        6        0
57134 r-cran-ddalpha                     	       0       33        1       32        0
57135 r-cran-ddrtree                     	       0        1        0        1        0
57136 r-cran-deal                        	       0        1        0        1        0
57137 r-cran-decor                       	       0       34        1       33        0
57138 r-cran-deldir                      	       0       35        1       34        0
57139 r-cran-dendextend                  	       0        6        0        6        0
57140 r-cran-densityclust                	       0        1        0        1        0
57141 r-cran-deoptim                     	       0        1        0        1        0
57142 r-cran-deoptimr                    	       0       35        1       34        0
57143 r-cran-deriv                       	       0        5        0        5        0
57144 r-cran-desc                        	       0       40        1       39        0
57145 r-cran-desolve                     	       0        8        0        8        0
57146 r-cran-devtools                    	       0       35        1       34        0
57147 r-cran-dfoptim                     	       0       33        1       32        0
57148 r-cran-diagnosismed                	       0        1        0        1        0
57149 r-cran-diagram                     	       0        7        0        7        0
57150 r-cran-dials                       	       0        3        0        3        0
57151 r-cran-dicedesign                  	       0        3        0        3        0
57152 r-cran-dichromat                   	       0        7        0        7        0
57153 r-cran-diffobj                     	       0       37        1       36        0
57154 r-cran-digest                      	       0       43        1       42        0
57155 r-cran-dimred                      	       0       33        1       32        0
57156 r-cran-diptest                     	       0        5        0        5        0
57157 r-cran-distributional              	       0        8        0        8        0
57158 r-cran-doby                        	       0        5        0        5        0
57159 r-cran-docopt                      	       0       34        1       33        0
57160 r-cran-domc                        	       0       35        1       34        0
57161 r-cran-doparallel                  	       0       35        1       34        0
57162 r-cran-dorng                       	       0        6        0        6        0
57163 r-cran-dotcall64                   	       0       34        1       33        0
57164 r-cran-downlit                     	       0       34        1       33        0
57165 r-cran-dplyr                       	       0       39        1       38        0
57166 r-cran-dqrng                       	       0        1        0        1        0
57167 r-cran-drr                         	       0       35        1       34        0
57168 r-cran-dt                          	       0       35        1       34        0
57169 r-cran-dtplyr                      	       0        9        0        9        0
57170 r-cran-dygraphs                    	       0       35        1       34        0
57171 r-cran-dynamictreecut              	       0        3        0        3        0
57172 r-cran-dynlm                       	       0       34        1       33        0
57173 r-cran-e1071                       	       0       36        1       35        0
57174 r-cran-eaf                         	       0        3        0        3        0
57175 r-cran-earth                       	       0       33        1       32        0
57176 r-cran-eco                         	       0        1        0        1        0
57177 r-cran-ecodist                     	       0        2        0        2        0
57178 r-cran-effects                     	       0        7        0        7        0
57179 r-cran-effectsize                  	       0        6        0        6        0
57180 r-cran-egg                         	       0        3        0        3        0
57181 r-cran-ellipse                     	       0       34        1       33        0
57182 r-cran-ellipsis                    	       0       41        1       40        0
57183 r-cran-elliptic                    	       0        8        0        8        0
57184 r-cran-emdbook                     	       0        6        0        6        0
57185 r-cran-emmeans                     	       0       10        0       10        0
57186 r-cran-emoa                        	       0        3        0        3        0
57187 r-cran-energy                      	       0       33        1       32        0
57188 r-cran-enrichwith                  	       0        5        0        5        0
57189 r-cran-epi                         	       0        5        0        5        0
57190 r-cran-epir                        	       0        6        0        6        0
57191 r-cran-epitools                    	       0        1        0        1        0
57192 r-cran-erm                         	       0        1        0        1        0
57193 r-cran-estimability                	       0       34        1       33        0
57194 r-cran-estimatr                    	       0        6        0        6        0
57195 r-cran-etm                         	       0        5        0        5        0
57196 r-cran-evaluate                    	       0       42        1       41        0
57197 r-cran-evd                         	       0       33        1       32        0
57198 r-cran-exactextractr               	       0        4        0        4        0
57199 r-cran-expint                      	       0        8        0        8        0
57200 r-cran-expm                        	       0       11        0       11        0
57201 r-cran-extradistr                  	       0        8        0        8        0
57202 r-cran-factoextra                  	       0        5        0        5        0
57203 r-cran-factominer                  	       0        6        0        6        0
57204 r-cran-fail                        	       0        1        0        1        0
57205 r-cran-fansi                       	       0       43        1       42        0
57206 r-cran-farver                      	       0       40        1       39        0
57207 r-cran-fasianoptions               	       0        1        0        1        0
57208 r-cran-fassets                     	       0        2        0        2        0
57209 r-cran-fastica                     	       0       35        1       34        0
57210 r-cran-fastmap                     	       0       39        1       38        0
57211 r-cran-fastmatch                   	       0       35        1       34        0
57212 r-cran-fauxpas                     	       0        5        0        5        0
57213 r-cran-fbasics                     	       0        8        0        8        0
57214 r-cran-fbonds                      	       0        1        0        1        0
57215 r-cran-fcopulae                    	       0        2        0        2        0
57216 r-cran-fexoticoptions              	       0        1        0        1        0
57217 r-cran-fextremes                   	       0        1        0        1        0
57218 r-cran-fgarch                      	       0        6        0        6        0
57219 r-cran-fields                      	       0       34        1       33        0
57220 r-cran-filehash                    	       0       36        1       35        0
57221 r-cran-fimport                     	       0        1        0        1        0
57222 r-cran-findpython                  	       0        2        0        2        0
57223 r-cran-fit.models                  	       0        5        0        5        0
57224 r-cran-flashclust                  	       0        6        0        6        0
57225 r-cran-flexmix                     	       0        5        0        5        0
57226 r-cran-flextable                   	       0        6        0        6        0
57227 r-cran-fmultivar                   	       0        2        0        2        0
57228 r-cran-fnn                         	       0       34        1       33        0
57229 r-cran-fnonlinear                  	       0        2        0        2        0
57230 r-cran-fontawesome                 	       0       37        1       36        0
57231 r-cran-fontbitstreamvera           	       0       35        1       34        0
57232 r-cran-fontliberation              	       0       36        1       35        0
57233 r-cran-fontquiver                  	       0       35        1       34        0
57234 r-cran-foptions                    	       0        1        0        1        0
57235 r-cran-forcats                     	       0       35        1       34        0
57236 r-cran-foreach                     	       0       36        1       35        0
57237 r-cran-forecast                    	       0        6        0        6        0
57238 r-cran-formatr                     	       0        8        0        8        0
57239 r-cran-formattable                 	       0       31        1       30        0
57240 r-cran-formula                     	       0       36        1       35        0
57241 r-cran-fpc                         	       0        5        0        5        0
57242 r-cran-fportfolio                  	       0        2        0        2        0
57243 r-cran-fracdiff                    	       0        6        0        6        0
57244 r-cran-freetypeharfbuzz            	       0        4        0        4        0
57245 r-cran-fregression                 	       0        1        0        1        0
57246 r-cran-fs                          	       0       40        1       39        0
57247 r-cran-ftrading                    	       0        1        0        1        0
57248 r-cran-fts                         	       0       28        1       27        0
57249 r-cran-funitroots                  	       0        1        0        1        0
57250 r-cran-furrr                       	       0       34        1       33        0
57251 r-cran-futile.logger               	       0        7        0        7        0
57252 r-cran-futile.options              	       0        7        0        7        0
57253 r-cran-future                      	       0       37        1       36        0
57254 r-cran-future.apply                	       0       35        1       34        0
57255 r-cran-g.data                      	       0        1        0        1        0
57256 r-cran-gam                         	       0        7        0        7        0
57257 r-cran-gamm4                       	       0        8        0        8        0
57258 r-cran-gargle                      	       0        9        0        9        0
57259 r-cran-gbm                         	       0       10        0       10        0
57260 r-cran-gbrd                        	       0       33        1       32        0
57261 r-cran-gbutils                     	       0        6        0        6        0
57262 r-cran-gclus                       	       0        4        0        4        0
57263 r-cran-gdata                       	       0       36        1       35        0
57264 r-cran-gdtools                     	       0        9        0        9        0
57265 r-cran-gee                         	       0        7        0        7        0
57266 r-cran-geepack                     	       0       34        1       33        0
57267 r-cran-genabel                     	       0        1        0        1        0
57268 r-cran-genabel.data                	       0        1        0        1        0
57269 r-cran-generics                    	       0       38        1       37        0
57270 r-cran-genetics                    	       0        1        0        1        0
57271 r-cran-geoknife                    	       0       28        1       27        0
57272 r-cran-geometry                    	       0       35        1       34        0
57273 r-cran-gert                        	       0       36        1       35        0
57274 r-cran-getopt                      	       0        2        0        2        0
57275 r-cran-getoptlong                  	       0        2        0        2        0
57276 r-cran-gfonts                      	       0        6        1        5        0
57277 r-cran-ggalluvial                  	       0        3        0        3        0
57278 r-cran-ggally                      	       0        7        0        7        0
57279 r-cran-gganimate                   	       0       33        1       32        0
57280 r-cran-ggbeeswarm                  	       0        6        0        6        0
57281 r-cran-ggdendro                    	       0        2        0        2        0
57282 r-cran-ggeffects                   	       0        5        0        5        0
57283 r-cran-ggforce                     	       0        5        0        5        0
57284 r-cran-ggfortify                   	       0        8        0        8        0
57285 r-cran-ggplot.multistats           	       0        1        0        1        0
57286 r-cran-ggplot2                     	       0       39        1       38        0
57287 r-cran-ggpubr                      	       0        5        0        5        0
57288 r-cran-ggrepel                     	       0        7        0        7        0
57289 r-cran-ggridges                    	       0        8        0        8        0
57290 r-cran-ggsci                       	       0        5        0        5        0
57291 r-cran-ggsignif                    	       0        5        0        5        0
57292 r-cran-ggstats                     	       0        5        0        5        0
57293 r-cran-ggthemes                    	       0        6        0        6        0
57294 r-cran-gh                          	       0       37        1       36        0
57295 r-cran-git2r                       	       0        7        0        7        0
57296 r-cran-gitcreds                    	       0       36        1       35        0
57297 r-cran-glmmtmb                     	       0        8        0        8        0
57298 r-cran-glmnet                      	       0       10        0       10        0
57299 r-cran-globaloptions               	       0        4        0        4        0
57300 r-cran-globals                     	       0       37        1       36        0
57301 r-cran-glue                        	       0       44        1       43        0
57302 r-cran-gmaps                       	       0        1        0        1        0
57303 r-cran-gmm                         	       0        3        0        3        0
57304 r-cran-gmodels                     	       0        2        0        2        0
57305 r-cran-gmp                         	       0        6        0        6        0
57306 r-cran-gnm                         	       0        2        0        2        0
57307 r-cran-goftest                     	       0       35        1       34        0
57308 r-cran-googledrive                 	       0        9        0        9        0
57309 r-cran-googlesheets4               	       0        9        0        9        0
57310 r-cran-googlevis                   	       0        3        0        3        0
57311 r-cran-goplot                      	       0        1        0        1        0
57312 r-cran-gower                       	       0       36        1       35        0
57313 r-cran-gparotation                 	       0        8        0        8        0
57314 r-cran-gplots                      	       0       35        1       34        0
57315 r-cran-gregmisc                    	       0        1        0        1        0
57316 r-cran-gridbase                    	       0       32        1       31        0
57317 r-cran-gridextra                   	       0       37        1       36        0
57318 r-cran-gridgraphics                	       0        6        0        6        0
57319 r-cran-gridsvg                     	       0        5        0        5        0
57320 r-cran-gridtext                    	       0        1        0        1        0
57321 r-cran-gsl                         	       0       34        1       33        0
57322 r-cran-gss                         	       0        8        0        8        0
57323 r-cran-gstat                       	       0       32        1       31        0
57324 r-cran-gtable                      	       0       39        1       38        0
57325 r-cran-gtools                      	       0       36        1       35        0
57326 r-cran-gwidgets                    	       0        2        0        2        0
57327 r-cran-gwidgetstcltk               	       0        2        0        2        0
57328 r-cran-hardhat                     	       0       35        1       34        0
57329 r-cran-haven                       	       0       35        1       34        0
57330 r-cran-hdf5                        	       0        1        0        1        0
57331 r-cran-heatmaply                   	       0        3        0        3        0
57332 r-cran-here                        	       0       34        1       33        0
57333 r-cran-hexbin                      	       0       34        1       33        0
57334 r-cran-highr                       	       0       41        1       40        0
57335 r-cran-hmisc                       	       0       36        1       35        0
57336 r-cran-hms                         	       0       39        1       38        0
57337 r-cran-hsaur3                      	       0        9        0        9        0
57338 r-cran-htmltable                   	       0       37        1       36        0
57339 r-cran-htmltools                   	       0       41        1       40        0
57340 r-cran-htmlwidgets                 	       0       40        1       39        0
57341 r-cran-httpcode                    	       0        7        0        7        0
57342 r-cran-httptest2                   	       0        3        0        3        0
57343 r-cran-httpuv                      	       0       41        1       40        0
57344 r-cran-httr                        	       0       38        1       37        0
57345 r-cran-httr2                       	       0       35        1       34        0
57346 r-cran-hunspell                    	       0       33        1       32        0
57347 r-cran-hwriter                     	       0        2        0        2        0
57348 r-cran-hypergeo                    	       0        8        0        8        0
57349 r-cran-ids                         	       0        9        0        9        0
57350 r-cran-igraph                      	       0       35        1       34        0
57351 r-cran-ini                         	       0       37        1       36        0
57352 r-cran-inline                      	       0        9        0        9        0
57353 r-cran-insight                     	       0        7        0        7        0
57354 r-cran-intergraph                  	       0        5        0        5        0
57355 r-cran-interp                      	       0       35        1       34        0
57356 r-cran-intervals                   	       0       33        1       32        0
57357 r-cran-inum                        	       0        5        0        5        0
57358 r-cran-ipred                       	       0       36        1       35        0
57359 r-cran-irace                       	       0        3        0        3        0
57360 r-cran-irdisplay                   	       0        6        0        6        0
57361 r-cran-irkernel                    	       0        1        0        1        0
57362 r-cran-irlba                       	       0       35        1       34        0
57363 r-cran-iso                         	       0        2        0        2        0
57364 r-cran-isoband                     	       0       39        1       38        0
57365 r-cran-isocodes                    	       0        5        0        5        0
57366 r-cran-isoweek                     	       0        1        0        1        0
57367 r-cran-iterators                   	       0       36        1       35        0
57368 r-cran-itertools                   	       0        5        0        5        0
57369 r-cran-its                         	       0        1        0        1        0
57370 r-cran-janeaustenr                 	       0        4        0        4        0
57371 r-cran-jomo                        	       0        8        0        8        0
57372 r-cran-jpeg                        	       0       36        1       35        0
57373 r-cran-jquerylib                   	       0       37        1       36        0
57374 r-cran-kableextra                  	       0        5        0        5        0
57375 r-cran-kernlab                     	       0       35        1       34        0
57376 r-cran-kmi                         	       0        5        0        5        0
57377 r-cran-knitr                       	       0       41        1       40        0
57378 r-cran-ks                          	       0       34        1       33        0
57379 r-cran-labeling                    	       0       40        1       39        0
57380 r-cran-labelled                    	       0        5        0        5        0
57381 r-cran-lambda.r                    	       0        7        0        7        0
57382 r-cran-lamw                        	       0        1        0        1        0
57383 r-cran-lasso2                      	       0        1        0        1        0
57384 r-cran-later                       	       0       41        1       40        0
57385 r-cran-latticeextra                	       0       36        1       35        0
57386 r-cran-lava                        	       0       36        1       35        0
57387 r-cran-lavaan                      	       0       10        0       10        0
57388 r-cran-lavasearch2                 	       0       32        1       31        0
57389 r-cran-lazyeval                    	       0       39        1       38        0
57390 r-cran-lbfgsb3c                    	       0       33        1       32        0
57391 r-cran-leaps                       	       0        6        0        6        0
57392 r-cran-learnbayes                  	       0        8        0        8        0
57393 r-cran-lexrankr                    	       0        1        0        1        0
57394 r-cran-lhs                         	       0        4        0        4        0
57395 r-cran-libcoin                     	       0        6        0        6        0
57396 r-cran-lifecycle                   	       0       42        1       41        0
57397 r-cran-linprog                     	       0       35        1       34        0
57398 r-cran-lintr                       	       0        5        0        5        0
57399 r-cran-listenv                     	       0       37        1       36        0
57400 r-cran-lmertest                    	       0       34        1       33        0
57401 r-cran-lmtest                      	       0       35        1       34        0
57402 r-cran-lobstr                      	       0       32        1       31        0
57403 r-cran-locfit                      	       0       35        1       34        0
57404 r-cran-logcondens                  	       0       33        1       32        0
57405 r-cran-logging                     	       0        1        0        1        0
57406 r-cran-logspline                   	       0        7        0        7        0
57407 r-cran-loo                         	       0        8        0        8        0
57408 r-cran-lpsolve                     	       0       35        1       34        0
57409 r-cran-lsd                         	       0        1        0        1        0
57410 r-cran-lsmeans                     	       0        6        0        6        0
57411 r-cran-lubridate                   	       0       39        1       38        0
57412 r-cran-luminescence                	       0        1        0        1        0
57413 r-cran-lwgeom                      	       0       33        1       32        0
57414 r-cran-magic                       	       0       35        1       34        0
57415 r-cran-magick                      	       0       33        1       32        0
57416 r-cran-magrittr                    	       0       44        1       43        0
57417 r-cran-manipulatewidgets           	       0        1        0        1        0
57418 r-cran-mapdata                     	       0       34        1       33        0
57419 r-cran-mapproj                     	       0       35        1       34        0
57420 r-cran-maps                        	       0       35        1       34        0
57421 r-cran-maptools                    	       0       31        1       30        0
57422 r-cran-maptree                     	       0        2        0        2        0
57423 r-cran-marginaleffects             	       0        5        0        5        0
57424 r-cran-markdown                    	       0       41        1       40        0
57425 r-cran-matching                    	       0        1        0        1        0
57426 r-cran-matchit                     	       0        6        0        6        0
57427 r-cran-mathjaxr                    	       0       33        1       32        0
57428 r-cran-matlab                      	       0        1        0        1        0
57429 r-cran-matrixcalc                  	       0        4        0        4        0
57430 r-cran-matrixmodels                	       0       37        1       36        0
57431 r-cran-matrixstats                 	       0       36        1       35        0
57432 r-cran-maxlik                      	       0       34        1       33        0
57433 r-cran-mclogit                     	       0        5        0        5        0
57434 r-cran-mclust                      	       0       36        1       35        0
57435 r-cran-mcmc                        	       0        3        0        3        0
57436 r-cran-mcmcpack                    	       0        3        0        3        0
57437 r-cran-mda                         	       0       34        1       33        0
57438 r-cran-memisc                      	       0        6        0        6        0
57439 r-cran-memoise                     	       0       40        1       39        0
57440 r-cran-mertools                    	       0        6        0        6        0
57441 r-cran-metadat                     	       0       33        1       32        0
57442 r-cran-metafor                     	       0       33        1       32        0
57443 r-cran-mets                        	       0       34        1       33        0
57444 r-cran-mfilter                     	       0        1        0        1        0
57445 r-cran-mi                          	       0        5        0        5        0
57446 r-cran-mice                        	       0        8        0        8        0
57447 r-cran-microbenchmark              	       0        5        0        5        0
57448 r-cran-mime                        	       0       41        1       40        0
57449 r-cran-miniui                      	       0       34        1       33        0
57450 r-cran-minpack.lm                  	       0        1        0        1        0
57451 r-cran-minqa                       	       0       36        1       35        0
57452 r-cran-misc3d                      	       0       34        1       33        0
57453 r-cran-misctools                   	       0       34        1       33        0
57454 r-cran-mitml                       	       0        8        0        8        0
57455 r-cran-mitools                     	       0        8        0        8        0
57456 r-cran-mlbench                     	       0       35        1       34        0
57457 r-cran-mlmetrics                   	       0       34        1       33        0
57458 r-cran-mlmrev                      	       0       33        1       32        0
57459 r-cran-mlr                         	       0        3        0        3        0
57460 r-cran-mnormt                      	       0       12        0       12        0
57461 r-cran-mnp                         	       0        2        0        2        0
57462 r-cran-mockery                     	       0       36        1       35        0
57463 r-cran-mockr                       	       0       37        1       36        0
57464 r-cran-modeest                     	       0        1        0        1        0
57465 r-cran-modeldata                   	       0       34        1       33        0
57466 r-cran-modelmetrics                	       0       36        1       35        0
57467 r-cran-modelr                      	       0       10        0       10        0
57468 r-cran-modeltools                  	       0        6        0        6        0
57469 r-cran-msm                         	       0       10        0       10        0
57470 r-cran-multcomp                    	       0       34        1       33        0
57471 r-cran-multcompview                	       0        7        0        7        0
57472 r-cran-multicool                   	       0       34        1       33        0
57473 r-cran-multicore                   	       0        5        0        5        0
57474 r-cran-munsell                     	       0       40        1       39        0
57475 r-cran-mvnormtest                  	       0        2        0        2        0
57476 r-cran-mvtnorm                     	       0       36        1       35        0
57477 r-cran-nanoarrow                   	       0        5        0        5        0
57478 r-cran-nanotime                    	       0        4        0        4        0
57479 r-cran-natserv                     	       0        1        0        1        0
57480 r-cran-ncdf4                       	       0       33        1       32        0
57481 r-cran-ncdfgeom                    	       0       32        1       31        0
57482 r-cran-ncmeta                      	       0       32        1       31        0
57483 r-cran-network                     	       0       10        0       10        0
57484 r-cran-nfactors                    	       0        6        0        6        0
57485 r-cran-nleqslv                     	       0       35        1       34        0
57486 r-cran-nloptr                      	       0       36        1       35        0
57487 r-cran-nmf                         	       0       31        1       30        0
57488 r-cran-nnls                        	       0        5        0        5        0
57489 r-cran-nortest                     	       0        2        0        2        0
57490 r-cran-nozzle.r1                   	       0        1        0        1        0
57491 r-cran-numderiv                    	       0       36        1       35        0
57492 r-cran-officer                     	       0        6        0        6        0
57493 r-cran-openxlsx                    	       0       35        1       34        0
57494 r-cran-optimparallel               	       0        5        0        5        0
57495 r-cran-optimx                      	       0       33        1       32        0
57496 r-cran-optparse                    	       0        1        0        1        0
57497 r-cran-ordinal                     	       0        8        0        8        0
57498 r-cran-packrat                     	       0       33        1       32        0
57499 r-cran-palmerpenguins              	       0       34        1       33        0
57500 r-cran-pammtools                   	       0        4        0        4        0
57501 r-cran-pan                         	       0        9        0        9        0
57502 r-cran-parallelly                  	       0       37        1       36        0
57503 r-cran-parallelmap                 	       0        3        0        3        0
57504 r-cran-parameters                  	       0        6        0        6        0
57505 r-cran-paramhelpers                	       0        3        0        3        0
57506 r-cran-party                       	       0        5        0        5        0
57507 r-cran-partykit                    	       0        5        0        5        0
57508 r-cran-patchwork                   	       0        6        0        6        0
57509 r-cran-patrick                     	       0        5        0        5        0
57510 r-cran-pbapply                     	       0       36        1       35        0
57511 r-cran-pbdzmq                      	       0        1        0        1        0
57512 r-cran-pbivnorm                    	       0       10        0       10        0
57513 r-cran-pbkrtest                    	       0       35        1       34        0
57514 r-cran-pbmcapply                   	       0        5        0        5        0
57515 r-cran-pcapp                       	       0       33        1       32        0
57516 r-cran-pcict                       	       0       32        1       31        0
57517 r-cran-pec                         	       0        5        0        5        0
57518 r-cran-performance                 	       0        6        0        6        0
57519 r-cran-permute                     	       0       33        1       32        0
57520 r-cran-phangorn                    	       0        9        0        9        0
57521 r-cran-pheatmap                    	       0        1        0        1        0
57522 r-cran-pillar                      	       0       43        1       42        0
57523 r-cran-pingr                       	       0        5        0        5        0
57524 r-cran-pixmap                      	       0        2        0        2        0
57525 r-cran-pkgbuild                    	       0       38        1       37        0
57526 r-cran-pkgconfig                   	       0       43        1       42        0
57527 r-cran-pkgdown                     	       0       33        1       32        0
57528 r-cran-pkgkitten                   	       0       44        1       43        0
57529 r-cran-pkgload                     	       0       39        1       38        0
57530 r-cran-pkgmaker                    	       0        2        0        2        0
57531 r-cran-pki                         	       0        4        0        4        0
57532 r-cran-plm                         	       0       33        1       32        0
57533 r-cran-plogr                       	       0       37        1       36        0
57534 r-cran-plot3d                      	       0       33        1       32        0
57535 r-cran-plotly                      	       0        4        0        4        0
57536 r-cran-plotmo                      	       0       34        1       33        0
57537 r-cran-plotrix                     	       0       35        1       34        0
57538 r-cran-pls                         	       0       35        1       34        0
57539 r-cran-plumber                     	       0       33        1       32        0
57540 r-cran-plyr                        	       0       37        1       36        0
57541 r-cran-png                         	       0       40        1       39        0
57542 r-cran-polspline                   	       0        7        0        7        0
57543 r-cran-polyclip                    	       0       35        1       34        0
57544 r-cran-polycor                     	       0       32        1       31        0
57545 r-cran-polynom                     	       0        5        0        5        0
57546 r-cran-poorman                     	       0        6        0        6        0
57547 r-cran-popepi                      	       0        4        0        4        0
57548 r-cran-posterior                   	       0        8        0        8        0
57549 r-cran-prabclus                    	       0        5        0        5        0
57550 r-cran-pracma                      	       0       33        1       32        0
57551 r-cran-praise                      	       0       39        1       38        0
57552 r-cran-prediction                  	       0        6        0        6        0
57553 r-cran-prettycode                  	       0       32        1       31        0
57554 r-cran-prettyr                     	       0        1        0        1        0
57555 r-cran-prettyunits                 	       0       40        1       39        0
57556 r-cran-prevalence                  	       0        1        0        1        0
57557 r-cran-proc                        	       0       36        1       35        0
57558 r-cran-prodlim                     	       0       36        1       35        0
57559 r-cran-profilemodel                	       0        1        0        1        0
57560 r-cran-profmem                     	       0       33        1       32        0
57561 r-cran-profvis                     	       0       33        1       32        0
57562 r-cran-progress                    	       0       38        1       37        0
57563 r-cran-progressr                   	       0       35        1       34        0
57564 r-cran-projpred                    	       0        8        0        8        0
57565 r-cran-promises                    	       0       41        1       40        0
57566 r-cran-proto                       	       0        1        0        1        0
57567 r-cran-proxy                       	       0       36        1       35        0
57568 r-cran-pscl                        	       0       34        1       33        0
57569 r-cran-psy                         	       0        1        0        1        0
57570 r-cran-psych                       	       0        9        0        9        0
57571 r-cran-psychotools                 	       0        3        0        3        0
57572 r-cran-psychotree                  	       0        2        0        2        0
57573 r-cran-psychtools                  	       0        8        0        8        0
57574 r-cran-publish                     	       0        5        0        5        0
57575 r-cran-purrrlyr                    	       0        4        0        4        0
57576 r-cran-pvclust                     	       0        7        0        7        0
57577 r-cran-pwr                         	       0        5        0        5        0
57578 r-cran-pwt                         	       0        1        0        1        0
57579 r-cran-pwt8                        	       0        1        0        1        0
57580 r-cran-qap                         	       0        4        0        4        0
57581 r-cran-qlcmatrix                   	       0        1        0        1        0
57582 r-cran-qpdf                        	       0        9        0        9        0
57583 r-cran-qtl                         	       0        1        0        1        0
57584 r-cran-quadprog                    	       0       36        1       35        0
57585 r-cran-quantmod                    	       0       36        1       35        0
57586 r-cran-quantreg                    	       0       36        1       35        0
57587 r-cran-quickjsr                    	       0        4        0        4        0
57588 r-cran-qvcalc                      	       0        2        0        2        0
57589 r-cran-r.cache                     	       0       34        1       33        0
57590 r-cran-r.devices                   	       0       32        1       31        0
57591 r-cran-r.methodss3                 	       0       38        1       37        0
57592 r-cran-r.oo                        	       0       38        1       37        0
57593 r-cran-r.rsp                       	       0       33        1       32        0
57594 r-cran-r2html                      	       0        1        0        1        0
57595 r-cran-ragg                        	       0       33        1       32        0
57596 r-cran-randomfields                	       0       28        1       27        0
57597 r-cran-randomfieldsutils           	       0       29        1       28        0
57598 r-cran-randomforest                	       0       10        0       10        0
57599 r-cran-ranger                      	       0        9        0        9        0
57600 r-cran-rann                        	       0       34        1       33        0
57601 r-cran-raschsampler                	       0        1        0        1        0
57602 r-cran-raster                      	       0       33        1       32        0
57603 r-cran-ratelimitr                  	       0        2        0        2        0
57604 r-cran-rcarb                       	       0        1        0        1        0
57605 r-cran-rcmdcheck                   	       0       35        1       34        0
57606 r-cran-rcmdr                       	       0        2        0        2        0
57607 r-cran-rcmdrmisc                   	       0        2        0        2        0
57608 r-cran-rcolorbrewer                	       0       40        1       39        0
57609 r-cran-rcpp                        	       0       44        1       43        0
57610 r-cran-rcpparmadillo               	       0       36        1       35        0
57611 r-cran-rcppcctz                    	       0        4        0        4        0
57612 r-cran-rcppdate                    	       0        4        0        4        0
57613 r-cran-rcppeigen                   	       0       37        1       36        0
57614 r-cran-rcpphnsw                    	       0        1        0        1        0
57615 r-cran-rcppml                      	       0        3        0        3        0
57616 r-cran-rcppparallel                	       0        9        0        9        0
57617 r-cran-rcppprogress                	       0       35        1       34        0
57618 r-cran-rcpproll                    	       0       35        1       34        0
57619 r-cran-rcpptoml                    	       0       33        1       32        0
57620 r-cran-rcsdp                       	       0        5        0        5        0
57621 r-cran-rcurl                       	       0       35        1       34        0
57622 r-cran-rdbnomics                   	       0        1        0        1        0
57623 r-cran-readr                       	       0       35        1       34        0
57624 r-cran-readstata13                 	       0        3        0        3        0
57625 r-cran-recipes                     	       0       36        1       35        0
57626 r-cran-registry                    	       0       34        1       33        0
57627 r-cran-relimp                      	       0        3        0        3        0
57628 r-cran-relsurv                     	       0        4        0        4        0
57629 r-cran-rematch                     	       0       35        1       34        0
57630 r-cran-rematch2                    	       0       37        1       36        0
57631 r-cran-remotes                     	       0       36        1       35        0
57632 r-cran-rentrez                     	       0        1        0        1        0
57633 r-cran-renv                        	       0        5        0        5        0
57634 r-cran-repr                        	       0        6        0        6        0
57635 r-cran-reprex                      	       0        9        0        9        0
57636 r-cran-reshape                     	       0       10        0       10        0
57637 r-cran-reshape2                    	       0       36        1       35        0
57638 r-cran-reticulate                  	       0       33        1       32        0
57639 r-cran-rex                         	       0       37        1       36        0
57640 r-cran-rgdal                       	       0       29        1       28        0
57641 r-cran-rgenoud                     	       0        1        0        1        0
57642 r-cran-rggobi                      	       0        1        0        1        0
57643 r-cran-rgl                         	       0       34        1       33        0
57644 r-cran-rglpk                       	       0        2        0        2        0
57645 r-cran-rgtk2                       	       0        2        0        2        0
57646 r-cran-rhandsontable               	       0        1        0        1        0
57647 r-cran-rhpcblasctl                 	       0        5        0        5        0
57648 r-cran-rinside                     	       0        1        0        1        0
57649 r-cran-rio                         	       0       35        1       34        0
57650 r-cran-riskregression              	       0        5        0        5        0
57651 r-cran-ritis                       	       0        1        0        1        0
57652 r-cran-rjags                       	       0        2        0        2        0
57653 r-cran-rjava                       	       0        4        0        4        0
57654 r-cran-rjson                       	       0        5        0        5        0
57655 r-cran-rlang                       	       0       44        1       43        0
57656 r-cran-rlrsim                      	       0        6        0        6        0
57657 r-cran-rlumshiny                   	       0        1        0        1        0
57658 r-cran-rmariadb                    	       0        2        0        2        0
57659 r-cran-rmarkdown                   	       0       41        3       38        0
57660 r-cran-rmpfr                       	       0        5        0        5        0
57661 r-cran-rmpi                        	       0        7        0        7        0
57662 r-cran-rms                         	       0        6        0        6        0
57663 r-cran-rmutil                      	       0        1        0        1        0
57664 r-cran-rmysql                      	       0       36        1       35        0
57665 r-cran-rncl                        	       0        1        0        1        0
57666 r-cran-rneos                       	       0        2        0        2        0
57667 r-cran-rnetcdf                     	       0       33        1       32        0
57668 r-cran-rngtools                    	       0       35        1       34        0
57669 r-cran-robumeta                    	       0       33        1       32        0
57670 r-cran-robust                      	       0        5        0        5        0
57671 r-cran-robustbase                  	       0       35        1       34        0
57672 r-cran-rocr                        	       0       35        1       34        0
57673 r-cran-rodbc                       	       0        3        0        3        0
57674 r-cran-rose                        	       0       33        1       32        0
57675 r-cran-roxygen2                    	       0       36        1       35        0
57676 r-cran-rpostgresql                 	       0       34        1       33        0
57677 r-cran-rprojroot                   	       0       40        1       39        0
57678 r-cran-rprotobuf                   	       0        1        0        1        0
57679 r-cran-rquantlib                   	       0        1        0        1        0
57680 r-cran-rrcov                       	       0        5        0        5        0
57681 r-cran-rredlist                    	       0        1        0        1        0
57682 r-cran-rsample                     	       0       34        1       33        0
57683 r-cran-rsclient                    	       0        1        0        1        0
57684 r-cran-rsconnect                   	       0       33        1       32        0
57685 r-cran-rsdmx                       	       0        1        0        1        0
57686 r-cran-rserve                      	       0        1        0        1        0
57687 r-cran-rsolnp                      	       0        6        0        6        0
57688 r-cran-rspectra                    	       0       34        1       33        0
57689 r-cran-rsprng                      	       0        1        0        1        0
57690 r-cran-rsqlite                     	       0       39        1       38        0
57691 r-cran-rstan                       	       0        8        0        8        0
57692 r-cran-rstanarm                    	       0        8        0        8        0
57693 r-cran-rstantools                  	       0        8        0        8        0
57694 r-cran-rstatix                     	       0        5        0        5        0
57695 r-cran-rstudioapi                  	       0       40        1       39        0
57696 r-cran-rsvd                        	       0        1        0        1        0
57697 r-cran-rsvg                        	       0       34        1       33        0
57698 r-cran-rsymphony                   	       0        2        0        2        0
57699 r-cran-rtdists                     	       0        8        0        8        0
57700 r-cran-rtsne                       	       0       33        1       32        0
57701 r-cran-runit                       	       0       37        1       36        0
57702 r-cran-rversions                   	       0       34        1       33        0
57703 r-cran-rvest                       	       0       10        0       10        0
57704 r-cran-rwiener                     	       0        8        0        8        0
57705 r-cran-s2                          	       0       35        1       34        0
57706 r-cran-sandwich                    	       0       35        1       34        0
57707 r-cran-sass                        	       0       37        1       36        0
57708 r-cran-scales                      	       0       40        1       39        0
57709 r-cran-scatterd3                   	       0        1        0        1        0
57710 r-cran-scatterplot3d               	       0       34        1       33        0
57711 r-cran-segmented                   	       0        1        0        1        0
57712 r-cran-selectr                     	       0       35        1       34        0
57713 r-cran-sem                         	       0        5        0        5        0
57714 r-cran-sendmailr                   	       0        5        0        5        0
57715 r-cran-seriation                   	       0        4        0        4        0
57716 r-cran-sessioninfo                 	       0       35        1       34        0
57717 r-cran-setrng                      	       0       34        1       33        0
57718 r-cran-sf                          	       0       35        1       34        0
57719 r-cran-sfsmisc                     	       0       34        1       33        0
57720 r-cran-sftime                      	       0       32        1       31        0
57721 r-cran-shape                       	       0       12        0       12        0
57722 r-cran-shiny                       	       0       41        3       37        1
57723 r-cran-shinybs                     	       0        1        0        1        0
57724 r-cran-shinydashboard              	       0        1        0        1        0
57725 r-cran-shinyjs                     	       0       10        0       10        0
57726 r-cran-shinystan                   	       0        8        0        8        0
57727 r-cran-shinythemes                 	       0        8        0        8        0
57728 r-cran-simplermarkdown             	       0        2        0        2        0
57729 r-cran-sitmo                       	       0        1        0        1        0
57730 r-cran-sjlabelled                  	       0        4        0        4        0
57731 r-cran-sjmisc                      	       0        4        0        4        0
57732 r-cran-sjplot                      	       0        1        0        1        0
57733 r-cran-sjstats                     	       0        4        0        4        0
57734 r-cran-slam                        	       0        3        0        3        0
57735 r-cran-slider                      	       0       34        1       33        0
57736 r-cran-sm                          	       0       34        1       33        0
57737 r-cran-smcfcs                      	       0        4        0        4        0
57738 r-cran-sn                          	       0        4        0        4        0
57739 r-cran-sna                         	       0        9        0        9        0
57740 r-cran-snakecase                   	       0        5        0        5        0
57741 r-cran-snow                        	       0        2        0        2        0
57742 r-cran-snowballc                   	       0        3        0        3        0
57743 r-cran-solrium                     	       0        1        0        1        0
57744 r-cran-sourcetools                 	       0       41        1       40        0
57745 r-cran-spacetime                   	       0       33        1       32        0
57746 r-cran-spam                        	       0       34        1       33        0
57747 r-cran-sparsem                     	       0       36        1       35        0
57748 r-cran-sparsesvd                   	       0        1        0        1        0
57749 r-cran-spatialreg                  	       0        5        0        5        0
57750 r-cran-spatstat                    	       0       35        1       34        0
57751 r-cran-spatstat.core               	       0       29        1       28        0
57752 r-cran-spatstat.data               	       0       35        1       34        0
57753 r-cran-spatstat.explore            	       0       35        1       34        0
57754 r-cran-spatstat.geom               	       0       35        1       34        0
57755 r-cran-spatstat.linnet             	       0       35        1       34        0
57756 r-cran-spatstat.model              	       0       35        1       34        0
57757 r-cran-spatstat.random             	       0       35        1       34        0
57758 r-cran-spatstat.sparse             	       0       35        1       34        0
57759 r-cran-spatstat.utils              	       0       35        1       34        0
57760 r-cran-spdata                      	       0       34        1       33        0
57761 r-cran-spdep                       	       0       34        1       33        0
57762 r-cran-spelling                    	       0       33        1       32        0
57763 r-cran-splines2                    	       0        8        0        8        0
57764 r-cran-squarem                     	       0       36        1       35        0
57765 r-cran-stable                      	       0        1        0        1        0
57766 r-cran-stabledist                  	       0        8        0        8        0
57767 r-cran-stablelearner               	       0        2        0        2        0
57768 r-cran-stanheaders                 	       0        8        0        8        0
57769 r-cran-statip                      	       0        1        0        1        0
57770 r-cran-statmod                     	       0       36        1       35        0
57771 r-cran-statnet.common              	       0       10        0       10        0
57772 r-cran-stringdist                  	       0        2        0        2        0
57773 r-cran-stringi                     	       0       42        1       41        0
57774 r-cran-stringr                     	       0       42        1       41        0
57775 r-cran-strucchange                 	       0       34        1       33        0
57776 r-cran-suppdists                   	       0        1        0        1        0
57777 r-cran-survey                      	       0        8        0        8        0
57778 r-cran-svglite                     	       0       33        1       32        0
57779 r-cran-swagger                     	       0       33        1       32        0
57780 r-cran-systemfit                   	       0       33        1       32        0
57781 r-cran-systemfonts                 	       0       34        1       33        0
57782 r-cran-tcltk2                      	       0        4        0        4        0
57783 r-cran-teachingdemos               	       0       33        1       32        0
57784 r-cran-tensor                      	       0       35        1       34        0
57785 r-cran-tensora                     	       0        8        0        8        0
57786 r-cran-terra                       	       0       34        1       33        0
57787 r-cran-testit                      	       0       37        1       36        0
57788 r-cran-testthat                    	       0       39        1       38        0
57789 r-cran-textshaping                 	       0       33        1       32        0
57790 r-cran-tgp                         	       0        2        0        2        0
57791 r-cran-th.data                     	       0       34        1       33        0
57792 r-cran-thematic                    	       0       33        1       32        0
57793 r-cran-themis                      	       0       32        1       31        0
57794 r-cran-threejs                     	       0        8        0        8        0
57795 r-cran-tibble                      	       0       42        1       41        0
57796 r-cran-tidyr                       	       0       37        1       36        0
57797 r-cran-tidyselect                  	       0       40        1       39        0
57798 r-cran-tidytext                    	       0        1        0        1        0
57799 r-cran-tidyverse                   	       0        9        0        9        0
57800 r-cran-tiff                        	       0        1        0        1        0
57801 r-cran-tikzdevice                  	       0       36        1       35        0
57802 r-cran-timechange                  	       0       36        1       35        0
57803 r-cran-timedate                    	       0       37        1       36        0
57804 r-cran-timereg                     	       0       35        1       34        0
57805 r-cran-timeseries                  	       0       37        1       36        0
57806 r-cran-tinytest                    	       0       33        1       32        0
57807 r-cran-tkrplot                     	       0        1        0        1        0
57808 r-cran-tmb                         	       0        8        0        8        0
57809 r-cran-tmvnsim                     	       0        4        0        4        0
57810 r-cran-tokenizers                  	       0        1        0        1        0
57811 r-cran-transformr                  	       0       33        1       32        0
57812 r-cran-triebeard                   	       0        7        0        7        0
57813 r-cran-truncdist                   	       0       33        1       32        0
57814 r-cran-truncnorm                   	       0        9        0        9        0
57815 r-cran-tseries                     	       0       36        1       35        0
57816 r-cran-tsp                         	       0        4        0        4        0
57817 r-cran-ttr                         	       0       36        1       35        0
57818 r-cran-tufte                       	       0       35        1       34        0
57819 r-cran-tweenr                      	       0       33        1       32        0
57820 r-cran-tzdb                        	       0       35        1       34        0
57821 r-cran-ucminf                      	       0       33        1       32        0
57822 r-cran-unitizer                    	       0        5        0        5        0
57823 r-cran-units                       	       0       35        1       34        0
57824 r-cran-urca                        	       0       34        1       33        0
57825 r-cran-urlchecker                  	       0       33        1       32        0
57826 r-cran-urltools                    	       0        7        0        7        0
57827 r-cran-uroot                       	       0        6        0        6        0
57828 r-cran-usethis                     	       0       37        1       36        0
57829 r-cran-utf8                        	       0       43        1       42        0
57830 r-cran-uuid                        	       0       12        0       12        0
57831 r-cran-v8                          	       0        6        0        6        0
57832 r-cran-vcd                         	       0        6        0        6        0
57833 r-cran-vcdextra                    	       0        1        0        1        0
57834 r-cran-vcr                         	       0        5        0        5        0
57835 r-cran-vctrs                       	       0       42        1       41        0
57836 r-cran-vdiffr                      	       0       34        1       33        0
57837 r-cran-vegan                       	       0       33        1       32        0
57838 r-cran-venndiagram                 	       0        5        0        5        0
57839 r-cran-vgam                        	       0        8        0        8        0
57840 r-cran-vioplot                     	       0        5        0        5        0
57841 r-cran-vipor                       	       0        6        0        6        0
57842 r-cran-viridis                     	       0       36        1       35        0
57843 r-cran-viridislite                 	       0       40        1       39        0
57844 r-cran-vroom                       	       0       35        1       34        0
57845 r-cran-warp                        	       0       34        1       33        0
57846 r-cran-wdi                         	       0        1        0        1        0
57847 r-cran-webfakes                    	       0       33        1       32        0
57848 r-cran-webmockr                    	       0        5        0        5        0
57849 r-cran-webshot                     	       0        9        0        9        0
57850 r-cran-webutils                    	       0       36        1       35        0
57851 r-cran-whisker                     	       0       37        1       36        0
57852 r-cran-whoami                      	       0        5        0        5        0
57853 r-cran-wikidataqueryservicer       	       0        2        0        2        0
57854 r-cran-wikidatar                   	       0        2        0        2        0
57855 r-cran-wikipedir                   	       0        2        0        2        0
57856 r-cran-wikitaxa                    	       0        1        0        1        0
57857 r-cran-withr                       	       0       44        1       43        0
57858 r-cran-wk                          	       0       35        1       34        0
57859 r-cran-wkutils                     	       0       28        1       27        0
57860 r-cran-wordcloud                   	       0        1        0        1        0
57861 r-cran-worrms                      	       0        1        0        1        0
57862 r-cran-writexl                     	       0        6        0        6        0
57863 r-cran-xml                         	       0       37        1       36        0
57864 r-cran-xml2                        	       0       38        1       37        0
57865 r-cran-xmlparsedata                	       0        5        0        5        0
57866 r-cran-xopen                       	       0       35        1       34        0
57867 r-cran-xtable                      	       0       41        1       40        0
57868 r-cran-xts                         	       0       36        1       35        0
57869 r-cran-zeallot                     	       0        4        0        4        0
57870 r-cran-zelig                       	       0        1        0        1        0
57871 r-cran-zip                         	       0       37        1       36        0
57872 r-doc-html                         	       0      140        0        0      140
57873 r-doc-info                         	       0       15        0        0       15
57874 r-doc-pdf                          	       0       10        0        0       10
57875 r-omegahat-xmlrpc                  	       0        1        0        1        0
57876 r-other-iwrlars                    	       0        1        0        1        0
57877 r-other-mott-happy.hbrem           	       0        1        0        1        0
57878 r-recommended                      	       0      139        0        0      139
57879 r5rs-doc                           	       0        1        0        0        1
57880 r8168-dkms                         	       0       13        1       12        0
57881 rabbit                             	       0        1        0        1        0
57882 rabbitmq-server                    	       0        8        1        7        0
57883 rabbitvcs-cli                      	       0        5        0        5        0
57884 rabbitvcs-core                     	       0        6        0        6        0
57885 rabbitvcs-gedit                    	       0        1        0        1        0
57886 rabbitvcs-nautilus                 	       0        1        0        0        1
57887 rabbitvcs-thunar                   	       0        1        0        0        1
57888 racket                             	       0       18        0       18        0
57889 racket-common                      	       0       18        0       18        0
57890 racket-doc                         	       0       19        0        0       19
57891 racoon                             	       0        1        0        1        0
57892 radare2-cutter                     	       0        1        0        1        0
57893 radiance-materials                 	       0        1        0        0        1
57894 radiant                            	       0        1        0        1        0
57895 radicale                           	       0       13        2       11        0
57896 radioclk                           	       0        2        0        2        0
57897 radiotray                          	       0        1        0        1        0
57898 radium-compressor                  	       0        2        0        2        0
57899 radon                              	       0        1        0        1        0
57900 radvd                              	       0       25        2       23        0
57901 radvdump                           	       0       11        0       11        0
57902 rafkill                            	       0        4        0        4        0
57903 rafkill-data                       	       0        4        0        0        4
57904 ragel                              	       0       11        0       11        0
57905 raidutils                          	       0        1        0        0        1
57906 rails                              	       0        6        0        0        6
57907 raincat                            	       0       17        0       17        0
57908 raincat-data                       	       0       17        0        0       17
57909 rainlendar2-lite                   	       0        1        0        1        0
57910 rainlendar2-pro                    	       0        1        1        0        0
57911 rainloop                           	       0        1        0        1        0
57912 rake-compiler                      	       0        3        0        3        0
57913 raku                               	       0        7        0        0        7
57914 raku-file-find                     	       0        7        0        7        0
57915 raku-file-which                    	       0        7        0        7        0
57916 raku-getopt-long                   	       0        8        0        8        0
57917 raku-hash-merge                    	       0        7        0        7        0
57918 raku-json-class                    	       0        7        0        7        0
57919 raku-json-fast                     	       0        7        0        7        0
57920 raku-json-marshal                  	       0        7        0        7        0
57921 raku-json-name                     	       0        7        0        7        0
57922 raku-json-optin                    	       0        7        0        7        0
57923 raku-json-unmarshal                	       0        7        0        7        0
57924 raku-librarycheck                  	       0        7        0        7        0
57925 raku-license-spdx                  	       0        7        0        7        0
57926 raku-log                           	       0        7        0        7        0
57927 raku-meta6                         	       0        7        0        7        0
57928 raku-readline                      	       0        7        0        7        0
57929 raku-tap-harness                   	       0        8        0        8        0
57930 raku-test-meta                     	       0        7        0        7        0
57931 raku-uri                           	       0        7        0        7        0
57932 raku-zef                           	       0        7        0        7        0
57933 rakudo                             	       0       10        0       10        0
57934 rambo-k                            	       0        2        0        2        0
57935 ramond                             	       0        1        0        1        0
57936 rampler                            	       0        1        0        1        0
57937 rancid                             	       0        1        0        1        0
57938 randmac                            	       0        3        0        3        0
57939 randomplay                         	       0        1        0        1        0
57940 randomsound                        	       0        3        1        2        0
57941 randtype                           	       0        5        0        5        0
57942 rapid-photo-downloader             	       0        4        0        4        0
57943 rapid-spring                       	       0        1        0        1        0
57944 rapiddisk                          	       0        1        0        1        0
57945 rapidjson-dev                      	       0       16        0       16        0
57946 rapidjson-doc                      	       0        1        0        0        1
57947 rapidsvn                           	       0       12        0       12        0
57948 rapmap                             	       0        1        0        1        0
57949 raptor-utils                       	       0        1        0        1        0
57950 rar-2.80                           	       0        1        0        1        0
57951 rarpd                              	       0        3        1        2        0
57952 rasdaemon                          	       0        8        0        8        0
57953 rasmol                             	       0        4        0        4        0
57954 rasmol-doc                         	       0        1        0        0        1
57955 raspberrypi-bootloader             	       0        3        0        0        3
57956 raspberrypi-kernel                 	       0        2        0        1        1
57957 raspberrypi-kernel-headers         	       0        1        0        1        0
57958 raspberrypi-net-mods               	       0        1        0        1        0
57959 raspell                            	       0        6        0        6        0
57960 raspi-config                       	       0        2        0        2        0
57961 raspi-firmware                     	       0        8        0        8        0
57962 raspi-gpio                         	       0        1        0        1        0
57963 rasqal-utils                       	       0        5        0        5        0
57964 raster3d                           	       0        2        0        2        0
57965 raster3d-doc                       	       0        1        0        0        1
57966 rasterio                           	       0        1        0        1        0
57967 rasterlite2-bin                    	       0        4        0        4        0
57968 rasterview                         	       0        3        0        3        0
57969 ratbagd                            	       0       10        0       10        0
57970 rate4site                          	       0        1        0        1        0
57971 ratfor                             	       0        2        0        2        0
57972 rationalplan-single                	       0        1        0        1        0
57973 ratmenu                            	       0        3        0        3        0
57974 ratpoison                          	       0       19        2       17        0
57975 ratt                               	       0        3        0        3        0
57976 rav1e                              	       0        2        0        2        0
57977 rawdog                             	       0        1        0        1        0
57978 rawtherapee                        	       0       54        3       51        0
57979 rawtherapee-data                   	       0       54        0        0       54
57980 rawtran                            	       0        3        0        3        0
57981 rawtran-doc                        	       0        2        0        0        2
57982 raxml                              	       0        4        0        4        0
57983 ray                                	       0        1        0        1        0
57984 razercfg                           	       0        3        2        1        0
57985 razergenie                         	       0        1        0        1        0
57986 razor                              	       0       23        9       14        0
57987 rbd-fuse                           	       0        1        0        1        0
57988 rbd-nbd                            	       0        1        0        1        0
57989 rbdoom3bfg                         	       0        6        0        6        0
57990 rblcheck                           	       0        6        0        6        0
57991 rbldnsd                            	       0        1        0        1        0
57992 rc                                 	       0        9        0        9        0
57993 rccl                               	       0        3        0        0        3
57994 rccl-dev                           	       0        3        0        3        0
57995 rcconf                             	       0       62        2       60        0
57996 rcm                                	       0        3        0        3        0
57997 rcon                               	       0        1        0        1        0
57998 rcs-dbgsym                         	       0        1        0        1        0
57999 rcse                               	       0        1        0        1        0
58000 rcse-build-deps                    	       0        1        0        0        1
58001 rdd                                	       0        2        0        2        0
58002 rdfind                             	       0       47        1       46        0
58003 rdiff                              	       0       26        0       26        0
58004 rdiff-backup-fs                    	       0       12        0       12        0
58005 rdist                              	       0        3        0        3        0
58006 rdma-core                          	       0        7        1        6        0
58007 rdmacm-utils                       	       0        1        0        1        0
58008 rdnssd                             	       0       22        6       16        0
58009 rdopng                             	       0        1        0        1        0
58010 rdopt                              	       0        1        0        1        0
58011 rdp-alignment                      	       0        1        0        1        0
58012 rdp-classifier                     	       0        1        0        1        0
58013 rdp-readseq                        	       0        1        0        1        0
58014 rdtool-elisp                       	       0        2        0        2        0
58015 rdup                               	       0        2        0        2        0
58016 readahead-fedora                   	       0        3        0        3        0
58017 readline-common                    	       0     4145        0        0     4145
58018 readline-doc                       	       0       26        0        0       26
58019 readpst                            	       0        5        0        0        5
58020 readseq                            	       0        3        0        3        0
58021 readstat                           	       0       11        0       11        0
58022 realpath                           	       0       16        0        0       16
58023 realplayer                         	       0        1        0        1        0
58024 realtek-r8125-dkms                 	       0        1        1        0        0
58025 realtimebattle                     	       0        1        0        1        0
58026 realtimebattle-common              	       0        1        0        1        0
58027 realvnc-vnc-server                 	       0        4        0        4        0
58028 realvnc-vnc-viewer                 	       0       21        1       20        0
58029 rear                               	       0        6        0        6        0
58030 rear-doc                           	       0        2        0        0        2
58031 rebar                              	       0        1        0        1        0
58032 reboot-notifier                    	       0        1        0        0        1
58033 recap                              	       0        3        0        3        0
58034 recite                             	       0        1        0        1        0
58035 reclass                            	       0        1        0        1        0
58036 reclass-doc                        	       0        1        0        0        1
58037 recode-doc                         	       0        5        0        0        5
58038 recoll                             	       0       35        0        0       35
58039 recommonmark-scripts               	       0        1        0        1        0
58040 recon-ng                           	       0        2        0        2        0
58041 recover                            	       0        2        0        2        0
58042 recoverdm                          	       0       32        2       30        0
58043 recoverjpeg                        	       0       36        0       36        0
58044 recutils                           	       0       13        0       13        0
58045 redeclipse                         	       0       10        0       10        0
58046 redeclipse-common                  	       0       10        0        0       10
58047 redeclipse-data                    	       0       10        0        0       10
58048 redeclipse-server                  	       0        1        0        1        0
58049 redet                              	       0        1        0        1        0
58050 redir                              	       0       16        1       15        0
58051 redis                              	       0       42        0        0       42
58052 redis-redisearch                   	       0        1        0        1        0
58053 redis-sentinel                     	       0        2        0        2        0
58054 redland-bindings-build-deps        	       0        1        0        0        1
58055 redland-utils                      	       0        6        0        6        0
58056 redmine                            	       0        5        0        5        0
58057 redmine-mysql                      	       0        2        0        0        2
58058 redmine-pgsql                      	       0        1        0        0        1
58059 redmine-sqlite                     	       0        3        0        0        3
58060 rednotebook                        	       0        9        1        8        0
58061 redsea                             	       0        1        0        1        0
58062 redshift-build-deps                	       0        1        0        0        1
58063 redshift-dbgsym                    	       0        1        0        1        0
58064 redshift-qt                        	       0        2        0        2        0
58065 redsocks                           	       0        3        1        2        0
58066 refblas3                           	       0        1        0        0        1
58067 referenceassemblies-pcl            	       0       22        0       22        0
58068 refind                             	       0       38        0       38        0
58069 reflex                             	       0        1        0        1        0
58070 refurb                             	       0        1        0        1        0
58071 regexxer                           	       0       10        0       10        0
58072 regina-normal                      	       0        1        0        1        0
58073 regina-normal-doc                  	       0        1        0        0        1
58074 regina-rexx                        	       0        4        0        4        0
58075 regionset                          	       0       25        0       25        0
58076 reglookup                          	       0       27        0       27        0
58077 reglookup-doc                      	       0       22        0        0       22
58078 regolith-compositor-picom-glx      	       0        1        0        0        1
58079 regolith-control-center            	       0        1        0        1        0
58080 regolith-default-settings          	       0        1        0        0        1
58081 regolith-desktop                   	       0        1        0        0        1
58082 regolith-ftue                      	       0        1        0        1        0
58083 regolith-i3-compositor             	       0        1        0        0        1
58084 regolith-i3-control-center-regolith	       0        1        0        0        1
58085 regolith-i3-dbus-activation        	       0        1        0        0        1
58086 regolith-i3-default-style          	       0        1        0        0        1
58087 regolith-i3-gaps                   	       0        1        0        0        1
58088 regolith-i3-i3xrocks               	       0        1        0        0        1
58089 regolith-i3-ilia                   	       0        1        0        0        1
58090 regolith-i3-root-config            	       0        1        0        0        1
58091 regolith-i3-session                	       0        1        0        0        1
58092 regolith-i3-unclutter              	       0        1        0        0        1
58093 regolith-i3xrocks-config           	       0        1        0        0        1
58094 regolith-look-ayu                  	       0        1        0        0        1
58095 regolith-look-ayu-dark             	       0        1        0        0        1
58096 regolith-look-ayu-mirage           	       0        1        0        0        1
58097 regolith-look-blackhole            	       0        1        0        0        1
58098 regolith-look-default              	       0        1        0        0        1
58099 regolith-look-default-loader       	       0        1        0        0        1
58100 regolith-look-dracula              	       0        1        0        0        1
58101 regolith-look-gruvbox              	       0        1        0        0        1
58102 regolith-look-i3-default           	       0        1        0        0        1
58103 regolith-look-lascaille            	       0        1        0        0        1
58104 regolith-look-nevil                	       0        1        0        0        1
58105 regolith-look-nord                 	       0        1        0        0        1
58106 regolith-look-solarized-dark       	       0        1        0        0        1
58107 regolith-rofication                	       0        1        0        1        0
58108 regolith-session-common            	       0        1        0        1        0
58109 regolith-session-flashback         	       0        1        0        1        0
58110 regolith-session-flashback-ext     	       0        1        0        0        1
58111 regolith-unclutter-xfixes          	       0        1        0        1        0
58112 regolith-wm-base-launchers         	       0        1        0        0        1
58113 regolith-wm-config                 	       0        1        0        1        0
58114 regolith-wm-ftue                   	       0        1        0        0        1
58115 regolith-wm-navigation             	       0        1        0        0        1
58116 regolith-wm-networkmanager         	       0        1        0        0        1
58117 regolith-wm-resize                 	       0        1        0        0        1
58118 regolith-wm-rofication-ilia        	       0        1        0        0        1
58119 regolith-wm-swap-focus             	       0        1        0        0        1
58120 regolith-wm-workspace-config       	       0        1        0        0        1
58121 regripper                          	       0       16        0       16        0
58122 rehex                              	       0        1        0        1        0
58123 rekor                              	       0        2        0        2        0
58124 relational-cli                     	       0        1        0        1        0
58125 relevation                         	       0        1        0        1        0
58126 remake                             	       0        5        0        5        0
58127 remaster-iso                       	       0        2        0        2        0
58128 remmina-common                     	       0      468        9       19      440
58129 remmina-dev                        	       0        2        0        2        0
58130 remmina-plugin-exec                	       0       15        0        0       15
58131 remmina-plugin-gnome               	       0        1        0        1        0
58132 remmina-plugin-kiosk               	       0        9        1        8        0
58133 remmina-plugin-kwallet             	       0        8        1        0        7
58134 remmina-plugin-nx                  	       0        2        0        1        1
58135 remmina-plugin-python              	       0        5        0        0        5
58136 remmina-plugin-rdp                 	       0      457       11       20      426
58137 remmina-plugin-secret              	       0      449       10       21      418
58138 remmina-plugin-spice               	       0       12        1        4        7
58139 remmina-plugin-telepathy           	       0        1        0        1        0
58140 remmina-plugin-vnc                 	       0      458       11       22      425
58141 remmina-plugin-www                 	       0       14        0        0       14
58142 remmina-plugin-x2go                	       0       13        1        0       12
58143 remmina-plugin-xdmcp               	       0        4        0        1        3
58144 remote-logon-config-agent          	       0        2        0        2        0
58145 remote-logon-service               	       0        2        0        0        2
58146 remotetrx                          	       0        2        0        2        0
58147 rename-flac                        	       0        5        0        5        0
58148 renattach                          	       0        1        0        1        0
58149 render-dev                         	       0        1        0        0        1
58150 renderdoc                          	       0        3        0        0        3
58151 renderdoccmd                       	       0        3        0        3        0
58152 reniced                            	       0        2        0        2        0
58153 renpy                              	       0        5        0        5        0
58154 renpy-demo                         	       0        1        0        1        0
58155 renpy-doc                          	       0        1        0        0        1
58156 renpy-thequestion                  	       0        5        0        5        0
58157 renrot                             	       0        6        0        6        0
58158 rep                                	       0       20        1       19        0
58159 rep-doc                            	       0        6        0        0        6
58160 rep-gtk                            	       0       19        1       18        0
58161 repetier-host                      	       0        2        0        2        0
58162 rephrase                           	       0       23        0       23        0
58163 replaygain                         	       0        1        0        1        0
58164 repmgr                             	       0        1        0        0        1
58165 repmgr-common                      	       0        1        1        0        0
58166 repopush                           	       0        1        0        1        0
58167 reportbug-gtk                      	       0       10        0        0       10
58168 reportbug-ng                       	       0        2        0        2        0
58169 reposurgeon                        	       0        3        0        3        0
58170 repowerd                           	       0        1        0        1        0
58171 repowerd-data                      	       0        1        0        0        1
58172 repowerd-tools                     	       0        1        0        1        0
58173 reprof                             	       0        1        0        1        0
58174 reptyr                             	       0       30        0       30        0
58175 request-tracker4                   	       0        1        0        1        0
58176 rerun                              	       0        1        0        1        0
58177 resample                           	       0        1        0        1        0
58178 rescuezilla                        	       0        1        0        1        0
58179 reserialize                        	       0        3        0        3        0
58180 residualvm                         	       0        1        0        1        0
58181 residualvm-data                    	       0        1        0        0        1
58182 resilio-sync                       	       0        4        0        4        0
58183 resource-agents                    	       0        8        2        6        0
58184 restartd                           	       0        1        0        1        0
58185 resvg                              	       0        1        0        1        0
58186 retro-runner                       	       0        5        0        0        5
58187 retroarch                          	       0       25        1       24        0
58188 retroarch-assets                   	       0       25        0        0       25
58189 retroshare                         	       0        1        0        1        0
58190 retry                              	       0       12        1       11        0
58191 reuse                              	       0        1        0        1        0
58192 revelation                         	       0        3        0        3        0
58193 revolt                             	       0        6        0        6        0
58194 rex                                	       0        1        0        1        0
58195 rexical                            	       0        1        0        1        0
58196 rexima                             	       0        3        0        3        0
58197 rfcdiff                            	       0        5        0        5        0
58198 rfdump                             	       0       12        0       12        0
58199 rfkill-dbgsym                      	       0        1        0        1        0
58200 rgbpaint                           	       0        7        0        7        0
58201 rgxg                               	       0        1        0        1        0
58202 rhash                              	       0       33        0       33        0
58203 rhinote                            	       0        4        0        4        0
58204 rhvoice                            	       0        3        0        3        0
58205 rhvoice-english                    	       0        2        0        0        2
58206 rhvoice-russian                    	       0        3        0        0        3
58207 rhythmbox-data                     	       0      408        0        0      408
58208 rhythmbox-doc                      	       0        6        0        0        6
58209 rhythmbox-plugin-cdrecorder        	       0      351        0        1      350
58210 rhythmbox-plugins                  	       0      390        0       14      376
58211 ri                                 	       0       25        0        0       25
58212 ri-li                              	       0       20        2       18        0
58213 ri-li-data                         	       0       20        0        0       20
58214 ri1.8                              	       0        1        0        0        1
58215 ri1.9.1                            	       0        1        0        0        1
58216 ric                                	       0        1        0        1        0
58217 ricks-amdgpu-utils                 	       0       20        0        3       17
58218 rickslab-gpu-utils                 	       0       26        1       25        0
58219 ricochet                           	       0        2        0        2        0
58220 ricochet-im                        	       0        1        0        1        0
58221 riece                              	       0        1        0        1        0
58222 riemann-c-client                   	       0        1        0        1        0
58223 rien-certbot-manualdns-alwaysdata  	       0        1        0        1        0
58224 rien-common                        	       0       15        0       15        0
58225 rien-desktop                       	       0        4        0        4        0
58226 rien-fetch                         	       0        1        0        1        0
58227 rien-fpm                           	       0        2        0        0        2
58228 rien-guest                         	       0        9        0        0        9
58229 rien-host                          	       0        2        1        1        0
58230 rien-keyring                       	       0       15        0        0       15
58231 rien-knot-resolver                 	       0        5        0        1        4
58232 rien-mx                            	       0        2        1        1        0
58233 rien-nginx                         	       0        5        0        0        5
58234 rien-torrent                       	       0        1        0        1        0
58235 rien-webmail                       	       0        2        0        0        2
58236 rifiuti                            	       0       25        0       25        0
58237 rifiuti2                           	       0       25        0       25        0
58238 rig                                	       0        8        0        8        0
58239 rime-data-bopomofo                 	       0        3        0        0        3
58240 rime-data-cangjie5                 	       0        4        0        0        4
58241 rime-data-luna-pinyin              	       0        4        0        1        3
58242 rime-data-stroke                   	       0        4        0        0        4
58243 rime-data-terra-pinyin             	       0        4        0        0        4
58244 rime-essay                         	       0        4        0        0        4
58245 rime-prelude                       	       0        4        0        0        4
58246 rinetd                             	       0        2        0        2        0
58247 ring                               	       0        2        0        1        1
58248 ring-daemon                        	       0        2        0        1        1
58249 rinse                              	       0       10        0       10        0
58250 riot-desktop                       	       0        1        0        0        1
58251 riot-nightly                       	       0        1        0        0        1
58252 ripit                              	       0       16        0       16        0
58253 ripmake                            	       0        1        0        1        0
58254 ripmime                            	       0        5        2        3        0
58255 ripole                             	       0       11        2        9        0
58256 ripper                             	       0        3        0        3        0
58257 ripperx                            	       0       57        0       57        0
58258 riseup-vpn                         	       0        7        0        7        0
58259 rkdeveloptool                      	       0        1        1        0        0
58260 rkflashkit                         	       0        1        0        1        0
58261 rkward-data                        	       0       14        0        0       14
58262 rlfe                               	       0        4        0        4        0
58263 rlinetd                            	       0        4        0        4        0
58264 rlinux                             	       0        1        0        1        0
58265 rlpr                               	       0        4        0        4        0
58266 rlvm                               	       0        4        0        4        0
58267 rmagic                             	       0        2        1        1        0
58268 rmail                              	       0        2        0        2        0
58269 rman                               	       0        1        0        1        0
58270 rmligs-german                      	       0        2        0        2        0
58271 rmlint                             	       0       24        0       24        0
58272 rmlint-doc                         	       0        3        0        0        3
58273 rmlint-gui                         	       0       18        0       18        0
58274 rmw                                	       0        1        0        1        0
58275 rna-star                           	       0        1        0        1        0
58276 rnahybrid                          	       0        1        0        1        0
58277 rnbyc                              	       0        1        0        1        0
58278 rng-tools                          	       0       23        0        4       19
58279 rng-tools-debian                   	       0       34        3       31        0
58280 rng-tools5                         	       0       10        1        9        0
58281 rng-tools6                         	       0        1        0        1        0
58282 rnnoise                            	       0        1        0        1        0
58283 rnp                                	       0        1        0        1        0
58284 roam-research                      	       0        1        0        1        0
58285 roarclients                        	       0        1        0        1        0
58286 roarplaylistd                      	       0        1        0        1        0
58287 roarplaylistd-codechelper-gst      	       0        1        0        1        0
58288 roarplaylistd-tools                	       0        1        0        1        0
58289 robocode                           	       0        4        0        4        0
58290 robocode-doc                       	       0        1        0        0        1
58291 robocut                            	       0        1        0        1        0
58292 robotfindskitten                   	       0        6        0        6        0
58293 robotour                           	       0        1        0        1        0
58294 rocalution                         	       0        3        0        0        3
58295 rocalution-dev                     	       0        3        0        3        0
58296 rocblas                            	       0        4        0        4        0
58297 rocblas-dev                        	       0        4        0        4        0
58298 roccat-tools                       	       0        1        0        1        0
58299 rocfft                             	       0        3        0        3        0
58300 rocfft-dev                         	       0        3        0        3        0
58301 rockdodger                         	       0        2        0        2        0
58302 rocksdb-tools                      	       0        1        0        1        0
58303 rocksndiamonds                     	       0       10        0       10        0
58304 rocm                               	       0        1        0        0        1
58305 rocm-bandwidth-test                	       0        1        0        1        0
58306 rocm-clang-ocl                     	       0        1        0        1        0
58307 rocm-cmake                         	       0        9        0        0        9
58308 rocm-core-asan                     	       0        1        0        1        0
58309 rocm-core5.0.2                     	       0        1        0        1        0
58310 rocm-dbgapi                        	       0        3        0        3        0
58311 rocm-debug-agent                   	       0        3        0        0        3
58312 rocm-developer-tools               	       0        1        0        0        1
58313 rocm-device-libs                   	       0       12        1       11        0
58314 rocm-gdb                           	       0        1        0        1        0
58315 rocm-hip-libraries                 	       0        3        0        0        3
58316 rocm-hip-runtime                   	       0        6        0        0        6
58317 rocm-hip-runtime-dev               	       0        3        0        0        3
58318 rocm-hip-sdk                       	       0        3        0        0        3
58319 rocm-khronos-cts                   	       0        1        0        1        0
58320 rocm-language-runtime              	       0       15        0        0       15
58321 rocm-llvm                          	       0        5        1        4        0
58322 rocm-llvm-dev                      	       0        1        0        1        0
58323 rocm-ml-libraries                  	       0        3        0        0        3
58324 rocm-ml-sdk                        	       0        2        0        0        2
58325 rocm-ocl-icd                       	       0        6        1        2        3
58326 rocm-ocltst                        	       0        1        0        0        1
58327 rocm-opencl-dev                    	       0        7        0        7        0
58328 rocm-opencl-icd                    	       0        1        0        0        1
58329 rocm-opencl-icd-loader             	       0        7        1        4        2
58330 rocm-opencl-runtime                	       0       15        0        0       15
58331 rocm-opencl-sdk                    	       0        5        0        0        5
58332 rocm-openmp-sdk                    	       0        2        0        0        2
58333 rocm-smi                           	       0       10        0        9        1
58334 rocm-smi-lib                       	       0        4        0        4        0
58335 rocm-utils                         	       0        3        0        0        3
58336 rocm-validation-suite              	       0        2        0        2        0
58337 rocprim-dev                        	       0        3        0        3        0
58338 rocprofiler                        	       0        1        0        1        0
58339 rocprofiler-dev                    	       0        2        0        1        1
58340 rocprofiler-plugins                	       0        1        0        1        0
58341 rocprofiler-sdk                    	       0        1        0        1        0
58342 rocprofiler-sdk-roctx              	       0        1        0        1        0
58343 rocrand                            	       0        4        0        0        4
58344 rocrand-dev                        	       0        4        0        4        0
58345 rocsolver                          	       0        4        0        0        4
58346 rocsolver-dev                      	       0        4        0        4        0
58347 rocsparse                          	       0        4        0        0        4
58348 rocsparse-dev                      	       0        4        0        4        0
58349 rocthrust-dev                      	       0        3        0        3        0
58350 roctracer                          	       0        2        0        2        0
58351 roctracer-dev                      	       0        3        0        2        1
58352 rocwmma-dev                        	       0        3        0        1        2
58353 roffit                             	       0        2        0        2        0
58354 roger                              	       0        1        0        1        0
58355 roger-plugins-evolution            	       0        1        0        0        1
58356 roger-plugins-fritzfon             	       0        1        0        0        1
58357 roger-plugins-gtknotify            	       0        1        0        0        1
58358 roger-plugins-indicator            	       0        1        0        0        1
58359 roger-plugins-notification         	       0        1        0        0        1
58360 roger-plugins-statusicon           	       0        1        0        0        1
58361 roger-router                       	       0        1        0        1        0
58362 roger-router-cli                   	       0        1        0        1        0
58363 rolisteam                          	       0        1        0        1        0
58364 rolisteam-build-deps               	       0        1        0        0        1
58365 rolldice                           	       0        7        0        7        0
58366 rolldice-build-deps                	       0        1        0        0        1
58367 rolldice-dbgsym                    	       0        1        0        1        0
58368 rollup                             	       0        2        0        2        0
58369 rolo                               	       0        6        0        6        0
58370 ronn                               	       0        5        0        5        0
58371 roodi                              	       0        1        0        1        0
58372 root-tail                          	       0       10        0       10        0
58373 rootlesskit                        	       0       11        0       11        0
58374 ros-actionlib-msgs                 	       0        1        0        0        1
58375 ros-base                           	       0        1        0        0        1
58376 ros-base-dev                       	       0        1        0        0        1
58377 ros-base-lisp-dev                  	       0        1        0        0        1
58378 ros-base-python-dev                	       0        1        0        0        1
58379 ros-cmake-modules                  	       0        1        0        0        1
58380 ros-core                           	       0        1        0        0        1
58381 ros-core-dev                       	       0        1        0        0        1
58382 ros-core-lisp-dev                  	       0        1        0        0        1
58383 ros-core-python-dev                	       0        1        0        0        1
58384 ros-core-rosbuild-dev              	       0        1        0        0        1
58385 ros-desktop                        	       0        1        0        0        1
58386 ros-desktop-full                   	       0        1        0        0        1
58387 ros-desktop-full-lisp-dev          	       0        1        0        0        1
58388 ros-desktop-lisp-dev               	       0        1        0        0        1
58389 ros-diagnostic-msgs                	       0        1        0        0        1
58390 ros-environment                    	       0        1        0        0        1
58391 ros-geometry-msgs                  	       0        1        0        0        1
58392 ros-groovy-eigen-stl-containers    	       0        1        0        0        1
58393 ros-map-msgs                       	       0        1        0        0        1
58394 ros-message-generation             	       0        2        0        0        2
58395 ros-message-runtime                	       0        3        0        0        3
58396 ros-mk                             	       0        1        0        0        1
58397 ros-move-base-msgs                 	       0        1        0        0        1
58398 ros-nav-msgs                       	       0        1        0        0        1
58399 ros-pcl-msgs                       	       0        1        0        0        1
58400 ros-perception                     	       0        1        0        0        1
58401 ros-perception-lisp-dev            	       0        1        0        0        1
58402 ros-robot                          	       0        1        0        0        1
58403 ros-robot-dev                      	       0        1        0        0        1
58404 ros-robot-lisp-dev                 	       0        1        0        0        1
58405 ros-robot-python-dev               	       0        1        0        0        1
58406 ros-roscpp-msg                     	       0        1        0        0        1
58407 ros-rosgraph-msgs                  	       0        1        0        0        1
58408 ros-sensor-msgs                    	       0        1        0        0        1
58409 ros-shape-msgs                     	       0        1        0        0        1
58410 ros-simulators                     	       0        1        0        0        1
58411 ros-simulators-dev                 	       0        1        0        0        1
58412 ros-simulators-lisp-dev            	       0        1        0        0        1
58413 ros-simulators-python-dev          	       0        1        0        0        1
58414 ros-std-msgs                       	       0        1        0        0        1
58415 ros-std-srvs                       	       0        1        0        0        1
58416 ros-stereo-msgs                    	       0        1        0        0        1
58417 ros-tf2-msgs                       	       0        1        0        0        1
58418 ros-topic-tools-srvs               	       0        1        0        0        1
58419 ros-trajectory-msgs                	       0        1        0        0        1
58420 ros-visualization-msgs             	       0        1        0        0        1
58421 ros-viz                            	       0        1        0        0        1
58422 rosbash                            	       0        2        0        2        0
58423 rosbuild                           	       0        1        0        1        0
58424 roslang                            	       0        1        0        0        1
58425 roslisp                            	       0        2        0        0        2
58426 rosnix-firewall                    	       0        2        0        0        2
58427 rosout                             	       0        1        0        1        0
58428 rospack-tools                      	       0        3        0        3        0
58429 rotix                              	       0        4        0        4        0
58430 rott                               	       0        6        0        6        0
58431 rotter                             	       0        7        0        7        0
58432 roundcube                          	       0       18        0        0       18
58433 roundcube-core                     	       0       18        2       16        0
58434 roundcube-mysql                    	       0       19        0        0       19
58435 roundcube-plugin-authres-status    	       0        1        0        1        0
58436 roundcube-plugin-compose-addressbook	       0        1        0        1        0
58437 roundcube-plugin-contextmenu       	       0        1        0        1        0
58438 roundcube-plugin-dovecot-impersonate	       0        1        0        1        0
58439 roundcube-plugin-fail2ban          	       0        1        0        1        0
58440 roundcube-plugin-html5-notifier    	       0        1        0        1        0
58441 roundcube-plugin-keyboard-shortcuts	       0        1        0        1        0
58442 roundcube-plugin-listcommands      	       0        1        0        1        0
58443 roundcube-plugin-message-highlight 	       0        1        0        1        0
58444 roundcube-plugin-sauserprefs       	       0        1        0        1        0
58445 roundcube-plugin-thunderbird-labels	       0        1        0        1        0
58446 roundcube-plugins                  	       0       16        2       14        0
58447 roundcube-plugins-extra            	       0        7        1        5        1
58448 roundcube-skin-classic             	       0        2        0        0        2
58449 roundcube-skin-larry               	       0        2        0        0        2
58450 roundcubemail                      	       0        1        0        1        0
58451 roundcubemail-plugin-kolab-delegation	       0        1        0        1        0
58452 roundcubemail-plugins-kolab        	       0        1        0        0        1
58453 roundcubemail-skin-chameleon       	       0        1        0        0        1
58454 route-rnd                          	       0        2        0        2        0
58455 routino                            	       0       22        2       20        0
58456 routino-common                     	       0       22        0        0       22
58457 routino-www                        	       0        3        0        0        3
58458 rovclock                           	       0       11        0       11        0
58459 rover                              	       0        3        0        3        0
58460 rox-archive                        	       0        2        0        2        0
58461 rox-edit                           	       0        2        0        2        0
58462 rox-filer                          	       0       38        3       35        0
58463 rox-lib2                           	       0        2        0        2        0
58464 rox-mime-jon                       	       0        2        0        0        2
58465 rox-wallpaper                      	       0        2        0        2        0
58466 roxterm                            	       0        4        0        0        4
58467 roxterm-common                     	       0        4        0        0        4
58468 roxterm-gtk3                       	       0        4        0        4        0
58469 rpi-eeprom                         	       0        1        0        1        0
58470 rpi-imager-dbgsym                  	       0        1        0        1        0
58471 rpi-update                         	       0        1        0        1        0
58472 rplay-client                       	       0        2        0        2        0
58473 rpm-i18n                           	       0       14        0        0       14
58474 rpm2html                           	       0        2        0        2        0
58475 rpmlint                            	       0        3        0        3        0
58476 rpp                                	       0        2        0        0        2
58477 rpp-dev                            	       0        1        0        1        0
58478 rrdcached                          	       0        5        2        3        0
58479 rrdcollect                         	       0        3        1        2        0
58480 rrdtool-tcl                        	       0        5        0        0        5
58481 rrep                               	       0        3        1        2        0
58482 rrootage                           	       0        4        0        4        0
58483 rrootage-data                      	       0        6        0        0        6
58484 rrqnet                             	       0        5        1        4        0
58485 rs                                 	       0        2        0        2        0
58486 rsakeyfind                         	       0       23        0       23        0
58487 rsbackup                           	       0        7        1        6        0
58488 rsbackup-graph                     	       0        2        0        2        0
58489 rsbackup-lib                       	       0        1        0        1        0
58490 rsem                               	       0        1        0        1        0
58491 rsemd                              	       0        1        0        1        0
58492 rsh-redone-client                  	       0        3        0        3        0
58493 rsh-redone-server                  	       0        2        0        2        0
58494 rsnapshot                          	       0       51        4       47        0
58495 rspamd                             	       0       17        8        9        0
58496 rsplib-doc                         	       0        2        0        0        2
58497 rsplib-fgp-cfgfiles                	       0        2        0        0        2
58498 rsplib-tools                       	       0        2        0        2        0
58499 rsrce                              	       0        1        0        1        0
58500 rss2email                          	       0        6        0        6        0
58501 rssguard                           	       0        2        0        2        0
58502 rssh                               	       0       15        0       15        0
58503 rsshfs                             	       0        3        0        3        0
58504 rsstail                            	       0        8        0        8        0
58505 rst2pdf                            	       0       11        0       11        0
58506 rstat-client                       	       0        2        0        2        0
58507 rstatd                             	       0        1        0        1        0
58508 rstcheck                           	       0        3        0        3        0
58509 rstudio-server                     	       0        3        0        3        0
58510 rsync-build-deps                   	       0        1        0        0        1
58511 rsyncbackup-2pir                   	       0        1        0        1        0
58512 rsyncrypto                         	       0        2        0        2        0
58513 rsyslog-dbgsym                     	       0        1        0        1        0
58514 rsyslog-doc                        	       0       23        0        0       23
58515 rsyslog-gnutls                     	       0       11        1        3        7
58516 rsyslog-gssapi                     	       0        1        0        0        1
58517 rsyslog-mysql                      	       0        1        0        1        0
58518 rsyslog-openssl                    	       0        2        0        0        2
58519 rsyslog-pgsql                      	       0        1        0        0        1
58520 rsyslog-relp                       	       0       13        2        2        9
58521 rsyslog-snmp                       	       0        1        0        0        1
58522 rt-tests                           	       0        2        0        2        0
58523 rt3573sta-driver                   	       0        1        0        0        1
58524 rt4-apache2                        	       0        1        0        0        1
58525 rt4-clients                        	       0        1        0        1        0
58526 rt4-db-mysql                       	       0        1        0        0        1
58527 rtags                              	       0        2        0        2        0
58528 rtax                               	       0        1        0        1        0
58529 rtcontrolcenter                    	       0        1        0        1        0
58530 rtcw                               	       0        3        0        3        0
58531 rtcw-common                        	       0        3        0        3        0
58532 rtcw-en-data                       	       0        1        0        0        1
58533 rtirq-init                         	       0       10        0        0       10
58534 rtklib                             	       0        3        0        3        0
58535 rtklib-doc                         	       0        1        0        0        1
58536 rtklib-qt                          	       0        3        0        3        0
58537 rtl-ais                            	       0        2        0        2        0
58538 rtl-connector                      	       0        1        0        1        0
58539 rtl-sdr                            	       0       47        1       46        0
58540 rtl-tcp-connector                  	       0        1        0        1        0
58541 rtl8192eu-dkms                     	       0        1        0        1        0
58542 rtl8821cu-dkms                     	       0        1        0        1        0
58543 rtl88x2bu-dkms                     	       0        2        0        2        0
58544 rtorrent                           	       0       91        1       90        0
58545 rtorrent-build-deps                	       0        1        0        0        1
58546 rtorrent-dbgsym                    	       0        1        0        1        0
58547 rts5229                            	       0        1        0        0        1
58548 rttool                             	       0        1        0        1        0
58549 rtv                                	       0        2        0        2        0
58550 rtx                                	       0        1        0        1        0
58551 rubber                             	       0       11        1       10        0
58552 rubberband-ladspa                  	       0       44        4       37        3
58553 rubberband-lv2                     	       0        2        0        2        0
58554 rubberband-vamp                    	       0        6        0        5        1
58555 rubi-deb                           	       0        2        0        0        2
58556 rubiks                             	       0        3        0        3        0
58557 rubocop                            	       0        5        0        5        0
58558 ruby-abstract-type                 	       0        1        0        1        0
58559 ruby-ace-rails-ap                  	       0        1        0        1        0
58560 ruby-acme-client                   	       0        1        0        1        0
58561 ruby-actionpack-action-caching     	       0        5        0        5        0
58562 ruby-active-model-serializers      	       0        1        0        1        0
58563 ruby-activeldap                    	       0        1        0        1        0
58564 ruby-activemodel-serializers-xml   	       0        1        0        1        0
58565 ruby-acts-as-api                   	       0        1        0        1        0
58566 ruby-acts-as-list                  	       0        1        0        1        0
58567 ruby-acts-as-tree                  	       0        1        0        1        0
58568 ruby-adsf                          	       0        1        0        1        0
58569 ruby-adsf-live                     	       0        1        0        1        0
58570 ruby-ae                            	       0        1        0        1        0
58571 ruby-aes-key-wrap                  	       0        1        0        1        0
58572 ruby-aggregate                     	       0        1        0        1        0
58573 ruby-airbrussh                     	       0        1        0        1        0
58574 ruby-akismet                       	       0        1        0        1        0
58575 ruby-amq-protocol                  	       0        1        0        1        0
58576 ruby-amrita2                       	       0        1        0        1        0
58577 ruby-ansi                          	       0        5        0        5        0
58578 ruby-archive-zip                   	       0        2        0        2        0
58579 ruby-arel                          	       0        5        0        5        0
58580 ruby-arr-pm                        	       0        1        0        1        0
58581 ruby-asciidoctor-include-ext       	       0        1        0        1        0
58582 ruby-asciidoctor-plantuml          	       0        1        0        1        0
58583 ruby-ast                           	       0        5        0        5        0
58584 ruby-async                         	       0        1        0        1        0
58585 ruby-async-http                    	       0        1        0        1        0
58586 ruby-async-io                      	       0        1        0        1        0
58587 ruby-async-pool                    	       0        1        0        1        0
58588 ruby-async-process                 	       0        1        0        1        0
58589 ruby-async-rspec                   	       0        1        0        1        0
58590 ruby-atk                           	       0       36        1       35        0
58591 ruby-atomic                        	       0       16        0       15        1
58592 ruby-augeas                        	       0       31        1       14       16
58593 ruby-avl-tree                      	       0        2        0        1        1
58594 ruby-aws-sdk                       	       0        1        0        1        0
58595 ruby-backports                     	       0        3        0        3        0
58596 ruby-bcrypt-pbkdf                  	       0       32        0        6       26
58597 ruby-bdb                           	       0        1        0        0        1
58598 ruby-bindata                       	       0        1        0        1        0
58599 ruby-bindex                        	       0        7        0        1        6
58600 ruby-binding-of-caller             	       0        6        0        6        0
58601 ruby-blankslate                    	       0       12        0       12        0
58602 ruby-bootstrap-form                	       0        1        0        1        0
58603 ruby-bson                          	       0        2        0        1        1
58604 ruby-build                         	       0        8        1        7        0
58605 ruby-bunny                         	       0        1        0        1        0
58606 ruby-capybara                      	       0        7        0        7        0
58607 ruby-case-transform                	       0        1        0        1        0
58608 ruby-celluloid                     	       0        4        0        4        0
58609 ruby-celluloid-essentials          	       0        1        0        1        0
58610 ruby-celluloid-extras              	       0        1        0        1        0
58611 ruby-celluloid-fsm                 	       0        1        0        1        0
58612 ruby-celluloid-pool                	       0        1        0        1        0
58613 ruby-celluloid-supervision         	       0        1        0        1        0
58614 ruby-certificate-authority         	       0        3        0        3        0
58615 ruby-charlock-holmes               	       0        3        0        0        3
58616 ruby-chef-utils                    	       0        3        0        3        0
58617 ruby-chromedriver-helper           	       0        1        0        1        0
58618 ruby-chronic                       	       0        1        0        1        0
58619 ruby-chunky-png                    	       0        4        0        4        0
58620 ruby-classifier-reborn             	       0       10        1        9        0
58621 ruby-cleanroom                     	       0        1        0        1        0
58622 ruby-coderay                       	       0       21        2       19        0
58623 ruby-coffee-rails                  	       0        4        0        4        0
58624 ruby-coffee-script                 	       0       10        0       10        0
58625 ruby-coffee-script-source          	       0       11        0       11        0
58626 ruby-color                         	       0        1        0        1        0
58627 ruby-colorator                     	       0       10        1        9        0
58628 ruby-colored2                      	       0        1        0        1        0
58629 ruby-colorize                      	       0        5        0        5        0
58630 ruby-columnize                     	       0       11        0       11        0
58631 ruby-commandline                   	       0        1        0        1        0
58632 ruby-commonmarker                  	       0        2        0        0        2
58633 ruby-connection-pool               	       0       10        0       10        0
58634 ruby-console                       	       0        1        0        1        0
58635 ruby-crack                         	       0        1        0        1        0
58636 ruby-crass                         	       0       11        0       11        0
58637 ruby-cri                           	       0        1        0        1        0
58638 ruby-cri-doc                       	       0        1        0        0        1
58639 ruby-csv                           	       0        1        0        1        0
58640 ruby-cucumber-core                 	       0        1        0        1        0
58641 ruby-cucumber-wire                 	       0        1        0        1        0
58642 ruby-curb                          	       0        1        0        0        1
58643 ruby-daemons                       	       0        3        2        1        0
58644 ruby-dataobjects                   	       0        2        0        2        0
58645 ruby-dataobjects-mysql             	       0        1        0        1        0
58646 ruby-dataobjects-postgres          	       0        2        0        2        0
58647 ruby-dataobjects-sqlite3           	       0        2        0        2        0
58648 ruby-dbd-mysql                     	       0        1        0        1        0
58649 ruby-dbd-pg                        	       0        2        0        2        0
58650 ruby-dbd-sqlite3                   	       0        1        0        1        0
58651 ruby-dbi                           	       0        2        0        2        0
58652 ruby-dbm                           	       0       14        0        0       14
58653 ruby-dbus                          	       0        1        0        1        0
58654 ruby-ddmetrics                     	       0        1        0        1        0
58655 ruby-ddplugin                      	       0        1        0        1        0
58656 ruby-deb-version                   	       0        1        0        1        0
58657 ruby-debug-inspector               	       0        6        0        3        3
58658 ruby-declarative                   	       0        1        0        1        0
58659 ruby-declarative-option            	       0        1        0        1        0
58660 ruby-deep-merge                    	       0       29        2       27        0
58661 ruby-deprecated                    	       0        2        0        2        0
58662 ruby-dev                           	       0       85        0        0       85
58663 ruby-device-detector               	       0        1        0        1        0
58664 ruby-diff-lcs                      	       0       10        0       10        0
58665 ruby-dirty-memoize                 	       0        1        0        1        0
58666 ruby-distribution                  	       0        1        0        1        0
58667 ruby-dnsruby                       	       0        5        1        4        0
58668 ruby-docile                        	       0        2        0        2        0
58669 ruby-docker-api                    	       0        1        0        1        0
58670 ruby-domain-name                   	       0       72        4       68        0
58671 ruby-dotenv                        	       0        1        0        1        0
58672 ruby-ecasound                      	       0        1        0        1        0
58673 ruby-ed25519                       	       0       32        0        6       26
58674 ruby-eim-xml                       	       0        1        0        1        0
58675 ruby-em-websocket                  	       0       11        1       10        0
58676 ruby-enum                          	       0        2        0        2        0
58677 ruby-errbase                       	       0        1        0        1        0
58678 ruby-erubis                        	       0       25        0       25        0
58679 ruby-escape                        	       0        1        0        1        0
58680 ruby-ethon                         	       0        1        0        1        0
58681 ruby-execjs                        	       0       14        0       14        0
58682 ruby-exif                          	       0        4        0        0        4
58683 ruby-expression-parser             	       0        1        0        1        0
58684 ruby-expression-parser-doc         	       0        1        0        0        1
58685 ruby-extlib                        	       0        2        0        2        0
58686 ruby-facets                        	       0        1        0        1        0
58687 ruby-fakefs                        	       0        2        0        2        0
58688 ruby-faraday-middleware            	       0        1        0        1        0
58689 ruby-faraday-net-http              	       0        2        0        2        0
58690 ruby-faraday-retry                 	       0        1        0        1        0
58691 ruby-fast-gettext                  	       0        3        1        2        0
58692 ruby-fast-stemmer                  	       0       10        1        9        0
58693 ruby-fast-xs                       	       0        2        0        2        0
58694 ruby-fcgi                          	       0        4        0        4        0
58695 ruby-feedparser                    	       0        1        0        1        0
58696 ruby-ffi                           	       0       85        0       14       71
58697 ruby-ffi-compiler                  	       0        4        0        4        0
58698 ruby-ffi-libarchive                	       0        2        0        2        0
58699 ruby-ffi-yajl                      	       0        1        0        1        0
58700 ruby-fiber-local                   	       0        1        0        1        0
58701 ruby-flexmock                      	       0        2        0        2        0
58702 ruby-fog-json                      	       0       26        1       25        0
58703 ruby-fog-xml                       	       0       26        1       25        0
58704 ruby-forwardable-extended          	       0       10        1        9        0
58705 ruby-fssm                          	       0        1        0        1        0
58706 ruby-full                          	       0       12        0        0       12
58707 ruby-fuzzyurl                      	       0        1        0        1        0
58708 ruby-gdk-pixbuf2                   	       0       37        1       36        0
58709 ruby-gdk3                          	       0       26        0       26        0
58710 ruby-gettext                       	       0      111       11      100        0
58711 ruby-gettext-activerecord          	       0        1        0        1        0
58712 ruby-gettext-i18n-rails            	       0        1        0        1        0
58713 ruby-gettext-setup                 	       0        1        0        1        0
58714 ruby-gherkin                       	       0        1        0        1        0
58715 ruby-git                           	       0        2        0        2        0
58716 ruby-god                           	       0        1        0        1        0
58717 ruby-gpgme                         	       0        1        0        1        0
58718 ruby-grit                          	       0        1        0        1        0
58719 ruby-grpc                          	       0       24        0        0       24
58720 ruby-gsl                           	       0        1        0        0        1
58721 ruby-gssapi                        	       0        1        0        1        0
58722 ruby-gstreamer                     	       0        4        0        4        0
58723 ruby-gtk2                          	       0        9        0        9        0
58724 ruby-haml                          	       0        3        0        3        0
58725 ruby-hashie                        	       0        1        0        1        0
58726 ruby-hdfeos5                       	       0        3        0        3        0
58727 ruby-hiera                         	       0       10        0        0       10
58728 ruby-highline                      	       0        9        0        9        0
58729 ruby-hike                          	       0       14        0       14        0
58730 ruby-hikidoc                       	       0        2        0        2        0
58731 ruby-hitimes                       	       0        5        0        1        4
58732 ruby-hmac                          	       0        3        0        3        0
58733 ruby-hocon                         	       0       23        1       22        0
58734 ruby-hpricot                       	       0        2        0        2        0
58735 ruby-html-pipeline                 	       0        3        0        3        0
58736 ruby-html2haml                     	       0        3        0        3        0
58737 ruby-html2text                     	       0        1        0        1        0
58738 ruby-htmlentities                  	       0        9        0        9        0
58739 ruby-htree                         	       0        3        0        3        0
58740 ruby-http                          	       0        4        0        4        0
58741 ruby-http-accept                   	       0        4        0        4        0
58742 ruby-http-connection               	       0        1        0        1        0
58743 ruby-http-cookie                   	       0       72        4       68        0
58744 ruby-http-form-data                	       0        4        0        4        0
58745 ruby-http-parser                   	       0        4        0        4        0
58746 ruby-http-parser.rb-doc            	       0        1        0        0        1
58747 ruby-httpclient                    	       0       61        4       57        0
58748 ruby-hyperestraier                 	       0        2        0        2        0
58749 ruby-i18n-data                     	       0        1        0        1        0
58750 ruby-icalendar                     	       0        1        1        0        0
58751 ruby-ice-cube                      	       0        1        1        0        0
58752 ruby-imagesize                     	       0        1        0        1        0
58753 ruby-iniparse                      	       0        1        0        1        0
58754 ruby-innate                        	       0        2        0        2        0
58755 ruby-innertube                     	       0        1        0        1        0
58756 ruby-inotify                       	       0        1        0        1        0
58757 ruby-io-like                       	       0        2        0        2        0
58758 ruby-jar-dependencies              	       0        1        0        1        0
58759 ruby-jbuilder                      	       0       10        0       10        0
58760 ruby-jekyll-avatar                 	       0        1        0        1        0
58761 ruby-jekyll-coffeescript           	       0        6        0        6        0
58762 ruby-jekyll-compose                	       0        1        0        1        0
58763 ruby-jekyll-feed                   	       0       10        1        9        0
58764 ruby-jekyll-gist                   	       0        6        0        6        0
58765 ruby-jekyll-last-modified-at       	       0        1        0        0        1
58766 ruby-jekyll-mentions               	       0        1        0        1        0
58767 ruby-jekyll-paginate               	       0        5        0        5        0
58768 ruby-jekyll-paginate-v2            	       0        1        0        0        1
58769 ruby-jekyll-redirect-from          	       0        1        0        1        0
58770 ruby-jekyll-sass-converter         	       0       10        1        9        0
58771 ruby-jekyll-seo-tag                	       0        8        0        0        8
58772 ruby-jekyll-sitemap                	       0        1        0        1        0
58773 ruby-jekyll-watch                  	       0       10        1        9        0
58774 ruby-joiner                        	       0        1        0        1        0
58775 ruby-jquery-rails                  	       0        8        0        8        0
58776 ruby-jsonapi-renderer              	       0        1        0        1        0
58777 ruby-kde4                          	       0        3        1        2        0
58778 ruby-kramdown-parser-gfm           	       0       13        1       12        0
58779 ruby-kramdown-rfc2629              	       0        1        0        1        0
58780 ruby-krb5-auth                     	       0        1        0        1        0
58781 ruby-launchy-shim                  	       0        1        0        1        0
58782 ruby-libnotify                     	       0        1        0        1        0
58783 ruby-librarian                     	       0        1        0        1        0
58784 ruby-libxml                        	       0        2        1        0        1
58785 ruby-liquid                        	       0       12        1       11        0
58786 ruby-locale                        	       0      112       12      100        0
58787 ruby-lockfile                      	       0        1        0        1        0
58788 ruby-log4r                         	       0       30        1       29        0
58789 ruby-loofah                        	       0       14        0       14        0
58790 ruby-mab                           	       0        1        0        1        0
58791 ruby-magic                         	       0        2        0        2        0
58792 ruby-mail                          	       0       23        0       23        0
58793 ruby-mapscript                     	       0        1        0        0        1
58794 ruby-marcel                        	       0       12        0       12        0
58795 ruby-maruku                        	       0        2        0        2        0
58796 ruby-mathml                        	       0        1        0        1        0
58797 ruby-mdl                           	       0        1        0        1        0
58798 ruby-mecab                         	       0        1        0        0        1
58799 ruby-mechanize                     	       0        3        0        3        0
58800 ruby-memcache-client               	       0        1        0        1        0
58801 ruby-mercenary                     	       0       10        1        9        0
58802 ruby-metaclass                     	       0        3        0        3        0
58803 ruby-metriks                       	       0        1        0        1        0
58804 ruby-middleware                    	       0        1        0        1        0
58805 ruby-mime                          	       0       24        0       24        0
58806 ruby-mimemagic                     	       0        7        0        7        0
58807 ruby-mini-exiftool                 	       0       27        0       27        0
58808 ruby-mini-magick                   	       0        3        0        3        0
58809 ruby-mini-mime                     	       0       19        0       19        0
58810 ruby-minitar                       	       0        4        0        4        0
58811 ruby-mixlib-archive                	       0        1        0        1        0
58812 ruby-mixlib-authentication         	       0        1        0        1        0
58813 ruby-mixlib-cli                    	       0        5        0        5        0
58814 ruby-mixlib-config                 	       0        3        0        3        0
58815 ruby-mixlib-log                    	       0        2        0        2        0
58816 ruby-mixlib-shellout               	       0        3        0        3        0
58817 ruby-mocha                         	       0        3        0        3        0
58818 ruby-molinillo                     	       0       12        1       11        0
58819 ruby-mongo                         	       0        2        0        2        0
58820 ruby-mp3tag                        	       0        2        0        2        0
58821 ruby-msfrpc-client                 	       0        1        0        1        0
58822 ruby-msgpack                       	       0       10        0        2        8
58823 ruby-multi-test                    	       0        1        0        1        0
58824 ruby-multibitnums                  	       0        1        0        0        1
58825 ruby-mustache                      	       0        9        0        9        0
58826 ruby-mustermann                    	       0        3        0        3        0
58827 ruby-mysql                         	       0        2        0        2        0
58828 ruby-mysql2                        	       0        5        1        4        0
58829 ruby-narray                        	       0        6        0        0        6
58830 ruby-narray-miss                   	       0        2        0        2        0
58831 ruby-ncurses                       	       0        6        0        6        0
58832 ruby-nenv                          	       0        1        0        1        0
58833 ruby-neovim                        	       0        1        0        1        0
58834 ruby-net-dns                       	       0        1        0        1        0
58835 ruby-net-http-digest-auth          	       0       26        0       26        0
58836 ruby-net-http-persistent           	       0       16        0       16        0
58837 ruby-net-ldap                      	       0        7        0        7        0
58838 ruby-net-ssh-gateway               	       0        3        0        3        0
58839 ruby-net-ssh-multi                 	       0        2        0        2        0
58840 ruby-netcdf                        	       0        1        0        1        0
58841 ruby-netrc                         	       0        6        0        6        0
58842 ruby-nio4r                         	       0       12        0        3        9
58843 ruby-notifier                      	       0        1        0        1        0
58844 ruby-notify                        	       0        2        0        2        0
58845 ruby-notmuch                       	       0        4        0        0        4
58846 ruby-ntlm                          	       0        3        0        3        0
58847 ruby-numerizer                     	       0        2        0        2        0
58848 ruby-oauth                         	       0        1        0        1        0
58849 ruby-octokit                       	       0        6        0        6        0
58850 ruby-odbc                          	       0        2        0        2        0
58851 ruby-oily-png                      	       0        1        0        1        0
58852 ruby-okular                        	       0        1        0        1        0
58853 ruby-ole                           	       0        1        0        1        0
58854 ruby-open4                         	       0        4        0        4        0
58855 ruby-openid                        	       0        3        0        3        0
58856 ruby-opennebula                    	       0        1        0        1        0
58857 ruby-optimist                      	       0       44        0        0       44
58858 ruby-origami                       	       0        4        0        4        0
58859 ruby-packet                        	       0        2        0        2        0
58860 ruby-paint                         	       0       45        0       45        0
58861 ruby-parallel                      	       0        5        0        5        0
58862 ruby-parser                        	       0        1        0        1        0
58863 ruby-parslet                       	       0        2        0        2        0
58864 ruby-passenger                     	       0        5        0        0        5
58865 ruby-password                      	       0        2        0        1        1
58866 ruby-pastel                        	       0        2        0        2        0
58867 ruby-pathutil                      	       0       10        1        9        0
58868 ruby-pdf-inspector                 	       0        2        0        2        0
58869 ruby-pg                            	       0        6        0        6        0
58870 ruby-phonon                        	       0        2        0        2        0
58871 ruby-plasma                        	       0        3        1        2        0
58872 ruby-plist                         	       0        1        0        1        0
58873 ruby-poppler                       	       0        2        0        2        0
58874 ruby-premailer                     	       0        1        0        1        0
58875 ruby-progressbar                   	       0        5        0        5        0
58876 ruby-protected-attributes          	       0        3        0        3        0
58877 ruby-protocol-hpack                	       0        1        0        1        0
58878 ruby-protocol-http                 	       0        1        0        1        0
58879 ruby-protocol-http1                	       0        1        0        1        0
58880 ruby-protocol-http2                	       0        1        0        1        0
58881 ruby-proxifier                     	       0        1        0        1        0
58882 ruby-pry-byebug                    	       0        1        0        1        0
58883 ruby-psych                         	       0        1        0        0        1
58884 ruby-puppet-forge                  	       0        1        0        1        0
58885 ruby-puppet-resource-api           	       0        1        0        1        0
58886 ruby-puppetserver-ca-cli           	       0        1        0        1        0
58887 ruby-pygments.rb                   	       0       14        1       13        0
58888 ruby-qdbm                          	       0        3        0        0        3
58889 ruby-qt4                           	       0        6        1        5        0
58890 ruby-qt4-script                    	       0        3        0        3        0
58891 ruby-qt4-test                      	       0        3        0        3        0
58892 ruby-qt4-uitools                   	       0        3        0        3        0
58893 ruby-qt4-webkit                    	       0        3        1        2        0
58894 ruby-rack-openid                   	       0        3        0        3        0
58895 ruby-rack-protection               	       0        7        0        7        0
58896 ruby-rack-proxy                    	       0        6        0        6        0
58897 ruby-rack-test                     	       0       16        0       16        0
58898 ruby-rackup                        	       0        1        0        1        0
58899 ruby-rails                         	       0       14        0        0       14
58900 ruby-rails-deprecated-sanitizer    	       0       14        0       14        0
58901 ruby-rails-dom-testing             	       0       14        0       14        0
58902 ruby-rails-i18n                    	       0        1        0        1        0
58903 ruby-rails-observers               	       0        5        0        5        0
58904 ruby-rainbow                       	       0       15        0       15        0
58905 ruby-ramaze                        	       0        1        0        1        0
58906 ruby-rbpdf                         	       0        6        0        6        0
58907 ruby-rbpdf-font                    	       0        6        0        6        0
58908 ruby-rbtree                        	       0       18        0        0       18
58909 ruby-rdiscount                     	       0       12        1       11        0
58910 ruby-redcarpet                     	       0       16        1       15        0
58911 ruby-redis                         	       0        2        0        2        0
58912 ruby-regexp-parser                 	       0       11        0       11        0
58913 ruby-request-store                 	       0        5        0        5        0
58914 ruby-rest-client                   	       0        6        0        6        0
58915 ruby-rgen                          	       0        4        1        3        0
58916 ruby-riddle                        	       0        1        0        1        0
58917 ruby-rmagick-doc                   	       0        2        0        0        2
58918 ruby-roadie                        	       0        5        0        5        0
58919 ruby-roadie-rails                  	       0        5        0        5        0
58920 ruby-ronn                          	       0        7        0        7        0
58921 ruby-rotp                          	       0        3        0        3        0
58922 ruby-rpam-ruby19                   	       0        2        0        2        0
58923 ruby-rqrcode                       	       0        3        0        3        0
58924 ruby-rqrcode-core                  	       0        3        0        3        0
58925 ruby-rrd                           	       0        6        0        0        6
58926 ruby-rspec                         	       0        5        0        5        0
58927 ruby-rspec-core                    	       0        6        0        6        0
58928 ruby-rspec-expectations            	       0        6        0        6        0
58929 ruby-rspec-files                   	       0        1        0        1        0
58930 ruby-rspec-logsplit                	       0        1        0        1        0
58931 ruby-rspec-memory                  	       0        1        0        1        0
58932 ruby-rspec-mocks                   	       0        6        0        6        0
58933 ruby-rspec-support                 	       0        6        0        6        0
58934 ruby-rsvg2                         	       0        1        0        1        0
58935 ruby-rsync                         	       0        1        0        1        0
58936 ruby-rt                            	       0        2        0        2        0
58937 ruby-rubocop-ast                   	       0        5        0        5        0
58938 ruby-rubocop-rspec                 	       0        1        0        1        0
58939 ruby-ruby-parser                   	       0        3        0        3        0
58940 ruby-ruby2-keywords                	       0       34        0        0       34
58941 ruby-rubymail                      	       0        2        0        2        0
58942 ruby-rubymail-doc                  	       0        1        0        0        1
58943 ruby-rugged                        	       0        3        0        0        3
58944 ruby-safe-yaml                     	       0       14        1       13        0
58945 ruby-safely-block                  	       0        1        0        1        0
58946 ruby-sanitize                      	       0        2        0        2        0
58947 ruby-sass                          	       0       24        0       24        0
58948 ruby-sass-rails                    	       0       10        0       10        0
58949 ruby-sassc                         	       0       16        1       15        0
58950 ruby-sassc-rails                   	       0        6        0        6        0
58951 ruby-sawyer                        	       0        6        0        6        0
58952 ruby-scanf                         	       0        2        0        0        2
58953 ruby-schash                        	       0        1        0        1        0
58954 ruby-sdbm                          	       0      745        0        0      745
58955 ruby-sdl                           	       0        7        0        7        0
58956 ruby-sdoc                          	       0        7        0        7        0
58957 ruby-selenium-webdriver            	       0        7        0        7        0
58958 ruby-selinux                       	       0       18        0        1       17
58959 ruby-semantic-puppet               	       0       16        1       15        0
58960 ruby-semantic-range                	       0        6        0        6        0
58961 ruby-sequel                        	       0        5        0        5        0
58962 ruby-sequel-pg                     	       0        2        0        2        0
58963 ruby-serialport                    	       0        1        1        0        0
58964 ruby-settingslogic-doc             	       0        1        0        0        1
58965 ruby-setup                         	       0        1        0        1        0
58966 ruby-sexp-processor                	       0        4        0        4        0
58967 ruby-shadow                        	       0       29        0        1       28
58968 ruby-sigar                         	       0        1        0        0        1
58969 ruby-simple-po-parser              	       0        1        0        1        0
58970 ruby-simplecov                     	       0        2        0        2        0
58971 ruby-simplecov-html                	       0        2        0        2        0
58972 ruby-simpleidn                     	       0        3        0        3        0
58973 ruby-sinatra                       	       0        7        0        7        0
58974 ruby-sinatra-contrib               	       0        2        0        2        0
58975 ruby-slim                          	       0        2        0        2        0
58976 ruby-soap4r                        	       0       70        6       64        0
58977 ruby-sorted-set                    	       0       18        0        0       18
58978 ruby-specinfra                     	       0        1        0        1        0
58979 ruby-spider                        	       0       25        0       25        0
58980 ruby-spreadsheet                   	       0        1        0        1        0
58981 ruby-spring                        	       0       10        0       10        0
58982 ruby-spring-watcher-listen         	       0        6        0        6        0
58983 ruby-sprockets                     	       0       14        0       14        0
58984 ruby-sprockets-rails               	       0       14        0       14        0
58985 ruby-sqlite3                       	       0       85        1       68       16
58986 ruby-sshkit                        	       0        1        0        1        0
58987 ruby-stackprof                     	       0        1        0        1        0
58988 ruby-state-machines                	       0        1        0        1        0
58989 ruby-stomp                         	       0        3        0        3        0
58990 ruby-stringex                      	       0        2        0        2        0
58991 ruby-svg-graph                     	       0        2        0        2        0
58992 ruby-svn                           	       0       10        0       10        0
58993 ruby-sync                          	       0        3        0        0        3
58994 ruby-sys-filesystem                	       0       14        0       14        0
58995 ruby-syslog-logger                 	       0        1        0        1        0
58996 ruby-systemu                       	       0        3        0        3        0
58997 ruby-table-print                   	       0        1        0        1        0
58998 ruby-task-list                     	       0        2        0        2        0
58999 ruby-tcltk                         	       0        1        0        0        1
59000 ruby-temple                        	       0        3        0        3        0
59001 ruby-term-ansicolor                	       0        4        0        4        0
59002 ruby-terminal-table                	       0        9        1        8        0
59003 ruby-termios                       	       0        2        0        1        1
59004 ruby-terser                        	       0        5        0        5        0
59005 ruby-text-format                   	       0        1        0        1        0
59006 ruby-thinking-sphinx               	       0        1        0        1        0
59007 ruby-thread-safe                   	       0       14        0       14        0
59008 ruby-tilt                          	       0       23        0       23        0
59009 ruby-timers                        	       0        5        0        5        0
59010 ruby-tins                          	       0        4        0        4        0
59011 ruby-tioga                         	       0        1        0        1        0
59012 ruby-tioga-doc                     	       0        1        0        0        1
59013 ruby-tokyocabinet                  	       0        1        0        0        1
59014 ruby-toml                          	       0        1        0        1        0
59015 ruby-tomlrb                        	       0       12        1       11        0
59016 ruby-traces                        	       0        1        0        1        0
59017 ruby-trollop                       	       0        9        0        9        0
59018 ruby-tty-color                     	       0        2        0        2        0
59019 ruby-tty-command                   	       0        1        0        1        0
59020 ruby-tty-screen                    	       0        1        0        1        0
59021 ruby-turbolinks                    	       0        9        0        9        0
59022 ruby-turbolinks-source             	       0        7        0        7        0
59023 ruby-twitter4r                     	       0        1        0        1        0
59024 ruby-uconv                         	       0        4        0        4        0
59025 ruby-uglifier                      	       0        4        0        4        0
59026 ruby-unf                           	       0       74        3       71        0
59027 ruby-unicode-display-width         	       0       14        1       13        0
59028 ruby-unicorn-engine                	       0        1        0        0        1
59029 ruby-unidecode                     	       0        1        0        1        0
59030 ruby-usb                           	       0        1        0        1        0
59031 ruby-uuidtools                     	       0        3        0        3        0
59032 ruby-uuidtools-doc                 	       0        1        0        0        1
59033 ruby-validatable                   	       0        1        0        1        0
59034 ruby-web-console                   	       0       10        0       10        0
59035 ruby-webkit2-gtk                   	       0        1        0        1        0
59036 ruby-webpacker                     	       0        6        0        6        0
59037 ruby-webrobots                     	       0        3        0        3        0
59038 ruby-websocket-extensions          	       0       11        0       11        0
59039 ruby-whitequark-parser             	       0        5        0        5        0
59040 ruby-whitewash                     	       0        1        0        1        0
59041 ruby-wirble                        	       0        2        0        2        0
59042 ruby-xapian                        	       0        1        0        1        0
59043 ruby-xdg                           	       0       15        0       15        0
59044 ruby-xml-simple                    	       0       22        0        0       22
59045 ruby-xmlparser                     	       0       72        6       66        0
59046 ruby-xpath                         	       0        7        0        7        0
59047 ruby-ya2yaml                       	       0        1        0        1        0
59048 ruby-yajl                          	       0       10        1        9        0
59049 ruby-yell                          	       0        1        0        1        0
59050 ruby1.6                            	       0        1        0        1        0
59051 ruby1.8                            	       0       15        2       13        0
59052 ruby1.8-dev                        	       0        2        0        2        0
59053 ruby1.8-examples                   	       0        1        0        0        1
59054 ruby1.9.1                          	       0       15        2       13        0
59055 ruby1.9.1-dev                      	       0        3        0        3        0
59056 ruby1.9.1-examples                 	       0        2        0        0        2
59057 ruby1.9.1-full                     	       0        1        0        0        1
59058 ruby2.0                            	       0        5        0        5        0
59059 ruby2.1                            	       0       65        3       62        0
59060 ruby2.1-dev                        	       0        1        0        1        0
59061 ruby2.1-doc                        	       0        1        0        1        0
59062 ruby2.2                            	       0        2        0        2        0
59063 ruby2.3                            	       0       67        4       63        0
59064 ruby2.3-dev                        	       0        6        0        6        0
59065 ruby2.3-doc                        	       0        2        0        2        0
59066 ruby2.3-tcltk                      	       0        1        0        1        0
59067 ruby2.5                            	       0       85        2       83        0
59068 ruby2.5-dev                        	       0        6        0        6        0
59069 ruby2.5-doc                        	       0        6        0        6        0
59070 ruby3.0                            	       0        8        0        8        0
59071 ruby3.1-doc                        	       0       71        0        0       71
59072 ruby3.3-dev                        	       0        2        0        2        0
59073 ruby3.3-doc                        	       0        2        0        0        2
59074 rubybook                           	       0        1        0        0        1
59075 rubygems                           	       0        1        0        1        0
59076 rubygems-doc                       	       0        1        0        0        1
59077 rudder-agent                       	       0        1        0        1        0
59078 rudder-jetty                       	       0        1        0        1        0
59079 rudder-techniques                  	       0        1        0        0        1
59080 rulex                              	       0        1        0        1        0
59081 runawk                             	       0        1        0        1        0
59082 runds-connector                    	       0        1        0        1        0
59083 runescape-launcher                 	       0        2        0        2        0
59084 rungetty                           	       0        1        0        1        0
59085 rungettyloop                       	       0        1        0        1        0
59086 runit-run                          	       0       21        0       21        0
59087 runit-services                     	       0      228        0        3      225
59088 runit-sysv                         	       0        6        0        0        6
59089 runlim                             	       0        1        0        1        0
59090 runoverssh                         	       0        3        0        3        0
59091 runsc                              	       0        2        0        2        0
59092 rusers                             	       0        2        0        2        0
59093 rush                               	       0        3        0        3        0
59094 rust-all                           	       0       14        0        0       14
59095 rust-coreutils                     	       0        1        0        1        0
59096 rust-diffutils                     	       0        1        0        1        0
59097 rust-doc                           	       0       13        0        0       13
59098 rust-findutils                     	       0        1        0        1        0
59099 rust-lldb                          	       0        4        0        4        0
59100 rust-markdown                      	       0        2        0        2        0
59101 rust-oids                          	       0        1        0        1        0
59102 rustc-mozilla                      	       0        2        0        2        0
59103 rustc-web                          	       0        1        0        1        0
59104 rustdesk                           	       0       24        0       21        3
59105 rustfmt-web                        	       0        1        0        1        0
59106 rustup                             	       0        2        0        2        0
59107 rutebook                           	       0        1        0        0        1
59108 rutokenplugin                      	       0        2        0        2        0
59109 rviz                               	       0        1        0        1        0
59110 rwall                              	       0        2        0        2        0
59111 rwhod                              	       0       13        2       11        0
59112 rx320                              	       0        1        0        1        0
59113 rxp                                	       0        1        0        1        0
59114 rxvt                               	       0       31        2       29        0
59115 rxvt-ml                            	       0        4        1        3        0
59116 rxvt-unicode-256color              	       0       26        0        5       21
59117 rxvt-unicode-lite                  	       0        2        0        0        2
59118 rygel-2.6-dev                      	       0        1        0        1        0
59119 rygel-gst-launch                   	       0        1        0        0        1
59120 rygel-playbin                      	       0      134        1        4      129
59121 rygel-preferences                  	       0       10        0       10        0
59122 rygel-ruih                         	       0        2        0        0        2
59123 rygel-tracker                      	       0      131        1        3      127
59124 ryzom-client-config                	       0        1        0        0        1
59125 s-nail                             	       0       64        2       62        0
59126 s3270                              	       0        2        0        2        0
59127 s3cmd                              	       0        8        0        8        0
59128 s3d-data                           	       0        1        0        0        1
59129 s3dfm                              	       0        3        0        3        0
59130 s3dvt                              	       0        2        0        2        0
59131 s3dx11gate                         	       0        1        0        1        0
59132 s4cmd                              	       0        2        0        2        0
59133 s5                                 	       0        4        0        4        0
59134 s51dude                            	       0        1        0        1        0
59135 s6                                 	       0        8        0        8        0
59136 s6-doc                             	       0        6        0        0        6
59137 sa-compile                         	       0       98        6       92        0
59138 sa-exim                            	       0        2        0        2        0
59139 sabnzbdplus                        	       0        1        0        1        0
59140 sac                                	       0        2        0        2        0
59141 sacc                               	       0        4        0        4        0
59142 sacd                               	       0        1        0        1        0
59143 sacd-extract                       	       0        1        0        1        0
59144 safe-rm                            	       0        1        1        0        0
59145 safecat                            	       0        2        0        2        0
59146 safecopy                           	       0       44        1       43        0
59147 safeeyes                           	       0        4        0        4        0
59148 safte-monitor                      	       0        1        0        1        0
59149 saga                               	       0        6        1        5        0
59150 saga-common                        	       0        7        0        0        7
59151 sagemath                           	       0        9        1        8        0
59152 sagemath-common                    	       0        2        1        1        0
59153 sagemath-database-conway-polynomials	       0       12        0        0       12
59154 sagemath-database-cremona-elliptic-curves	       0        2        0        0        2
59155 sagemath-database-elliptic-curves  	       0       12        0        0       12
59156 sagemath-database-graphs           	       0       12        0        0       12
59157 sagemath-database-mutually-combinatorial-designs	       0       12        0        0       12
59158 sagemath-database-polytopes        	       0       12        0        0       12
59159 sagemath-doc                       	       0       10        0        0       10
59160 sagemath-doc-en                    	       0        2        0        0        2
59161 sagemath-jupyter                   	       0        9        0        0        9
59162 sagetex                            	       0        8        0        0        8
59163 sagetex-doc                        	       0        2        0        0        2
59164 saidar                             	       0        7        0        7        0
59165 sail-codecs                        	       0        2        0        0        2
59166 sailcut                            	       0        1        0        1        0
59167 saint                              	       0        1        0        1        0
59168 sakura                             	       0       47        7       40        0
59169 salliere                           	       0        9        0        9        0
59170 salmid                             	       0        1        0        1        0
59171 salmon                             	       0        1        0        1        0
59172 salt-api                           	       0        1        0        1        0
59173 salt-cloud                         	       0        1        0        1        0
59174 salt-common                        	       0        6        3        3        0
59175 salt-doc                           	       0        1        0        0        1
59176 salt-master                        	       0        1        0        1        0
59177 salt-minion                        	       0        4        2        2        0
59178 salt-pepper                        	       0        1        0        1        0
59179 salt-ssh                           	       0        1        0        1        0
59180 sam                                	       0        1        0        1        0
59181 sam2p                              	       0        3        0        3        0
59182 samba-ad-provision                 	       0      196        0        0      196
59183 samba-common                       	       0     1068        0        0     1068
59184 samba-doc                          	       0       16        0       16        0
59185 samba-doc-pdf                      	       0        3        0        0        3
59186 samba-dsdb-modules                 	       0      915        6       11      898
59187 samba-vfs-modules                  	       0      337        4        6      327
59188 samba-vfs-replic                   	       0        1        0        0        1
59189 samdump2                           	       0       26        0       26        0
59190 samhain                            	       0        3        0        3        0
59191 samplerate-programs                	       0        1        0        0        1
59192 samplv1                            	       0        7        0        7        0
59193 samplv1-common                     	       0        9        0        1        8
59194 samplv1-lv2                        	       0        5        0        5        0
59195 samtools                           	       0        7        0        7        0
59196 sancho                             	       0        1        0        1        0
59197 sandsifter-build-deps              	       0        1        0        0        1
59198 sane-dbg                           	       0        1        0        1        0
59199 sanitizer                          	       0        1        0        1        0
59200 sanoid                             	       0        4        0        4        0
59201 saods9                             	       0       12        0       12        0
59202 saods9-doc                         	       0       12        0        0       12
59203 saods9-tclpackages                 	       0        1        0        0        1
59204 saoxmlrpc                          	       0        1        0        0        1
59205 sapmachine-11-jdk                  	       0        1        0        1        0
59206 sapphire                           	       0        1        0        1        0
59207 sarg                               	       0        1        0        1        0
59208 sas2ircu                           	       0        4        0        4        0
59209 sash                               	       0       31        0       31        0
59210 sasl2-bin                          	       0       56        8       48        0
59211 sasm                               	       0        1        0        1        0
59212 sasmodels-private-libs             	       0        1        0        1        0
59213 sass-elisp                         	       0        1        0        1        0
59214 sat4j                              	       0        5        0        5        0
59215 satellite-gtk                      	       0        2        0        2        0
59216 sauerbraten                        	       0        5        0        5        0
59217 savi                               	       0        5        0        5        0
59218 sawfish                            	       0       19        1       18        0
59219 sawfish-data                       	       0       19        0       17        2
59220 sawfish-lisp-source                	       0        7        0        0        7
59221 sawfish-merlin-ugliness            	       0        1        0        0        1
59222 sawfish-pager                      	       0        1        0        1        0
59223 sawfish-themes                     	       0        5        0        0        5
59224 sayonara                           	       0        6        0        6        0
59225 saytime                            	       0        2        0        2        0
59226 sbackup                            	       0        1        0        1        0
59227 sbc-tools                          	       0        2        0        2        0
59228 sbcl-doc                           	       0       13        0        0       13
59229 sbrsh                              	       0        1        0        1        0
59230 sbrshd                             	       0        1        0        1        0
59231 sbsigntool                         	       0       85        1       84        0
59232 sbt                                	       0        2        0        2        0
59233 sbt-ivy                            	       0        1        0        0        1
59234 sbuild-debian-developer-setup      	       0        1        0        1        0
59235 sbuild-qemu                        	       0        2        0        2        0
59236 sbws                               	       0        2        0        2        0
59237 sbxkb                              	       0        1        0        1        0
59238 sc-im                              	       0        1        0        1        0
59239 sc3-plugins                        	       0        1        0        0        1
59240 sc3-plugins-language               	       0        1        0        0        1
59241 scala                              	       0        9        0        9        0
59242 scala-asm                          	       0        1        0        0        1
59243 scala-doc                          	       0        2        0        0        2
59244 scala-library                      	       0       15        0        0       15
59245 scala-mode-el                      	       0        1        0        0        1
59246 scala-parser-combinators           	       0       15        0        0       15
59247 scala-xml                          	       0       10        0        0       10
59248 scalable-cyrfonts-tex              	       0        1        0        0        1
59249 scalapack-mpi-test                 	       0        1        0        0        1
59250 scalapack-test-common              	       0        1        0        0        1
59251 scale2x                            	       0        1        0        1        0
59252 scalpel                            	       0       45        0       45        0
59253 scamp                              	       0        2        0        2        0
59254 scamper                            	       0        3        1        2        0
59255 scanbd                             	       0        1        0        1        0
59256 scangearmp-common                  	       0        2        0        2        0
59257 scangearmp-mg2500series            	       0        1        0        1        0
59258 scangearmp-mg3500series            	       0        1        0        1        0
59259 scangearmp2                        	       0        6        0        6        0
59260 scanlogd                           	       0        1        1        0        0
59261 scanmem                            	       0       16        0       16        0
59262 scanssh                            	       0        9        1        8        0
59263 scantailor                         	       0        1        0        1        0
59264 scantailor-advanced                	       0        1        0        1        0
59265 scantailor-deviant                 	       0        1        0        1        0
59266 scantailor-experimental            	       0        1        0        1        0
59267 scantailor-universal               	       0        1        0        1        0
59268 scantool                           	       0        4        0        4        0
59269 sccache                            	       0        5        1        4        0
59270 scdaemon                           	       0       69        6       63        0
59271 scdoc-dbgsym                       	       0        1        0        1        0
59272 scenebuilder                       	       0        2        0        1        1
59273 sch-rnd                            	       0        2        0        0        2
59274 sch-rnd-core                       	       0        2        0        2        0
59275 sch-rnd-doc                        	       0        2        0        0        2
59276 sch-rnd-export-extra               	       0        2        0        2        0
59277 sch-rnd-export-vector              	       0        2        0        2        0
59278 sch-rnd-io-alien                   	       0        2        0        2        0
59279 sch-rnd-lib-gui                    	       0        2        0        2        0
59280 schedtool                          	       0       32        2       30        0
59281 schema2ldif                        	       0        5        0        5        0
59282 scheme48                           	       0        4        0        4        0
59283 scheme48-doc                       	       0        4        0        0        4
59284 scheme9                            	       0        2        0        2        0
59285 schildichat-desktop                	       0        2        0        0        2
59286 schroot                            	       0       54        9       45        0
59287 schroot-common                     	       0       56        0        0       56
59288 scid                               	       0       18        0       18        0
59289 scid-data                          	       0       18        0        0       18
59290 scid-rating-data                   	       0        2        0        0        2
59291 scid-spell-data                    	       0        2        0        0        2
59292 sciebo-client                      	       0        3        0        3        0
59293 sciebo-client-caja                 	       0        1        0        0        1
59294 sciebo-client-overlays-icons       	       0        1        0        0        1
59295 science-all                        	       0        1        0        0        1
59296 science-config                     	       0       11        0       11        0
59297 science-electronics                	       0        2        0        1        1
59298 science-highenergy-physics         	       0        1        0        1        0
59299 science-imageanalysis              	       0        2        0        2        0
59300 science-imageanalysis-dev          	       0        2        0        2        0
59301 science-linguistics                	       0        1        0        1        0
59302 science-machine-learning           	       0        2        0        2        0
59303 science-neuroscience-modeling      	       0        1        0        1        0
59304 science-numericalcomputation       	       0        1        0        1        0
59305 science-tasks                      	       0       11        0        0       11
59306 science-typesetting                	       0        3        0        3        0
59307 scilab                             	       0       15        2       13        0
59308 scilab-ann                         	       0        2        0        2        0
59309 scilab-celestlab                   	       0        1        0        1        0
59310 scilab-cli                         	       0       17        0       17        0
59311 scilab-data                        	       0       17        0       17        0
59312 scilab-doc                         	       0       12        0        0       12
59313 scilab-doc-fr                      	       0        1        0        0        1
59314 scilab-doc-pt-br                   	       0        1        0        0        1
59315 scilab-full-bin                    	       0       15        2       13        0
59316 scilab-jims                        	       0        1        0        1        0
59317 scilab-overload                    	       0        1        0        1        0
59318 scilab-plotlib                     	       0        1        0        1        0
59319 scilab-scimax                      	       0        1        0        1        0
59320 scilab-scimax-doc                  	       0        1        0        1        0
59321 scilab-test                        	       0        1        0        1        0
59322 scim                               	       0        8        1        7        0
59323 scim-anthy                         	       0        6        1        3        2
59324 scim-dev                           	       0        1        0        0        1
59325 scim-dev-doc                       	       0        2        0        0        2
59326 scim-gtk-immodule                  	       0        8        1        3        4
59327 scim-im-agent                      	       0        8        1        7        0
59328 scim-modules-socket                	       0        8        1        3        4
59329 scim-modules-table                 	       0        2        0        2        0
59330 scim-qt-immodule                   	       0        1        0        0        1
59331 scim-tables-ja                     	       0        2        0        0        2
59332 sciplot-bin                        	       0        1        0        1        0
59333 scm                                	       0        4        0        4        0
59334 scmxx                              	       0        2        0        2        0
59335 scons                              	       0       59        2       57        0
59336 scons-doc                          	       0        3        0        0        3
59337 scorched3d                         	       0       13        0       13        0
59338 scorched3d-data                    	       0       14        0        0       14
59339 scotch                             	       0        1        0        1        0
59340 scottfree                          	       0        7        0        7        0
59341 scour                              	       0      101        1      100        0
59342 scowl                              	       0        1        0        0        1
59343 scram                              	       0        2        0        2        0
59344 scram-gui                          	       0        1        0        1        0
59345 scratch-desktop                    	       0        2        0        2        0
59346 scratchbox-core                    	       0        1        0        1        0
59347 scratchbox-devkit-apt-https        	       0        1        0        1        0
59348 scratchbox-devkit-autotools-legacy 	       0        1        0        1        0
59349 scratchbox-devkit-debian-squeeze   	       0        1        0        1        0
59350 scratchbox-devkit-doctools         	       0        1        0        1        0
59351 scratchbox-devkit-git              	       0        1        0        1        0
59352 scratchbox-devkit-perl             	       0        1        0        1        0
59353 scratchbox-devkit-python-legacy    	       0        1        0        1        0
59354 scratchbox-devkit-qemu             	       0        1        0        1        0
59355 scratchbox-devkit-svn              	       0        1        0        1        0
59356 scratchbox-libs                    	       0        2        0        2        0
59357 scratchbox-toolchain-cs2007q3-glibc2.5-arm7	       0        1        0        1        0
59358 scratchbox-toolchain-cs2007q3-glibc2.5-i486	       0        1        0        1        0
59359 scratchbox-toolchain-cs2009q3-eglibc2.10-armv7-hard	       0        1        0        1        0
59360 scratchbox-toolchain-cs2009q3-eglibc2.10-i486	       0        1        0        1        0
59361 scratchbox-toolchain-host-gcc      	       0        2        0        2        0
59362 scrcpy                             	       0       17        1       16        0
59363 scrcpy-server                      	       0       19        0        0       19
59364 screenkey                          	       0       11        0       11        0
59365 screenruler                        	       0       21        0       21        0
59366 screentest                         	       0        4        0        4        0
59367 scribble                           	       0        1        0        1        0
59368 scribus-archive-keyring            	       0        1        0        0        1
59369 scribus-data                       	       0      137        0        0      137
59370 scribus-dev                        	       0        3        0        3        0
59371 scribus-doc                        	       0       28        0        0       28
59372 scribus-ng                         	       0        2        0        0        2
59373 scribus-ng-doc                     	       0        1        0        0        1
59374 scribus-template                   	       0       39        0        0       39
59375 scrm                               	       0        1        0        1        0
59376 scrollkeeper                       	       0        4        0        0        4
59377 scrollz                            	       0        2        0        2        0
59378 scrounge-ntfs                      	       0       50        1       49        0
59379 scsi-idle                          	       0        1        0        1        0
59380 scsiadd                            	       0        3        0        3        0
59381 scsitools                          	       0       30        0       30        0
59382 scst                               	       0        1        0        0        1
59383 scst-dkms                          	       0        1        0        1        0
59384 scstadmin                          	       0        2        0        2        0
59385 sct                                	       0        8        1        7        0
59386 sctk                               	       0        2        0        2        0
59387 scummvm                            	       0       63        1       62        0
59388 scummvm-data                       	       0       62        0        0       62
59389 scummvm-tools                      	       0       11        0       11        0
59390 scute                              	       0        3        0        0        3
59391 scythe                             	       0        1        0        1        0
59392 sd                                 	       0        3        2        1        0
59393 sd2epub                            	       0        1        0        1        0
59394 sd2odf                             	       0        1        0        1        0
59395 sdate                              	       0        1        0        1        0
59396 sdb                                	       0        1        0        1        0
59397 sdcc                               	       0       27        0       27        0
59398 sdcc-doc                           	       0       24        0        0       24
59399 sdcc-libraries                     	       0       27        0       27        0
59400 sdcc-ucsim                         	       0       10        0       10        0
59401 sdcv                               	       0        6        1        5        0
59402 sdd                                	       0        1        0        1        0
59403 sddm-theme-breeze                  	       0      354        0        0      354
59404 sddm-theme-circles                 	       0        1        0        0        1
59405 sddm-theme-debian-breeze           	       0      322        0        0      322
59406 sddm-theme-debian-elarun           	       0       31        0        0       31
59407 sddm-theme-debian-maui             	       0      187        0        0      187
59408 sddm-theme-elarun                  	       0       32        0        0       32
59409 sddm-theme-maldives                	       0       52        0        0       52
59410 sddm-theme-maui                    	       0      149        0        0      149
59411 sddm-theme-maya                    	       0       26        0        0       26
59412 sdf                                	       0        6        0        6        0
59413 sdf-doc                            	       0        2        0        0        2
59414 sdformat-doc                       	       0        1        0        0        1
59415 sdformat-sdf                       	       0        2        0        0        2
59416 sdkmanager                         	       0        5        1        4        0
59417 sdl-ball                           	       0        8        0        8        0
59418 sdl-ball-data                      	       0        8        0        0        8
59419 sdlbasic                           	       0        2        0        2        0
59420 sdlbrt                             	       0        2        0        2        0
59421 sdlfrotz                           	       0        7        0        7        0
59422 sdop                               	       0        5        0        5        0
59423 sdpb                               	       0        1        1        0        0
59424 sdpb-doc                           	       0        1        0        0        1
59425 sdrangelove                        	       0        3        0        3        0
59426 sdrpp                              	       0        5        0        5        0
59427 seabios                            	       0      655        0        0      655
59428 seadrive-daemon                    	       0        1        0        1        0
59429 seafile-cli                        	       0        2        0        2        0
59430 seafile-daemon                     	       0        6        0        6        0
59431 seafile-gui                        	       0        5        0        5        0
59432 seahorse-adventures                	       0        1        0        1        0
59433 seahorse-nautilus                  	       0        1        0        1        0
59434 seamonkey                          	       0        2        0        2        0
59435 sear                               	       0        1        0        1        0
59436 sear-media                         	       0        1        0        0        1
59437 search-ccsb                        	       0        2        0        2        0
59438 search-citeseer                    	       0        3        0        3        0
59439 searchandrescue                    	       0        9        0        9        0
59440 searchandrescue-common             	       0        9        0        0        9
59441 searchandrescue-data               	       0        9        0        0        9
59442 searchmonkey                       	       0       19        1       18        0
59443 searx                              	       0        5        0        5        0
59444 seaview                            	       0        1        0        1        0
59445 sec                                	       0        1        0        1        0
59446 secback-client                     	       0        1        0        1        0
59447 secback-client-dbgsym              	       0        1        0        1        0
59448 secback-libs                       	       0        1        1        0        0
59449 secback-libs-dbgsym                	       0        1        0        1        0
59450 secback-server                     	       0        1        1        0        0
59451 secback-server-dbgsym              	       0        1        0        1        0
59452 secback-simpel-config              	       0        1        0        0        1
59453 seccomp                            	       0        3        0        3        0
59454 seccure                            	       0        3        0        3        0
59455 secpanel                           	       0        3        0        3        0
59456 secrecy                            	       0        2        0        2        0
59457 secrets                            	       0        2        0        2        0
59458 securefs                           	       0        1        0        1        0
59459 sedparse                           	       0        1        0        1        0
59460 sedsed                             	       0        1        0        1        0
59461 seed                               	       0        1        0        1        0
59462 seedtools                          	       0        2        0        2        0
59463 seekwatcher                        	       0        1        0        1        0
59464 segger-jlink-udev-rules            	       0        4        0        0        4
59465 select-xface                       	       0        3        0        3        0
59466 selektor                           	       0        3        0        3        0
59467 self-service-password              	       0        2        0        2        0
59468 selfhtml                           	       0        3        0        0        3
59469 selflinux                          	       0        1        0        1        0
59470 selflinux-pdf                      	       0        1        0        0        1
59471 selint                             	       0        1        0        1        0
59472 selinux-basics                     	       0        3        0        3        0
59473 selinux-doc                        	       0        1        0        0        1
59474 selinux-policy-default             	       0        9        0        0        9
59475 selinux-policy-dev                 	       0        4        0        4        0
59476 selinux-policy-doc                 	       0        1        0        0        1
59477 selinux-policy-mls                 	       0        2        0        0        2
59478 selinux-policy-src                 	       0        1        0        0        1
59479 semanage-utils                     	       0        1        0        0        1
59480 semi                               	       0        2        0        2        0
59481 semodule-utils                     	       0        4        0        4        0
59482 sendemail                          	       0       10        0       10        0
59483 sendfile                           	       0        4        0        4        0
59484 sendip                             	       0        4        0        4        0
59485 sendmail                           	       0       37        0        0       37
59486 sendmail-base                      	       0       51        0       51        0
59487 sendmail-bin                       	       0       48       11       37        0
59488 sendmail-cf                        	       0       54        0        0       54
59489 sendmail-doc                       	       0       10        0        0       10
59490 sendxmpp                           	       0        7        2        5        0
59491 sensible-mda                       	       0       39        5       34        0
59492 sensord                            	       0        2        1        1        0
59493 sensors-applet                     	       0       25        0        0       25
59494 sent                               	       0        8        0        8        0
59495 sentencepiece                      	       0        1        0        1        0
59496 sentinelagent                      	       0       12        0        0       12
59497 sentineldl                         	       0        2        0        2        0
59498 sentinelsat                        	       0        4        0        4        0
59499 seq24                              	       0        9        0        9        0
59500 seqkit                             	       0        1        0        1        0
59501 seqprep                            	       0        1        0        1        0
59502 seqtk                              	       0        2        0        2        0
59503 sequeler                           	       0        4        1        3        0
59504 sequencer64                        	       0        5        0        5        0
59505 ser                                	       0        1        0        1        0
59506 ser-jabber-module                  	       0        1        0        1        0
59507 ser2net                            	       0        4        1        3        0
59508 seriousproton-build-deps           	       0        1        0        0        1
59509 servefile                          	       0        1        0        1        0
59510 session-desktop                    	       0        2        0        2        0
59511 session-manager-plugin             	       0        1        0        1        0
59512 sessioninstaller                   	       0        1        0        1        0
59513 setbfree                           	       0        5        0        5        0
59514 setcd                              	       0       16        0       16        0
59515 setnet                             	       0       23        0       23        0
59516 setools                            	       0       10        0       10        0
59517 setools-gui                        	       0        2        0        2        0
59518 setpriv                            	       0        1        0        1        0
59519 setpwc                             	       0        1        0        1        0
59520 setzer                             	       0        4        1        3        0
59521 sextractor                         	       0        9        0        1        8
59522 seyon                              	       0        2        0        2        0
59523 sf2-librazik                       	       0        1        0        0        1
59524 sf2-uiems                          	       0        1        0        0        1
59525 sf3convert                         	       0        3        0        3        0
59526 sfarkxtc                           	       0        2        0        2        0
59527 sfcb                               	       0        1        0        1        0
59528 sfeed                              	       0        1        0        1        0
59529 sfftobmp                           	       0        4        0        4        0
59530 sfftw-dev                          	       0        4        0        4        0
59531 sfftw2                             	       0        5        0        0        5
59532 sffview                            	       0        4        0        4        0
59533 sfind                              	       0        1        0        1        0
59534 sfizz                              	       0        2        0        2        0
59535 sfnt2woff-zopfli                   	       0        1        0        1        0
59536 sformat                            	       0        2        0        2        0
59537 sfwbar                             	       0        1        0        1        0
59538 sfz-avldrumkits                    	       0        1        0        0        1
59539 sfz-librazik                       	       0        1        0        0        1
59540 sfz-nobudgetorchestra              	       0        1        0        0        1
59541 sg3-utils-udev                     	       0       10        0       10        0
59542 sgabios                            	       0       15        0        0       15
59543 sgb                                	       0        1        0        1        0
59544 sgb-doc                            	       0        1        0        0        1
59545 sgf2dg                             	       0        3        0        3        0
59546 sgml-base-doc                      	       0       12        0        0       12
59547 sgml-data                          	       0     2023        0        0     2023
59548 sgml-spell-checker                 	       0        2        0        2        0
59549 sgmls-doc                          	       0        9        0        0        9
59550 sgmltools-lite                     	       0        2        0        2        0
59551 sgrep                              	       0        5        0        5        0
59552 sgt-puzzles                        	       0       40        1       39        0
59553 sha1cdsum                          	       0        3        0        2        1
59554 shaderc                            	       0        2        0        2        0
59555 shadowsocks-libev                  	       0        4        1        3        0
59556 shadowsocks-v2ray-plugin           	       0        1        0        1        0
59557 shake                              	       0        1        0        1        0
59558 shake-fs                           	       0        1        0        1        0
59559 shaketracker                       	       0        1        0        1        0
59560 shanty                             	       0        1        0        1        0
59561 shapelib                           	       0        3        0        3        0
59562 shapetools-tutorial                	       0        1        0        0        1
59563 shared-desktop-ontologies          	       0       11        0        0       11
59564 sharutils-doc                      	       0       15        0        0       15
59565 shatag                             	       0        1        0        1        0
59566 shc                                	       0        7        0        7        0
59567 shed                               	       0       32        0       32        0
59568 shelldap                           	       0        3        0        3        0
59569 shellex                            	       0        1        0        1        0
59570 shellia                            	       0        3        0        0        3
59571 shellinabox                        	       0        7        2        5        0
59572 shelr                              	       0        2        0        2        0
59573 sherlock                           	       0        1        0        1        0
59574 sherlock.lv2                       	       0        1        0        1        0
59575 shiboken2-doc                      	       0        2        0        0        2
59576 shift-nano                         	       0        1        0        0        1
59577 shiki-brave-theme                  	       0       14        0        0       14
59578 shiki-colors                       	       0       12        0        0       12
59579 shiki-colors-metacity-theme        	       0       15        0        0       15
59580 shiki-colors-xfwm-theme            	       0       20        0        0       20
59581 shiki-dust-theme                   	       0       15        0        0       15
59582 shiki-human-theme                  	       0       15        0        0       15
59583 shiki-illustrious-theme            	       0       15        0        0       15
59584 shiki-noble-theme                  	       0       15        0        0       15
59585 shiki-wine-theme                   	       0       16        0        0       16
59586 shiki-wise-theme                   	       0       15        0        0       15
59587 shim                               	       0        1        0        1        0
59588 shim-helpers-amd64-signed-template 	       0        2        0        0        2
59589 shim-helpers-i386-signed           	       0        2        0        2        0
59590 shineenc                           	       0        1        0        1        0
59591 shiro-plugins                      	       0        2        0        2        0
59592 shishi-common                      	       0        5        0        0        5
59593 shogivar                           	       0        3        0        3        0
59594 shogivar-data                      	       0        3        0        0        3
59595 shoogle                            	       0        1        0        1        0
59596 shorewall-doc                      	       0        9        0        0        9
59597 shotcut-data                       	       0       60        0        0       60
59598 shotdetect                         	       0        1        0        1        0
59599 shove                              	       0        1        0        1        0
59600 showq                              	       0        5        0        5        0
59601 shunit2                            	       0        4        0        4        0
59602 si                                 	       0        1        0        1        0
59603 sibsim4                            	       0        1        0        1        0
59604 sic                                	       0        1        0        1        0
59605 sidplay                            	       0        6        0        6        0
59606 sidplayfp                          	       0       15        0       15        0
59607 siduction-archive-keyring          	       0        2        0        0        2
59608 siege                              	       0        2        0        2        0
59609 sieve-connect                      	       0        2        0        2        0
59610 siftool                            	       0        1        0        1        0
59611 siggen                             	       0        3        0        3        0
59612 sightviewer                        	       0        1        0        1        0
59613 sigil-data                         	       0       25        0        0       25
59614 sigma-align                        	       0        1        0        1        0
59615 signal-desktop                     	       0      160       10       32      118
59616 signal-desktop-beta                	       0        2        1        0        1
59617 signald                            	       0        1        0        1        0
59618 signaldctl                         	       0        1        0        1        0
59619 signapk                            	       0        2        0        2        0
59620 signify                            	       0        3        0        3        0
59621 signify-openbsd-keys               	       0        4        0        0        4
59622 signing-party                      	       0        7        0        7        0
59623 signon-kwallet-extension           	       0       28        0        0       28
59624 signon-plugin-oauth2               	       0      767        0        0      767
59625 signon-plugin-oauth2-dev           	       0        1        0        1        0
59626 signon-plugin-password             	       0       29        0        0       29
59627 signon-ui-service                  	       0       29        0        0       29
59628 signond-dev                        	       0        1        0        1        0
59629 signond-doc                        	       0        1        0        0        1
59630 sigrok                             	       0       15        0        0       15
59631 sigrok-firmware-fx2lafw            	       0       16        0        0       16
59632 sigviewer                          	       0        3        0        3        0
59633 silan                              	       0        6        0        6        0
59634 silentjack                         	       0        9        0        9        0
59635 silkaj                             	       0        1        0        1        0
59636 silversearcher-ag                  	       0       22        0       22        0
59637 sim-data                           	       0        1        0        0        1
59638 sim4                               	       0        4        0        4        0
59639 sim4db                             	       0        2        0        2        0
59640 simavr                             	       0        8        0        8        0
59641 simg2img                           	       0        3        0        0        3
59642 simh                               	       0       11        0       11        0
59643 simhash                            	       0        1        0        1        0
59644 similarity-tester                  	       0        4        0        4        0
59645 simple-cdd                         	       0        4        0        4        0
59646 simple-fb2-reader                  	       0        1        0        1        0
59647 simple-image-filter                	       0        1        0        1        0
59648 simple-image-reducer               	       0        1        0        1        0
59649 simple-mtpfs                       	       0        1        0        1        0
59650 simple-netaid-gtk2                 	       0        1        0        1        0
59651 simple-obfs                        	       0        1        0        1        0
59652 simpleburn                         	       0        2        0        2        0
59653 simplemonitor                      	       0        1        0        1        0
59654 simplenote                         	       0        1        0        0        1
59655 simpleproxy                        	       0        4        0        4        0
59656 simplescreenrecorder-lib           	       0      156        0        0      156
59657 simpletimesheet                    	       0        1        0        0        1
59658 simplex                            	       0        4        0        4        0
59659 simplyhtml-doc                     	       0        1        0        0        1
59660 simstring-bin                      	       0        1        0        1        0
59661 simulavr                           	       0        8        0        8        0
59662 simulide                           	       0       17        0       17        0
59663 simulpic                           	       0        7        0        7        0
59664 simutrans                          	       0       10        0       10        0
59665 simutrans-data                     	       0       10        0        0       10
59666 simutrans-pak128.britain           	       0        4        0        0        4
59667 simutrans-pak64                    	       0       11        0        0       11
59668 sing                               	       0        1        0        1        0
59669 singular                           	       0       15        0        0       15
59670 singular-data                      	       0       15        0        0       15
59671 singular-doc                       	       0       13        0        0       13
59672 singular-modules                   	       0       15        0        0       15
59673 singularity                        	       0        5        0        5        0
59674 singularity-ce                     	       0        1        0        1        0
59675 singularity-music                  	       0        5        0        0        5
59676 sinntp                             	       0        2        0        2        0
59677 sioyek                             	       0        5        0        5        0
59678 sip-tester                         	       0        5        0        5        0
59679 sip-tools                          	       0        2        0        2        0
59680 sip5-tools                         	       0        1        0        1        0
59681 sip6-doc                           	       0        1        0        0        1
59682 sipcalc                            	       0       31        0       31        0
59683 sipsak                             	       0        5        0        5        0
59684 sirikali                           	       0       10        0       10        0
59685 siril                              	       0        3        0        3        0
59686 siril-common                       	       0        3        0        0        3
59687 sispmctl                           	       0        1        0        1        0
59688 sispwctrl                          	       0        1        0        1        0
59689 sisu                               	       0        2        0        2        0
59690 sisu-markup-samples                	       0        1        0        0        1
59691 sisu-pdf                           	       0        2        0        2        0
59692 sisu-postgresql                    	       0        2        0        2        0
59693 sisu-sqlite                        	       0        2        0        2        0
59694 sitecopy                           	       0        8        0        8        0
59695 sjaakii                            	       0        4        0        4        0
59696 skalibs                            	       0        1        0        0        1
59697 skeema                             	       0        1        0        1        0
59698 sketch                             	       0        9        0        9        0
59699 sketch-doc                         	       0        6        0        0        6
59700 skippy-xd                          	       0        3        0        3        0
59701 skkdic                             	       0       10        0        3        7
59702 skkdic-cdb                         	       0        1        0        0        1
59703 skkdic-extra                       	       0        5        0        1        4
59704 skladnik                           	       0        7        0        7        0
59705 skopeo                             	       0        4        0        4        0
59706 skrooge-common                     	       0       13        0        0       13
59707 sks                                	       0        1        0        1        0
59708 skycat                             	       0        7        0        7        0
59709 skydns                             	       0        1        0        1        0
59710 skylighting                        	       0        1        0        1        0
59711 skype                              	       0        1        0        1        0
59712 skypeforlinux                      	       0       63        2       61        0
59713 skypeweb                           	       0        1        0        0        1
59714 skyview                            	       0        2        0        2        0
59715 skyview-java                       	       0        2        0        0        2
59716 sl                                 	       0       63        0       63        0
59717 sl-modem-daemon                    	       0        1        0        1        0
59718 sl-modem-dkms                      	       0        1        0        1        0
59719 sl-modem-source                    	       0        1        0        0        1
59720 sla                                	       0        1        0        1        0
59721 slac                               	       0        1        0        1        0
59722 slack-desktop                      	       0       45        4       41        0
59723 slade                              	       0        2        0        2        0
59724 slang-cfitsio                      	       0        2        0        0        2
59725 slang-curl                         	       0        3        0        0        3
59726 slang-expat                        	       0        2        0        2        0
59727 slang-gdbm                         	       0        2        0        2        0
59728 slang-gsl                          	       0        1        0        0        1
59729 slang-pvm                          	       0        1        0        1        0
59730 slang-sqlite                       	       0        2        0        0        2
59731 slang-tess                         	       0        1        0        1        0
59732 slang-wildcard                     	       0        2        0        2        0
59733 slang-xfig                         	       0        1        0        0        1
59734 slang1                             	       0        2        0        0        2
59735 slapd                              	       0       35        7       28        0
59736 slapd-contrib                      	       0        4        0        4        0
59737 slashem                            	       0       21        0       21        0
59738 slashem-common                     	       0       25        3       22        0
59739 slashem-gtk                        	       0        7        0        7        0
59740 slashem-sdl                        	       0        3        0        3        0
59741 slashem-x11                        	       0        4        0        4        0
59742 slashtime                          	       0        2        0        2        0
59743 slay                               	       0       17        0       17        0
59744 sleepd                             	       0        7        0        7        0
59745 sleepenh                           	       0        1        0        1        0
59746 slib                               	       0       14        0       14        0
59747 slic3r                             	       0       22        0       22        0
59748 slic3r-prusa                       	       0        6        0        1        5
59749 slic3r-prusa-build-deps            	       0        1        0        0        1
59750 slice                              	       0        6        0        6        0
59751 slim-dbgsym                        	       0        1        0        1        0
59752 slimbookbattery                    	       0        1        1        0        0
59753 slimevolley                        	       0        1        0        1        0
59754 slimevolley-data                   	       0        1        0        0        1
59755 slimit                             	       0        1        0        1        0
59756 slingshot                          	       0        2        0        2        0
59757 slirp                              	       0        5        0        5        0
59758 slkvm-novz                         	       0        1        0        1        0
59759 slocate                            	       0        1        0        1        0
59760 slony1-2-bin                       	       0        2        0        2        0
59761 slony1-2-doc                       	       0        1        0        0        1
59762 slop                               	       0       18        0       18        0
59763 slrn                               	       0       20        0       20        0
59764 slrnface                           	       0        4        0        4        0
59765 slrnpull                           	       0        3        0        3        0
59766 sludge-devkit                      	       0        5        0        5        0
59767 sludge-doc                         	       0        5        0        0        5
59768 sludge-engine                      	       0       10        0       10        0
59769 slugify                            	       0        1        0        1        0
59770 slurm                              	       0       12        0       12        0
59771 slurm-client                       	       0        3        0        3        0
59772 slurm-wlm                          	       0        2        0        0        2
59773 slurm-wlm-basic-plugins            	       0        3        0        1        2
59774 slurm-wlm-basic-plugins-dev        	       0        1        0        0        1
59775 slurm-wlm-elasticsearch-plugin     	       0        1        0        0        1
59776 slurm-wlm-elasticsearch-plugin-dev 	       0        1        0        0        1
59777 slurm-wlm-hdf5-plugin              	       0        1        0        1        0
59778 slurm-wlm-hdf5-plugin-dev          	       0        1        0        0        1
59779 slurm-wlm-influxdb-plugin          	       0        1        0        0        1
59780 slurm-wlm-influxdb-plugin-dev      	       0        1        0        0        1
59781 slurm-wlm-ipmi-plugins             	       0        1        0        0        1
59782 slurm-wlm-ipmi-plugins-dev         	       0        1        0        0        1
59783 slurm-wlm-jwt-plugin               	       0        1        0        0        1
59784 slurm-wlm-jwt-plugin-dev           	       0        1        0        0        1
59785 slurm-wlm-mysql-plugin-dev         	       0        1        0        0        1
59786 slurm-wlm-plugins                  	       0        1        0        0        1
59787 slurm-wlm-plugins-dev              	       0        1        0        0        1
59788 slurm-wlm-rrd-plugin               	       0        1        0        0        1
59789 slurm-wlm-rrd-plugin-dev           	       0        1        0        0        1
59790 slurm-wlm-rsmi-plugin              	       0        1        0        0        1
59791 slurm-wlm-rsmi-plugin-dev          	       0        1        0        0        1
59792 slurmctld                          	       0        2        0        2        0
59793 slurmd                             	       0        2        0        2        0
59794 sm                                 	       0        6        0        6        0
59795 smalt                              	       0        1        0        1        0
59796 smartdimmer                        	       0        3        0        3        0
59797 smartgit                           	       0        4        1        3        0
59798 smartlist                          	       0        1        0        0        1
59799 smartmontools-build-deps           	       0        1        0        0        1
59800 smartmontools-dbgsym               	       0        1        0        1        0
59801 smartpm                            	       0        1        0        1        0
59802 smartpm-core                       	       0        1        0        1        0
59803 smartsvn                           	       0        2        1        1        0
59804 smarty-gettext                     	       0        2        0        2        0
59805 smarty3                            	       0        4        0        4        0
59806 smarty4                            	       0        1        0        1        0
59807 smb2www                            	       0        1        0        1        0
59808 smb4k-trinity                      	       0        1        0        1        0
59809 smbc                               	       0        9        0        9        0
59810 smbfs                              	       0        1        0        1        0
59811 smbmap                             	       0       29        0       29        0
59812 smbnetfs                           	       0       23        2       21        0
59813 smc                                	       0        1        0        1        0
59814 smc-data                           	       0        1        0        0        1
59815 smc-music                          	       0        1        0        0        1
59816 smcroute                           	       0        2        0        2        0
59817 smem                               	       0       24        0       24        0
59818 smemcap                            	       0        2        0        2        0
59819 smemstat                           	       0        7        0        7        0
59820 smenu                              	       0        1        0        1        0
59821 smf-utils                          	       0        2        0        2        0
59822 smi-lib-amdgpu                     	       0        1        0        0        1
59823 smistrip                           	       0       64        1       63        0
59824 smithwaterman                      	       0        1        0        1        0
59825 smitools                           	       0        3        0        3        0
59826 smlnj                              	       0        2        0        2        0
59827 smlnj-runtime                      	       0        2        0        2        0
59828 smokeping                          	       0       16        2       14        0
59829 smpeg-gtv                          	       0        2        0        2        0
59830 smpeg-plaympeg                     	       0        6        0        6        0
59831 smplayer-l10n                      	       0      490        4        8      478
59832 smplayer-skins                     	       0        1        0        0        1
59833 smplayer-themes                    	       0      505        1        4      500
59834 smplayer-translations              	       0        1        0        0        1
59835 smplayer2                          	       0        1        0        1        0
59836 smplayer2-common                   	       0        1        0        0        1
59837 smpq                               	       0        2        0        2        0
59838 sms4you-doc                        	       0        1        0        0        1
59839 smsclient                          	       0        2        0        2        0
59840 smstools                           	       0        5        0        5        0
59841 smtm                               	       0        1        0        1        0
59842 smtp-in                            	       0        2        0        2        0
59843 smtpping                           	       0        4        0        4        0
59844 smuxi                              	       0        1        0        0        1
59845 smuxi-engine                       	       0        1        0        1        0
59846 snac                               	       0        1        0        1        0
59847 snacc                              	       0        4        0        4        0
59848 snacc-doc                          	       0        4        0        0        4
59849 snake4                             	       0        4        0        4        0
59850 snakemake                          	       0        1        0        1        0
59851 snap-aligner                       	       0        1        0        1        0
59852 snap-confine                       	       0        1        0        1        0
59853 snapclient                         	       0        6        1        5        0
59854 snapd-glib-tests                   	       0        1        0        0        1
59855 snapper-gui                        	       0        8        0        8        0
59856 snappymail                         	       0        2        0        2        0
59857 snapraid                           	       0        3        1        2        0
59858 snapserver                         	       0        3        1        2        0
59859 snarf                              	       0        1        0        1        0
59860 snd                                	       0       10        0        0       10
59861 snd-doc                            	       0        9        0        0        9
59862 snd-gtk-jack                       	       0        4        0        0        4
59863 snd-gtk-pulse                      	       0        4        0        0        4
59864 snd-gui-jack                       	       0       10        1        9        0
59865 snd-gui-pulse                      	       0        6        0        6        0
59866 snd-nox                            	       0        4        0        4        0
59867 sndiod                             	       0       21        5       16        0
59868 snes9x                             	       0        1        0        1        0
59869 snetaid                            	       0        1        0        1        0
59870 snetz                              	       0        1        0        1        0
59871 sni-qt                             	       0       21        0        0       21
59872 snibbetracker                      	       0        1        0        1        0
59873 sniffit                            	       0        4        0        4        0
59874 sniffnet                           	       0        1        0        1        0
59875 sniproxy                           	       0        1        0        1        0
59876 snis                               	       0        2        0        2        0
59877 snis-build-deps                    	       0        1        0        0        1
59878 snis-dbgsym                        	       0        1        0        1        0
59879 snmp-mibs-downloader               	       0       62        1       61        0
59880 snmpb                              	       0        1        0        1        0
59881 snmpd                              	       0       90       17       73        0
59882 snmpsim                            	       0        2        0        2        0
59883 snmptrapd                          	       0        7        0        7        0
59884 snmptrapfmt                        	       0        5        1        4        0
59885 snmptt                             	       0        5        0        5        0
59886 snooper                            	       0        2        0        2        0
59887 snooze                             	       0        2        0        2        0
59888 snort                              	       0        7        1        6        0
59889 snort-common                       	       0        8        1        7        0
59890 snort-common-libraries             	       0        8        1        7        0
59891 snort-doc                          	       0        4        0        0        4
59892 snort-rules-default                	       0       11        0        0       11
59893 snowballz                          	       0        2        0        2        0
59894 snowdrop                           	       0       22        0       22        0
59895 snowflake-odbc                     	       0        1        0        1        0
59896 snowflake-proxy                    	       0        4        1        3        0
59897 snpomatic                          	       0        1        0        1        0
59898 sntop                              	       0        7        0        7        0
59899 so-far                             	       0        1        0        0        1
59900 so-synth-lv2                       	       0        5        0        5        0
59901 soapdenovo                         	       0        1        0        1        0
59902 soapdenovo2                        	       0        1        0        1        0
59903 soapy-connector                    	       0        1        0        1        0
59904 soapyosmo-common0.7                	       0        5        0        0        5
59905 soapyosmo-common0.8                	       0       44        0        2       42
59906 soapyremote-server                 	       0        6        0        6        0
59907 soapysdr-module-airspy             	       0        3        0        0        3
59908 soapysdr-module-all                	       0       18        0        0       18
59909 soapysdr-module-audio              	       0        3        0        0        3
59910 soapysdr-module-bladerf            	       0        4        0        0        4
59911 soapysdr-module-hackrf             	       0        4        0        0        4
59912 soapysdr-module-lms7               	       0        3        0        0        3
59913 soapysdr-module-mirisdr            	       0        3        0        0        3
59914 soapysdr-module-osmosdr            	       0        4        0        0        4
59915 soapysdr-module-redpitaya          	       0        3        0        0        3
59916 soapysdr-module-remote             	       0        3        0        0        3
59917 soapysdr-module-rfspace            	       0        3        0        0        3
59918 soapysdr-module-rtlsdr             	       0        6        0        0        6
59919 soapysdr-module-uhd                	       0        3        0        0        3
59920 soapysdr-tools                     	       0       37        0       37        0
59921 soapysdr0.6-module-rtlsdr          	       0        1        0        0        1
59922 soapysdr0.7-module-airspy          	       0        5        0        0        5
59923 soapysdr0.7-module-all             	       0        5        0        0        5
59924 soapysdr0.7-module-audio           	       0        6        0        0        6
59925 soapysdr0.7-module-bladerf         	       0        5        0        0        5
59926 soapysdr0.7-module-hackrf          	       0        5        0        0        5
59927 soapysdr0.7-module-lms7            	       0        5        0        0        5
59928 soapysdr0.7-module-mirisdr         	       0        5        0        0        5
59929 soapysdr0.7-module-osmosdr         	       0        5        0        0        5
59930 soapysdr0.7-module-redpitaya       	       0        5        0        0        5
59931 soapysdr0.7-module-remote          	       0        5        0        0        5
59932 soapysdr0.7-module-rfspace         	       0        5        0        0        5
59933 soapysdr0.7-module-rtlsdr          	       0        6        0        0        6
59934 soapysdr0.7-module-uhd             	       0        5        0        0        5
59935 soapysdr0.8-module-airspy          	       0       44        0        2       42
59936 soapysdr0.8-module-all             	       0       43        0        0       43
59937 soapysdr0.8-module-audio           	       0       44        0        2       42
59938 soapysdr0.8-module-bladerf         	       0       44        0        2       42
59939 soapysdr0.8-module-hackrf          	       0       44        0        2       42
59940 soapysdr0.8-module-lms7            	       0       44        0        2       42
59941 soapysdr0.8-module-mirisdr         	       0       44        0        2       42
59942 soapysdr0.8-module-osmosdr         	       0       44        0        2       42
59943 soapysdr0.8-module-redpitaya       	       0       44        0        2       42
59944 soapysdr0.8-module-remote          	       0       44        0        2       42
59945 soapysdr0.8-module-rfspace         	       0       44        0        2       42
59946 soapysdr0.8-module-rtlsdr          	       0       44        0        2       42
59947 soapysdr0.8-module-uhd             	       0       44        0        2       42
59948 socket                             	       0        3        0        3        0
59949 socklog                            	       0       10        1        9        0
59950 socklog-run                        	       0        4        0        0        4
59951 sockperf                           	       0        2        0        2        0
59952 socks4-server                      	       0        1        0        1        0
59953 sockstat                           	       0       13        0       13        0
59954 sodipodi                           	       0        1        0        1        0
59955 sofa-apps                          	       0        1        0        1        0
59956 sofa-data                          	       0        1        0        0        1
59957 sofia-sip-bin                      	       0        1        0        1        0
59958 sofia-sip-doc                      	       0        2        0        0        2
59959 softether-common                   	       0        7        0        0        7
59960 softether-vpnclient                	       0        6        0        6        0
59961 softether-vpncmd                   	       0        7        0        7        0
59962 softether-vpnserver                	       0        1        0        1        0
59963 softflowd                          	       0       14        4       10        0
59964 softhsm2                           	       0        6        0        6        0
59965 softhsm2-common                    	       0        6        0        0        6
59966 softmaker-freeoffice-2018          	       0        2        0        2        0
59967 softmaker-freeoffice-2021          	       0        1        0        1        0
59968 softmaker-freeoffice-2024          	       0        2        0        2        0
59969 softmaker-office-2018              	       0        1        0        1        0
59970 softmaker-office-2024              	       0        6        1        5        0
59971 softmaker-office-nx                	       0        1        0        1        0
59972 solaar                             	       0       34        4       30        0
59973 solaar-gnome3                      	       0        2        0        0        2
59974 solarc-theme                       	       0        1        0        0        1
59975 solarwolf                          	       0        6        0        6        0
59976 solfege-doc                        	       0       17        0        0       17
59977 solid-pop3d                        	       0        2        0        2        0
59978 solr                               	       0        1        0        1        0
59979 solrdump                           	       0        1        0        1        0
59980 solvespace                         	       0       28        1       27        0
59981 songbook                           	       0        1        0        1        0
59982 songbook-doc                       	       0        1        0        0        1
59983 songbook-font-arabia               	       0        1        0        0        1
59984 songbook-font-bigtop               	       0        1        0        0        1
59985 songbook-font-casper               	       0        1        0        0        1
59986 songbook-font-castanet             	       0        1        0        0        1
59987 songbook-font-crescent             	       0        1        0        0        1
59988 songbook-font-dawncastle           	       0        1        0        0        1
59989 songbook-font-expo                 	       0        1        0        0        1
59990 songbook-font-florence             	       0        1        0        0        1
59991 songbook-font-frankenstein         	       0        1        0        0        1
59992 songbook-font-hoboe                	       0        1        0        0        1
59993 songbook-font-koala                	       0        1        0        0        1
59994 songbook-font-lincoln              	       0        1        0        0        1
59995 songbook-font-linus                	       0        1        0        0        1
59996 songbook-font-merlin               	       0        1        0        0        1
59997 songbook-font-paradise             	       0        1        0        0        1
59998 songbook-font-paragon              	       0        1        0        0        1
59999 songbook-font-prose                	       0        1        0        0        1
60000 songbook-font-shogun               	       0        1        0        0        1
60001 songbook-style-all                 	       0        1        0        0        1
60002 songbook-style-arabia              	       0        1        0        0        1
60003 songbook-style-bigtop              	       0        1        0        0        1
60004 songbook-style-casper              	       0        1        0        0        1
60005 songbook-style-castanet            	       0        1        0        0        1
60006 songbook-style-crescent            	       0        1        0        0        1
60007 songbook-style-dawncastle          	       0        1        0        0        1
60008 songbook-style-expo                	       0        1        0        0        1
60009 songbook-style-florence            	       0        1        0        0        1
60010 songbook-style-frankenstein        	       0        1        0        0        1
60011 songbook-style-hoboe               	       0        1        0        0        1
60012 songbook-style-koala               	       0        1        0        0        1
60013 songbook-style-lincoln             	       0        1        0        0        1
60014 songbook-style-linus               	       0        1        0        0        1
60015 songbook-style-merlin              	       0        1        0        0        1
60016 songbook-style-palatino            	       0        1        0        0        1
60017 songbook-style-paradise            	       0        1        0        0        1
60018 songbook-style-paragon             	       0        1        0        0        1
60019 songbook-style-prose               	       0        1        0        0        1
60020 songbook-style-shogun              	       0        1        0        0        1
60021 songwrite                          	       0        4        0        4        0
60022 sonic                              	       0        3        0        3        0
60023 sonic-pi-samples                   	       0        3        0        0        3
60024 sonic-pi-server-doc                	       0        1        0        0        1
60025 sonnet6-plugins                    	       0       44        1        2       41
60026 sonobus                            	       0        1        0        1        0
60027 sooperlooper                       	       0        3        0        3        0
60028 soosl                              	       0        1        0        1        0
60029 soprano-daemon                     	       0       25        1       24        0
60030 sopwith                            	       0        8        0        8        0
60031 sorcer                             	       0        1        0        1        0
60032 sortmail                           	       0        1        0        1        0
60033 sosreport                          	       0        3        0        3        0
60034 sound-icons                        	       0     2460        0        0     2460
60035 sound-theme-freedesktop            	       0     3048        0        0     3048
60036 sound-theme-phosh                  	       0        1        0        0        1
60037 soundbraid                         	       0        1        0        1        0
60038 soundconverter                     	       0       65        2       63        0
60039 soundgrain                         	       0        4        0        4        0
60040 soundkonverter-amarok-trinity      	       0        1        0        0        1
60041 soundkonverter-trinity             	       0        2        0        2        0
60042 soundmodem                         	       0        8        0        8        0
60043 soundscaperenderer                 	       0        2        0        2        0
60044 soundscaperenderer-common          	       0        3        0        3        0
60045 soundscaperenderer-nox             	       0        2        0        2        0
60046 soundstretch                       	       0       11        0       11        0
60047 source-highlight                   	       0       23        1       22        0
60048 sozi                               	       0        1        0        0        1
60049 sp                                 	       0       15        0       15        0
60050 sp-admin-tools                     	       0        1        0        1        0
60051 sp-cacerts                         	       0        1        0        0        1
60052 space-orbit                        	       0        1        0        1        0
60053 space-orbit-common                 	       0        1        0        0        1
60054 spacearyarya                       	       0        2        0        2        0
60055 spacebar                           	       0        1        0        1        0
60056 spacecadetpinball                  	       0        1        0        1        0
60057 spacechart                         	       0        1        0        1        0
60058 spaced                             	       0        1        0        1        0
60059 spacefm                            	       0       42        3       39        0
60060 spacefm-common                     	       0       46        1        3       42
60061 spacefm-gtk3                       	       0        7        0        6        1
60062 spacenavd                          	       0        4        0        4        0
60063 spacezero                          	       0        3        0        3        0
60064 spamass-milter                     	       0        3        0        3        0
60065 spamassassin                       	       0      115       17       98        0
60066 spamassassin-heatu                 	       0        1        0        1        0
60067 spamc                              	       0      111        7      104        0
60068 spamd                              	       0       61        3       58        0
60069 spampd                             	       0        1        0        1        0
60070 spamprobe                          	       0        4        1        3        0
60071 spark                              	       0        2        0        2        0
60072 spark-store                        	       0        2        0        2        0
60073 sparky-keyring                     	       0        1        0        0        1
60074 sparrow                            	       0        1        0        1        0
60075 spass                              	       0        1        0        1        0
60076 spatialite-bin                     	       0        8        0        8        0
60077 spatialite-gui                     	       0        7        0        7        0
60078 spawx11                            	       0        1        0        1        0
60079 spchcat                            	       0        2        0        2        0
60080 spdx-licenses                      	       0        2        0        0        2
60081 speakup-tools                      	       0        6        0        6        0
60082 spectemu-common                    	       0        7        0        7        0
60083 spectemu-x11                       	       0        7        0        7        0
60084 spectools                          	       0        3        0        3        0
60085 spectral                           	       0        2        0        0        2
60086 spectrum-roms                      	       0       16        0        0       16
60087 spectrwm                           	       0        8        0        8        0
60088 speech-dispatcher-cicero           	       0        3        0        0        3
60089 speech-dispatcher-doc-cs           	       0        1        0        0        1
60090 speech-dispatcher-espeak           	       0        3        0        0        3
60091 speech-dispatcher-festival         	       0       31        0        0       31
60092 speech-dispatcher-flite            	       0        3        0        0        3
60093 speech-dispatcher-rhvoice          	       0        1        0        0        1
60094 speech-tools                       	       0        3        0        3        0
60095 speech-tools-doc                   	       0        4        0        0        4
60096 speechd-up                         	       0        1        1        0        0
60097 speedata-publisher                 	       0        1        0        1        0
60098 speedcrunch                        	       0       33        0       33        0
60099 speedometer                        	       0       33        0       33        0
60100 speedtest                          	       0        7        0        7        0
60101 speex-doc                          	       0        3        0        0        3
60102 spek                               	       0       11        0       11        0
60103 spellutils                         	       0       11        0       11        0
60104 spew                               	       0        2        0        2        0
60105 spf-tools-perl                     	       0       20        2       18        0
60106 sphash                             	       0        1        0        1        0
60107 sphinx-basic-ng                    	       0        7        0        7        0
60108 sphinx-doc                         	       0       22        0        0       22
60109 sphinx-intl                        	       0        5        0        5        0
60110 sphinx-rtd-theme-common            	       0     1753        0        0     1753
60111 sphinx2-bin                        	       0        1        0        1        0
60112 sphinx2-hmm-6k                     	       0        1        0        0        1
60113 sphinxbase                         	       0        1        1        0        0
60114 sphinxbase-utils                   	       0        5        0        5        0
60115 sphinxsearch                       	       0        1        0        1        0
60116 sphinxtrain                        	       0        6        0        6        0
60117 spi-tools                          	       0        1        0        1        0
60118 spice                              	       0        1        0        1        0
60119 spice-client                       	       0        1        0        1        0
60120 spice-client-glib-usb-acl-helper   	       0      461        0       57      404
60121 spice-html5                        	       0        6        0        0        6
60122 spice-vdagent                      	       0       19        0       19        0
60123 spice-webdavd                      	       0        3        0        3        0
60124 spidermonkey-bin                   	       0        1        0        1        0
60125 spideroak                          	       0        1        0        1        0
60126 spideroakone                       	       0        6        0        6        0
60127 spigot                             	       0        3        0        3        0
60128 spim                               	       0        3        0        3        0
60129 spin                               	       0        2        0        2        0
60130 spinner                            	       0        2        2        0        0
60131 spiped                             	       0        1        0        1        0
60132 spirv-cross                        	       0        3        0        3        0
60133 spirv-cross-dev                    	       0        2        0        2        0
60134 spirv-headers                      	       0       10        0       10        0
60135 spirv-reflect                      	       0        1        0        1        0
60136 spirv-tools                        	       0       36        0       36        0
60137 spl                                	       0        8        0        2        6
60138 spl-dkms                           	       0        5        0        1        4
60139 splash                             	       0        1        0        1        0
60140 splashtop-business                 	       0        2        0        0        2
60141 splat                              	       0        6        0        6        0
60142 splay                              	       0        4        0        4        0
60143 spline                             	       0        1        0        1        0
60144 splint                             	       0       23        0       23        0
60145 splint-data                        	       0       23        0       23        0
60146 splint-doc-html                    	       0        5        0        0        5
60147 split-select                       	       0       30        0       30        0
60148 splitpatch                         	       0        1        0        1        0
60149 splitvt                            	       0        3        0        3        0
60150 sploitscan                         	       0        1        0        1        0
60151 splunk                             	       0        1        0        1        0
60152 splunkforwarder                    	       0       10        1        9        0
60153 spoa                               	       0        1        0        1        0
60154 spotify-client-gnome-support       	       0        2        0        0        2
60155 spotify-client-qt                  	       0        1        0        0        1
60156 spotify-tui                        	       0        1        0        1        0
60157 spotube                            	       0        1        0        0        1
60158 spout                              	       0        2        0        2        0
60159 spread-phy                         	       0        1        0        1        0
60160 spring                             	       0       11        0       11        0
60161 spring-common                      	       0       11        0        0       11
60162 spring-installer                   	       0        1        0        1        0
60163 spring-javaai                      	       0        1        0        1        0
60164 spring-maps                        	       0        1        0        0        1
60165 spring-maps-1v1                    	       0        1        0        0        1
60166 spring-maps-default                	       0        1        0        0        1
60167 spring-maps-deltasiege             	       0        1        0        0        1
60168 spring-maps-hunterw                	       0        1        0        0        1
60169 spring-maps-kernelpanic            	       0        1        0        0        1
60170 spring-maps-smallsupreme           	       0        1        0        0        1
60171 spring-maps-teamplay               	       0        1        0        0        1
60172 springlobby                        	       0       11        0       11        0
60173 sptk                               	       0        1        0        1        0
60174 spyder-common                      	       0       27        0        0       27
60175 spyder3                            	       0        1        0        1        0
60176 spytrap-adb                        	       0        1        0        1        0
60177 sq-keyring-linter                  	       0        4        1        3        0
60178 sq-wot                             	       0        1        0        1        0
60179 sqitch                             	       0        2        0        2        0
60180 sql-ledger                         	       0        2        0        2        0
60181 sql-migrate                        	       0        1        0        1        0
60182 sqlcmd                             	       0        1        0        1        0
60183 sqlfluff                           	       0        1        0        1        0
60184 sqlfluff-doc                       	       0        1        0        0        1
60185 sqlformat                          	       0        2        0        2        0
60186 sqlite                             	       0       31        0       31        0
60187 sqlite-doc                         	       0        6        0        0        6
60188 sqlite-modern-cpp-dev              	       0        2        0        2        0
60189 sqlite3-doc                        	       0       54        0        0       54
60190 sqlite3-pcre                       	       0        9        0        9        0
60191 sqlmap                             	       0        5        0        5        0
60192 sqlsmith                           	       0        2        0        2        0
60193 sqop                               	       0        1        0        1        0
60194 sqopv                              	       0        1        0        1        0
60195 sqsh                               	       0        1        0        1        0
60196 squareness                         	       0        2        0        0        2
60197 squashfs-modules-2.6.26-486-voyage 	       0        1        0        0        1
60198 squashfs-mount                     	       0        3        0        3        0
60199 squashfuse                         	       0       18        1       17        0
60200 squeak-sources                     	       0        1        0        0        1
60201 squeekboard                        	       0        1        0        1        0
60202 squeezelite                        	       0        1        1        0        0
60203 squid                              	       0       44       10       34        0
60204 squid-cgi                          	       0        5        0        5        0
60205 squid-common                       	       0       49        0        0       49
60206 squid-deb-proxy                    	       0        1        0        0        1
60207 squid-deb-proxy-client             	       0        2        0        0        2
60208 squid-langpack                     	       0       50        0        0       50
60209 squid-openssl                      	       0        5        1        4        0
60210 squid-purge                        	       0        4        0        4        0
60211 squid3                             	       0        3        0        1        2
60212 squid3-common                      	       0        1        0        0        1
60213 squidclient                        	       0        9        1        8        0
60214 squidguard                         	       0        4        0        4        0
60215 squidguard-doc                     	       0        1        0        0        1
60216 squidtaild                         	       0        2        0        2        0
60217 squidview                          	       0        6        0        6        0
60218 squirrel3                          	       0        2        0        2        0
60219 squirrelmail                       	       0        1        1        0        0
60220 squirrelmail-compatibility         	       0        1        1        0        0
60221 squirrelmail-decode                	       0        1        0        1        0
60222 squirrelmail-locales               	       0        1        0        1        0
60223 squirrelmail-lockout               	       0        1        1        0        0
60224 squirrelmail-logger                	       0        1        1        0        0
60225 squirrelmail-quicksave             	       0        1        1        0        0
60226 squirrelmail-secure-login          	       0        1        1        0        0
60227 squirrelmail-sent-confirmation     	       0        1        1        0        0
60228 squirrelmail-spam-buttons          	       0        1        0        1        0
60229 squirrelmail-viewashtml            	       0        1        1        0        0
60230 squizz                             	       0        1        0        1        0
60231 sqwebmail                          	       0        1        1        0        0
60232 srain                              	       0        1        0        1        0
60233 src2tex                            	       0        4        0        4        0
60234 srecord                            	       0       15        0       15        0
60235 srg                                	       0        1        0        1        0
60236 srs                                	       0        3        1        2        0
60237 srst2                              	       0        1        0        1        0
60238 srt-tools                          	       0        4        0        4        0
60239 srtp-docs                          	       0        1        0        0        1
60240 srtp-utils                         	       0        2        0        2        0
60241 srvadmin-all                       	       0        1        0        0        1
60242 srvadmin-base                      	       0        2        0        0        2
60243 srvadmin-bossnvmelib               	       0        1        0        0        1
60244 srvadmin-broadcomlib               	       0        1        0        0        1
60245 srvadmin-cm                        	       0        2        0        2        0
60246 srvadmin-deng                      	       0        2        0        2        0
60247 srvadmin-deng-snmp                 	       0        1        0        1        0
60248 srvadmin-hapi                      	       0        2        0        2        0
60249 srvadmin-idrac-ivmcli              	       0        1        0        1        0
60250 srvadmin-idrac-snmp                	       0        1        0        0        1
60251 srvadmin-idrac-vmcli               	       0        1        0        1        0
60252 srvadmin-idracadm7                 	       0        1        0        1        0
60253 srvadmin-idracadm8                 	       0        1        0        0        1
60254 srvadmin-isvc                      	       0        2        0        2        0
60255 srvadmin-isvc-snmp                 	       0        1        0        0        1
60256 srvadmin-itunnelprovider           	       0        1        0        1        0
60257 srvadmin-jre                       	       0        2        0        2        0
60258 srvadmin-marvell                   	       0        2        0        1        1
60259 srvadmin-nvme                      	       0        2        0        0        2
60260 srvadmin-omacore                   	       0        2        0        2        0
60261 srvadmin-omacs                     	       0        2        0        0        2
60262 srvadmin-omaksmig                  	       0        2        0        0        2
60263 srvadmin-omcommon                  	       0        2        0        2        0
60264 srvadmin-omilcore                  	       0        2        0        2        0
60265 srvadmin-ominst                    	       0        2        0        2        0
60266 srvadmin-oslog                     	       0        2        0        0        2
60267 srvadmin-rac-components            	       0        2        0        0        2
60268 srvadmin-racdrsc                   	       0        2        0        0        2
60269 srvadmin-realssd                   	       0        1        0        0        1
60270 srvadmin-server-cli                	       0        1        0        0        1
60271 srvadmin-server-snmp               	       0        1        0        0        1
60272 srvadmin-smcommon                  	       0        2        0        2        0
60273 srvadmin-smweb                     	       0        1        0        1        0
60274 srvadmin-standardagent             	       0        1        0        0        1
60275 srvadmin-storage                   	       0        2        0        2        0
60276 srvadmin-storage-cli               	       0        1        0        0        1
60277 srvadmin-storage-snmp              	       0        1        0        0        1
60278 srvadmin-storageservices           	       0        1        0        0        1
60279 srvadmin-storageservices-cli       	       0        1        0        0        1
60280 srvadmin-storageservices-snmp      	       0        1        0        0        1
60281 srvadmin-storelib                  	       0        2        0        1        1
60282 srvadmin-storelib-sysfs            	       0        2        0        2        0
60283 srvadmin-tomcat                    	       0        2        0        2        0
60284 srvadmin-webserver                 	       0        1        0        0        1
60285 srvadmin-xmlsup                    	       0        2        0        0        2
60286 ss-dev                             	       0        1        0        1        0
60287 ssa                                	       0        3        0        3        0
60288 ssacli                             	       0        9        0        9        0
60289 ssaducli                           	       0        3        0        3        0
60290 ssake                              	       0        1        0        1        0
60291 ssb-patchwork                      	       0        1        0        1        0
60292 ssc                                	       0        1        0        1        0
60293 sscg                               	       0        3        0        3        0
60294 ssdeep                             	       0       24        0       24        0
60295 sse2-support                       	       0       32        0        0       32
60296 sse3-support                       	       0      271        0        0      271
60297 sse4.1-support                     	       0        1        0        0        1
60298 sse4.2-support                     	       0        6        0        0        6
60299 ssed                               	       0        5        1        4        0
60300 ssg-applications                   	       0        1        0        0        1
60301 ssg-base                           	       0        1        0        0        1
60302 ssg-debderived                     	       0        1        0        0        1
60303 ssg-debian                         	       0        1        0        0        1
60304 ssg-nondebian                      	       0        1        0        0        1
60305 ssh                                	       0      382        0        0      382
60306 ssh-askpass                        	       0      210        0       49      161
60307 ssh-askpass-fullscreen             	       0        6        0        6        0
60308 ssh-askpass-gnome                  	       0       17        0       17        0
60309 ssh-contact                        	       0        1        0        0        1
60310 ssh-contact-client                 	       0        1        0        1        0
60311 ssh-contact-service                	       0        1        0        1        0
60312 ssh-cron                           	       0        1        0        1        0
60313 ssh-import-id                      	       0        5        0        5        0
60314 sshcommand                         	       0        1        0        1        0
60315 sshesame                           	       0        1        0        1        0
60316 sshguard                           	       0       25        4       21        0
60317 sshuttle                           	       0       17        2       15        0
60318 ssimulacra                         	       0        1        0        1        0
60319 ssl-cert-check                     	       0       12        0       12        0
60320 ssldump                            	       0       31        0       31        0
60321 sslh                               	       0        1        0        1        0
60322 sslscan                            	       0       30        0       30        0
60323 sslsniff                           	       0        5        0        5        0
60324 sslstrip                           	       0        1        0        1        0
60325 ssmping                            	       0        4        0        4        0
60326 ssmtp                              	       0       28        4       24        0
60327 ssocr                              	       0        3        0        3        0
60328 sspace                             	       0        1        0        1        0
60329 sssd                               	       0       27        0        0       27
60330 sssd-ad                            	       0       27        0        1       26
60331 sssd-dbus                          	       0        8        0        8        0
60332 sssd-krb5                          	       0       27        1        2       24
60333 sssd-krb5-common                   	       0       27        0        0       27
60334 sssd-ldap                          	       0       27        1        3       23
60335 sssd-passkey                       	       0       11        0        0       11
60336 sssd-proxy                         	       0       27        0        0       27
60337 sssd-tools                         	       0       10        0       10        0
60338 ssshtest                           	       0        2        0        2        0
60339 ssss                               	       0        2        0        2        0
60340 ssvnc                              	       0       36        1       35        0
60341 ssw-align                          	       0        1        0        1        0
60342 ssystem                            	       0        1        0        1        0
60343 st                                 	       0        1        0        1        0
60344 st-stlink-server                   	       0        7        0        7        0
60345 st-stlink-udev-rules               	       0        6        0        0        6
60346 st-stm32cubeide-1.11.2             	       0        1        0        1        0
60347 st-stm32cubeide-1.14.0             	       0        1        0        1        0
60348 st-stm32cubeide-1.16.1             	       0        2        0        2        0
60349 st-stm32cubeide-1.4.0              	       0        1        0        1        0
60350 st-stm32cubeide-1.8.0              	       0        1        0        0        1
60351 stackrpms-acer-chromebook          	       0        1        0        1        0
60352 stackrpms-thinkpad-p50s            	       0        4        0        4        0
60353 stacks                             	       0        2        0        2        0
60354 staden                             	       0        1        0        1        0
60355 staden-common                      	       0        1        0        0        1
60356 staden-io-lib-utils                	       0        1        0        1        0
60357 stalag13-keyring                   	       0        4        0        0        4
60358 stalag13-utils                     	       0        1        0        1        0
60359 stalonetray                        	       0       19        1       18        0
60360 standardskriver                    	       0        2        0        2        0
60361 star                               	       0        2        0        2        0
60362 stardata-common                    	       0       10        1        9        0
60363 stardict                           	       0        6        0        0        6
60364 stardict-common                    	       0        9        0        1        8
60365 stardict-gnome                     	       0        1        0        1        0
60366 stardict-gtk                       	       0        8        0        8        0
60367 stardict-plugin                    	       0        9        0        2        7
60368 stardict-plugin-espeak             	       0        6        0        2        4
60369 stardict-plugin-festival           	       0        6        0        2        4
60370 stardict-plugin-fortune            	       0        1        0        0        1
60371 stardict-plugin-info               	       0        1        0        0        1
60372 stardict-plugin-spell              	       0        5        0        2        3
60373 stardict-tools                     	       0        3        0        3        0
60374 stardict-xmlittre                  	       0        1        0        0        1
60375 starfighter                        	       0        5        0        5        0
60376 starfighter-data                   	       0        5        0        0        5
60377 starlink-array-java                	       0        1        0        0        1
60378 starlink-connect-java              	       0        1        0        0        1
60379 starlink-datanode-java             	       0        1        0        0        1
60380 starlink-dpac-java                 	       0        1        0        0        1
60381 starlink-fits-java                 	       0        1        0        0        1
60382 starlink-pal-java                  	       0        1        0        0        1
60383 starlink-registry-java             	       0        1        0        0        1
60384 starlink-table-java                	       0        1        0        0        1
60385 starlink-task-java                 	       0        1        0        0        1
60386 starlink-util-java                 	       0        1        0        0        1
60387 starlink-vo-java                   	       0        1        0        0        1
60388 starlink-votable-java              	       0        1        0        0        1
60389 starman                            	       0        3        1        2        0
60390 starplot                           	       0        8        0        8        0
60391 staruml                            	       0        3        0        0        3
60392 starvoyager                        	       0        4        0        4        0
60393 starvoyager-data                   	       0        4        0        0        4
60394 statcvs                            	       0        5        0        5        0
60395 statgrab                           	       0        1        0        1        0
60396 statnews                           	       0        2        0        2        0
60397 statserial                         	       0        8        0        8        0
60398 statsprocessor                     	       0       23        0       23        0
60399 statsvn                            	       0        3        0        3        0
60400 status-bar                         	       0        1        1        0        0
60401 stax                               	       0       11        0       11        0
60402 stbaithreshold                     	       0        1        0        1        0
60403 stbdjvul                           	       0        1        0        1        0
60404 stbidespeckle                      	       0        1        0        1        0
60405 stbimfrpf2                         	       0        1        0        1        0
60406 stbimmetrics                       	       0        1        0        1        0
60407 stbiscalenx                        	       0        1        0        1        0
60408 stbresize                          	       0        1        0        1        0
60409 stbsrcnn                           	       0        1        0        1        0
60410 stderred                           	       0        1        0        1        0
60411 stdsyslog                          	       0        4        0        4        0
60412 ste-plugins                        	       0       15        1       14        0
60413 stealth-doc                        	       0        2        0        0        2
60414 steam                              	       0       95        0       20       75
60415 steam-dependencies                 	       0        1        0        0        1
60416 steam-libs                         	       0      164        0        0      164
60417 steam-libs-amd64                   	       0      136        0        0      136
60418 steam-libs-i386                    	       0      262        0        0      262
60419 steamcmd                           	       0       23        0       23        0
60420 steampipe                          	       0        1        0        0        1
60421 stegcracker                        	       0       23        0       23        0
60422 stegdetect                         	       0        2        0        2        0
60423 steghide-doc                       	       0        3        0        0        3
60424 stegosuite                         	       0       12        0       12        0
60425 stegseek                           	       0        2        0        2        0
60426 stegsnow                           	       0       24        0       24        0
60427 stella                             	       0       15        0       15        0
60428 stellarium                         	       0      103        1      102        0
60429 stellarium-data                    	       0      104        0        0      104
60430 stenc                              	       0        1        0        1        0
60431 step-ca                            	       0        3        0        3        0
60432 step-cli                           	       0        3        0        3        0
60433 stetl                              	       0        3        0        3        0
60434 steve-completion                   	       0        1        0        0        1
60435 stex                               	       0        1        0        0        1
60436 stgit                              	       0        7        2        5        0
60437 sticky                             	       0        1        0        1        0
60438 stiff                              	       0        3        0        3        0
60439 stl-manual                         	       0        3        0        3        0
60440 stlcmd                             	       0        3        0        3        0
60441 stlink                             	       0        1        0        1        0
60442 stlink-gui                         	       0        2        0        2        0
60443 stlink-tools                       	       0       15        0       15        0
60444 stm32flash                         	       0        8        0        8        0
60445 stoken                             	       0        1        0        1        0
60446 stone                              	       0        2        0        2        0
60447 stopmotion                         	       0        2        0        2        0
60448 stops                              	       0       12        0        0       12
60449 stopwatch                          	       0       20        0       20        0
60450 storcli                            	       0        1        0        0        1
60451 store.spark-app.wechat-linux-spark 	       0        2        0        2        0
60452 storebackup                        	       0        1        0        1        0
60453 storj                              	       0        2        0        2        0
60454 storjshare                         	       0        1        0        0        1
60455 storm-lang-layout                  	       0        1        0        1        0
60456 storman                            	       0        1        0        1        0
60457 stormbaancoureur                   	       0        1        0        1        0
60458 stormbaancoureur-data              	       0        1        0        0        1
60459 storymaps                          	       0        1        0        1        0
60460 strace64                           	       0        1        0        1        0
60461 stratagus-gl                       	       0        1        0        1        0
60462 streamer                           	       0       11        0       11        0
60463 streamripper                       	       0       22        0       22        0
60464 streamtuner2                       	       0       13        0       13        0
60465 stremio                            	       0        3        0        1        2
60466 stress                             	       0       55        0       55        0
60467 stressant-doc                      	       0        1        0        0        1
60468 stressant-meta                     	       0        3        0        0        3
60469 stressapptest                      	       0        2        0        2        0
60470 strife-data                        	       0        1        0        0        1
60471 strongswan                         	       0       70        0        0       70
60472 strongswan-pki                     	       0        9        0        9        0
60473 strongswan-scepclient              	       0        1        0        1        0
60474 strongswan-swanctl                 	       0       11        2        9        0
60475 stroq                              	       0        1        0        1        0
60476 stubby                             	       0        4        0        4        0
60477 stuffit                            	       0        1        0        0        1
60478 stumpwm                            	       0       19        0       19        0
60479 stun                               	       0        2        0        2        0
60480 stun-client                        	       0        4        0        4        0
60481 stunnel                            	       0        1        0        0        1
60482 stunnel4                           	       0       88        6       82        0
60483 stutter                            	       0        1        0        1        0
60484 stx2any                            	       0        3        0        3        0
60485 stylish-haskell                    	       0        3        0        3        0
60486 styx                               	       0        1        0        1        0
60487 styx-dev                           	       0        1        0        1        0
60488 styx-doc                           	       0        1        0        0        1
60489 subdownloader                      	       0        8        0        8        0
60490 subfinder                          	       0        1        0        1        0
60491 sublime-merge                      	       0        6        0        6        0
60492 subliminal                         	       0        5        1        4        0
60493 submux-dvd                         	       0        1        0        1        0
60494 subnetcalc                         	       0       21        0       21        0
60495 subread                            	       0        1        0        1        0
60496 substance                          	       0        5        0        0        5
60497 substance-flamingo                 	       0        3        0        0        3
60498 subtitlecomposer                   	       0        5        0        5        0
60499 subtitleeditor                     	       0       24        0       24        0
60500 subtitleripper                     	       0        2        0        2        0
60501 subtle                             	       0        2        0        2        0
60502 subunit                            	       0        5        0        5        0
60503 suck                               	       0        3        0        3        0
60504 sucrack                            	       0       24        0       24        0
60505 sudo-ldap                          	       0       16        5       11        0
60506 sudo-trinity                       	       0       30        0        0       30
60507 sudoku-solver                      	       0        7        0        7        0
60508 sugar-browse-activity              	       0      294        0        0      294
60509 sugar-icon-theme                   	       0       11        0        0       11
60510 sugar-terminal-activity            	       0        1        0        0        1
60511 sugar-themes                       	       0        2        0        0        2
60512 sugarjar                           	       0        1        0        1        0
60513 sugarplum                          	       0        1        0        1        0
60514 suitename                          	       0        1        0        1        0
60515 suld-driver2-1.00.29               	       0        1        0        1        0
60516 suld-driver2-1.00.39               	       0        3        0        3        0
60517 suld-driver2-common-1              	       0        4        0        0        4
60518 suld-ppd-4                         	       0        4        0        0        4
60519 suldr-keyring                      	       0        4        0        0        4
60520 sumaclust                          	       0        1        0        1        0
60521 sumatra                            	       0        1        0        1        0
60522 sumo                               	       0        2        0        2        0
60523 sumo-doc                           	       0        1        0        0        1
60524 sumo-tools                         	       0        1        0        0        1
60525 sumtrees                           	       0        1        0        1        0
60526 sun-java5-bin                      	       0        2        0        2        0
60527 sun-java5-jre                      	       0        2        0        0        2
60528 sun-java6-bin                      	       0        4        0        4        0
60529 sun-java6-jre                      	       0        4        0        0        4
60530 sun-java6-plugin                   	       0        3        0        0        3
60531 sunclock                           	       0       16        0       16        0
60532 sunclock-maps                      	       0       16        0        0       16
60533 sunflow                            	       0        1        0        1        0
60534 sunflower                          	       0        1        0        1        0
60535 sunpinyin-data                     	       0        5        0        2        3
60536 sunshine                           	       0        3        0        3        0
60537 sunvox                             	       0        1        0        1        0
60538 sunxi-tools                        	       0        6        0        6        0
60539 sup-g                              	       0        1        0        1        0
60540 sup-mail                           	       0        1        0        1        0
60541 super                              	       0        6        0        6        0
60542 supercollider                      	       0        3        0        0        3
60543 supercollider-common               	       0        7        0        0        7
60544 supercollider-emacs                	       0        1        0        1        0
60545 supercollider-gedit                	       0        1        0        1        0
60546 supercollider-ide                  	       0        5        0        5        0
60547 supercollider-language             	       0        6        0        6        0
60548 supercollider-supernova            	       0        3        0        3        0
60549 supercollider-vim                  	       0        1        0        0        1
60550 superiotool                        	       0        3        0        3        0
60551 supermicro-update-manager          	       0        1        0        1        0
60552 superproductivity                  	       0        3        0        0        3
60553 supertransball2                    	       0        7        0        7        0
60554 supertransball2-data               	       0        7        0        0        7
60555 supertux                           	       0       49        0       49        0
60556 supertux-data                      	       0       51        0        0       51
60557 supervisor                         	       0       55        5       50        0
60558 supervisor-doc                     	       0        1        0        0        1
60559 supysonic                          	       0        1        0        1        0
60560 surankco                           	       0        1        0        1        0
60561 surf-alggeo                        	       0       12        0        0       12
60562 surf-alggeo-nox                    	       0       12        1       11        0
60563 surf-dbgsym                        	       0        1        0        1        0
60564 surf2                              	       0        7        0        7        0
60565 surface-go-wifi                    	       0        1        0        0        1
60566 surfer                             	       0        1        0        1        0
60567 surfraw                            	       0       13        0       13        0
60568 surfraw-extra                      	       0       11        0       11        0
60569 surfshark                          	       0        2        0        2        0
60570 surfshark-release                  	       0        3        0        0        3
60571 surfshark-vpn                      	       0        3        0        3        0
60572 surge                              	       0        2        0        2        0
60573 surge-data                         	       0        1        0        0        1
60574 surge-xt                           	       0        3        0        3        0
60575 suricata                           	       0        6        1        5        0
60576 suricata-oinkmaster                	       0        3        1        2        0
60577 suricata-update                    	       0        5        1        4        0
60578 suru-icon-theme                    	       0       12        0        0       12
60579 survex                             	       0        2        0        2        0
60580 survex-aven                        	       0        2        0        2        0
60581 susv2                              	       0        2        0        0        2
60582 susv3                              	       0        3        0        0        3
60583 susv4                              	       0        3        0        0        3
60584 suwayomi-server                    	       0        1        0        1        0
60585 sux                                	       0       18        1       17        0
60586 svgalib-bin                        	       0        1        0        1        0
60587 svgcarve                           	       0        1        0        1        0
60588 svgpart                            	       0       47        0        1       46
60589 svgtoipe                           	       0        1        0        1        0
60590 svkbd                              	       0        1        0        1        0
60591 svn-all-fast-export                	       0        1        0        1        0
60592 svn-buildpackage                   	       0       16        0       16        0
60593 svn-load                           	       0        3        0        3        0
60594 svn-workbench                      	       0        4        0        4        0
60595 svn2git                            	       0        5        0        5        0
60596 svncviewer                         	       0        1        0        1        0
60597 svnkit                             	       0        1        0        1        0
60598 svt-av1                            	       0        4        0        4        0
60599 svtools                            	       0        8        0        8        0
60600 svtplay-dl                         	       0        4        0        4        0
60601 svxlink-calibration-tools          	       0        2        0        2        0
60602 svxlink-gpio                       	       0        2        0        2        0
60603 svxlink-server                     	       0        2        0        2        0
60604 svxreflector                       	       0        2        0        2        0
60605 swach                              	       0        1        0        1        0
60606 swaks                              	       0       48        4       44        0
60607 swapspace                          	       0        3        0        3        0
60608 swarm                              	       0        2        0        2        0
60609 swarp                              	       0        2        0        2        0
60610 swatch                             	       0        4        0        4        0
60611 swath                              	       0        7        0        7        0
60612 swath-data                         	       0        7        0        0        7
60613 sway-backgrounds                   	       0       49        0        0       49
60614 swe-basic-data                     	       0        1        0        0        1
60615 swe-standard-data                  	       0        1        0        0        1
60616 sweethome3d                        	       0       35        0       35        0
60617 sweethome3d-furniture              	       0       35        0        0       35
60618 sweethome3d-furniture-editor       	       0       15        0       15        0
60619 sweethome3d-furniture-nonfree      	       0       15        0        0       15
60620 sweethome3d-textures-editor        	       0       15        0       15        0
60621 swfdec-mozilla                     	       0        1        0        0        1
60622 swfmill                            	       0        2        0        2        0
60623 swftools                           	       0        1        0        1        0
60624 swh-lv2                            	       0       12        0       12        0
60625 swi-prolog                         	       0        7        0        0        7
60626 swi-prolog-bdb                     	       0        2        0        2        0
60627 swi-prolog-core                    	       0       13        0       13        0
60628 swi-prolog-core-packages           	       0       13        0       13        0
60629 swi-prolog-doc                     	       0       10        0        0       10
60630 swi-prolog-full                    	       0        1        0        0        1
60631 swi-prolog-java                    	       0        3        0        3        0
60632 swi-prolog-nox                     	       0       13        0       13        0
60633 swi-prolog-odbc                    	       0        2        0        2        0
60634 swi-prolog-x                       	       0        8        0        8        0
60635 swiagent                           	       0        6        0        6        0
60636 swift-doc                          	       0        1        0        0        1
60637 swig-doc                           	       0        7        0        0        7
60638 swig-examples                      	       0        7        0        1        6
60639 swig-pocketsphinx                  	       0        2        0        0        2
60640 swig-sphinxbase                    	       0        3        0        0        3
60641 swig2.0                            	       0        1        0        1        0
60642 swig3.0                            	       0       12        0       12        0
60643 swig3.0-doc                        	       0        2        0        0        2
60644 swig3.0-examples                   	       0        2        0        2        0
60645 swig4.0-doc                        	       0        6        0        0        6
60646 swig4.0-examples                   	       0        5        0        5        0
60647 swish++                            	       0       33        7       26        0
60648 swish-e                            	       0        6        1        5        0
60649 swisswatch                         	       0       18        1       17        0
60650 switchconf                         	       0        1        0        1        0
60651 sword-comm-mhcc                    	       0        1        0        0        1
60652 sword-comm-scofield                	       0        2        0        0        2
60653 sword-comm-tdavid                  	       0        1        0        0        1
60654 sword-dict-naves                   	       0        2        0        0        2
60655 sword-dict-strongs-greek           	       0        4        0        0        4
60656 sword-dict-strongs-hebrew          	       0        4        0        0        4
60657 sword-text-kjv                     	       0        5        0        0        5
60658 sword-text-sparv                   	       0        1        0        0        1
60659 sword-text-web                     	       0        3        0        0        3
60660 swscanner                          	       0        1        0        1        0
60661 swtpm-libs                         	       0      282        5        0      277
60662 sxid                               	       0        1        0        1        0
60663 sylfilter                          	       0       40        0       40        0
60664 sylph-searcher                     	       0        5        1        4        0
60665 sylpheed                           	       0       54        1       53        0
60666 sylpheed-doc                       	       0       16        0        0       16
60667 sylpheed-i18n                      	       0       47        0        7       40
60668 sylpheed-plugins                   	       0       19        0        3       16
60669 symbol-desktop-wallet              	       0        2        0        0        2
60670 sympa                              	       0        2        0        2        0
60671 sympathy                           	       0        1        0        1        0
60672 symphytum                          	       0        1        0        1        0
60673 sympow                             	       0       11        0       11        0
60674 sympow-data                        	       0       11        0       11        0
60675 synaesthesia                       	       0        1        0        1        0
60676 synapse                            	       0        5        0        5        0
60677 synaptic-trinity                   	       0       26        0        0       26
60678 synaptics-repository-keyring       	       0        2        0        0        2
60679 syncevolution                      	       0        1        0        1        0
60680 syncevolution-common               	       0        3        1        2        0
60681 syncevolution-libs                 	       0        1        0        0        1
60682 syncevolution-libs-gnome           	       0        1        0        0        1
60683 syncfiles                          	       0        1        0        1        0
60684 syncplay                           	       0        4        0        4        0
60685 syncplay-common                    	       0        1        0        1        0
60686 syncthing-discosrv                 	       0        6        2        4        0
60687 syncthing-gtk                      	       0       47        5       42        0
60688 syncthing-relaysrv                 	       0        4        0        4        0
60689 syncthingtray                      	       0       11        1       10        0
60690 syncthingtray-kde-plasma           	       0        5        0        4        1
60691 syndie                             	       0        1        0        1        0
60692 synergy                            	       0        2        0        2        0
60693 synfig                             	       0        6        0        6        0
60694 synfig-examples                    	       0       11        0        0       11
60695 synfigstudio                       	       0        8        0        8        0
60696 synology-assistant                 	       0        3        0        0        3
60697 synology-drive                     	       0        1        0        1        0
60698 synology-presto                    	       0        1        0        1        0
60699 synthv1                            	       0        7        0        7        0
60700 synthv1-common                     	       0        7        0        0        7
60701 synthv1-lv2                        	       0        3        0        3        0
60702 syrep                              	       0        1        0        1        0
60703 syrthes                            	       0        1        0        1        0
60704 syrthes-tools                      	       0        1        0        1        0
60705 sysadmin-guide                     	       0        2        0        0        2
60706 sysbench                           	       0       69        1       68        0
60707 sysconftool                        	       0        4        0        4        0
60708 sysdig                             	       0        1        0        1        0
60709 sysdig-dkms                        	       0        1        0        1        0
60710 sysfsutils                         	       0       69        3       66        0
60711 sysinfo                            	       0        6        0        6        0
60712 sysinternalsebpf                   	       0        1        0        1        0
60713 sysklogd                           	       0        2        0        2        0
60714 syslinux-efi                       	       0       24        0       24        0
60715 syslinux-themes-debian             	       0        2        0        0        2
60716 syslinux-themes-debian-lenny       	       0        1        0        0        1
60717 syslinux-themes-debian-squeeze     	       0        2        0        0        2
60718 syslinux-themes-debian-wheezy      	       0        3        0        0        3
60719 syslinux-themes-linuxmint          	       0        3        0        0        3
60720 syslinux-themes-lmde               	       0        1        0        0        1
60721 syslog-ng                          	       0       31        0        0       31
60722 syslog-ng-core                     	       0       31        8       23        0
60723 syslog-ng-mod-add-contextual-data  	       0       26        5       21        0
60724 syslog-ng-mod-amqp                 	       0       24        4       20        0
60725 syslog-ng-mod-examples             	       0       23        4       19        0
60726 syslog-ng-mod-extra                	       0        6        0        0        6
60727 syslog-ng-mod-geoip                	       0        2        1        1        0
60728 syslog-ng-mod-geoip2               	       0       23        4       19        0
60729 syslog-ng-mod-getent               	       0        6        2        4        0
60730 syslog-ng-mod-graphite             	       0       26        5       21        0
60731 syslog-ng-mod-http                 	       0       22        4       18        0
60732 syslog-ng-mod-journal              	       0        3        1        2        0
60733 syslog-ng-mod-json                 	       0        2        1        1        0
60734 syslog-ng-mod-map-value-pairs      	       0        6        2        4        0
60735 syslog-ng-mod-mongodb              	       0       31        5       26        0
60736 syslog-ng-mod-pacctformat          	       0        1        0        1        0
60737 syslog-ng-mod-python               	       0       26        5       21        0
60738 syslog-ng-mod-rdkafka              	       0       22        4       18        0
60739 syslog-ng-mod-redis                	       0       24        4       20        0
60740 syslog-ng-mod-riemann              	       0       26        5       21        0
60741 syslog-ng-mod-slog                 	       0       22        4       18        0
60742 syslog-ng-mod-smtp                 	       0       24        4       20        0
60743 syslog-ng-mod-snmp                 	       0       22        4       18        0
60744 syslog-ng-mod-snmptrapd-parser     	       0        1        0        1        0
60745 syslog-ng-mod-sql                  	       0       31        5       26        0
60746 syslog-ng-mod-stardate             	       0       22        4       18        0
60747 syslog-ng-mod-stomp                	       0       24        4       20        0
60748 syslog-ng-mod-tag-parser           	       0        1        0        1        0
60749 syslog-ng-mod-xml-parser           	       0       22        4       18        0
60750 syslog-ng-scl                      	       0       23        0        0       23
60751 syslog-summary                     	       0        8        1        7        0
60752 syslogout                          	       0        2        0        0        2
60753 sysnews                            	       0        1        0        1        0
60754 sysprof                            	       0        9        0        9        0
60755 sysprofile                         	       0        3        0        0        3
60756 sysrqd                             	       0        2        0        2        0
60757 system-config-lvm                  	       0        2        0        2        0
60758 system76-acpi-dkms                 	       0        1        0        1        0
60759 system76-dkms                      	       0        1        0        1        0
60760 system76-io-dkms                   	       0        1        0        0        1
60761 system76-wallpapers                	       0        1        0        0        1
60762 systemback                         	       0        3        0        3        0
60763 systemback-cli                     	       0        4        0        4        0
60764 systemback-cli-dbg                 	       0        1        0        1        0
60765 systemback-efiboot-amd64           	       0        4        0        0        4
60766 systemback-locales                 	       0        4        0        2        2
60767 systemback-scheduler               	       0        4        0        4        0
60768 systemback-scheduler-dbg           	       0        1        0        1        0
60769 systemd                            	       0        2        0        1        1
60770 systemd-bootchart                  	       0        2        0        2        0
60771 systemd-cryptsetup                 	       0        1        0        1        0
60772 systemd-dummy                      	       0        1        0        1        0
60773 systemd-oomd                       	       0        1        0        1        0
60774 systemd-shim                       	       0        5        0        5        0
60775 systemd-sysv                       	       0        1        0        1        0
60776 systemd-timesyncd                  	       0        1        0        1        0
60777 systempreferences.app              	       0        9        0        9        0
60778 systempreferences.app-dbg          	       0        1        0        1        0
60779 systemtap                          	       0       12        0       12        0
60780 systemtap-client                   	       0        1        0        1        0
60781 systemtap-common                   	       0       12        0       12        0
60782 systemtap-doc                      	       0        3        0        3        0
60783 systemtap-runtime                  	       0       12        0       12        0
60784 systemtap-sdt-dev                  	       0       18        0       18        0
60785 systemtap-server                   	       0        1        0        1        0
60786 systray-mdstat                     	       0        2        2        0        0
60787 systray-x-minimal                  	       0        1        1        0        0
60788 systune                            	       0        7        0        7        0
60789 sysutils                           	       0        4        0        0        4
60790 sysvbanner                         	       0       25        0       25        0
60791 sysvinit-build-deps                	       0        1        0        0        1
60792 sysvinit-core-dbgsym               	       0        3        0        3        0
60793 sysvinit-utils-dbgsym              	       0        3        0        3        0
60794 szip                               	       0        1        0        1        0
60795 t-coffee                           	       0        4        0        4        0
60796 t-prot                             	       0        4        0        4        0
60797 t1-cyrillic                        	       0      120        1        1      118
60798 t1-oldslavic                       	       0        7        0        0        7
60799 t1-teams                           	       0      112        0        2      110
60800 t1-thai-arundina                   	       0        2        0        0        2
60801 t1-thai-tlwg                       	       0        2        0        1        1
60802 t1-xfree86-nonfree                 	       0       14        0        4       10
60803 t1lib-bin                          	       0        2        0        2        0
60804 t2html                             	       0        1        0        1        0
60805 t38modem                           	       0        2        0        2        0
60806 t3highlight                        	       0        2        0        2        0
60807 t50                                	       0        1        0        1        0
60808 tabby-terminal                     	       0        2        0        2        0
60809 tabix                              	       0        6        0        6        0
60810 tableau-parm                       	       0       23        0       23        0
60811 tablet-encode                      	       0        1        0        1        0
60812 tachidesk-server                   	       0        1        0        1        0
60813 tachidesk-sorayomi                 	       0        2        0        2        0
60814 tachidesk-vaaui                    	       0        1        0        1        0
60815 tachyon                            	       0       10        0        0       10
60816 tachyon-bin-nox                    	       0       11        0       11        0
60817 tads3                              	       0        1        0        1        0
60818 tagainijisho                       	       0        5        0        5        0
60819 tagainijisho-common                	       0        7        0        0        7
60820 tagainijisho-dic-en                	       0        7        0        0        7
60821 tagainijisho-dic-es                	       0        1        0        0        1
60822 tagainijisho-dic-fr                	       0        1        0        0        1
60823 tagainijisho-dic-pt                	       0        1        0        0        1
60824 tagainijisho-dic-ru                	       0        2        0        0        2
60825 tagcoll                            	       0        4        1        3        0
60826 taggrepper                         	       0        1        0        1        0
60827 tagtool                            	       0        3        0        3        0
60828 tagua                              	       0        3        0        3        0
60829 tagua-data                         	       0        3        0        0        3
60830 tai64n                             	       0        1        1        0        0
60831 tailscale-archive-keyring          	       0       12        0        0       12
60832 tal-plugins-lv2                    	       0        1        0        1        0
60833 talksoup.app                       	       0        1        0        1        0
60834 tandem-mass                        	       0        1        0        1        0
60835 tangerine-icon-theme               	       0       10        0        0       10
60836 tanglet                            	       0        7        0        7        0
60837 tanglet-data                       	       0        7        0        0        7
60838 tango-common                       	       0        2        0        0        2
60839 tango-icon-theme                   	       0     1805        0        0     1805
60840 taopm                              	       0        4        0        4        0
60841 tap-lv2                            	       0        2        0        2        0
60842 tap-plugins-doc                    	       0        4        0        0        4
60843 tapecalc                           	       0        1        0        1        0
60844 tapps2                             	       0        2        0        2        0
60845 tappy                              	       0        1        0        1        0
60846 tar-doc                            	       0       18        0        0       18
60847 tar-scripts                        	       0        7        0        7        0
60848 tar-split                          	       0        3        0        3        0
60849 tar2deb                            	       0        1        0        1        0
60850 tardiff                            	       0        5        0        5        0
60851 tardy                              	       0        3        0        3        0
60852 targetcli-fb                       	       0        1        0        1        0
60853 tarlz                              	       0        8        0        8        0
60854 tarsnap                            	       0        2        0        2        0
60855 tarsnap-archive-keyring            	       0        2        0        0        2
60856 tartarus                           	       0        1        0        1        0
60857 task-albanian-desktop              	       0        2        0        0        2
60858 task-amharic                       	       0        2        0        0        2
60859 task-amharic-desktop               	       0        1        0        0        1
60860 task-arabic                        	       0        3        0        0        3
60861 task-arabic-desktop                	       0        1        0        0        1
60862 task-asturian                      	       0        2        0        0        2
60863 task-asturian-desktop              	       0        1        0        0        1
60864 task-basque                        	       0        3        0        0        3
60865 task-basque-desktop                	       0        2        0        0        2
60866 task-basque-kde-desktop            	       0        1        0        0        1
60867 task-belarusian                    	       0        4        0        0        4
60868 task-belarusian-desktop            	       0        3        0        0        3
60869 task-bengali                       	       0        2        0        0        2
60870 task-bengali-desktop               	       0        1        0        0        1
60871 task-bosnian                       	       0        2        0        0        2
60872 task-bosnian-desktop               	       0        1        0        0        1
60873 task-brazilian-portuguese          	       0       51        0        0       51
60874 task-brazilian-portuguese-desktop  	       0       35        0        0       35
60875 task-brazilian-portuguese-kde-desktop	       0        6        0        0        6
60876 task-british-desktop               	       0      195        0        0      195
60877 task-british-kde-desktop           	       0       28        0        0       28
60878 task-bulgarian                     	       0        2        0        0        2
60879 task-bulgarian-desktop             	       0        1        0        0        1
60880 task-catalan                       	       0        6        0        0        6
60881 task-catalan-desktop               	       0        4        0        0        4
60882 task-catalan-kde-desktop           	       0        1        0        0        1
60883 task-chinese-s                     	       0       11        0        0       11
60884 task-chinese-s-desktop             	       0        6        0        0        6
60885 task-chinese-s-kde-desktop         	       0        1        0        0        1
60886 task-chinese-t                     	       0        4        0        0        4
60887 task-chinese-t-desktop             	       0        1        0        0        1
60888 task-chinese-t-kde-desktop         	       0        1        0        0        1
60889 task-cinnamon-desktop              	       0      227        0        0      227
60890 task-console-productivity          	       0     1003        0        0     1003
60891 task-croatian                      	       0        3        0        0        3
60892 task-croatian-desktop              	       0        2        0        0        2
60893 task-cyrillic                      	       0      158        0        0      158
60894 task-cyrillic-desktop              	       0      104        0        0      104
60895 task-cyrillic-kde-desktop          	       0        9        0        0        9
60896 task-czech                         	       0       39        0        0       39
60897 task-czech-desktop                 	       0       22        0        0       22
60898 task-czech-kde-desktop             	       0        2        0        0        2
60899 task-danish                        	       0        4        0        0        4
60900 task-danish-desktop                	       0        3        0        0        3
60901 task-desktop                       	       0     2605        0        0     2605
60902 task-dns-server                    	       0        4        0        0        4
60903 task-dutch                         	       0       18        0        0       18
60904 task-dutch-desktop                 	       0        9        0        0        9
60905 task-dutch-kde-desktop             	       0        2        0        0        2
60906 task-dzongkha-desktop              	       0        1        0        0        1
60907 task-english                       	       0     2182        0        0     2182
60908 task-esperanto                     	       0        5        0        0        5
60909 task-esperanto-desktop             	       0        4        0        0        4
60910 task-esperanto-kde-desktop         	       0        1        0        0        1
60911 task-estonian                      	       0        4        0        0        4
60912 task-estonian-desktop              	       0        3        0        0        3
60913 task-file-server                   	       0        4        0        0        4
60914 task-finnish                       	       0        8        0        0        8
60915 task-finnish-desktop               	       0        6        0        0        6
60916 task-finnish-kde-desktop           	       0        1        0        0        1
60917 task-french                        	       0      107        0        0      107
60918 task-french-desktop                	       0       76        0        0       76
60919 task-french-kde-desktop            	       0       16        0        0       16
60920 task-galician                      	       0        5        0        0        5
60921 task-galician-desktop              	       0        4        0        0        4
60922 task-georgian-desktop              	       0        1        0        0        1
60923 task-german                        	       0      348        0        0      348
60924 task-german-desktop                	       0      243        0        0      243
60925 task-german-kde-desktop            	       0       39        0        0       39
60926 task-gnome-desktop                 	       0       72        0        0       72
60927 task-gnome-flashback-desktop       	       0       40        0        0       40
60928 task-greek                         	       0       22        0        0       22
60929 task-greek-desktop                 	       0       18        0        0       18
60930 task-greek-kde-desktop             	       0        2        0        0        2
60931 task-gujarati                      	       0        2        0        0        2
60932 task-gujarati-desktop              	       0        1        0        0        1
60933 task-hebrew                        	       0        2        0        0        2
60934 task-hebrew-desktop                	       0        2        0        0        2
60935 task-hindi                         	       0        2        0        0        2
60936 task-hindi-desktop                 	       0        1        0        0        1
60937 task-hungarian                     	       0       19        0        0       19
60938 task-hungarian-desktop             	       0       13        0        0       13
60939 task-hungarian-kde-desktop         	       0        2        0        0        2
60940 task-icelandic                     	       0        2        0        0        2
60941 task-icelandic-desktop             	       0        1        0        0        1
60942 task-indonesian-desktop            	       0        1        0        0        1
60943 task-irish                         	       0        2        0        0        2
60944 task-irish-desktop                 	       0        1        0        0        1
60945 task-italian                       	       0      116        0        0      116
60946 task-italian-desktop               	       0       77        0        0       77
60947 task-italian-kde-desktop           	       0       18        0        0       18
60948 task-japanese                      	       0       36        0        0       36
60949 task-japanese-desktop              	       0       31        0        0       31
60950 task-japanese-kde-desktop          	       0        8        0        0        8
60951 task-kannada-desktop               	       0        1        0        0        1
60952 task-kazakh                        	       0        2        0        0        2
60953 task-kazakh-desktop                	       0        1        0        0        1
60954 task-kde-desktop                   	       0      391        0        0      391
60955 task-khmer                         	       0        2        0        0        2
60956 task-khmer-desktop                 	       0        1        0        0        1
60957 task-korean                        	       0        7        0        0        7
60958 task-korean-desktop                	       0        6        0        0        6
60959 task-korean-gnome-desktop          	       0        1        0        0        1
60960 task-kurdish                       	       0        2        0        0        2
60961 task-kurdish-desktop               	       0        1        0        0        1
60962 task-laptop                        	       0     1496        0        0     1496
60963 task-latvian                       	       0        2        0        0        2
60964 task-latvian-desktop               	       0        1        0        0        1
60965 task-lithuanian                    	       0        2        0        0        2
60966 task-lithuanian-desktop            	       0        1        0        0        1
60967 task-lxde-desktop                  	       0      107        0        0      107
60968 task-lxqt-desktop                  	       0      177        0        0      177
60969 task-macedonian                    	       0        2        0        0        2
60970 task-macedonian-desktop            	       0        1        0        0        1
60971 task-mail-server                   	       0        1        0        0        1
60972 task-malayalam                     	       0        2        0        0        2
60973 task-malayalam-desktop             	       0        1        0        0        1
60974 task-marathi                       	       0        2        0        0        2
60975 task-marathi-desktop               	       0        1        0        0        1
60976 task-mate-desktop                  	       0      418        0        0      418
60977 task-nepali-desktop                	       0        1        0        0        1
60978 task-northern-sami                 	       0        2        0        0        2
60979 task-northern-sami-desktop         	       0        1        0        0        1
60980 task-norwegian                     	       0       43        0        0       43
60981 task-norwegian-desktop             	       0       38        0        0       38
60982 task-norwegian-kde-desktop         	       0       11        0        0       11
60983 task-persian                       	       0        2        0        0        2
60984 task-persian-desktop               	       0        1        0        0        1
60985 task-polish                        	       0       66        0        0       66
60986 task-polish-desktop                	       0       43        0        0       43
60987 task-polish-kde-desktop            	       0        6        0        0        6
60988 task-portuguese                    	       0        2        0        0        2
60989 task-portuguese-desktop            	       0        1        0        0        1
60990 task-print-server                  	       0       63        0        0       63
60991 task-print-service                 	       0       75        0        0       75
60992 task-punjabi                       	       0        2        0        0        2
60993 task-punjabi-desktop               	       0        1        0        0        1
60994 task-romanian                      	       0        5        0        0        5
60995 task-romanian-desktop              	       0        4        0        0        4
60996 task-romanian-kde-desktop          	       0        1        0        0        1
60997 task-russian                       	       0      156        0        0      156
60998 task-russian-desktop               	       0      103        0        0      103
60999 task-russian-kde-desktop           	       0       10        0        0       10
61000 task-serbian                       	       0        2        0        0        2
61001 task-serbian-desktop               	       0        1        0        0        1
61002 task-sinhala-desktop               	       0        1        0        0        1
61003 task-slovak                        	       0        8        0        0        8
61004 task-slovak-desktop                	       0        3        0        0        3
61005 task-slovenian                     	       0        2        0        0        2
61006 task-slovenian-desktop             	       0        1        0        0        1
61007 task-south-african-english-desktop 	       0       15        0        0       15
61008 task-spanish                       	       0      269        0        0      269
61009 task-spanish-desktop               	       0      192        0        0      192
61010 task-spanish-kde-desktop           	       0       36        0        0       36
61011 task-speech-accessibility          	       0        1        0        0        1
61012 task-spooler                       	       0        4        1        3        0
61013 task-ssh-server                    	       0     2144        0        0     2144
61014 task-swedish                       	       0       13        0        0       13
61015 task-swedish-desktop               	       0        7        0        0        7
61016 task-tagalog                       	       0        2        0        0        2
61017 task-tamil                         	       0        2        0        0        2
61018 task-tamil-desktop                 	       0        1        0        0        1
61019 task-telugu                        	       0        2        0        0        2
61020 task-telugu-desktop                	       0        1        0        0        1
61021 task-thai                          	       0        2        0        0        2
61022 task-thai-desktop                  	       0        1        0        0        1
61023 task-turkish                       	       0       10        0        0       10
61024 task-turkish-desktop               	       0        7        0        0        7
61025 task-turkish-kde-desktop           	       0        1        0        0        1
61026 task-ukrainian                     	       0       16        0        0       16
61027 task-ukrainian-desktop             	       0       13        0        0       13
61028 task-uyghur-desktop                	       0        1        0        0        1
61029 task-vietnamese-desktop            	       0        1        0        0        1
61030 task-web-server                    	       0      315        0        0      315
61031 task-welsh                         	       0        2        0        0        2
61032 task-welsh-desktop                 	       0        1        0        0        1
61033 task-xfce-desktop                  	       0     1515        0        0     1515
61034 task-xhosa-desktop                 	       0        1        0        0        1
61035 tasks                              	       0        1        0        1        0
61036 tasque                             	       0        1        0        1        0
61037 tatan                              	       0        2        0        2        0
61038 tataranovich-keyring               	       0        1        0        0        1
61039 tatctae                            	       0        1        0        0        1
61040 tau                                	       0        1        0        1        0
61041 tau-examples                       	       0        1        0        1        0
61042 tau-racy                           	       0        1        0        1        0
61043 taupython                          	       0        1        0        1        0
61044 tauthon                            	       0        1        1        0        0
61045 taxbird                            	       0        1        0        1        0
61046 tayga                              	       0        3        0        3        0
61047 tcc                                	       0       40        1       39        0
61048 tcl-awthemes                       	       0       17        0        0       17
61049 tcl-combat                         	       0        2        0        2        0
61050 tcl-doc                            	       0       24        0        0       24
61051 tcl-expect                         	       0      185        2        1      182
61052 tcl-fitstcl                        	       0       10        0        0       10
61053 tcl-funtools                       	       0        3        0        3        0
61054 tcl-hamlib                         	       0        2        0        0        2
61055 tcl-itcl4                          	       0        8        0        0        8
61056 tcl-itcl4-doc                      	       0        2        0        0        2
61057 tcl-memchan                        	       0        4        0        0        4
61058 tcl-signal                         	       0       23        0        0       23
61059 tcl-snack                          	       0       23        0       23        0
61060 tcl-snack-dev                      	       0        1        0        1        0
61061 tcl-snack-doc                      	       0        2        0        0        2
61062 tcl-sugar                          	       0        3        0        0        3
61063 tcl-tclex                          	       0        7        0        7        0
61064 tcl-tk                             	       0        1        0        0        1
61065 tcl-tls                            	       0       51        0        1       50
61066 tcl-trf                            	       0        7        0        0        7
61067 tcl-trf-doc                        	       0        2        0        0        2
61068 tcl-ttkthemes                      	       0       18        0        0       18
61069 tcl-udp                            	       0        6        0        0        6
61070 tcl-vfs                            	       0       11        0        1       10
61071 tcl-vtk6                           	       0        1        0        1        0
61072 tcl-xmlrpc                         	       0        2        0        0        2
61073 tcl-xpa                            	       0       14        0        0       14
61074 tcl3270                            	       0        2        0        2        0
61075 tcl8.4                             	       0        2        0        2        0
61076 tcl8.4-doc                         	       0        2        0        0        2
61077 tcl8.5                             	       0       41        1       40        0
61078 tcl8.5-dev                         	       0        9        1        8        0
61079 tcl8.5-doc                         	       0        1        0        0        1
61080 tcl8.6-doc                         	       0       24        0        0       24
61081 tcl8.6-tdbc                        	       0        5        0        5        0
61082 tcl8.6-tdbc-mysql                  	       0        1        0        1        0
61083 tcl8.6-tdbc-odbc                   	       0        4        0        4        0
61084 tcl8.6-tdbc-postgres               	       0        2        0        2        0
61085 tcl8.6-tdbc-sqlite3                	       0        1        0        0        1
61086 tcl9.0                             	       0        3        0        3        0
61087 tcl9.0-dev                         	       0        1        0        1        0
61088 tcl9.0-doc                         	       0        2        0        0        2
61089 tclcl-dev                          	       0        1        0        0        1
61090 tclcurl                            	       0        9        0        9        0
61091 tclfitsy                           	       0       11        0        0       11
61092 tclgeoip                           	       0        2        0        2        0
61093 tclicq                             	       0        1        0        1        0
61094 tcliis                             	       0        6        0        0        6
61095 tcllib-critcl                      	       0        8        0        0        8
61096 tclodbc                            	       0        3        0        3        0
61097 tclparser                          	       0        1        0        1        0
61098 tclreadline                        	       0        3        1        2        0
61099 tclspice                           	       0       10        0        0       10
61100 tclx8.4-doc                        	       0        5        0        0        5
61101 tclxapian                          	       0        1        0        1        0
61102 tclxml                             	       0       14        0        1       13
61103 tclxml-dev                         	       0        1        0        1        0
61104 tcm                                	       0        2        0        2        0
61105 tcm-doc                            	       0        2        0        0        2
61106 tcpflow-nox                        	       0        2        0        2        0
61107 tcpick                             	       0       28        0       28        0
61108 tcpreen                            	       0        2        0        2        0
61109 tcpser                             	       0        1        0        1        0
61110 tcpslice                           	       0        2        0        2        0
61111 tcpspy                             	       0        5        0        5        0
61112 tcpstat                            	       0       11        0       11        0
61113 tcptrack                           	       0       25        0       25        0
61114 tcputils                           	       0        7        0        7        0
61115 tcs                                	       0        2        0        2        0
61116 tcsh                               	       0      113        7      106        0
61117 tcvt                               	       0        1        0        1        0
61118 tdappdesktop                       	       0        1        0        0        1
61119 tdc                                	       0        6        0        6        0
61120 tde-core-trinity                   	       0       25        0        0       25
61121 tde-devel-trinity                  	       0        1        0        0        1
61122 tde-guidance-trinity               	       0        2        0        2        0
61123 tde-i18n-be-trinity                	       0        1        0        1        0
61124 tde-i18n-cs-trinity                	       0        2        0        1        1
61125 tde-i18n-de-trinity                	       0        7        0        6        1
61126 tde-i18n-engb-trinity              	       0        1        0        0        1
61127 tde-i18n-es-trinity                	       0        1        0        0        1
61128 tde-i18n-fr-trinity                	       0        1        0        0        1
61129 tde-i18n-hu-trinity                	       0        1        0        0        1
61130 tde-i18n-it-trinity                	       0        1        0        0        1
61131 tde-i18n-pl-trinity                	       0        2        0        1        1
61132 tde-i18n-ru-trinity                	       0        3        0        0        3
61133 tde-i18n-sk-trinity                	       0        1        0        0        1
61134 tde-icons-mono-trinity             	       0       26        0        0       26
61135 tde-style-baghira-trinity          	       0        2        0        2        0
61136 tde-style-domino-trinity           	       0        3        0        3        0
61137 tde-style-ia-ora-trinity           	       0        2        0        2        0
61138 tde-style-lipstik-trinity          	       0        2        0        2        0
61139 tde-style-polyester-trinity        	       0        1        0        1        0
61140 tde-style-q4oststyle02-trinity     	       0        2        0        2        0
61141 tde-style-qtcurve-trinity          	       0        6        1        5        0
61142 tde-systemsettings-trinity         	       0        3        0        3        0
61143 tde-trinity                        	       0       20        0        0       20
61144 tdeaccessibility-trinity           	       0       23        0        0       23
61145 tdeaccessibility-trinity-doc-html  	       0        2        0        0        2
61146 tdeaddons-trinity                  	       0       22        0        0       22
61147 tdeaddons-trinity-doc-html         	       0        2        0        0        2
61148 tdeadmin-trinity                   	       0       25        0        0       25
61149 tdeadmin-trinity-doc-html          	       0        2        0        0        2
61150 tdeartwork-emoticons-trinity       	       0       26        0        0       26
61151 tdeartwork-misc-trinity            	       0       27        0        0       27
61152 tdeartwork-theme-icon-trinity      	       0       28        0        0       28
61153 tdeartwork-trinity                 	       0       25        0        0       25
61154 tdeartwork-trinity-dbg             	       0        1        0        1        0
61155 tdebase-data-trinity               	       0       36        0        0       36
61156 tdebase-runtime-data-common-trinity	       0       35        0        0       35
61157 tdebase-trinity                    	       0       32        0        0       32
61158 tdebase-trinity-dbg                	       0        1        0        1        0
61159 tdebase-trinity-dev                	       0        1        0        1        0
61160 tdebase-trinity-doc-html           	       0        2        0        0        2
61161 tdebluez-trinity                   	       0        2        0        2        0
61162 tdecachegrind-converters-trinity   	       0        1        0        1        0
61163 tdecachegrind-trinity              	       0        2        0        2        0
61164 tdeedu-data-trinity                	       0       24        0        0       24
61165 tdeedu-trinity                     	       0       20        0        0       20
61166 tdeedu-trinity-doc-html            	       0        2        0        0        2
61167 tdefifteen-trinity                 	       0       22        0        0       22
61168 tdegames-card-data-trinity         	       0       24        0        0       24
61169 tdegames-trinity                   	       0       24        0        0       24
61170 tdegames-trinity-doc-html          	       0        1        0        0        1
61171 tdegraphics-trinity                	       0       23        0        0       23
61172 tdegraphics-trinity-doc-html       	       0        2        0        0        2
61173 tdeio-appinfo-trinity              	       0        1        0        1        0
61174 tdeio-apt-trinity                  	       0        2        0        2        0
61175 tdeio-ftps-trinity                 	       0        2        0        2        0
61176 tdeio-gopher-trinity               	       0        2        0        2        0
61177 tdeio-locate-trinity               	       0        2        0        2        0
61178 tdeio-umountwrapper-trinity        	       0        2        0        2        0
61179 tdelibs-trinity                    	       0       30        0        0       30
61180 tdelibs-trinity-dbg                	       0        2        0        2        0
61181 tdelibs14-imagetops-trinity        	       0        1        0        1        0
61182 tdelibs14-trinity-dev              	       0        1        0        1        0
61183 tdelibs14-trinity-doc              	       0        2        0        0        2
61184 tdemultimedia-kappfinder-data-trinity	       0       25        0        0       25
61185 tdemultimedia-trinity              	       0       23        0        0       23
61186 tdemultimedia-trinity-doc-html     	       0        2        0        0        2
61187 tdenetwork-trinity                 	       0       25        0        0       25
61188 tdenetwork-trinity-dbg             	       0        1        0        1        0
61189 tdenetwork-trinity-doc-html        	       0        2        0        0        2
61190 tdepacman-trinity                  	       0        1        0        1        0
61191 tdepim-trinity                     	       0       24        0        0       24
61192 tdepim-trinity-doc-html            	       0        1        0        0        1
61193 tdescreensaver-xsavers-extra-trinity	       0        2        0        0        2
61194 tdescreensaver-xsavers-webcollage-trinity	       0        1        0        0        1
61195 tdesdk-misc-trinity                	       0        1        0        1        0
61196 tdesdk-scripts-trinity             	       0        1        0        1        0
61197 tdesdk-tdefile-plugins-trinity     	       0        2        0        2        0
61198 tdesdk-tdeio-plugins-trinity       	       0        1        0        1        0
61199 tdesdk-trinity                     	       0        1        0        0        1
61200 tdesdk-trinity-doc-html            	       0        2        0        0        2
61201 tdesshaskpass-trinity              	       0        3        0        3        0
61202 tdesshaskpass-trinity-dbgsym       	       0        1        0        1        0
61203 tdesudo-trinity                    	       0        5        0        5        0
61204 tdesvn-tdeio-plugins-trinity       	       0        1        0        1        0
61205 tdesvn-trinity                     	       0        1        0        1        0
61206 tdetoys-trinity                    	       0       24        0        0       24
61207 tdetoys-trinity-doc-html           	       0        1        0        0        1
61208 tdeunittest-trinity                	       0        1        0        1        0
61209 tdeutils-trinity                   	       0       23        0        0       23
61210 tdeutils-trinity-doc-html          	       0        1        0        0        1
61211 tdevelop-data-trinity              	       0        3        0        3        0
61212 tdevelop-trinity                   	       0        3        0        3        0
61213 tdevelop-trinity-doc               	       0        3        0        3        0
61214 tdewallpapers-trinity              	       0       28        0        0       28
61215 tdewebdev-trinity                  	       0       25        0        0       25
61216 tdewebdev-trinity-doc-html         	       0        1        0        0        1
61217 tdfsb                              	       0        8        0        8        0
61218 tdmtheme-trinity                   	       0        4        0        4        0
61219 tdom                               	       0       30        0        1       29
61220 tdsodbc                            	       0       17        1        0       16
61221 tea                                	       0       13        0       13        0
61222 tea-data                           	       0       13        0        0       13
61223 tealdeer                           	       0        7        0        7        0
61224 teams                              	       0       58        4       54        0
61225 teams-for-linux                    	       0       16        2        3       11
61226 teams-insiders                     	       0        2        0        2        0
61227 teamviewer                         	       0       60        8       52        0
61228 teamviewer-host                    	       0        2        0        2        0
61229 tecnoballz                         	       0        8        0        8        0
61230 tecnoballz-data                    	       0        8        0        0        8
61231 ted                                	       0        1        0        1        0
61232 ted-common                         	       0        1        0        0        1
61233 tedia2sql                          	       0        1        0        1        0
61234 teem-apps                          	       0        3        1        2        0
61235 teensy-loader-cli                  	       0        6        0        6        0
61236 teeworlds                          	       0       11        0       11        0
61237 teeworlds-data                     	       0       13        0        0       13
61238 teeworlds-server                   	       0        3        0        3        0
61239 teg                                	       0        4        0        4        0
61240 tegaki-recognize                   	       0        1        0        1        0
61241 tegaki-zinnia-japanese             	       0       16        0        0       16
61242 teighafileconverter                	       0        1        0        1        0
61243 teilfeil                           	       0        1        0        1        0
61244 teilfeil-cli                       	       0        1        0        1        0
61245 teilfeil-common                    	       0        1        0        1        0
61246 teilfeil-libsodium                 	       0        1        0        1        0
61247 telegnome                          	       0        1        0        1        0
61248 telegraf                           	       0        9        1        8        0
61249 telegram                           	       0        1        0        0        1
61250 telegram-cli                       	       0        8        0        8        0
61251 telegram-purple                    	       0        3        0        3        0
61252 telegram-send                      	       0        2        0        2        0
61253 telepathy-accounts-signon          	       0       11        0        6        5
61254 telepathy-gabble                   	       0       12        0       12        0
61255 telepathy-haze                     	       0       20        0       20        0
61256 telepathy-idle                     	       0       22        0       22        0
61257 telepathy-logger                   	       0       27        0       27        0
61258 telepathy-rakia                    	       0        9        0        9        0
61259 teleport                           	       0        1        0        1        0
61260 telepresence                       	       0        1        0        1        0
61261 telescope                          	       0        1        0        1        0
61262 tellico-data                       	       0       12        0        0       12
61263 tellico-data-trinity               	       0        2        0        0        2
61264 tellico-doc                        	       0       10        0        0       10
61265 tellico-scripts                    	       0        9        0        0        9
61266 tellico-scripts-trinity            	       0        2        0        0        2
61267 tellico-trinity                    	       0        2        0        2        0
61268 telnet-ssl                         	       0       27        2       25        0
61269 telnetd                            	       0       32        0       10       22
61270 telnetd-ssl                        	       0        7        1        6        0
61271 telxcc                             	       0        1        0        1        0
61272 tempest-for-eliza                  	       0        2        0        2        0
61273 temurin-11-jdk                     	       0        4        0        4        0
61274 temurin-11-jre                     	       0        2        0        2        0
61275 temurin-17-jdk                     	       0        9        0        9        0
61276 temurin-17-jre                     	       0        5        2        3        0
61277 temurin-19-jdk                     	       0        1        0        1        0
61278 temurin-20-jdk                     	       0        1        0        1        0
61279 temurin-21-jdk                     	       0        3        0        3        0
61280 temurin-21-jre                     	       0        2        0        2        0
61281 temurin-22-jdk                     	       0        3        0        3        0
61282 temurin-22-jre                     	       0        1        0        1        0
61283 temurin-23-jdk                     	       0        1        0        1        0
61284 temurin-8-jdk                      	       0        3        0        3        0
61285 temurin-8-jre                      	       0        2        0        2        0
61286 tenace                             	       0        9        0        9        0
61287 tenmado                            	       0        2        0        2        0
61288 tenshi                             	       0        1        0        1        0
61289 terabox                            	       0        1        0        1        0
61290 teragonaudio-plugins-lv2           	       0        1        0        1        0
61291 tercpp                             	       0        1        0        1        0
61292 termdebug                          	       0        1        0        1        0
61293 terminal.app                       	       0        7        0        7        0
61294 terminatorx                        	       0        9        2        7        0
61295 termineter                         	       0        1        0        1        0
61296 terminus                           	       0        9        0        9        0
61297 termius-app                        	       0        1        0        0        1
61298 termrec                            	       0        5        0        5        0
61299 termsaver                          	       0       67        1       66        0
61300 termscp                            	       0        2        0        2        0
61301 termshark                          	       0        5        0        5        0
61302 terraform                          	       0        6        0        6        0
61303 terraform-ls                       	       0        3        0        3        0
61304 terraform-stacks-cli               	       0        1        0        1        0
61305 terraintool                        	       0        1        0        1        0
61306 teseq                              	       0        4        0        4        0
61307 tesseract-build-deps               	       0        1        0        0        1
61308 tesseract-lang-build-deps          	       0        1        0        0        1
61309 tesseract-ocr-afr                  	       0        8        0        0        8
61310 tesseract-ocr-all                  	       0        8        0        0        8
61311 tesseract-ocr-amh                  	       0        8        0        0        8
61312 tesseract-ocr-ara                  	       0        9        0        0        9
61313 tesseract-ocr-asm                  	       0        8        0        0        8
61314 tesseract-ocr-aze                  	       0        9        0        0        9
61315 tesseract-ocr-aze-cyrl             	       0        9        0        0        9
61316 tesseract-ocr-bel                  	       0        8        0        0        8
61317 tesseract-ocr-ben                  	       0        8        0        0        8
61318 tesseract-ocr-bod                  	       0        8        0        0        8
61319 tesseract-ocr-bos                  	       0        8        0        0        8
61320 tesseract-ocr-bre                  	       0        7        0        0        7
61321 tesseract-ocr-bul                  	       0        8        0        0        8
61322 tesseract-ocr-cat                  	       0        8        0        0        8
61323 tesseract-ocr-ceb                  	       0        8        0        0        8
61324 tesseract-ocr-ces                  	       0       13        0        0       13
61325 tesseract-ocr-chi-sim              	       0       10        0        0       10
61326 tesseract-ocr-chi-sim-vert         	       0        9        0        0        9
61327 tesseract-ocr-chi-tra              	       0       10        0        0       10
61328 tesseract-ocr-chi-tra-vert         	       0        9        0        0        9
61329 tesseract-ocr-chr                  	       0        8        0        0        8
61330 tesseract-ocr-cos                  	       0        7        0        0        7
61331 tesseract-ocr-cym                  	       0        8        0        0        8
61332 tesseract-ocr-dan                  	       0        9        0        0        9
61333 tesseract-ocr-dan-frak             	       0        1        0        0        1
61334 tesseract-ocr-data                 	       0        1        0        0        1
61335 tesseract-ocr-deu                  	       0       59        0        0       59
61336 tesseract-ocr-deu-f                	       0        1        0        0        1
61337 tesseract-ocr-deu-frak             	       0        2        0        0        2
61338 tesseract-ocr-div                  	       0        7        0        0        7
61339 tesseract-ocr-dzo                  	       0        8        0        0        8
61340 tesseract-ocr-ell                  	       0       11        0        0       11
61341 tesseract-ocr-eng                  	       0      254        0        0      254
61342 tesseract-ocr-enm                  	       0       10        0        0       10
61343 tesseract-ocr-epo                  	       0        8        0        0        8
61344 tesseract-ocr-equ                  	       0       12        0        0       12
61345 tesseract-ocr-est                  	       0        8        0        0        8
61346 tesseract-ocr-eus                  	       0        8        0        0        8
61347 tesseract-ocr-fao                  	       0        7        0        0        7
61348 tesseract-ocr-fas                  	       0        8        0        0        8
61349 tesseract-ocr-fil                  	       0        7        0        0        7
61350 tesseract-ocr-fin                  	       0        9        0        0        9
61351 tesseract-ocr-fra                  	       0       31        0        0       31
61352 tesseract-ocr-frk                  	       0       13        0        0       13
61353 tesseract-ocr-frm                  	       0        9        0        0        9
61354 tesseract-ocr-fry                  	       0        8        0        0        8
61355 tesseract-ocr-gla                  	       0        7        0        0        7
61356 tesseract-ocr-gle                  	       0        9        0        0        9
61357 tesseract-ocr-gle-uncial           	       0        1        0        0        1
61358 tesseract-ocr-glg                  	       0        9        0        0        9
61359 tesseract-ocr-grc                  	       0        9        0        0        9
61360 tesseract-ocr-guj                  	       0        8        0        0        8
61361 tesseract-ocr-hat                  	       0        8        0        0        8
61362 tesseract-ocr-heb                  	       0        9        0        0        9
61363 tesseract-ocr-hin                  	       0        9        0        0        9
61364 tesseract-ocr-hrv                  	       0        8        0        0        8
61365 tesseract-ocr-hun                  	       0        8        0        0        8
61366 tesseract-ocr-hye                  	       0        7        0        0        7
61367 tesseract-ocr-iku                  	       0        8        0        0        8
61368 tesseract-ocr-ind                  	       0        8        0        0        8
61369 tesseract-ocr-isl                  	       0        8        0        0        8
61370 tesseract-ocr-ita                  	       0       20        0        0       20
61371 tesseract-ocr-ita-old              	       0       11        0        0       11
61372 tesseract-ocr-jav                  	       0        8        0        0        8
61373 tesseract-ocr-jpn                  	       0       16        0        0       16
61374 tesseract-ocr-jpn-vert             	       0       10        0        0       10
61375 tesseract-ocr-kan                  	       0        8        0        0        8
61376 tesseract-ocr-kat                  	       0        8        0        0        8
61377 tesseract-ocr-kat-old              	       0        8        0        0        8
61378 tesseract-ocr-kaz                  	       0        8        0        0        8
61379 tesseract-ocr-khm                  	       0        8        0        0        8
61380 tesseract-ocr-kir                  	       0        8        0        0        8
61381 tesseract-ocr-kmr                  	       0        7        0        0        7
61382 tesseract-ocr-kor                  	       0        8        0        0        8
61383 tesseract-ocr-kor-vert             	       0        7        0        0        7
61384 tesseract-ocr-kur                  	       0        1        0        0        1
61385 tesseract-ocr-lao                  	       0        8        0        0        8
61386 tesseract-ocr-lat                  	       0       14        0        0       14
61387 tesseract-ocr-lav                  	       0        8        0        0        8
61388 tesseract-ocr-lit                  	       0        8        0        0        8
61389 tesseract-ocr-ltz                  	       0        7        0        0        7
61390 tesseract-ocr-mal                  	       0        8        0        0        8
61391 tesseract-ocr-mar                  	       0        8        0        0        8
61392 tesseract-ocr-mkd                  	       0        8        0        0        8
61393 tesseract-ocr-mlt                  	       0        8        0        0        8
61394 tesseract-ocr-mon                  	       0        7        0        0        7
61395 tesseract-ocr-mri                  	       0        7        0        0        7
61396 tesseract-ocr-msa                  	       0        8        0        0        8
61397 tesseract-ocr-mya                  	       0        8        0        0        8
61398 tesseract-ocr-nep                  	       0        8        0        0        8
61399 tesseract-ocr-nld                  	       0       16        0        0       16
61400 tesseract-ocr-nor                  	       0        8        0        0        8
61401 tesseract-ocr-oci                  	       0        7        0        0        7
61402 tesseract-ocr-ori                  	       0        8        0        0        8
61403 tesseract-ocr-osd                  	       0      253        0        0      253
61404 tesseract-ocr-pan                  	       0        8        0        0        8
61405 tesseract-ocr-pol                  	       0       16        0        0       16
61406 tesseract-ocr-por                  	       0        9        0        0        9
61407 tesseract-ocr-pus                  	       0        8        0        0        8
61408 tesseract-ocr-que                  	       0        7        0        0        7
61409 tesseract-ocr-ron                  	       0       11        0        0       11
61410 tesseract-ocr-rus                  	       0       21        0        0       21
61411 tesseract-ocr-san                  	       0        8        0        0        8
61412 tesseract-ocr-script-arab          	       0        7        0        0        7
61413 tesseract-ocr-script-armn          	       0        7        0        0        7
61414 tesseract-ocr-script-beng          	       0        7        0        0        7
61415 tesseract-ocr-script-cans          	       0        8        0        0        8
61416 tesseract-ocr-script-cher          	       0        8        0        0        8
61417 tesseract-ocr-script-cyrl          	       0       10        0        0       10
61418 tesseract-ocr-script-deva          	       0        7        0        0        7
61419 tesseract-ocr-script-ethi          	       0        7        0        0        7
61420 tesseract-ocr-script-frak          	       0        8        0        0        8
61421 tesseract-ocr-script-geor          	       0        7        0        0        7
61422 tesseract-ocr-script-grek          	       0        9        0        0        9
61423 tesseract-ocr-script-gujr          	       0        7        0        0        7
61424 tesseract-ocr-script-guru          	       0        7        0        0        7
61425 tesseract-ocr-script-hang          	       0        7        0        0        7
61426 tesseract-ocr-script-hang-vert     	       0        7        0        0        7
61427 tesseract-ocr-script-hans          	       0        8        0        0        8
61428 tesseract-ocr-script-hans-vert     	       0        8        0        0        8
61429 tesseract-ocr-script-hant          	       0        8        0        0        8
61430 tesseract-ocr-script-hant-vert     	       0        8        0        0        8
61431 tesseract-ocr-script-hebr          	       0        8        0        0        8
61432 tesseract-ocr-script-jpan          	       0       10        0        0       10
61433 tesseract-ocr-script-jpan-vert     	       0       10        0        0       10
61434 tesseract-ocr-script-khmr          	       0        7        0        0        7
61435 tesseract-ocr-script-knda          	       0        7        0        0        7
61436 tesseract-ocr-script-laoo          	       0        7        0        0        7
61437 tesseract-ocr-script-latn          	       0       16        0        0       16
61438 tesseract-ocr-script-mlym          	       0        7        0        0        7
61439 tesseract-ocr-script-mymr          	       0        7        0        0        7
61440 tesseract-ocr-script-orya          	       0        7        0        0        7
61441 tesseract-ocr-script-sinh          	       0        7        0        0        7
61442 tesseract-ocr-script-syrc          	       0        7        0        0        7
61443 tesseract-ocr-script-taml          	       0        7        0        0        7
61444 tesseract-ocr-script-telu          	       0        7        0        0        7
61445 tesseract-ocr-script-thaa          	       0        7        0        0        7
61446 tesseract-ocr-script-thai          	       0        7        0        0        7
61447 tesseract-ocr-script-tibt          	       0        7        0        0        7
61448 tesseract-ocr-script-viet          	       0        7        0        0        7
61449 tesseract-ocr-sin                  	       0        8        0        0        8
61450 tesseract-ocr-slk                  	       0       10        0        0       10
61451 tesseract-ocr-slk-frak             	       0        2        0        0        2
61452 tesseract-ocr-slv                  	       0        8        0        0        8
61453 tesseract-ocr-snd                  	       0        7        0        0        7
61454 tesseract-ocr-spa                  	       0       25        0        0       25
61455 tesseract-ocr-spa-old              	       0       14        0        0       14
61456 tesseract-ocr-sqi                  	       0        8        0        0        8
61457 tesseract-ocr-srp                  	       0        8        0        0        8
61458 tesseract-ocr-srp-latn             	       0        8        0        0        8
61459 tesseract-ocr-sun                  	       0        7        0        0        7
61460 tesseract-ocr-swa                  	       0        8        0        0        8
61461 tesseract-ocr-swe                  	       0       12        0        0       12
61462 tesseract-ocr-syr                  	       0        8        0        0        8
61463 tesseract-ocr-tam                  	       0        8        0        0        8
61464 tesseract-ocr-tat                  	       0        7        0        0        7
61465 tesseract-ocr-tel                  	       0        8        0        0        8
61466 tesseract-ocr-tgk                  	       0        8        0        0        8
61467 tesseract-ocr-tgl                  	       0        1        0        0        1
61468 tesseract-ocr-tha                  	       0        9        0        0        9
61469 tesseract-ocr-tir                  	       0        8        0        0        8
61470 tesseract-ocr-ton                  	       0        7        0        0        7
61471 tesseract-ocr-tur                  	       0        8        0        0        8
61472 tesseract-ocr-uig                  	       0        8        0        0        8
61473 tesseract-ocr-ukr                  	       0       11        0        0       11
61474 tesseract-ocr-urd                  	       0        8        0        0        8
61475 tesseract-ocr-uzb                  	       0        8        0        0        8
61476 tesseract-ocr-uzb-cyrl             	       0        8        0        0        8
61477 tesseract-ocr-vie                  	       0        9        0        0        9
61478 tesseract-ocr-yid                  	       0        9        0        0        9
61479 tesseract-ocr-yor                  	       0        7        0        0        7
61480 tesseract2djvused                  	       0        1        0        1        0
61481 testdisk-dbg                       	       0        2        0        2        0
61482 testng                             	       0       77        0        0       77
61483 testng-doc                         	       0        1        0        0        1
61484 testssl.sh                         	       0       26        0       26        0
61485 testu01-bin                        	       0        1        0        1        0
61486 testu01-data                       	       0        1        0        0        1
61487 tetex-base                         	       0        2        0        0        2
61488 tetex-bin                          	       0        1        0        0        1
61489 tetex-brev                         	       0        1        0        0        1
61490 tetex-doc-nonfree                  	       0        1        0        0        1
61491 tetgen                             	       0        2        0        2        0
61492 tetradraw                          	       0        4        0        4        0
61493 tetraproc                          	       0        1        0        1        0
61494 tetrinet-client                    	       0       17        0       17        0
61495 tetrinet-server                    	       0        1        0        1        0
61496 tetrinetx                          	       0        9        0        9        0
61497 tetzle                             	       0       11        0       11        0
61498 tex-gyre                           	       0      470        0        0      470
61499 tex-pscyr                          	       0        1        0        0        1
61500 tex4ht                             	       0        3        0        1        2
61501 tex4ht-common                      	       0        1        0        0        1
61502 texi2html                          	       0       35        1       34        0
61503 texify                             	       0        4        1        3        0
61504 texinfo-doc-nonfree                	       0        5        0        0        5
61505 texinfo-lib                        	       0       74        1       73        0
61506 texlive                            	       0      239        0        0      239
61507 texlive-doc-ar                     	       0        1        0        0        1
61508 texlive-doc-bg                     	       0        1        0        0        1
61509 texlive-doc-cs+sk                  	       0        1        0        0        1
61510 texlive-doc-de                     	       0        2        0        0        2
61511 texlive-doc-en                     	       0        2        0        0        2
61512 texlive-doc-es                     	       0        1        0        0        1
61513 texlive-doc-fi                     	       0        1        0        0        1
61514 texlive-doc-fr                     	       0        1        0        0        1
61515 texlive-doc-it                     	       0        1        0        0        1
61516 texlive-doc-ja                     	       0        1        0        0        1
61517 texlive-doc-ko                     	       0        1        0        0        1
61518 texlive-doc-mn                     	       0        1        0        0        1
61519 texlive-doc-nl                     	       0        1        0        0        1
61520 texlive-doc-pl                     	       0        1        0        0        1
61521 texlive-doc-pt                     	       0        1        0        0        1
61522 texlive-doc-rs                     	       0        1        0        0        1
61523 texlive-doc-ru                     	       0        1        0        0        1
61524 texlive-doc-si                     	       0        1        0        0        1
61525 texlive-doc-th                     	       0        1        0        0        1
61526 texlive-doc-tr                     	       0        1        0        0        1
61527 texlive-doc-uk                     	       0        1        0        0        1
61528 texlive-doc-vi                     	       0        1        0        0        1
61529 texlive-doc-zh                     	       0        2        0        0        2
61530 texlive-fonts-extra                	       0      189        0        1      188
61531 texlive-fonts-extra-doc            	       0      124        0        0      124
61532 texlive-fonts-extra-links          	       0      158        0        0      158
61533 texlive-fonts-recommended          	       0      500       12       57      431
61534 texlive-fonts-recommended-doc      	       0      184        0        0      184
61535 texlive-full                       	       0       79        0        0       79
61536 texlive-generic-extra              	       0       27        0        0       27
61537 texlive-generic-recommended        	       0       40        0        0       40
61538 texlive-htmlxml                    	       0       30        0        6       24
61539 texlive-humanities-doc             	       0       89        0        0       89
61540 texlive-lang-african               	       0        4        0        1        3
61541 texlive-lang-all                   	       0       14        0        0       14
61542 texlive-lang-arabic                	       0       90        0        1       89
61543 texlive-lang-armenian              	       0        2        0        0        2
61544 texlive-lang-chinese               	       0       98        0        1       97
61545 texlive-lang-croatian              	       0        3        0        0        3
61546 texlive-lang-danish                	       0        3        0        0        3
61547 texlive-lang-dutch                 	       0        3        0        0        3
61548 texlive-lang-english               	       0      142        0        0      142
61549 texlive-lang-european              	       0      113        0        1      112
61550 texlive-lang-finnish               	       0        3        0        0        3
61551 texlive-lang-german                	       0      144        0        0      144
61552 texlive-lang-hebrew                	       0        2        0        0        2
61553 texlive-lang-hungarian             	       0        3        0        0        3
61554 texlive-lang-indic                 	       0        3        0        3        0
61555 texlive-lang-italian               	       0       95        0        0       95
61556 texlive-lang-latin                 	       0        3        0        0        3
61557 texlive-lang-latvian               	       0        2        0        0        2
61558 texlive-lang-lithuanian            	       0        2        0        0        2
61559 texlive-lang-mongolian             	       0        3        0        0        3
61560 texlive-lang-norwegian             	       0        3        0        0        3
61561 texlive-lang-other                 	       0      100        0        8       92
61562 texlive-lang-portuguese            	       0       92        0        0       92
61563 texlive-lang-spanish               	       0      111        0        0      111
61564 texlive-lang-swedish               	       0        3        0        0        3
61565 texlive-lang-tibetan               	       0        2        0        0        2
61566 texlive-lang-vietnamese            	       0        3        0        0        3
61567 texlive-latex-base-doc             	       0      200        0        0      200
61568 texlive-latex-extra-doc            	       0      160        0        0      160
61569 texlive-latex-recommended-doc      	       0      180        0        0      180
61570 texlive-latex3                     	       0        1        0        0        1
61571 texlive-local                      	       0        2        0        0        2
61572 texlive-math-extra                 	       0        3        0        3        0
61573 texlive-metapost                   	       0      122        0        1      121
61574 texlive-omega                      	       0        6        0        3        3
61575 texlive-pictures-doc               	       0      170        0        0      170
61576 texlive-plain-extra                	       0        9        0        0        9
61577 texlive-pstricks-doc               	       0      170        0        0      170
61578 texlive-publishers                 	       0      120        0        0      120
61579 texlive-science-doc                	       0      126        0        0      126
61580 texmacs                            	       0        1        0        1        0
61581 texmacs-common                     	       0        2        0        0        2
61582 texmacs-extra-fonts                	       0        1        0        0        1
61583 texmaker-data                      	       0       42        0        0       42
61584 texpower                           	       0        2        0        0        2
61585 texpower-examples                  	       0        2        0        0        2
61586 texpower-manual                    	       0        3        0        0        3
61587 texstudio-doc                      	       0       66        0        0       66
61588 texstudio-l10n                     	       0       66        0        1       65
61589 textdraw                           	       0        6        0        6        0
61590 textedit.app                       	       0        9        0        9        0
61591 textql                             	       0        1        0        1        0
61592 texturepacker                      	       0        1        0        1        0
61593 texworks-help-en                   	       0       13        0        0       13
61594 texworks-help-fr                   	       0        1        0        0        1
61595 tf                                 	       0        7        0        7        0
61596 tf-tools                           	       0        2        0        2        0
61597 tf2-tools                          	       0        2        0        2        0
61598 tf5                                	       0        7        0        7        0
61599 tfortune                           	       0        5        0        5        0
61600 tfortunes                          	       0        5        0        0        5
61601 tftp                               	       0       46        1       15       30
61602 tftpd                              	       0       11        3        8        0
61603 tftpd-hpa                          	       0       56        9       47        0
61604 tgif                               	       0        7        0        7        0
61605 tgn                                	       0        1        0        1        0
61606 tgt                                	       0        2        0        2        0
61607 thailatex                          	       0        2        0        0        2
61608 thc-ipv6                           	       0        5        0        5        0
61609 the                                	       0        4        0        4        0
61610 the-doc                            	       0        2        0        0        2
61611 theano-doc                         	       0        1        0        0        1
61612 thefuck                            	       0        6        0        6        0
61613 theli                              	       0        1        0        1        0
61614 themedetector                      	       0        1        0        1        0
61615 themole                            	       0        1        0        1        0
61616 therion                            	       0        1        0        1        0
61617 therion-viewer                     	       0        1        0        1        0
61618 thermald                           	       0       31        2       29        0
61619 theseus                            	       0        1        0        1        0
61620 thin                               	       0        3        2        1        0
61621 thinkfan                           	       0       16        0       16        0
61622 threadscope                        	       0        1        1        0        0
61623 threeb-imagej                      	       0        1        0        1        0
61624 thrift-compiler                    	       0       10        0       10        0
61625 thrust                             	       0        2        0        2        0
61626 thunar-build-deps                  	       0        1        0        0        1
61627 thunar-dbgsym                      	       0        1        0        1        0
61628 thunar-dropbox-plugin              	       0        7        0        2        5
61629 thunar-font-manager                	       0       25        3       11       11
61630 thunar-gtkhash                     	       0       27        3       11       13
61631 thunar-megasync                    	       0        5        1        3        1
61632 thunar-vcs-plugin                  	       0       21        4        7       10
61633 thunar-volman-build-deps           	       0        1        0        0        1
61634 thunarx-python                     	       0        5        0        2        3
61635 thunderbird-bidiui                 	       0        4        0        0        4
61636 thunderbird-l10n-af                	       0        1        0        1        0
61637 thunderbird-l10n-all               	       0        1        0        0        1
61638 thunderbird-l10n-ar                	       0        1        0        1        0
61639 thunderbird-l10n-ast               	       0        1        0        1        0
61640 thunderbird-l10n-be                	       0        1        0        1        0
61641 thunderbird-l10n-bg                	       0        1        0        1        0
61642 thunderbird-l10n-br                	       0        1        0        1        0
61643 thunderbird-l10n-ca                	       0        3        0        3        0
61644 thunderbird-l10n-cak               	       0        1        0        1        0
61645 thunderbird-l10n-cs                	       0        7        1        6        0
61646 thunderbird-l10n-cy                	       0        1        0        1        0
61647 thunderbird-l10n-da                	       0        2        0        2        0
61648 thunderbird-l10n-dsb               	       0        1        0        1        0
61649 thunderbird-l10n-el                	       0        1        0        1        0
61650 thunderbird-l10n-es-mx             	       0        3        0        3        0
61651 thunderbird-l10n-et                	       0        2        0        2        0
61652 thunderbird-l10n-eu                	       0        1        0        1        0
61653 thunderbird-l10n-fi                	       0        1        0        1        0
61654 thunderbird-l10n-fy-nl             	       0        1        0        1        0
61655 thunderbird-l10n-ga-ie             	       0        1        0        1        0
61656 thunderbird-l10n-gd                	       0        1        0        1        0
61657 thunderbird-l10n-gl                	       0        1        0        1        0
61658 thunderbird-l10n-he                	       0        1        0        1        0
61659 thunderbird-l10n-hr                	       0        1        0        1        0
61660 thunderbird-l10n-hsb               	       0        1        0        1        0
61661 thunderbird-l10n-hu                	       0        3        0        3        0
61662 thunderbird-l10n-hy-am             	       0        1        0        1        0
61663 thunderbird-l10n-id                	       0        1        0        1        0
61664 thunderbird-l10n-is                	       0        1        0        1        0
61665 thunderbird-l10n-it                	       0       26        2       24        0
61666 thunderbird-l10n-ja                	       0        2        0        2        0
61667 thunderbird-l10n-ka                	       0        1        0        1        0
61668 thunderbird-l10n-kab               	       0        1        0        1        0
61669 thunderbird-l10n-kk                	       0        1        0        1        0
61670 thunderbird-l10n-ko                	       0        1        0        1        0
61671 thunderbird-l10n-lt                	       0        1        0        1        0
61672 thunderbird-l10n-lv                	       0        1        0        1        0
61673 thunderbird-l10n-ms                	       0        1        0        1        0
61674 thunderbird-l10n-nb-no             	       0        1        0        1        0
61675 thunderbird-l10n-nn-no             	       0        1        0        1        0
61676 thunderbird-l10n-pa-in             	       0        1        0        1        0
61677 thunderbird-l10n-pt-br             	       0        4        0        4        0
61678 thunderbird-l10n-pt-pt             	       0        1        0        1        0
61679 thunderbird-l10n-rm                	       0        1        0        1        0
61680 thunderbird-l10n-ro                	       0        2        0        2        0
61681 thunderbird-l10n-sk                	       0        1        0        1        0
61682 thunderbird-l10n-sl                	       0        1        0        1        0
61683 thunderbird-l10n-sq                	       0        1        0        1        0
61684 thunderbird-l10n-sr                	       0        1        0        1        0
61685 thunderbird-l10n-sv-se             	       0        8        0        8        0
61686 thunderbird-l10n-th                	       0        1        0        1        0
61687 thunderbird-l10n-tr                	       0        2        0        2        0
61688 thunderbird-l10n-uk                	       0        1        0        1        0
61689 thunderbird-l10n-uz                	       0        1        0        1        0
61690 thunderbird-l10n-vi                	       0        1        0        1        0
61691 thunderbird-l10n-zh-cn             	       0        3        0        3        0
61692 thunderbird-l10n-zh-tw             	       0        2        0        2        0
61693 thunderbird-mozilla-build          	       0        1        0        1        0
61694 thunderbolt-tools                  	       0        5        0        5        0
61695 thunk-gen                          	       0        1        0        0        1
61696 tic80                              	       0        2        0        2        0
61697 ticgit                             	       0        1        0        1        0
61698 ticker                             	       0        2        0        2        0
61699 tickr                              	       0        1        0        1        0
61700 tidal-hifi                         	       0        2        0        1        1
61701 tidy-doc                           	       0        3        0        0        3
61702 tiemu                              	       0       12        0       12        0
61703 tiemu-skinedit                     	       0        9        0        9        0
61704 tif22pnm                           	       0        1        0        1        0
61705 tifffile                           	       0        1        0        1        0
61706 tig                                	       0       54        1       53        0
61707 tigervnc-common                    	       0      134        5      129        0
61708 tigervnc-scraping-server           	       0       34        2       32        0
61709 tigervnc-standalone-server         	       0      104        9       95        0
61710 tigervnc-viewer                    	       0      163       10      153        0
61711 tigervnc-xorg-extension            	       0       27        2       25        0
61712 tightvnc-java                      	       0       15        1       14        0
61713 tigr-glimmer                       	       0        4        0        4        0
61714 tikzit                             	       0       10        0       10        0
61715 tiled                              	       0        7        0        7        0
61716 tilem                              	       0        7        0        7        0
61717 tilem-data                         	       0        7        0        0        7
61718 tilemaker                          	       0        1        0        1        0
61719 tilequant                          	       0        1        0        1        0
61720 tilestache                         	       0        1        0        1        0
61721 tilix-common                       	       0       21        1        3       17
61722 tilp2                              	       0       20        1       19        0
61723 timbl                              	       0        2        0        2        0
61724 timblserver                        	       0        2        0        2        0
61725 time-decode                        	       0       16        0       16        0
61726 timeit                             	       0        1        0        1        0
61727 timelimit                          	       0        9        0        9        0
61728 timemachine                        	       0        4        0        4        0
61729 timemon.app                        	       0        2        0        2        0
61730 timeoutd                           	       0        1        0        1        0
61731 timescaledb-tools                  	       0        1        0        1        0
61732 timewarrior                        	       0        8        0        8        0
61733 timezoneconf                       	       0        1        0        0        1
61734 timgm6mb-soundfont                 	       0     2875        0        0     2875
61735 timidity-daemon                    	       0       33        0        0       33
61736 timidity-el                        	       0        5        0        5        0
61737 timidity-interfaces-extra          	       0       10        0       10        0
61738 tinc                               	       0       17        0       17        0
61739 tine20                             	       0        1        0        0        1
61740 tine20-activesync                  	       0        1        0        1        0
61741 tine20-calendar                    	       0        1        0        1        0
61742 tine20-crm                         	       0        1        0        1        0
61743 tine20-felamimail                  	       0        1        0        1        0
61744 tine20-filemanager                 	       0        1        0        1        0
61745 tine20-humanresources              	       0        1        0        1        0
61746 tine20-inventory                   	       0        1        0        1        0
61747 tine20-libraries                   	       0        1        0        1        0
61748 tine20-sales                       	       0        1        0        1        0
61749 tine20-tasks                       	       0        1        0        1        0
61750 tine20-timetracker                 	       0        1        0        1        0
61751 tine20-tinebase                    	       0        1        0        1        0
61752 tine20-webstack                    	       0        1        0        0        1
61753 tintin++                           	       0        9        0        9        0
61754 tiny-initramfs                     	       0        3        0        3        0
61755 tiny-initramfs-core                	       0        3        0        3        0
61756 tinyca                             	       0        2        0        2        0
61757 tinycdb                            	       0        7        0        7        0
61758 tinydns                            	       0        2        0        2        0
61759 tinydyndns                         	       0        1        0        1        0
61760 tinyirc                            	       0        3        0        3        0
61761 tinyjsd                            	       0        1        0        0        1
61762 tinymce                            	       0        4        0        0        4
61763 tinymce2                           	       0        2        0        0        2
61764 tinymux                            	       0        4        0        4        0
61765 tinyos-source                      	       0        1        0        0        1
61766 tinyos-tools                       	       0        1        0        1        0
61767 tinyows                            	       0        1        0        1        0
61768 tinyproxy                          	       0       10        0       10        0
61769 tinyproxy-bin                      	       0       11        4        7        0
61770 tinyscheme                         	       0        2        0        2        0
61771 tinysshd                           	       0        4        0        4        0
61772 tinyusb-source                     	       0        1        0        1        0
61773 tinywm                             	       0        3        0        3        0
61774 tio                                	       0       10        0       10        0
61775 tipa                               	       0      485        0        1      484
61776 tipa-doc                           	       0        8        0        0        8
61777 tippecanoe                         	       0        1        0        1        0
61778 tipptrainer                        	       0        1        0        1        0
61779 tipptrainer-data-de                	       0        1        0        0        1
61780 tiptop                             	       0        7        0        7        0
61781 titanion                           	       0        5        0        5        0
61782 titanion-data                      	       0        6        0        0        6
61783 tix-dev                            	       0        2        0        2        0
61784 tixati                             	       0        1        0        1        0
61785 tj3                                	       0        4        0        4        0
61786 tk-brief                           	       0        6        0        6        0
61787 tk-dev                             	       0       70        0        0       70
61788 tk-doc                             	       0       20        0        0       20
61789 tk-fsdialog                        	       0        3        0        0        3
61790 tk-html1                           	       0       15        0        0       15
61791 tk-html3                           	       0       19        0       19        0
61792 tk-itk4                            	       0        5        0        0        5
61793 tk-itk4-doc                        	       0        2        0        0        2
61794 tk-mpeg                            	       0       15        0        0       15
61795 tk-table                           	       0       23        0        0       23
61796 tk-tktray                          	       0        3        0        0        3
61797 tk2                                	       0        2        0        2        0
61798 tk5                                	       0        2        0        2        0
61799 tk707                              	       0        3        0        3        0
61800 tk8.4                              	       0        1        0        1        0
61801 tk8.4-doc                          	       0        1        0        0        1
61802 tk8.5                              	       0       27        1       26        0
61803 tk8.5-dev                          	       0        7        1        6        0
61804 tk8.5-doc                          	       0        3        0        0        3
61805 tk8.6-doc                          	       0       18        0        0       18
61806 tk9.0                              	       0        3        0        3        0
61807 tk9.0-doc                          	       0        2        0        0        2
61808 tkabber                            	       0        6        0        6        0
61809 tkabber-plugins                    	       0        2        0        0        2
61810 tkagif                             	       0        1        0        0        1
61811 tkblt                              	       0       19        0        0       19
61812 tkcalendar                         	       0        2        0        2        0
61813 tkcvs                              	       0       12        0       12        0
61814 tkdesk                             	       0        5        0        5        0
61815 tkdnd                              	       0        3        0        3        0
61816 tkdvi                              	       0        1        0        1        0
61817 tkfont                             	       0        1        0        1        0
61818 tkgate                             	       0       15        0       15        0
61819 tkgate-data                        	       0       17        0        0       17
61820 tkgate-doc                         	       0       17        0        0       17
61821 tkinspect                          	       0        3        0        3        0
61822 tkmib                              	       0       10        0       10        0
61823 tkpng                              	       0        9        0        9        0
61824 tkps                               	       0        1        0        1        0
61825 tkrzw-utils                        	       0        1        0        1        0
61826 tksao                              	       0       13        0        0       13
61827 tksvg                              	       0        2        0        0        2
61828 tktreectrl                         	       0        2        0        2        0
61829 tkvnc                              	       0        1        0        1        0
61830 tkwice                             	       0        1        0        0        1
61831 tla                                	       0        6        0        6        0
61832 tla-doc                            	       0        6        0        0        6
61833 tldextract                         	       0        1        0        1        0
61834 tldr                               	       0       30        1       24        5
61835 tldr-py                            	       0        1        0        1        0
61836 tleds                              	       0        1        0        1        0
61837 tlf                                	       0        6        0        6        0
61838 tlog                               	       0        1        0        1        0
61839 tlsh-tools                         	       0        3        0        3        0
61840 tlslookup                          	       0        1        0        1        0
61841 tlswrapper                         	       0        1        0        1        0
61842 tm-align                           	       0        4        0        4        0
61843 tmake                              	       0        1        0        1        0
61844 tmate                              	       0        5        0        5        0
61845 tmd710-tncsetup                    	       0        2        0        2        0
61846 tmdbv3api                          	       0        2        0        2        0
61847 tmexpand                           	       0        1        0        1        0
61848 tmfs                               	       0        1        0        1        0
61849 tmpl                               	       0        1        0        1        0
61850 tmpreaper                          	       0       22        2       20        0
61851 tmux-plugin-manager                	       0       14        0       14        0
61852 tmux-themepack-jimeh               	       0        9        0        0        9
61853 tmuxinator                         	       0       14        0       14        0
61854 tmuxp                              	       0        8        0        8        0
61855 tmw                                	       0        1        0        1        0
61856 tmw-music                          	       0        1        0        0        1
61857 tmwcetki-archive-keyring           	       0        1        0        0        1
61858 tmx-cups                           	       0        1        0        1        0
61859 tmx-cups-backend                   	       0        1        0        1        0
61860 tnef                               	       0       35        0       35        0
61861 tnt-wad                            	       0        3        0        0        3
61862 tntdb-mysql4                       	       0        1        0        1        0
61863 tntdb-sqlite4                      	       0        1        0        1        0
61864 tntnet-demos                       	       0        1        0        1        0
61865 tntnet-runtime                     	       0        4        0        4        0
61866 todo.txt-base                      	       0        2        0        2        0
61867 todo.txt-gtd                       	       0        2        0        2        0
61868 todoman                            	       0        1        0        1        0
61869 todotxt-cli                        	       0        7        0        7        0
61870 todour                             	       0        2        1        1        0
61871 tofi                               	       0        6        0        6        0
61872 tofu                               	       0        2        0        2        0
61873 toga2                              	       0        6        0        6        0
61874 toggldesktop                       	       0        1        0        1        0
61875 togl-demos                         	       0        3        0        0        3
61876 toilet-fonts                       	       0     1298        0        0     1298
61877 tokei                              	       0        2        0        2        0
61878 tokentool                          	       0        2        0        2        0
61879 tokodon                            	       0        1        0        1        0
61880 tokyocabinet-bin                   	       0        3        0        3        0
61881 tokyocabinet-doc                   	       0        2        0        0        2
61882 tokyotyrant                        	       0        1        0        1        0
61883 tokyotyrant-utils                  	       0        1        0        1        0
61884 tomatoes                           	       0        3        0        3        0
61885 tomatoes-data                      	       0        3        0        0        3
61886 tomboy                             	       0        6        0        6        0
61887 tomboy-ng                          	       0        5        0        5        0
61888 tomcat-jakartaee-migration         	       0        2        0        2        0
61889 tomcat10                           	       0        7        2        5        0
61890 tomcat10-common                    	       0        7        1        6        0
61891 tomcat7                            	       0        1        0        0        1
61892 tomcat7-common                     	       0        1        0        1        0
61893 tomcat8                            	       0        5        0        0        5
61894 tomcat8-admin                      	       0        3        0        0        3
61895 tomcat8-common                     	       0        5        1        4        0
61896 tomcat8-docs                       	       0        3        0        0        3
61897 tomcat8-examples                   	       0        1        0        0        1
61898 tomcat8-user                       	       0        1        0        1        0
61899 tomcat9-admin                      	       0        6        0        0        6
61900 tomcat9-docs                       	       0        1        0        0        1
61901 tomcat9-user                       	       0        1        0        1        0
61902 tome                               	       0        3        0        3        0
61903 tomoyo-tools                       	       0       29        0       29        0
61904 tonkeeper                          	       0        1        0        1        0
61905 toolame                            	       0        4        0        4        0
61906 toot                               	       0       14        0       14        0
61907 tootle                             	       0        8        0        8        0
61908 topal                              	       0        1        0        1        0
61909 topcom                             	       0       12        2       10        0
61910 topcom-examples                    	       0       12        0        0       12
61911 topgit                             	       0        1        0        1        0
61912 tophat-recondition                 	       0        1        0        1        0
61913 topic-tools                        	       0        1        0        1        0
61914 topline                            	       0        2        0        2        0
61915 topmenu-gtk-common                 	       0        3        0        0        3
61916 toppler                            	       0        2        0        2        0
61917 toppred                            	       0        1        0        1        0
61918 topydo                             	       0        2        1        1        0
61919 tor-arm                            	       0       13        0        1       12
61920 tor-dbg                            	       0        1        0        1        0
61921 tor-dbgsym                         	       0        1        0        1        0
61922 tor-geoipdb                        	       0      242        0        0      242
61923 tora                               	       0        2        0        2        0
61924 torch-core-free                    	       0        1        0        0        1
61925 torch-trepl                        	       0        1        0        1        0
61926 torchat                            	       0        3        0        3        0
61927 torchlight                         	       0        2        0        0        2
61928 torcs                              	       0       15        0       15        0
61929 torcs-data                         	       0       15        0        0       15
61930 torcs-data-cars                    	       0        1        0        0        1
61931 torcs-data-tracks                  	       0        1        0        0        1
61932 torguard                           	       0        1        0        1        0
61933 torreadwrite                       	       0        1        0        1        0
61934 torrentcheck                       	       0        1        0        1        0
61935 torsmo                             	       0        1        0        1        0
61936 tortoisehg                         	       0       19        0       19        0
61937 tortoisehg-caja                    	       0        3        0        0        3
61938 tortoisehg-nautilus                	       0        1        0        0        1
61939 torus-trooper                      	       0        7        0        7        0
61940 torus-trooper-data                 	       0        7        0        0        7
61941 toshset                            	       0        1        0        1        0
61942 totalopenstation                   	       0        4        0        4        0
61943 totem-common                       	       0      395        0        5      390
61944 totem-gstreamer                    	       0        1        0        0        1
61945 totem-mozilla                      	       0        1        0        1        0
61946 totem-plugin-arte                  	       0        1        0        1        0
61947 totem-plugins                      	       0      386        0        5      381
61948 totem-xine                         	       0        1        0        0        1
61949 touch-keyboard                     	       0        1        0        1        0
61950 touchegg                           	       0        2        0        2        0
61951 toulbar2                           	       0        1        0        1        0
61952 toulbar2-doc                       	       0        1        0        0        1
61953 tourney-manager                    	       0        4        0        4        0
61954 tox                                	       0       12        0       12        0
61955 tox-uv                             	       0        1        0        1        0
61956 toxcore-utils                      	       0        1        0        1        0
61957 toxic                              	       0        5        1        4        0
61958 toybox                             	       0        3        2        1        0
61959 tp-smapi-dkms                      	       0       45        2       43        0
61960 tpb                                	       0       18        1       17        0
61961 tpconfig                           	       0        1        0        1        0
61962 tpm2-abrmd                         	       0        7        0        7        0
61963 tpm2-initramfs-tool                	       0        1        0        1        0
61964 tpm2-openssl                       	       0        1        0        0        1
61965 tpm2-tools                         	       0       14        0       14        0
61966 tpm2-tss-engine-tools              	       0        1        0        1        0
61967 tpp                                	       0        1        0        1        0
61968 tqca-tls                           	       0       27        0        3       24
61969 tqsllib-dev                        	       0        1        0        1        0
61970 tqt-x11-free-dbg                   	       0        2        0        2        0
61971 tqt3-apps-dev                      	       0        3        0        3        0
61972 tqt3-assistant                     	       0        1        0        1        0
61973 tqt3-designer                      	       0        2        0        2        0
61974 tqt3-designer-plugins              	       0        2        0        0        2
61975 tqt3-dev-tools                     	       0        3        0        3        0
61976 tqt3-doc                           	       0        2        0        0        2
61977 tqt3-qtconfig                      	       0        2        0        2        0
61978 tra                                	       0        1        0        1        0
61979 trac                               	       0        3        0        3        0
61980 trac-accountmanager                	       0        2        0        2        0
61981 trac-customfieldadmin              	       0        1        0        1        0
61982 trac-httpauth                      	       0        1        0        1        0
61983 trac-roadmap                       	       0        1        0        1        0
61984 trace-cmd                          	       0        9        0        9        0
61985 traceproto                         	       0        2        0        2        0
61986 traceroute-nanog                   	       0        2        0        0        2
61987 traceshark                         	       0        3        0        3        0
61988 trackballs                         	       0        7        0        7        0
61989 trackballs-data                    	       0        7        0        0        7
61990 tracker-gui                        	       0        5        0        5        0
61991 tracpaththeme                      	       0        1        0        1        0
61992 trader                             	       0        3        0        3        0
61993 tradingview                        	       0        6        0        1        5
61994 trafficserver                      	       0        2        0        2        0
61995 trafficserver-dev                  	       0        1        0        1        0
61996 trafficserver-experimental-plugins 	       0        1        0        1        0
61997 trang                              	       0        4        0        4        0
61998 trans-de-en                        	       0       28        0        0       28
61999 transcalc                          	       0        8        0        8        0
62000 transcend                          	       0        2        0        2        0
62001 transcode                          	       0        3        0        3        0
62002 transcode-doc                      	       0        9        0        9        0
62003 transcode-utils                    	       0        3        0        3        0
62004 transcriber                        	       0        4        0        4        0
62005 transdecoder                       	       0        1        0        1        0
62006 transdecoder-doc                   	       0        1        0        1        0
62007 transfert                          	       0        1        0        1        0
62008 transfig                           	       0       75        0        6       69
62009 transfuse                          	       0        5        0        5        0
62010 transgui                           	       0        9        0        9        0
62011 transifex-client                   	       0        1        0        1        0
62012 translate                          	       0        2        0        2        0
62013 translate-docformat                	       0        1        0        1        0
62014 translate-shell                    	       0       14        0       14        0
62015 translate-toolkit-doc              	       0       46        0        0       46
62016 transmageddon                      	       0        3        0        3        0
62017 transmission                       	       0      184        0        0      184
62018 transmission-cli                   	       0       70        3       67        0
62019 transmission-common                	       0      663        0        0      663
62020 transmission-daemon                	       0       42       11       31        0
62021 transmission-qt                    	       0       37        1       36        0
62022 transmission-remote-cli            	       0        4        0        4        0
62023 transmission-remote-gtk            	       0       22        2       20        0
62024 transrate-tools                    	       0        1        0        1        0
62025 transtermhp                        	       0        1        0        1        0
62026 trash-cli                          	       0       27        2       25        0
62027 tray-mixer-plus                    	       0        1        0        1        0
62028 trayer                             	       0       25        1       24        0
62029 tre-agrep                          	       0       16        0       16        0
62030 tree-puzzle                        	       0        3        0        3        0
62031 tree-puzzle-doc                    	       0        3        0        2        1
62032 tree-sitter-cli                    	       0        2        0        2        0
62033 treesheets                         	       0       10        0       10        0
62034 treetop                            	       0        5        0        5        0
62035 treeview                           	       0        2        0        2        0
62036 treeviewx                          	       0        1        0        1        0
62037 tremulous                          	       0        1        0        1        0
62038 tremulous-data                     	       0        1        0        0        1
62039 tremulous-doc                      	       0        1        0        0        1
62040 tremulous-server                   	       0        1        0        1        0
62041 trend                              	       0        4        0        4        0
62042 trezor-udev                        	       0        2        0        2        0
62043 triangle-bin                       	       0        1        0        1        0
62044 tribler                            	       0        5        0        5        0
62045 trickle                            	       0       20        1       19        0
62046 triehash                           	       0        2        0        2        0
62047 trigger-rally                      	       0        7        0        7        0
62048 trigger-rally-data                 	       0        8        0        0        8
62049 triggerhappy                       	       0        6        1        5        0
62050 trilinos-dev                       	       0        3        0        3        0
62051 trilium                            	       0        3        0        3        0
62052 trimage                            	       0        9        1        8        0
62053 trimmomatic                        	       0        1        0        1        0
62054 trinity                            	       0        3        0        3        0
62055 trinity-apt-archive                	       0        2        0        0        2
62056 trinity-keyring                    	       0       43        0        0       43
62057 trinityrnaseq-examples             	       0        1        0        0        1
62058 triplane                           	       0        3        0        3        0
62059 triplea                            	       0        3        0        3        0
62060 trisquel-keyring                   	       0        1        0        0        1
62061 trn4                               	       0        4        1        3        0
62062 troffcvt                           	       0        1        0        1        0
62063 trollsched-bin                     	       0        1        0        1        0
62064 trophy                             	       0        3        0        3        0
62065 trophy-data                        	       0        3        0        0        3
62066 trousers                           	       0       10        1        9        0
62067 trscripts                          	       0        1        0        1        0
62068 truecrypt                          	       0        1        0        1        0
62069 trueprint                          	       0        6        0        6        0
62070 trufont                            	       0        2        0        2        0
62071 trurl                              	       0        1        0        1        0
62072 trustedqsl                         	       0       10        0       10        0
62073 trx                                	       0        1        0        1        0
62074 trydiffoscope                      	       0        2        0        2        0
62075 tryton-client-doc                  	       0        1        0        0        1
62076 tryton-server-doc                  	       0        1        0        0        1
62077 ts-jest                            	       0        1        0        1        0
62078 ts-node                            	       0        2        0        2        0
62079 tsclient                           	       0        1        0        1        0
62080 tsconf                             	       0       18        0        0       18
62081 tsdecrypt                          	       0        4        0        4        0
62082 tsmuxer                            	       0        1        0        1        0
62083 tsocks                             	       0       14        1       13        0
62084 tss2                               	       0        3        0        3        0
62085 tstools                            	       0        6        0        6        0
62086 tsung                              	       0        1        0        1        0
62087 ttaenc                             	       0        2        0        2        0
62088 ttf-adf-accanthis                  	       0       13        0        0       13
62089 ttf-adf-baskervald                 	       0        3        0        0        3
62090 ttf-adf-berenis                    	       0        3        0        0        3
62091 ttf-adf-gillius                    	       0       14        0        0       14
62092 ttf-adf-ikarius                    	       0        3        0        0        3
62093 ttf-adf-irianis                    	       0        3        0        0        3
62094 ttf-adf-libris                     	       0        4        0        0        4
62095 ttf-adf-mekanus                    	       0        3        0        0        3
62096 ttf-adf-oldania                    	       0        3        0        0        3
62097 ttf-adf-romande                    	       0        3        0        0        3
62098 ttf-adf-switzera                   	       0        3        0        0        3
62099 ttf-adf-tribun                     	       0        3        0        0        3
62100 ttf-adf-universalis                	       0       14        0        0       14
62101 ttf-adf-verana                     	       0        3        0        0        3
62102 ttf-aenigma                        	       0       16        0        3       13
62103 ttf-alee                           	       0        2        0        0        2
62104 ttf-ancient-fonts                  	       0       25        0        0       25
62105 ttf-ancient-fonts-symbola          	       0       15        0        0       15
62106 ttf-anonymous-pro                  	       0       18        0        0       18
62107 ttf-aoyagi-kouzan-t                	       0        1        0        1        0
62108 ttf-arabeyes                       	       0        1        0        0        1
62109 ttf-arhangai                       	       0        1        0        0        1
62110 ttf-arphic-bkai00mp                	       0        2        0        0        2
62111 ttf-arphic-bsmi00lp                	       0        1        0        0        1
62112 ttf-arphic-gbsn00lp                	       0        2        0        0        2
62113 ttf-arphic-gkai00mp                	       0        2        0        0        2
62114 ttf-arphic-ukai                    	       0        1        0        0        1
62115 ttf-arphic-uming                   	       0        2        0        0        2
62116 ttf-atarismall                     	       0        3        0        0        3
62117 ttf-baekmuk                        	       0        6        0        0        6
62118 ttf-bengali-fonts                  	       0        3        0        0        3
62119 ttf-beteckna                       	       0        1        0        0        1
62120 ttf-bitstream-vera                 	       0      449       34      144      271
62121 ttf-bpg-georgian-fonts             	       0        2        0        0        2
62122 ttf-breip                          	       0        2        0        1        1
62123 ttf-century-catalogue              	       0        2        0        0        2
62124 ttf-dejavu                         	       0       52        0        0       52
62125 ttf-dejavu-core                    	       0      113        0        0      113
62126 ttf-dejavu-extra                   	       0       62        0        0       62
62127 ttf-dejima-mincho                  	       0        1        0        0        1
62128 ttf-denemo                         	       0        5        0        2        3
62129 ttf-devanagari-fonts               	       0        3        0        0        3
62130 ttf-dustin                         	       0        3        0        0        3
62131 ttf-dzongkha                       	       0        2        0        1        1
62132 ttf-ecolier-court                  	       0        2        0        1        1
62133 ttf-ecolier-lignes-court           	       0        2        0        0        2
62134 ttf-engadget                       	       0        9        0        0        9
62135 ttf-essays1743                     	       0        1        0        0        1
62136 ttf-evertype-conakry               	       0        2        0        0        2
62137 ttf-f500                           	       0        2        0        0        2
62138 ttf-farsiweb                       	       0        1        0        0        1
62139 ttf-femkeklaver                    	       0        7        0        0        7
62140 ttf-fifthhorseman-dkg-handwriting  	       0        2        0        0        2
62141 ttf-freefarsi                      	       0        2        0        0        2
62142 ttf-freefont                       	       0       29        0        0       29
62143 ttf-georgewilliams                 	       0        3        0        0        3
62144 ttf-gfs-artemisia                  	       0        2        0        0        2
62145 ttf-gfs-baskerville                	       0        1        0        0        1
62146 ttf-gfs-bodoni-classic             	       0        1        0        0        1
62147 ttf-gfs-complutum                  	       0        1        0        0        1
62148 ttf-gfs-didot                      	       0        1        0        0        1
62149 ttf-gfs-didot-classic              	       0        1        0        0        1
62150 ttf-gfs-gazis                      	       0        1        0        0        1
62151 ttf-gfs-neohellenic                	       0        1        0        0        1
62152 ttf-gfs-olga                       	       0        1        0        0        1
62153 ttf-gfs-porson                     	       0        1        0        0        1
62154 ttf-gfs-solomos                    	       0        1        0        0        1
62155 ttf-gfs-theokritos                 	       0        1        0        0        1
62156 ttf-goudybookletter                	       0        4        0        0        4
62157 ttf-gujarati-fonts                 	       0        3        0        0        3
62158 ttf-hanazono                       	       0        2        0        0        2
62159 ttf-inconsolata                    	       0        3        0        0        3
62160 ttf-indic-fonts                    	       0        5        0        0        5
62161 ttf-ipafont-gothic                 	       0        1        0        0        1
62162 ttf-ipafont-jisx0208               	       0        2        0        0        2
62163 ttf-ipafont-uigothic               	       0        1        0        0        1
62164 ttf-isabella                       	       0        5        0        0        5
62165 ttf-jsmath                         	       0        3        0        0        3
62166 ttf-junicode                       	       0        3        0        0        3
62167 ttf-jura                           	       0        1        0        0        1
62168 ttf-kacst                          	       0        1        0        0        1
62169 ttf-kacst-one                      	       0        1        0        0        1
62170 ttf-kanjistrokeorders              	       0        1        0        0        1
62171 ttf-kannada-fonts                  	       0        4        0        0        4
62172 ttf-khmeros                        	       0        1        0        0        1
62173 ttf-kiloji                         	       0        2        0        0        2
62174 ttf-kochi-gothic                   	       0       10        1        3        6
62175 ttf-kochi-gothic-naga10            	       0        1        0        0        1
62176 ttf-kochi-mincho                   	       0        6        0        0        6
62177 ttf-kochi-mincho-naga10            	       0        2        0        0        2
62178 ttf-konatu                         	       0        1        0        0        1
62179 ttf-lao                            	       0        1        0        0        1
62180 ttf-levien-museum                  	       0        1        0        0        1
62181 ttf-levien-typoscript              	       0        2        0        1        1
62182 ttf-lg-aboriginal                  	       0        1        0        0        1
62183 ttf-liberation                     	       0       17        0        0       17
62184 ttf-linex                          	       0        1        0        0        1
62185 ttf-linux-libertine                	       0        4        0        0        4
62186 ttf-littledays                     	       0        1        0        0        1
62187 ttf-lyx                            	       0        8        0        0        8
62188 ttf-manchufont                     	       0        2        0        0        2
62189 ttf-marvosym                       	       0       14        0        1       13
62190 ttf-mathematica4.1                 	       0        3        0        0        3
62191 ttf-mgopen                         	       0        1        0        0        1
62192 ttf-misaki                         	       0        1        0        0        1
62193 ttf-mona                           	       0        2        0        0        2
62194 ttf-monapo                         	       0        1        0        0        1
62195 ttf-mph-2b-damase                  	       0        2        0        0        2
62196 ttf-mplus                          	       0        1        0        0        1
62197 ttf-nafees                         	       0        1        0        0        1
62198 ttf-nanum                          	       0        1        0        0        1
62199 ttf-nanum-coding                   	       0        1        0        0        1
62200 ttf-ocr-a                          	       0        1        0        0        1
62201 ttf-oflb-asana-math                	       0        1        0        0        1
62202 ttf-oflb-euterpe                   	       0        1        0        0        1
62203 ttf-okolaks                        	       0        2        0        1        1
62204 ttf-oldstandard                    	       0        1        0        0        1
62205 ttf-opendin                        	       0        1        0        0        1
62206 ttf-opensymbol                     	       0        5        0        0        5
62207 ttf-oriya-fonts                    	       0        3        0        0        3
62208 ttf-paktype                        	       0        1        0        0        1
62209 ttf-pelikan-schulschriften         	       0        1        0        1        0
62210 ttf-punjabi-fonts                  	       0        3        0        0        3
62211 ttf-radisnoir                      	       0        2        0        0        2
62212 ttf-root-installer                 	       0        3        0        0        3
62213 ttf-rufscript                      	       0        2        0        0        2
62214 ttf-sawarabi-gothic                	       0        1        0        0        1
62215 ttf-sawarabi-mincho                	       0        1        0        0        1
62216 ttf-sazanami-gothic                	       0        2        0        1        1
62217 ttf-sazanami-mincho                	       0        4        0        1        3
62218 ttf-sil-abyssinica                 	       0        2        0        0        2
62219 ttf-sil-dai-banna                  	       0        1        0        0        1
62220 ttf-sil-ezra                       	       0        1        0        0        1
62221 ttf-sil-galatia                    	       0        1        0        0        1
62222 ttf-sil-gentium                    	       0        1        0        0        1
62223 ttf-sil-gentium-basic              	       0        7        0        0        7
62224 ttf-sil-nuosusil                   	       0        1        0        0        1
62225 ttf-sil-scheherazade               	       0        1        0        0        1
62226 ttf-sil-sophia-nubian              	       0        2        0        0        2
62227 ttf-sil-yi                         	       0        1        0        0        1
62228 ttf-sil-zaghawa-beria              	       0        2        0        0        2
62229 ttf-sinhala-lklug                  	       0        1        0        1        0
62230 ttf-sjfonts                        	       0       55        0        0       55
62231 ttf-staypuft                       	       0       22        0        0       22
62232 ttf-summersby                      	       0       17        0        0       17
62233 ttf-symbol-replacement-wine        	       0        1        0        1        0
62234 ttf-tagbanwa                       	       0       11        0        0       11
62235 ttf-takao                          	       0        1        0        0        1
62236 ttf-takao-gothic                   	       0        1        0        0        1
62237 ttf-takao-mincho                   	       0        1        0        0        1
62238 ttf-tamil-fonts                    	       0        2        0        0        2
62239 ttf-telugu-fonts                   	       0        2        0        0        2
62240 ttf-thai-arundina                  	       0        2        0        0        2
62241 ttf-thai-tlwg                      	       0        2        0        1        1
62242 ttf-thryomanes                     	       0        1        0        0        1
62243 ttf-tiresias                       	       0        6        0        0        6
62244 ttf-tmuni                          	       0        1        0        0        1
62245 ttf-tomsontalks                    	       0        1        0        0        1
62246 ttf-tuffy                          	       0        1        0        0        1
62247 ttf-ubuntu-font-family             	       0        6        0        0        6
62248 ttf-ubuntu-title                   	       0        1        0        0        1
62249 ttf-umefont                        	       0        2        0        0        2
62250 ttf-umeplus                        	       0        1        0        0        1
62251 ttf-unfonts-core                   	       0        3        0        0        3
62252 ttf-unfonts-extra                  	       0        3        0        1        2
62253 ttf-unifont                        	       0       46        0        0       46
62254 ttf-unikurdweb                     	       0        2        0        1        1
62255 ttf-uralic                         	       0        1        0        0        1
62256 ttf-vlgothic                       	       0        2        0        0        2
62257 ttf-wqy-microhei                   	       0        8        0        0        8
62258 ttf-wqy-zenhei                     	       0       10        0        0       10
62259 ttf-xfree86-nonfree                	       0       37        0        2       35
62260 ttf-xfree86-nonfree-syriac         	       0       10        0        1        9
62261 ttf2pt1                            	       0        1        0        1        0
62262 ttf2pt1-chinese                    	       0        1        0        0        1
62263 ttf2ufm                            	       0        6        0        6        0
62264 ttfautohint                        	       0        6        1        5        0
62265 tth                                	       0        5        0        5        0
62266 tth-common                         	       0        6        2        4        0
62267 tthsum                             	       0        1        0        1        0
62268 ttm                                	       0        4        1        3        0
62269 ttmkfdir                           	       0        2        0        2        0
62270 ttv                                	       0        1        0        1        0
62271 tty-clock                          	       0       14        2       12        0
62272 tty-solitaire                      	       0        4        0        4        0
62273 ttygif                             	       0        2        0        2        0
62274 ttyload                            	       0        9        0        9        0
62275 ttylog                             	       0        4        0        4        0
62276 ttysnoop                           	       0        8        0        8        0
62277 tuareg-mode                        	       0        2        0        0        2
62278 tuba                               	       0        2        0        2        0
62279 tucnak                             	       0        7        1        6        0
62280 tuigreet                           	       0        2        0        2        0
62281 tulip                              	       0        2        0        2        0
62282 tumbler-plugins-extra              	       0       33        0        2       31
62283 tumiki-fighters                    	       0        5        0        5        0
62284 tumiki-fighters-data               	       0        7        0        0        7
62285 tunapie                            	       0        1        0        1        0
62286 tuned-gtk                          	       0        2        0        2        0
62287 tuned-ppd                          	       0        1        0        1        0
62288 tuned-utils                        	       0        2        0        2        0
62289 tuned-utils-systemtap              	       0        2        0        2        0
62290 tunefish-lv2                       	       0        1        0        1        0
62291 tunix-keyring                      	       0        1        0        0        1
62292 tunnelx                            	       0        1        0        1        0
62293 tup                                	       0        1        0        1        0
62294 tupi                               	       0        4        0        4        0
62295 tupi-data                          	       0        5        0        0        5
62296 tuptime                            	       0        6        0        6        0
62297 turbocase                          	       0        1        0        1        0
62298 turbovnc                           	       0        2        0        2        0
62299 turbowarp-desktop                  	       0        1        0        0        1
62300 turtlesport                        	       0        3        0        3        0
62301 tusk                               	       0        1        0        0        1
62302 tut                                	       0        1        0        1        0
62303 tuxboot                            	       0        2        0        2        0
62304 tuxcmd                             	       0        7        0        7        0
62305 tuxcmd-modules                     	       0        2        0        2        0
62306 tuxedo-control-center              	       0        1        0        1        0
62307 tuxedo-keyboard                    	       0        2        0        2        0
62308 tuxedo-micfix1                     	       0        1        0        1        0
62309 tuxedo-wmi-dkms                    	       0        1        0        0        1
62310 tuxfootball                        	       0       10        0       10        0
62311 tuxguitar-alsa                     	       0       13        0       13        0
62312 tuxguitar-jack                     	       0        4        0        4        0
62313 tuxguitar-jsa                      	       0        5        0        0        5
62314 tuxguitar-oss                      	       0        7        0        7        0
62315 tuxmath-data                       	       0       31        1        6       24
62316 tuxonice-userui                    	       0        4        0        4        0
62317 tuxpaint-stamps-default            	       0       44        0        0       44
62318 tuxpuck                            	       0       12        0       12        0
62319 tuxtype                            	       0       29        0       29        0
62320 tuxtype-data                       	       0       29        0        7       22
62321 tv-fonts                           	       0       12        0        0       12
62322 tvbrowser                          	       0        5        0        5        0
62323 tvbrowser-aquatheme                	       0        1        0        1        0
62324 tvbrowser-bbjtheme                 	       0        1        0        1        0
62325 tvbrowser-beostheme                	       0        1        0        1        0
62326 tvbrowser-macostheme               	       0        1        0        1        0
62327 tvbrowser-moderntheme              	       0        1        0        1        0
62328 tvheadend                          	       0        9        2        7        0
62329 tvheadend-data                     	       0        2        0        0        2
62330 tvnamer                            	       0        3        0        3        0
62331 tvprog                             	       0        1        0        1        0
62332 tvtime                             	       0        8        0        8        0
62333 twatch                             	       0        2        0        2        0
62334 twclock                            	       0        8        0        8        0
62335 tweak                              	       0       11        0       11        0
62336 twidge                             	       0        1        0        1        0
62337 twiggy                             	       0        4        0        4        0
62338 twin                               	       0        5        0        5        0
62339 twin-style-crystal-trinity         	       0        1        0        1        0
62340 twin-style-dekorator-trinity       	       0        3        0        3        0
62341 twin-style-fahrenheit-trinity      	       0        2        0        2        0
62342 twin-style-machbunt-trinity        	       0        2        0        2        0
62343 twin-style-suse2-trinity           	       0        2        0        2        0
62344 twin-x11                           	       0        2        0        2        0
62345 twin4-trinity                      	       0       25        0        0       25
62346 twine                              	       0        5        0        5        0
62347 twinkle                            	       0       19        2       17        0
62348 twinkle-common                     	       0       17        0        0       17
62349 twinkle-console                    	       0        5        0        5        0
62350 twittering-mode                    	       0        3        0        3        0
62351 twms                               	       0        2        1        1        0
62352 twolame                            	       0       52        2       50        0
62353 tworld                             	       0        8        0        8        0
62354 tworld-data                        	       0        8        0        8        0
62355 twpsk                              	       0        9        0        9        0
62356 txt2html                           	       0       11        0       11        0
62357 txt2man                            	       0       13        0       13        0
62358 txt2pdbdoc                         	       0        3        0        3        0
62359 txt2regex                          	       0        8        0        8        0
62360 txt2tags                           	       0       12        0       12        0
62361 txtreader                          	       0        1        0        1        0
62362 type-handling                      	       0        4        0        4        0
62363 typecatcher                        	       0        4        0        4        0
62364 typespeed                          	       0       13        2       11        0
62365 tyrian-data                        	       0        2        0        0        2
62366 tzc                                	       0        1        0        1        0
62367 tzdata-java                        	       0       16        0        0       16
62368 tzdata-legacy                      	       0       69        0        0       69
62369 tzdiff                             	       0        2        0        2        0
62370 tzwatch                            	       0        8        0        8        0
62371 u-boot                             	       0        2        1        0        1
62372 u-boot-exynos                      	       0        1        0        1        0
62373 u-boot-menu                        	       0        5        0        5        0
62374 u-boot-odroid                      	       0        1        0        1        0
62375 u-boot-odroidm1s                   	       0        1        0        1        0
62376 u-boot-olinuxino                   	       0        1        0        1        0
62377 u-boot-rockchip                    	       0        4        0        4        0
62378 u-boot-rpi                         	       0        1        0        1        0
62379 u-boot-tools-olinuxino             	       0        1        0        1        0
62380 u2f-host                           	       0        6        0        6        0
62381 u2f-server                         	       0        3        0        3        0
62382 u3-tool                            	       0        3        0        3        0
62383 u8loc                              	       0        2        0        2        0
62384 uacme                              	       0        6        0        6        0
62385 uae                                	       0        1        0        1        0
62386 uanytun                            	       0        1        1        0        0
62387 uap-core                           	       0        1        0        0        1
62388 ubertooth-firmware                 	       0        5        0        0        5
62389 ubiquity-slideshow-mint            	       0        1        0        1        0
62390 ublock-origin-doc                  	       0        5        0        0        5
62391 uboot-envtools                     	       0        2        0        0        2
62392 uboot-mkimage                      	       0        5        0        0        5
62393 ubports-installer                  	       0        5        0        5        0
62394 ubridge                            	       0        2        0        2        0
62395 ubuntu-archive-keyring             	       0       13        0        0       13
62396 ubuntu-cloud-keyring               	       0       12        0        0       12
62397 ubuntu-cloudimage-keyring          	       0        1        0        0        1
62398 ubuntu-core-launcher               	       0        1        0        1        0
62399 ubuntu-dbgsym-keyring              	       0       11        0        0       11
62400 ubuntu-dev-tools                   	       0        3        0        3        0
62401 ubuntu-drivers-common              	       0        2        0        2        0
62402 ubuntu-keyring                     	       0       19        0        0       19
62403 ubuntu-packaging-guide             	       0        2        0        0        2
62404 ubuntu-packaging-guide-common      	       0        3        0        0        3
62405 ubuntu-packaging-guide-epub        	       0        1        0        0        1
62406 ubuntu-packaging-guide-epub-es     	       0        1        0        0        1
62407 ubuntu-packaging-guide-epub-pt-br  	       0        1        0        0        1
62408 ubuntu-packaging-guide-epub-ru     	       0        1        0        0        1
62409 ubuntu-packaging-guide-html        	       0        2        0        0        2
62410 ubuntu-packaging-guide-html-es     	       0        1        0        0        1
62411 ubuntu-packaging-guide-html-pt-br  	       0        1        0        0        1
62412 ubuntu-packaging-guide-html-ru     	       0        1        0        0        1
62413 ubuntu-packaging-guide-pdf         	       0        3        0        0        3
62414 ubuntu-packaging-guide-pdf-de      	       0        1        0        0        1
62415 ubuntu-packaging-guide-pdf-es      	       0        1        0        0        1
62416 ubuntu-packaging-guide-pdf-pt-br   	       0        1        0        0        1
62417 ubuntu-packaging-guide-pdf-ru      	       0        1        0        0        1
62418 ubus                               	       0        1        0        1        0
62419 uc-echo                            	       0        1        0        1        0
62420 ucarp                              	       0        2        0        2        0
62421 ucblogo                            	       0        7        0        7        0
62422 uchardet                           	       0       19        0       19        0
62423 uci2wb                             	       0        4        0        4        0
62424 ucimf                              	       0        1        0        1        0
62425 uclibc-source                      	       0        1        0        0        1
62426 ucommon-doc                        	       0        1        0        0        1
62427 ucommon-utils                      	       0        3        0        3        0
62428 ucspi-tcp                          	       0       16        2       14        0
62429 ucspi-tcp-ipv6                     	       0        4        0        4        0
62430 ucspi-unix                         	       0        6        1        5        0
62431 ucto                               	       0        4        0        4        0
62432 uctodata                           	       0        4        0        4        0
62433 ud                                 	       0        1        0        1        0
62434 udav                               	       0        2        0        2        0
62435 udcli                              	       0        1        0        1        0
62436 ude                                	       0        2        0        0        2
62437 udhcpc                             	       0        8        3        5        0
62438 udhcpd                             	       0       12        1       11        0
62439 udisks                             	       0       16        1       15        0
62440 udisks-doc                         	       0        3        0        0        3
62441 udisks2-bcache                     	       0        5        0        0        5
62442 udisks2-btrfs                      	       0       17        0        0       17
62443 udisks2-dbgsym                     	       0        1        0        1        0
62444 udisks2-doc                        	       0       16        0        0       16
62445 udisks2-lvm2                       	       0       10        0        0       10
62446 udisks2-zram                       	       0        2        0        0        2
62447 udns-utils                         	       0        1        0        1        0
62448 udo                                	       0        2        0        2        0
62449 udo-doc-en                         	       0        2        0        0        2
62450 udpcast                            	       0        3        0        3        0
62451 udptunnel                          	       0        6        1        5        0
62452 udsclient3                         	       0        1        0        1        0
62453 uefitool                           	       0       11        0       11        0
62454 uefitool-cli                       	       0        7        0        7        0
62455 ufficiozero-keyring                	       0        1        0        0        1
62456 ufficiozero-patches-dummy          	       0        1        0        0        1
62457 ufficiozerorepo                    	       0        1        0        0        1
62458 ufiformat                          	       0        8        0        8        0
62459 ufo-core-doc                       	       0        2        0        0        2
62460 ufo-filters                        	       0        1        0        0        1
62461 ufo-filters-data                   	       0        1        0        0        1
62462 ufo-filters-doc                    	       0        2        0        0        2
62463 ufo2otf                            	       0        1        0        1        0
62464 ufoai-build-deps                   	       0        1        0        0        1
62465 ufoai-common                       	       0       19        0        0       19
62466 ufoai-data                         	       0       19        0        0       19
62467 ufoai-dbgsym                       	       0        1        0        1        0
62468 ufoai-maps                         	       0       19        0        0       19
62469 ufoai-misc                         	       0       19        0        0       19
62470 ufoai-music                        	       0       19        0        0       19
62471 ufoai-server                       	       0        1        0        1        0
62472 ufoai-server-dbgsym                	       0        1        0        1        0
62473 ufoai-sound                        	       0       19        0        0       19
62474 ufoai-textures                     	       0       19        0        0       19
62475 ufoai-tools                        	       0        1        0        1        0
62476 ufoai-tools-dbgsym                 	       0        1        0        1        0
62477 ufoai-uforadiant                   	       0        1        0        1        0
62478 ufoai-uforadiant-data              	       0        1        0        0        1
62479 ufoai-uforadiant-dbgsym            	       0        1        0        1        0
62480 ufraw                              	       0       24        0       24        0
62481 ufraw-batch                        	       0      109        2      107        0
62482 ufsutils                           	       0        3        0        3        0
62483 uftp                               	       0        2        0        2        0
62484 uftrace                            	       0        3        0        3        0
62485 uglifyjs                           	       0        2        0        2        0
62486 uhd-host                           	       0       22        2       20        0
62487 uhd-soapysdr                       	       0        5        0        0        5
62488 uhexen2                            	       0        3        0        3        0
62489 uhexen2-common                     	       0        3        0        0        3
62490 uhub                               	       0        1        0        1        0
62491 uhubctl                            	       0        7        0        7        0
62492 ui-auto                            	       0        1        0        1        0
62493 uil                                	       0       32        0       32        0
62494 uim-anthy                          	       0        6        0        0        6
62495 uim-byeoru                         	       0        1        0        0        1
62496 uim-el                             	       0        1        0        1        0
62497 uim-gtk2.0-immodule                	       0       35        0        1       34
62498 uim-gtk3-immodule                  	       0       34        2       15       17
62499 uim-pinyin                         	       0        1        0        0        1
62500 uim-skk                            	       0        1        0        0        1
62501 uinit                              	       0        1        0        1        0
62502 uisp                               	       0        8        0        8        0
62503 ukopp                              	       0        4        0        4        0
62504 ukui-bluetooth                     	       0        1        0        1        0
62505 ukui-control-center                	       0        2        0        2        0
62506 ukui-greeter                       	       0        3        0        3        0
62507 ukui-indicators                    	       0        1        0        1        0
62508 ukui-media                         	       0        1        0        1        0
62509 ukui-media-common                  	       0        1        0        0        1
62510 ukui-menu                          	       0        1        0        1        0
62511 ukui-menus                         	       0        1        0        0        1
62512 ukui-panel                         	       0        3        0        3        0
62513 ukui-polkit                        	       0        6        0        1        5
62514 ukui-power-manager-common          	       0        1        0        0        1
62515 ukui-screensaver                   	       0        3        0        3        0
62516 ukui-screensaverserver             	       0        1        0        1        0
62517 ukui-session-manager               	       0        3        0        3        0
62518 ukui-settings-daemon               	       0        5        0        5        0
62519 ukui-settings-daemon-common        	       0        5        0        0        5
62520 ukui-sidebar                       	       0        1        0        1        0
62521 ukui-themes                        	       0        6        0        0        6
62522 ukui-touchpadserver                	       0        1        0        1        0
62523 ukui-wallpapers                    	       0        2        0        0        2
62524 ukui-window-switch                 	       0        5        0        5        0
62525 ukwm                               	       0        5        0        5        0
62526 ukwm-common                        	       0        5        0        0        5
62527 ulauncher                          	       0        2        0        2        0
62528 ulc-codec                          	       0        1        0        1        0
62529 ulcc                               	       0        3        0        3        0
62530 uligo                              	       0        3        0        3        0
62531 ulogd2                             	       0       11        3        8        0
62532 ulogd2-dbi                         	       0        1        0        0        1
62533 ulogd2-json                        	       0        1        0        0        1
62534 ulogd2-mysql                       	       0        1        0        0        1
62535 ulogd2-pcap                        	       0        1        0        1        0
62536 ulogd2-pgsql                       	       0        1        0        0        1
62537 ulogd2-sqlite3                     	       0        1        0        0        1
62538 ultima4-data                       	       0        1        0        0        1
62539 ultima4-graphics-upgrade           	       0        1        0        0        1
62540 ultracopier                        	       0        3        0        3        0
62541 umbrello-trinity                   	       0        1        0        1        0
62542 umis                               	       0        1        0        1        0
62543 umis-examples                      	       0        1        0        0        1
62544 umlet                              	       0        7        0        7        0
62545 umoci                              	       0        1        0        1        0
62546 umsdos                             	       0        1        0        1        0
62547 umtp-responder                     	       0        3        0        3        0
62548 umu-launcher                       	       0        1        0        0        1
62549 umview                             	       0        2        0        2        0
62550 umview-mod-umdevtap                	       0        1        0        1        0
62551 umview-mod-umfuseext2              	       0        1        0        1        0
62552 umview-mod-umfusefat               	       0        2        0        2        0
62553 umview-mod-umfuseiso9660           	       0        1        0        1        0
62554 umview-mod-umlwip                  	       0        1        0        1        0
62555 umview-mod-viewfs                  	       0        1        0        1        0
62556 unaccent                           	       0        3        0        3        0
62557 unace-nonfree                      	       0       16        1       15        0
62558 unagi                              	       0        2        0        2        0
62559 unalz                              	       0       28        0       28        0
62560 unbound                            	       0       42        8       34        0
62561 unbound-anchor                     	       0       29        0       29        0
62562 unbound-anchor-dbgsym              	       0        1        0        1        0
62563 unbound-dbgsym                     	       0        1        0        1        0
62564 unbound-host                       	       0        7        0        7        0
62565 unburden-home-dir                  	       0        2        0        2        0
62566 unburden-home-dir-doc              	       0        2        0        0        2
62567 unclutter                          	       0       24        6       18        0
62568 unclutter-startup                  	       0       21        0        0       21
62569 unclutter-xfixes                   	       0        6        4        2        0
62570 uncrustify                         	       0       13        0       13        0
62571 undbx                              	       0       29        0       29        0
62572 undertime                          	       0        5        0        5        0
62573 undistract-me                      	       0        2        0        0        2
62574 undup                              	       0        1        0        1        0
62575 unetbootin                         	       0        7        0        7        0
62576 unetbootin-dbgsym                  	       0        1        0        1        0
62577 unetbootin-translations            	       0        9        0        0        9
62578 ungoogled-chromium                 	       0       11        1       10        0
62579 ungoogled-chromium-build-deps      	       0        1        0        0        1
62580 ungoogled-chromium-common          	       0        1        0        1        0
62581 ungoogled-chromium-driver          	       0        1        0        1        0
62582 unhide-gui                         	       0        7        0        7        0
62583 unhtml                             	       0        4        0        4        0
62584 unibetacode                        	       0        1        0        1        0
62585 unicode-cldr-core                  	       0        4        0        0        4
62586 unicode-data                       	       0      507        0        0      507
62587 unicode-idna                       	       0        1        0        0        1
62588 unicode-screensaver                	       0       12        0        2       10
62589 unicon-imc2                        	       0        5        0        5        0
62590 unicycler-data                     	       0        1        0        0        1
62591 unidic-mecab                       	       0        5        0        5        0
62592 unifdef                            	       0        6        0        6        0
62593 unifi                              	       0       19        4       15        0
62594 unifont                            	       0      110        0        0      110
62595 unifont-bin                        	       0       13        1       12        0
62596 unifont-build-deps                 	       0        1        0        0        1
62597 unionfs-fuse                       	       0       12        0       12        0
62598 unison-2.51+4.11.1                 	       0       13        1       12        0
62599 unison-2.51+4.11.1-gtk             	       0        4        0        4        0
62600 unison-2.51+4.13.1                 	       0        2        0        2        0
62601 unison-2.51+4.13.1-gtk             	       0        2        0        2        0
62602 unison-2.52-gtk                    	       0       24        0       24        0
62603 unison-2.53-gtk                    	       0        6        1        5        0
62604 unison-all                         	       0        4        0        0        4
62605 unison-all-gtk                     	       0        1        0        0        1
62606 unison-gtk                         	       0       30        1       29        0
62607 unison2.27.57                      	       0        1        0        1        0
62608 unison2.32.52                      	       0        2        0        2        0
62609 unison2.32.52-gtk                  	       0        1        0        1        0
62610 unison2.9.1                        	       0        1        0        1        0
62611 units-filter                       	       0        4        0        4        0
62612 units-master                       	       0        3        0        3        0
62613 unityhub                           	       0        6        0        6        0
62614 uniutils                           	       0        6        0        6        0
62615 unixodbc                           	       0       79        1       78        0
62616 unixodbc-bin                       	       0        1        0        1        0
62617 unixodbc-common                    	       0      576        0        0      576
62618 unknown-horizons                   	       0        6        0        6        0
62619 unlzx                              	       0        1        0        1        0
62620 unmass                             	       0        9        0        9        0
62621 unmo3                              	       0       17        0       17        0
62622 unoconv                            	       0       60        3       57        0
62623 unreal-libfmod                     	       0        1        0        1        0
62624 unreal-libmikmod2                  	       0        1        0        1        0
62625 unreal-ut99-shared-data            	       0        1        0        0        1
62626 unrtf                              	       0       39        2       37        0
62627 unscd                              	       0       16        5       11        0
62628 unshield                           	       0       28        0       28        0
62629 unsort                             	       0        3        0        3        0
62630 unworkable                         	       0        5        0        5        0
62631 unyaffs                            	       0        3        0        3        0
62632 unzoo                              	       0        2        0        2        0
62633 upass                              	       0        1        0        1        0
62634 update                             	       0        1        0        1        0
62635 update-manager-core                	       0        1        0        0        1
62636 update-manager-gnome               	       0        1        0        1        0
62637 update-notifier-common             	       0        1        0        1        0
62638 update-notifier-kde                	       0        1        0        1        0
62639 update-sun-jre                     	       0        1        0        1        0
62640 upgrade-system                     	       0       12        0       12        0
62641 uphpmvault                         	       0        1        0        1        0
62642 upnp-router-control                	       0        2        0        2        0
62643 upower-doc                         	       0       13        0        0       13
62644 upplay                             	       0        3        0        3        0
62645 uprecords-cgi                      	       0        1        0        1        0
62646 uprightdiff                        	       0        3        0        3        0
62647 upscayl                            	       0        1        0        1        0
62648 upse123                            	       0        2        0        2        0
62649 uptimed                            	       0       55       13       42        0
62650 upx-ucl                            	       0       20        1       19        0
62651 uqm                                	       0       11        0       11        0
62652 uqm-content                        	       0       11        0        0       11
62653 uqm-music                          	       0       11        0        0       11
62654 uqm-voice                          	       0        7        0        0        7
62655 uranium-plugins                    	       0       22        0       22        0
62656 urbackup-server                    	       0        1        1        0        0
62657 urfkill                            	       0        7        0        7        0
62658 urjtag                             	       0        2        0        2        0
62659 urlextractor                       	       0        1        0        1        0
62660 urlscan                            	       0        9        1        8        0
62661 urlwatch                           	       0        2        0        2        0
62662 uronode                            	       0        3        0        3        0
62663 uruk                               	       0        2        0        2        0
62664 urw                                	       0        4        0        4        0
62665 urweb                              	       0        1        0        1        0
62666 urweb-doc                          	       0        2        0        0        2
62667 urweb-mode                         	       0        2        0        2        0
62668 usb-pack-efi                       	       0        2        0        2        0
62669 usbguard                           	       0        5        1        4        0
62670 usbguard-notifier                  	       0        1        0        1        0
62671 usbimager                          	       0        2        0        2        0
62672 usbmount                           	       0       11        0       11        0
62673 usbprog                            	       0        2        0        2        0
62674 usbprog-gui                        	       0        3        0        3        0
62675 usbredirserver                     	       0        5        1        4        0
62676 usbrelay                           	       0        3        0        3        0
62677 usbsdmux                           	       0        1        0        1        0
62678 usbtop                             	       0       17        0       17        0
62679 usbutils-py                        	       0        1        0        1        0
62680 usbview                            	       0       36        0       36        0
62681 user-de                            	       0        1        0        0        1
62682 user-manager                       	       0       21        0        0       21
62683 user-mode-linux-doc                	       0        4        0        0        4
62684 userinfo                           	       0        7        0        7        0
62685 userland-scripts                   	       0        1        0        1        0
62686 usermin                            	       0        4        0        4        0
62687 userv                              	       0        2        0        2        0
62688 userver                            	       0        1        0        1        0
62689 usplash-theme-debian               	       0        1        0        1        0
62690 usr-is-merged                      	       0       79        0        0       79
62691 ussp-push                          	       0        5        0        5        0
62692 ustreamer                          	       0        3        0        3        0
62693 usvg                               	       0        1        0        1        0
62694 uswsusp                            	       0       43        1       42        0
62695 utf8-migration-tool                	       0        3        0        3        0
62696 utf8gen                            	       0        2        0        2        0
62697 utf8script                         	       0        1        0        0        1
62698 utfcheck                           	       0        4        0        4        0
62699 util-linux-dbgsym                  	       0        2        0        2        0
62700 util-linux-extra-dbgsym            	       0        2        0        2        0
62701 util-linux-legacy                  	       0        1        1        0        0
62702 util-linux-locales                 	       0     2927        1        0     2926
62703 util-vserver                       	       0       21        2       19        0
62704 util-vserver-build                 	       0       21        0       21        0
62705 util-vserver-core                  	       0       21        2       19        0
62706 util-vserver-legacy                	       0        1        0        1        0
62707 util-vserver-sysv                  	       0       21        0        0       21
62708 utopia                             	       0        1        0        0        1
62709 utox                               	       0        6        0        6        0
62710 utsu                               	       0        1        0        1        0
62711 uucpsend                           	       0        1        0        1        0
62712 uudeview                           	       0       28        2       26        0
62713 uuid                               	       0       67        2       65        0
62714 uuidcdef                           	       0        4        0        4        0
62715 uuu                                	       0        2        0        2        0
62716 uvccapture                         	       0       16        0       16        0
62717 uvcdynctrl                         	       0       76        3       73        0
62718 uvcdynctrl-data                    	       0       76        0        0       76
62719 uvicorn                            	       0        3        0        3        0
62720 uw-imapd                           	       0        4        1        3        0
62721 uw-mailutils                       	       0        4        0        4        0
62722 uwm                                	       0        1        0        1        0
62723 uwsc                               	       0        2        0        2        0
62724 uwsgi                              	       0       15        0        0       15
62725 uwsgi-core                         	       0       17        3       14        0
62726 uwsgi-emperor                      	       0        1        0        1        0
62727 uwsgi-plugin-psgi                  	       0        1        1        0        0
62728 uwsgi-plugin-python                	       0        1        0        1        0
62729 uwsgi-plugin-python3               	       0       10        2        8        0
62730 uxplay                             	       0        3        0        3        0
62731 uzbl                               	       0        3        0        3        0
62732 v-sim                              	       0        2        0        2        0
62733 v-sim-common                       	       0        2        0        0        2
62734 v2mplayer                          	       0        1        0        1        0
62735 v2mplayer-samples                  	       0        1        0        0        1
62736 v2ray                              	       0        1        0        1        0
62737 v2raya                             	       0        1        0        1        0
62738 v4l-utils                          	       0      110        6      104        0
62739 v4l2loopback-modules-4.14.0-0.bpo.3-amd64	       0        1        0        0        1
62740 v4l2loopback-modules-5.10.0-7-amd64	       0        1        0        0        1
62741 v4l2loopback-source                	       0        9        0        0        9
62742 v4l2sink                           	       0        1        0        0        1
62743 v4l2ucp                            	       0        3        0        3        0
62744 v86d                               	       0        8        0        8        0
62745 va-amdgpu-driver-all               	       0        2        0        0        2
62746 va-driver-all                      	       0     3148        0        0     3148
62747 vacation                           	       0       15        1       14        0
62748 vagrant-bindfs                     	       0        1        0        1        0
62749 vagrant-cachier                    	       0        1        0        1        0
62750 vagrant-hostmanager                	       0        3        0        3        0
62751 vagrant-librarian-puppet           	       0        1        0        1        0
62752 vagrant-lxc                        	       0        4        0        4        0
62753 vagrant-mutate                     	       0        3        0        3        0
62754 val-and-rick                       	       0        1        0        1        0
62755 val-and-rick-data                  	       0        1        0        0        1
62756 vala-panel-appmenu-common          	       0       57        0        0       57
62757 vala-sntray-plugin                 	       0        4        0        4        0
62758 valac                              	       0       37        0       37        0
62759 valac-0.34-vapi                    	       0        2        0        0        2
62760 valac-0.42-vapi                    	       0        3        0        0        3
62761 valac-0.48-vapi                    	       0        9        0        0        9
62762 valac-0.56-vapi                    	       0       27        0        0       27
62763 valac-bin                          	       0       35        0       35        0
62764 valadoc                            	       0        1        0        1        0
62765 valentina                          	       0        3        0        3        0
62766 valgrind-dbg                       	       0      161        1      160        0
62767 valgrind-if-available              	       0        3        0        0        3
62768 valgrind-mpi                       	       0       10        0        1        9
62769 validns                            	       0        3        1        2        0
62770 valkyrie                           	       0        1        0        1        0
62771 vamp-examples                      	       0        1        0        1        0
62772 vamp-plugin-sdk-doc                	       0        1        0        0        1
62773 vamps                              	       0        5        0        5        0
62774 vanguards                          	       0        4        0        4        0
62775 vapoursynth-bm3d                   	       0        1        0        0        1
62776 vapoursynth-ctmf                   	       0        1        0        0        1
62777 vapoursynth-editor                 	       0        1        0        1        0
62778 vapoursynth-ffms2                  	       0       34        0        0       34
62779 vapoursynth-fmtconv                	       0        1        0        0        1
62780 vapoursynth-genericfilters         	       0        1        0        0        1
62781 vapoursynth-hqdn3d                 	       0        1        0        0        1
62782 vapoursynth-mvtools                	       0        1        0        0        1
62783 vapoursynth-nnedi3                 	       0        1        0        0        1
62784 vapoursynth-removegrain            	       0        1        0        0        1
62785 varicad                            	       0        2        0        1        1
62786 varicad-view                       	       0        1        0        0        1
62787 varicad2012-view-en                	       0        1        0        1        0
62788 varicad2022-en                     	       0        2        0        1        1
62789 varicad2022-view-en                	       0        1        0        0        1
62790 varicad2023-en                     	       0        1        0        0        1
62791 varicad2023-view-en                	       0        1        0        1        0
62792 variety                            	       0       27        1       26        0
62793 varna                              	       0        2        0        2        0
62794 varnish                            	       0        5        2        3        0
62795 vault                              	       0        2        0        2        0
62796 vault-benchmark                    	       0        1        0        1        0
62797 vavoom                             	       0        1        0        1        0
62798 vbackup                            	       0        2        0        2        0
62799 vblade                             	       0        2        0        2        0
62800 vboot-kernel-utils                 	       0        7        0        7        0
62801 vboot-utils                        	       0        6        0        6        0
62802 vbpp                               	       0        1        0        1        0
62803 vbrfix                             	       0       11        0       11        0
62804 vcard-studio                       	       0        1        0        1        0
62805 vcdtools                           	       0        2        0        2        0
62806 vcftools                           	       0        4        0        4        0
62807 vche                               	       0        1        0        1        0
62808 vcheck                             	       0        1        0        1        0
62809 vclt-tools                         	       0        1        0        1        0
62810 vcmi                               	       0        3        0        3        0
62811 vco-plugins                        	       0       19        1       18        0
62812 vcs                                	       0        1        0        1        0
62813 vcsh                               	       0       11        1       10        0
62814 vcvrack                            	       0        1        0        1        0
62815 vdev                               	       0        1        0        1        0
62816 vdirsyncer-doc                     	       0        6        0        0        6
62817 vdk2-tutorial                      	       0        1        0        0        1
62818 vdo                                	       0        1        0        1        0
62819 vdpau-driver-all                   	       0     3203        0        0     3203
62820 vdpau-va-driver                    	       0      152        0        1      151
62821 vdr                                	       0        8        0        8        0
62822 vdr-dev                            	       0        2        0        2        0
62823 vdr-plugin-dvbsddevice             	       0        1        0        1        0
62824 vdr-plugin-dvd                     	       0        1        0        1        0
62825 vdr-plugin-examples                	       0        1        0        1        0
62826 vdr-plugin-femon                   	       0        2        0        2        0
62827 vdr-plugin-mplayer                 	       0        1        0        1        0
62828 vdr-plugin-streamdev-server        	       0        1        0        1        0
62829 vdr-plugin-svdrpservice            	       0        1        0        1        0
62830 vdr-plugin-vnsiserver              	       0        1        0        1        0
62831 vdr-plugin-xineliboutput           	       0        2        0        2        0
62832 vector                             	       0        1        0        1        0
62833 vectoroids                         	       0        4        0        4        0
62834 veeam                              	       0        4        0        4        0
62835 veeam-libs                         	       0        1        0        1        0
62836 veeam-release-deb                  	       0        5        0        0        5
62837 veeamdeployment                    	       0        1        0        0        1
62838 veeamsnap                          	       0        4        0        4        0
62839 veit-kannegieser-archive-keyring   	       0        4        0        0        4
62840 velocity                           	       0       20        0        0       20
62841 velocity-doc                       	       0        1        0        0        1
62842 ventoy                             	       0        4        0        0        4
62843 vera                               	       0        2        0        0        2
62844 vera++                             	       0        1        0        1        0
62845 veracrypt                          	       0       23        3       20        0
62846 veracrypt-console                  	       0        4        0        4        0
62847 verbiste                           	       0        3        0        3        0
62848 verbiste-gnome                     	       0        1        0        0        1
62849 verbiste-gtk                       	       0        2        0        2        0
62850 verdigris                          	       0        1        0        1        0
62851 verilator                          	       0       13        0       13        0
62852 veromix                            	       0        2        0        2        0
62853 veromix-common                     	       0        2        0        0        2
62854 veroroute                          	       0       11        0       11        0
62855 veroroute-data                     	       0        5        0        0        5
62856 verse                              	       0        6        0        6        0
62857 vertex-theme                       	       0        1        0        0        1
62858 vesktop                            	       0        5        0        2        3
62859 vessel                             	       0        1        0        0        1
62860 veusz                              	       0        3        0        3        0
62861 veusz-helpers                      	       0        1        0        1        0
62862 vf1                                	       0        1        0        1        0
62863 vfe-qt                             	       0        1        0        1        0
62864 vflib3                             	       0        3        0        3        0
62865 vfu                                	       0       24        0       21        3
62866 vfu-yascreen                       	       0        3        0        3        0
62867 vgabios                            	       0       23        0        0       23
62868 vgrabbj                            	       0        9        0        9        0
62869 vhba-dkms                          	       0        2        0        2        0
62870 via                                	       0        1        0        0        1
62871 viagee                             	       0        2        0        2        0
62872 viber                              	       0        7        0        0        7
62873 vibrancy-colors                    	       0        1        0        0        1
62874 vice                               	       0       28        1       27        0
62875 vico                               	       0        1        0        1        0
62876 victoria-metrics                   	       0        1        0        1        0
62877 video-downloader                   	       0        2        1        1        0
62878 video2x                            	       0        1        0        1        0
62879 videogen                           	       0        6        0        6        0
62880 videolan-doc                       	       0        5        0        0        5
62881 videotrans                         	       0        7        0        7        0
62882 vidkicks                           	       0        5        0        5        0
62883 vieb                               	       0        1        0        0        1
62884 view3dscene                        	       0        6        0        6        0
62885 viewflif                           	       0        1        0        1        0
62886 viewmol                            	       0        1        0        1        0
62887 viewnior                           	       0       52        3       49        0
62888 viewpdf.app                        	       0        8        0        8        0
62889 viewvc                             	       0        1        0        1        0
62890 viewvc-query                       	       0        1        0        1        0
62891 vifm                               	       0       32        2       30        0
62892 vigor                              	       0        4        0        4        0
62893 viking                             	       0       20        0       20        0
62894 vile                               	       0        3        0        3        0
62895 vile-common                        	       0        3        0        3        0
62896 vile-filters                       	       0        3        0        0        3
62897 vilistextum                        	       0        3        0        3        0
62898 vim-addon-mw-utils                 	       0        8        0        0        8
62899 vim-airline                        	       0       10        0        0       10
62900 vim-airline-themes                 	       0       10        0        0       10
62901 vim-ale                            	       0       10        0        0       10
62902 vim-asciidoc                       	       0       17        0        0       17
62903 vim-athena                         	       0       11        0        4        7
62904 vim-autopairs                      	       0        1        0        0        1
62905 vim-autopep8                       	       0        9        0        0        9
62906 vim-command-t                      	       0        2        0        0        2
62907 vim-conque                         	       0        1        0        0        1
62908 vim-ctrlp                          	       0        9        0        0        9
62909 vim-doc                            	       0       70        0        0       70
62910 vim-eblook                         	       0        1        0        0        1
62911 vim-editorconfig                   	       0        8        0        0        8
62912 vim-fugitive                       	       0       10        0        0       10
62913 vim-git-hub                        	       0        7        0        0        7
62914 vim-gitgutter                      	       0        3        0        0        3
62915 vim-gnome                          	       0        1        0        0        1
62916 vim-gtk                            	       0       65        1        9       55
62917 vim-haproxy                        	       0        2        0        0        2
62918 vim-icinga2                        	       0        6        0        0        6
62919 vim-julia                          	       0        7        0        0        7
62920 vim-lastplace                      	       0        5        0        0        5
62921 vim-latexsuite                     	       0       19        0        0       19
62922 vim-ledger                         	       0        3        0        0        3
62923 vim-lesstif                        	       0        2        0        0        2
62924 vim-link-vim                       	       0        1        0        0        1
62925 vim-nftables                       	       0        1        0        0        1
62926 vim-pathogen                       	       0       16        0        0       16
62927 vim-poke                           	       0        1        0        0        1
62928 vim-puppet                         	       0        5        0        0        5
62929 vim-python-jedi                    	       0        8        0        0        8
62930 vim-rails                          	       0        2        0        0        2
62931 vim-rainbow                        	       0        1        0        0        1
62932 vim-snipmate                       	       0        4        0        0        4
62933 vim-snippets                       	       0       15        0        0       15
62934 vim-solarized                      	       0        8        0        0        8
62935 vim-subtitles                      	       0        2        0        0        2
62936 vim-syntastic                      	       0       27        0        0       27
62937 vim-syntax-go                      	       0        1        0        0        1
62938 vim-syntax-gtk                     	       0       10        0        0       10
62939 vim-tabular                        	       0       12        0        0       12
62940 vim-textobj-user                   	       0        3        0        0        3
62941 vim-tlib                           	       0        4        0        0        4
62942 vim-ultisnips                      	       0        9        0        0        9
62943 vim-vimerl                         	       0        2        0        0        2
62944 vim-vimerl-syntax                  	       0        4        0        0        4
62945 vim-vimoutliner                    	       0        2        0        2        0
62946 vim-voom                           	       0        7        0        0        7
62947 vim-youcompleteme                  	       0       15        0        0       15
62948 vimb                               	       0        1        0        1        0
62949 vinagre                            	       0       67        1       66        0
62950 vinetto                            	       0       24        0       24        0
62951 vipnetclient                       	       0        1        0        1        0
62952 virgl-server                       	       0        4        0        4        0
62953 virt-goodies                       	       0        1        0        1        0
62954 virt-top                           	       0       26        1       25        0
62955 virt-v2v                           	       0        2        0        2        0
62956 virtaal                            	       0        2        0        2        0
62957 virtualbox                         	       0       22        1       21        0
62958 virtualbox-5.1                     	       0        3        0        3        0
62959 virtualbox-5.2                     	       0        4        1        3        0
62960 virtualbox-6.0                     	       0        2        0        2        0
62961 virtualbox-6.1                     	       0       55        5       50        0
62962 virtualbox-dkms                    	       0       31        0       31        0
62963 virtualbox-ext-pack                	       0       10        0        0       10
62964 virtualbox-guest-additions-iso     	       0       28        0        0       28
62965 virtualbox-guest-dkms              	       0        5        0        5        0
62966 virtualbox-guest-source            	       0        1        0        0        1
62967 virtualbox-guest-utils             	       0        8        0        8        0
62968 virtualbox-guest-x11               	       0        3        0        3        0
62969 virtualbox-qt                      	       0       21        0       21        0
62970 virtualbox-source                  	       0        2        0        0        2
62971 virtualenv                         	       0       84        3       19       62
62972 virtualenv-clone                   	       0        1        0        1        0
62973 virtualenvwrapper                  	       0       10        0        1        9
62974 virtualenvwrapper-doc              	       0        1        0        0        1
62975 virtualgl                          	       0        3        0        3        0
62976 virtualjaguar                      	       0        3        0        3        0
62977 virtualmin-config                  	       0        1        0        1        0
62978 virtualmin-core                    	       0        1        0        0        1
62979 virtualplanet                      	       0        1        0        1        0
62980 virtuoso-minimal                   	       0       12        0        0       12
62981 virtuoso-opensource                	       0        1        0        0        1
62982 virtuoso-opensource-6.1-bin        	       0        6        0        6        0
62983 virtuoso-opensource-6.1-common     	       0        6        0        6        0
62984 virtuoso-opensource-7              	       0        2        0        1        1
62985 virtuoso-opensource-7-bin          	       0        9        0        9        0
62986 virtuoso-opensource-7-common       	       0       10        0       10        0
62987 virtuoso-server                    	       0        1        0        0        1
62988 virtuoso-vad-bpel                  	       0        1        0        0        1
62989 virtuoso-vad-conductor             	       0        1        0        0        1
62990 virtuoso-vad-demo                  	       0        1        0        0        1
62991 virtuoso-vad-doc                   	       0        1        0        0        1
62992 virtuoso-vad-isparql               	       0        1        0        0        1
62993 virtuoso-vad-ods                   	       0        1        0        0        1
62994 virtuoso-vad-rdfmappers            	       0        1        0        0        1
62995 virtuoso-vad-sparqldemo            	       0        1        0        0        1
62996 virtuoso-vad-syncml                	       0        1        0        0        1
62997 virtuoso-vad-tutorial              	       0        1        0        0        1
62998 virtuoso-vsp-startpage             	       0        1        0        0        1
62999 viruskiller                        	       0        4        0        4        0
63000 vis                                	       0       10        1        9        0
63001 vish                               	       0        1        0        1        0
63002 visidata                           	       0        7        0        7        0
63003 visolate                           	       0        7        0        7        0
63004 visual-regexp                      	       0        5        0        5        0
63005 visualboyadvance                   	       0        8        0        8        0
63006 vital                              	       0        2        0        2        0
63007 vitalium-vst                       	       0        1        0        1        0
63008 vite                               	       0        2        0        2        0
63009 vitetris                           	       0       13        0       13        0
63010 vivaldi-snapshot                   	       0        6        0        6        0
63011 vivid                              	       0        4        0        4        0
63012 vivid-musl                         	       0        1        0        1        0
63013 vk                                 	       0        2        0        2        0
63014 vk-messenger                       	       0        1        0        0        1
63015 vkbasalt                           	       0       13        0        0       13
63016 vkd3d-compiler                     	       0       49        0       49        0
63017 vkd3d-demos                        	       0        7        0        7        0
63018 vkeybd                             	       0       19        1       18        0
63019 vkmark                             	       0        2        0        2        0
63020 vl805fw                            	       0        1        0        0        1
63021 vlc-bin-dbgsym                     	       0        1        0        1        0
63022 vlc-l10n                           	       0     1097        6       21     1070
63023 vlc-plugin-access-extra            	       0      972        0        1      971
63024 vlc-plugin-base                    	       0     1624       12       46     1566
63025 vlc-plugin-base-dbgsym             	       0        1        0        1        0
63026 vlc-plugin-bittorrent              	       0       48        0        2       46
63027 vlc-plugin-fluidsynth              	       0       67        1        2       64
63028 vlc-plugin-jack                    	       0       69        0        1       68
63029 vlc-plugin-notify-dbgsym           	       0        1        0        1        0
63030 vlc-plugin-pipewire                	       0       67        0        0       67
63031 vlc-plugin-pulse                   	       0        6        0        0        6
63032 vlc-plugin-qt-dbgsym               	       0        1        0        1        0
63033 vlc-plugin-sdl                     	       0        4        0        1        3
63034 vlc-plugin-svg                     	       0       66        0        1       65
63035 vlc-plugin-video-output            	       0     1616        5       22     1589
63036 vlc-plugin-video-output-dbgsym     	       0        1        0        1        0
63037 vlc-plugin-video-splitter          	       0     1085        0        1     1084
63038 vlc-plugin-visualization           	       0     1086        0        1     1085
63039 vlc-plugin-vlsub                   	       0       10        0        0       10
63040 vlc-plugin-zvbi                    	       0        3        0        1        2
63041 vlevel                             	       0        6        1        5        0
63042 vlock                              	       0       33        2       31        0
63043 vlogger                            	       0        1        0        1        0
63044 vm                                 	       0        2        0        2        0
63045 vma                                	       0        1        0        1        0
63046 vmdb2                              	       0        9        1        8        0
63047 vmdk-stream-converter              	       0        2        0        0        2
63048 vmfs-tools                         	       0       10        0       10        0
63049 vmfs6-tools                        	       0        6        0        6        0
63050 vmg                                	       0        5        0        5        0
63051 vmm-doc                            	       0        1        0        0        1
63052 vmpk                               	       0       21        0       21        0
63053 vmtouch                            	       0        9        0        9        0
63054 vmware-horizon-client              	       0        5        2        3        0
63055 vmware-manager                     	       0        7        0        7        0
63056 vnc-common                         	       0        2        0        2        0
63057 vnc-java                           	       0        1        0        1        0
63058 vnc4server                         	       0       22        0        2       20
63059 vncserver                          	       0        1        0        1        0
63060 vncsnapshot                        	       0        4        0        4        0
63061 vnstat                             	       0       46       10       36        0
63062 vnstati                            	       0        4        0        4        0
63063 vobcopy                            	       0       26        1       25        0
63064 vobsub2srt                         	       0        3        0        3        0
63065 vocproc                            	       0        4        0        4        0
63066 voctomix                           	       0        5        0        0        5
63067 voctomix-core                      	       0        5        0        5        0
63068 voctomix-gui                       	       0        5        0        5        0
63069 vodovod                            	       0        9        0        9        0
63070 vokoscreen                         	       0        6        0        2        4
63071 volatility                         	       0        5        0        5        0
63072 volatility-tools                   	       0        6        0        6        0
63073 volk                               	       0        1        0        1        0
63074 volti                              	       0        1        0        1        0
63075 voltron                            	       0        2        0        2        0
63076 volumecontrol.app                  	       0        3        0        3        0
63077 voms-dev                           	       0        1        1        0        0
63078 vor                                	       0        2        0        2        0
63079 voro++                             	       0        2        0        2        0
63080 voro++-dev                         	       0        2        0        0        2
63081 vorta                              	       0        9        0        9        0
63082 voxbo                              	       0        1        0        1        0
63083 voyage-util                        	       0        1        0        1        0
63084 vpb-driver-source                  	       0       11        0        0       11
63085 vpb-utils                          	       0        1        0        1        0
63086 vpcs                               	       0        2        0        2        0
63087 vpkedit                            	       0        1        0        1        0
63088 vpnc-scripts                       	       0      132        0        0      132
63089 vrfy                               	       0        2        1        1        0
63090 vsd2odg                            	       0        3        0        3        0
63091 vsdump                             	       0        1        0        1        0
63092 vsearch                            	       0        2        0        2        0
63093 vsftpd                             	       0       62       12       50        0
63094 vsmartcard-vpcd                    	       0        2        0        2        0
63095 vsmartcard-vpicc                   	       0        2        0        2        0
63096 vsound                             	       0        1        0        1        0
63097 vstream-client-dev                 	       0        8        0        8        0
63098 vstudio                            	       0        1        0        1        0
63099 vsync                              	       0        1        0        1        0
63100 vtable-dumper                      	       0        5        0        5        0
63101 vtgamma                            	       0        1        0        1        0
63102 vtgrab                             	       0        4        0        4        0
63103 vtk-dicom-tools                    	       0        2        0        2        0
63104 vtk6                               	       0        1        0        1        0
63105 vtk6-doc                           	       0        1        0        0        1
63106 vtk6-examples                      	       0        1        0        1        0
63107 vtk7-doc                           	       0        1        0        0        1
63108 vtk9                               	       0       12        0       12        0
63109 vtk9-doc                           	       0        3        0        0        3
63110 vtk9-examples                      	       0        4        0        4        0
63111 vtprint                            	       0        2        0        2        0
63112 vttest                             	       0        8        0        8        0
63113 vtwm                               	       0        6        1        5        0
63114 vuescan                            	       0        4        0        4        0
63115 vufind                             	       0        1        1        0        0
63116 vulkan-amdgpu                      	       0        3        0        1        2
63117 vulkan-amdgpu-pro                  	       0        1        0        0        1
63118 vulkan-extensionlayer              	       0        1        0        0        1
63119 vulkan-headers                     	       0        1        0        1        0
63120 vulkan-memory-allocator-doc        	       0        1        0        0        1
63121 vulkan-profiles                    	       0        1        0        1        0
63122 vulkan-sdk                         	       0        2        0        0        2
63123 vulkan-utility-libraries           	       0        1        0        0        1
63124 vulkan-utility-libraries-dev       	       0        3        0        3        0
63125 vulkan-utils                       	       0       21        0        1       20
63126 vulkan-validationlayers            	       0       35        0        0       35
63127 vulkan-validationlayers-dev        	       0        8        0        8        0
63128 vulkancapsviewer                   	       0        1        0        1        0
63129 vuls                               	       0        4        1        3        0
63130 vulture                            	       0        2        0        2        0
63131 vux                                	       0        1        0        1        0
63132 vym                                	       0       23        2       21        0
63133 vz-guest-udev                      	       0        1        0        1        0
63134 vzctl                              	       0        1        0        1        0
63135 vzlogger                           	       0        1        0        1        0
63136 vzquota                            	       0        1        0        1        0
63137 w-scan                             	       0       23        0       23        0
63138 w-scan-cpp                         	       0        1        0        1        0
63139 w2do                               	       0        1        0        1        0
63140 w3-doc-e21                         	       0        1        0        0        1
63141 w3-dtd-mathml                      	       0        4        0        0        4
63142 w3-recs                            	       0        6        0        0        6
63143 w3-recs-2002                       	       0        1        0        0        1
63144 w3-recs-2003                       	       0        1        0        0        1
63145 w32codecs                          	       0        5        0        5        0
63146 w3c-dtd-xhtml                      	       0        3        0        0        3
63147 w3c-linkchecker                    	       0        3        0        3        0
63148 w3c-markup-validator               	       0        2        0        0        2
63149 w3c-sgml-lib                       	       0        9        0        0        9
63150 w3cam                              	       0        1        0        1        0
63151 w3m-el                             	       0       12        0       12        0
63152 w64codecs                          	       0        8        0        8        0
63153 w9wm                               	       0        4        0        4        0
63154 waagent                            	       0        1        0        1        0
63155 wadc                               	       0        2        0        2        0
63156 waffle-utils                       	       0        2        0        2        0
63157 wafw00f                            	       0        2        0        2        0
63158 wah-plugins                        	       0       17        1       16        0
63159 waimea                             	       0        1        0        1        0
63160 wait-for-it                        	       0        1        0        1        0
63161 wajig                              	       0       10        0       10        0
63162 wallace                            	       0        1        0        1        0
63163 wallstreet                         	       0        2        0        2        0
63164 wammu                              	       0        4        0        4        0
63165 wap-wml-tools                      	       0        1        0        1        0
63166 wapiti                             	       0       28        0       28        0
63167 warmux-data                        	       0       24        0        0       24
63168 warmux-servers                     	       0        2        0        2        0
63169 warp                               	       0        1        0        1        0
63170 warp-terminal                      	       0        2        0        0        2
63171 warpinator                         	       0        1        1        0        0
63172 warsaw                             	       0        2        0        2        0
63173 warzone2100-data                   	       0       17        0        0       17
63174 warzone2100-music                  	       0       18        0        0       18
63175 wassabee                           	       0        2        0        2        0
63176 watchcatd                          	       0        2        0        2        0
63177 watchman                           	       0        2        0        2        0
63178 waterfox-classic-i18n-en-gb        	       0        1        0        1        0
63179 waterfox-classic-i18n-ru           	       0        1        0        1        0
63180 waterfox-classic-kde               	       0        1        0        0        1
63181 waterfox-classic-kpe               	       0        3        0        3        0
63182 waterfox-g                         	       0        1        0        1        0
63183 waterfox-g-i18n-ru                 	       0        1        0        1        0
63184 waterfox-g-kde                     	       0        1        0        0        1
63185 waterfox-g-kpe                     	       0        2        0        2        0
63186 waterfox-g3-kde                    	       0        1        0        0        1
63187 waterfox-g3-kpe                    	       0        1        0        0        1
63188 waterfox-kde                       	       0        2        0        2        0
63189 waterfox-kde-full                  	       0        3        0        2        1
63190 watson                             	       0        1        0        1        0
63191 wattconfig-eco                     	       0        1        0        0        1
63192 wav2cdr                            	       0        5        0        5        0
63193 wavbreaker                         	       0        5        0        5        0
63194 wavegain                           	       0        1        0        1        0
63195 waves-exchange                     	       0        1        0        0        1
63196 wavesurfer                         	       0        1        0        1        0
63197 wavtool-pl                         	       0        1        0        1        0
63198 wayback                            	       0        1        0        1        0
63199 wayfire-plugin-winshadows          	       0        1        0        0        1
63200 wayland-protocols-amdgpu           	       0        1        0        0        1
63201 waylandpp-dev                      	       0        4        0        0        4
63202 waylandpp-doc                      	       0        4        0        0        4
63203 wayvnc                             	       0        5        0        5        0
63204 wbar                               	       0       13        1       12        0
63205 wcalc                              	       0       16        1       15        0
63206 wcc                                	       0        3        0        3        0
63207 wcd                                	       0        1        0        1        0
63208 wcslib-dev                         	       0        6        0        6        0
63209 wcslib-tools                       	       0        4        0        4        0
63210 wcstools                           	       0        7        0        7        0
63211 wdanish                            	       0        7        0        7        0
63212 wdg-html-validator                 	       0        1        0        1        0
63213 wdiff-doc                          	       0       11        0        0       11
63214 wdisplays                          	       0       13        0       13        0
63215 wdm                                	       0       25        3       22        0
63216 wdutch                             	       0       25        0       25        0
63217 weasyprint                         	       0       11        0       11        0
63218 weather                            	       0        1        0        0        1
63219 weather-util                       	       0       10        0       10        0
63220 weather-util-data                  	       0       11        0        0       11
63221 weathermap4rrd                     	       0        2        0        2        0
63222 web-eid                            	       0        2        0        0        2
63223 web-eid-chrome                     	       0        1        0        0        1
63224 web-eid-firefox                    	       0        2        0        1        1
63225 web-eid-native                     	       0        2        0        2        0
63226 webalizer                          	       0       24        4       20        0
63227 webapps-metainfo                   	       0        1        0        0        1
63228 webcam                             	       0       13        0       13        0
63229 webcamd                            	       0        5        0        5        0
63230 webcamoid-data                     	       0       48        0        0       48
63231 webcamoid-plugins                  	       0       47        0        0       47
63232 webcpp                             	       0        1        1        0        0
63233 webex                              	       0        8        1        7        0
63234 webext-allow-html-temp             	       0        2        0        2        0
63235 webext-browserpass                 	       0        5        0        5        0
63236 webext-bulk-media-downloader       	       0        5        0        0        5
63237 webext-dav4tbsync                  	       0        6        3        3        0
63238 webext-debianbuttons               	       0        2        0        0        2
63239 webext-eas4tbsync                  	       0        5        2        3        0
63240 webext-form-history-control        	       0        1        0        0        1
63241 webext-foxyproxy                   	       0        1        0        0        1
63242 webext-https-everywhere            	       0        6        0        0        6
63243 webext-indie-wiki-buddy-firefox    	       0        1        0        0        1
63244 webext-keepassxc-browser           	       0       27        0        0       27
63245 webext-lightbeam                   	       0        5        0        0        5
63246 webext-noscript                    	       0        7        0        0        7
63247 webext-privacy-badger              	       0        9        1        8        0
63248 webext-proxy-switcher              	       0        1        0        0        1
63249 webext-sogo-connector              	       0        4        0        0        4
63250 webext-tbsync                      	       0        6        3        3        0
63251 webext-treestyletab                	       0        3        0        0        3
63252 webext-ublock-origin               	       0       18        0        0       18
63253 webext-ublock-origin-chromium      	       0       40        2       38        0
63254 webext-ublock-origin-firefox       	       0       46        3       43        0
63255 webext-umatrix                     	       0        3        0        2        1
63256 webfs                              	       0        9        0        9        0
63257 webhook                            	       0        1        0        1        0
63258 webhttrack                         	       0       27        0       27        0
63259 webhttrack-common                  	       0       27        0        0       27
63260 webkit-image-gtk                   	       0        1        0        1        0
63261 webkit2pdf                         	       0        1        0        1        0
63262 weblint-perl                       	       0       10        0       10        0
63263 webmagick                          	       0        2        0        2        0
63264 webmin-cloudmin-services           	       0        1        0        0        1
63265 webmin-jailkit                     	       0        1        0        0        1
63266 webmin-php-pear                    	       0        1        0        0        1
63267 webmin-ruby-gems                   	       0        1        0        0        1
63268 webmin-security-updates            	       0        2        0        0        2
63269 webmin-server-manager              	       0        4        1        3        0
63270 webmin-virtual-server              	       0        2        0        2        0
63271 webmin-virtual-server-mobile       	       0        2        0        0        2
63272 webmin-virtual-server-theme        	       0        4        0        0        4
63273 webmin-virtualmin-awstats          	       0        1        0        0        1
63274 webmin-virtualmin-dav              	       0        1        0        0        1
63275 webmin-virtualmin-git              	       0        1        0        0        1
63276 webmin-virtualmin-htpasswd         	       0        1        0        0        1
63277 webmin-virtualmin-init             	       0        1        0        0        1
63278 webmin-virtualmin-password-recovery	       0        1        0        0        1
63279 webmin-virtualmin-registrar        	       0        1        0        1        0
63280 webmin-virtualmin-slavedns         	       0        1        0        0        1
63281 webmin-virtualmin-sqlite           	       0        1        0        0        1
63282 weborf                             	       0        6        0        6        0
63283 webots                             	       0        2        0        2        0
63284 websocketd                         	       0        8        2        6        0
63285 websockify                         	       0       13        0       13        0
63286 websockify-common                  	       0        2        0        0        2
63287 webtorrent-desktop                 	       0        3        0        3        0
63288 webulldesktop                      	       0        1        0        1        0
63289 webvtt                             	       0        2        0        2        0
63290 wechat-beta                        	       0        1        0        0        1
63291 weechat                            	       0       51        0        0       51
63292 weechat-core                       	       0       54        1        7       46
63293 weechat-devel-core                 	       0        1        0        0        1
63294 weechat-devel-curses               	       0        1        0        1        0
63295 weechat-devel-perl                 	       0        1        0        0        1
63296 weechat-devel-plugins              	       0        1        0        0        1
63297 weechat-devel-python               	       0        1        0        0        1
63298 weechat-devel-ruby                 	       0        1        0        0        1
63299 weechat-doc                        	       0        4        0        0        4
63300 weechat-lua                        	       0        1        0        0        1
63301 weechat-matrix                     	       0        7        0        1        6
63302 weechat-perl                       	       0       48        1        4       43
63303 weechat-php                        	       0        1        0        0        1
63304 weechat-plugins                    	       0       54        1        7       46
63305 weechat-python                     	       0       53        1        5       47
63306 weechat-ruby                       	       0       47        1        4       42
63307 weechat-scripts                    	       0        7        0        0        7
63308 weechat-tcl                        	       0        1        0        0        1
63309 weektodo                           	       0        1        0        1        0
63310 weevely                            	       0        1        0        1        0
63311 weewx                              	       0        2        1        1        0
63312 weex                               	       0        1        0        1        0
63313 weightwatcher                      	       0        2        0        2        0
63314 weixin                             	       0        1        0        0        1
63315 weka                               	       0        3        0        3        0
63316 weka-doc                           	       0        1        0        0        1
63317 welcome2l                          	       0        2        1        1        0
63318 welle.io                           	       0        3        0        3        0
63319 wesnoth                            	       0       43        0        0       43
63320 wesnoth-1.10                       	       0        1        0        0        1
63321 wesnoth-1.10-aoi                   	       0        1        0        0        1
63322 wesnoth-1.10-core                  	       0        1        0        1        0
63323 wesnoth-1.10-data                  	       0        1        0        0        1
63324 wesnoth-1.10-did                   	       0        1        0        0        1
63325 wesnoth-1.10-dm                    	       0        1        0        0        1
63326 wesnoth-1.10-dw                    	       0        1        0        0        1
63327 wesnoth-1.10-ei                    	       0        1        0        0        1
63328 wesnoth-1.10-httt                  	       0        1        0        0        1
63329 wesnoth-1.10-l                     	       0        1        0        0        1
63330 wesnoth-1.10-low                   	       0        1        0        0        1
63331 wesnoth-1.10-music                 	       0        1        0        0        1
63332 wesnoth-1.10-nr                    	       0        1        0        0        1
63333 wesnoth-1.10-sof                   	       0        1        0        0        1
63334 wesnoth-1.10-sotbe                 	       0        1        0        0        1
63335 wesnoth-1.10-thot                  	       0        1        0        0        1
63336 wesnoth-1.10-trow                  	       0        1        0        0        1
63337 wesnoth-1.10-tsg                   	       0        1        0        0        1
63338 wesnoth-1.10-ttb                   	       0        1        0        0        1
63339 wesnoth-1.10-utbs                  	       0        1        0        0        1
63340 wesnoth-1.11                       	       0        1        0        0        1
63341 wesnoth-1.11-aoi                   	       0        1        0        0        1
63342 wesnoth-1.11-core                  	       0        1        0        1        0
63343 wesnoth-1.11-data                  	       0        1        0        0        1
63344 wesnoth-1.11-did                   	       0        1        0        0        1
63345 wesnoth-1.11-dm                    	       0        1        0        0        1
63346 wesnoth-1.11-dw                    	       0        1        0        0        1
63347 wesnoth-1.11-ei                    	       0        1        0        0        1
63348 wesnoth-1.11-httt                  	       0        1        0        0        1
63349 wesnoth-1.11-l                     	       0        1        0        0        1
63350 wesnoth-1.11-low                   	       0        1        0        0        1
63351 wesnoth-1.11-music                 	       0        1        0        0        1
63352 wesnoth-1.11-nr                    	       0        1        0        0        1
63353 wesnoth-1.11-sof                   	       0        1        0        0        1
63354 wesnoth-1.11-sotbe                 	       0        1        0        0        1
63355 wesnoth-1.11-thot                  	       0        1        0        0        1
63356 wesnoth-1.11-tools                 	       0        1        0        0        1
63357 wesnoth-1.11-trow                  	       0        1        0        0        1
63358 wesnoth-1.11-tsg                   	       0        1        0        0        1
63359 wesnoth-1.11-ttb                   	       0        1        0        0        1
63360 wesnoth-1.11-utbs                  	       0        1        0        0        1
63361 wesnoth-1.12                       	       0        4        0        0        4
63362 wesnoth-1.12-aoi                   	       0        4        0        0        4
63363 wesnoth-1.12-core                  	       0        4        0        4        0
63364 wesnoth-1.12-data                  	       0        4        0        0        4
63365 wesnoth-1.12-did                   	       0        4        0        0        4
63366 wesnoth-1.12-dm                    	       0        4        0        0        4
63367 wesnoth-1.12-dw                    	       0        4        0        0        4
63368 wesnoth-1.12-ei                    	       0        4        0        0        4
63369 wesnoth-1.12-httt                  	       0        4        0        0        4
63370 wesnoth-1.12-l                     	       0        4        0        0        4
63371 wesnoth-1.12-low                   	       0        4        0        0        4
63372 wesnoth-1.12-music                 	       0        4        0        0        4
63373 wesnoth-1.12-nr                    	       0        4        0        0        4
63374 wesnoth-1.12-sof                   	       0        4        0        0        4
63375 wesnoth-1.12-sotbe                 	       0        4        0        0        4
63376 wesnoth-1.12-thot                  	       0        4        0        0        4
63377 wesnoth-1.12-trow                  	       0        4        0        0        4
63378 wesnoth-1.12-tsg                   	       0        4        0        0        4
63379 wesnoth-1.12-ttb                   	       0        4        0        0        4
63380 wesnoth-1.12-utbs                  	       0        4        0        0        4
63381 wesnoth-1.14                       	       0        8        0        0        8
63382 wesnoth-1.14-aoi                   	       0        8        0        0        8
63383 wesnoth-1.14-core                  	       0        8        0        8        0
63384 wesnoth-1.14-data                  	       0       11        0        0       11
63385 wesnoth-1.14-did                   	       0        8        0        0        8
63386 wesnoth-1.14-dm                    	       0        8        0        0        8
63387 wesnoth-1.14-dw                    	       0        8        0        0        8
63388 wesnoth-1.14-ei                    	       0        8        0        0        8
63389 wesnoth-1.14-httt                  	       0        8        0        0        8
63390 wesnoth-1.14-l                     	       0        8        0        0        8
63391 wesnoth-1.14-low                   	       0        8        0        0        8
63392 wesnoth-1.14-music                 	       0       13        0        0       13
63393 wesnoth-1.14-nr                    	       0        8        0        0        8
63394 wesnoth-1.14-server                	       0        3        0        3        0
63395 wesnoth-1.14-sof                   	       0        8        0        0        8
63396 wesnoth-1.14-sota                  	       0        8        0        0        8
63397 wesnoth-1.14-sotbe                 	       0        8        0        0        8
63398 wesnoth-1.14-thot                  	       0        8        0        0        8
63399 wesnoth-1.14-tools                 	       0        1        0        1        0
63400 wesnoth-1.14-trow                  	       0        8        0        0        8
63401 wesnoth-1.14-tsg                   	       0        8        0        0        8
63402 wesnoth-1.14-ttb                   	       0        8        0        0        8
63403 wesnoth-1.14-utbs                  	       0        8        0        0        8
63404 wesnoth-1.16                       	       0       28        0        0       28
63405 wesnoth-1.16-build-deps            	       0        1        0        0        1
63406 wesnoth-1.16-data                  	       0       31        0        0       31
63407 wesnoth-1.16-did                   	       0       28        0        0       28
63408 wesnoth-1.16-dm                    	       0       28        0        0       28
63409 wesnoth-1.16-dw                    	       0       28        0        0       28
63410 wesnoth-1.16-ei                    	       0       28        0        0       28
63411 wesnoth-1.16-httt                  	       0       28        0        0       28
63412 wesnoth-1.16-l                     	       0       28        0        0       28
63413 wesnoth-1.16-low                   	       0       28        0        0       28
63414 wesnoth-1.16-music                 	       0       30        0        0       30
63415 wesnoth-1.16-nr                    	       0       28        0        0       28
63416 wesnoth-1.16-sof                   	       0       28        0        0       28
63417 wesnoth-1.16-sota                  	       0       28        0        0       28
63418 wesnoth-1.16-sotbe                 	       0       28        0        0       28
63419 wesnoth-1.16-thot                  	       0       28        0        0       28
63420 wesnoth-1.16-trow                  	       0       28        0        0       28
63421 wesnoth-1.16-tsg                   	       0       28        0        0       28
63422 wesnoth-1.16-ttb                   	       0       28        0        0       28
63423 wesnoth-1.16-utbs                  	       0       28        0        0       28
63424 wesnoth-1.18-data                  	       0       13        0        0       13
63425 wesnoth-1.18-did                   	       0       11        0        0       11
63426 wesnoth-1.18-dm                    	       0       11        0        0       11
63427 wesnoth-1.18-dw                    	       0       11        0        0       11
63428 wesnoth-1.18-ei                    	       0       11        0        0       11
63429 wesnoth-1.18-httt                  	       0       11        0        0       11
63430 wesnoth-1.18-l                     	       0       11        0        0       11
63431 wesnoth-1.18-low                   	       0       11        0        0       11
63432 wesnoth-1.18-music                 	       0       13        0        0       13
63433 wesnoth-1.18-nr                    	       0       11        0        0       11
63434 wesnoth-1.18-sof                   	       0       11        0        0       11
63435 wesnoth-1.18-sota                  	       0       11        0        0       11
63436 wesnoth-1.18-sotbe                 	       0       11        0        0       11
63437 wesnoth-1.18-thot                  	       0       11        0        0       11
63438 wesnoth-1.18-tools                 	       0        1        0        1        0
63439 wesnoth-1.18-trow                  	       0       11        0        0       11
63440 wesnoth-1.18-tsg                   	       0       11        0        0       11
63441 wesnoth-1.18-ttb                   	       0       11        0        0       11
63442 wesnoth-1.18-utbs                  	       0       11        0        0       11
63443 wesnoth-1.18-wof                   	       0       11        0        0       11
63444 wesnoth-core                       	       0        2        0        0        2
63445 wesnoth-music                      	       0        7        0        0        7
63446 wesperanto                         	       0       15        0       12        3
63447 weston                             	       0       24        0       24        0
63448 wev                                	       0        6        1        5        0
63449 weylus                             	       0        1        0        1        0
63450 wezterm                            	       0        2        0        2        0
63451 wf-recorder                        	       0        5        0        5        0
63452 wfrog                              	       0        1        0        1        0
63453 wfuzz                              	       0       25        0       25        0
63454 wfview                             	       0        7        0        7        0
63455 wgalician-minimos                  	       0        2        0        2        0
63456 wgdd-archive-keyring               	       0        1        0        0        1
63457 wget2-dev                          	       0        1        0        1        0
63458 whalebird                          	       0        1        0        0        1
63459 whatmaps                           	       0        1        0        1        0
63460 whatsdesk                          	       0        8        0        3        5
63461 when                               	       0        7        1        6        0
63462 whereami                           	       0        2        0        2        0
63463 which                              	       0        1        0        1        0
63464 whichman                           	       0        3        0        3        0
63465 whichwayisup                       	       0        1        0        1        0
63466 whipper                            	       0        7        0        7        0
63467 whitakers-words-data               	       0        2        0        0        2
63468 whiteboard                         	       0        1        0        1        0
63469 whitedune                          	       0        5        0        5        0
63470 whitedune-docs                     	       0        2        0        2        0
63471 whitesur-gtk-theme                 	       0        1        0        0        1
63472 whizzytex                          	       0        2        0        2        0
63473 whohas                             	       0        5        1        4        0
63474 whowatch                           	       0        4        0        4        0
63475 whysynth                           	       0        9        0        9        0
63476 wicd                               	       0      151        0        0      151
63477 wicd-build-deps                    	       0        1        0        0        1
63478 wicd-cli                           	       0        7        0        7        0
63479 wicd-gtk                           	       0      125       13      112        0
63480 wicreset                           	       0        1        0        1        0
63481 wide-dhcpv6-client                 	       0        8        0        8        0
63482 wide-dhcpv6-relay                  	       0        1        0        1        0
63483 widelands                          	       0       15        0       15        0
63484 widelands-data                     	       0       15        0        0       15
63485 widemargin                         	       0        2        0        2        0
63486 wifi-host-ap-bash                  	       0        1        0        1        0
63487 wifi-radar                         	       0        3        0        3        0
63488 wifite                             	       0       29        0       29        0
63489 wigeon                             	       0        1        0        1        0
63490 wiggle                             	       0        1        0        1        0
63491 wike                               	       0        2        0        2        0
63492 wiki2beamer                        	       0        2        0        2        0
63493 wikiman                            	       0        1        0        1        0
63494 wikipedia2text                     	       0        6        0        6        0
63495 wikipediafs                        	       0        1        0        1        0
63496 wildmidi                           	       0        3        0        3        0
63497 wily                               	       0        5        0        5        0
63498 wily-dbgsym                        	       0        1        0        1        0
63499 win-iconv-mingw-w64-dev            	       0        2        0        2        0
63500 win31games                         	       0        4        0        4        0
63501 win31games-oregon-trail            	       0        2        0        0        2
63502 win31games-typing-instructor       	       0        3        0        0        3
63503 win31games-zoombinis               	       0        3        0        0        3
63504 win32-loader                       	       0        8        0        0        8
63505 winbind                            	       0      158       40      118        0
63506 window-size                        	       0        2        0        2        0
63507 windowlab                          	       0        3        0        3        0
63508 windows-el                         	       0        1        0        1        0
63509 windows-entertainment-pack         	       0        1        0        1        0
63510 windows95                          	       0        1        0        1        0
63511 windsurf                           	       0        1        0        1        0
63512 wine-bin                           	       0        1        0        0        1
63513 wine-devel                         	       0        9        2        7        0
63514 wine-devel-amd64                   	       0        9        1        8        0
63515 wine-devel-i386                    	       0       10        2        8        0
63516 wine-development                   	       0       14        0       14        0
63517 wine-doc                           	       0        1        0        0        1
63518 wine-staging                       	       0       11        0       11        0
63519 wine-staging-amd64                 	       0       11        0       11        0
63520 wine-staging-i386                  	       0       11        0       11        0
63521 wine1.6                            	       0        1        0        1        0
63522 wine1.6-amd64                      	       0        1        0        1        0
63523 wine1.6-i386                       	       0        1        0        1        0
63524 wine32-development                 	       0        5        0        5        0
63525 wine32-development-preloader       	       0        3        0        3        0
63526 wine32-tools                       	       0        1        0        1        0
63527 wine64-bin                         	       0        3        0        0        3
63528 wine64-dev-tools                   	       0        2        0        0        2
63529 wine64-development                 	       0       15        0       15        0
63530 wine64-development-preloader       	       0        5        0        5        0
63531 wine64-development-tools           	       0        4        0        4        0
63532 wineasio                           	       0        1        0        0        1
63533 wineasio-amd64                     	       0        1        0        0        1
63534 wineasio-data                      	       0        1        0        1        0
63535 wineasio-i386                      	       0        1        0        1        0
63536 winegui                            	       0        1        0        1        0
63537 winehq-devel                       	       0        8        1        7        0
63538 winehq-staging                     	       0        8        0        8        0
63539 winexe                             	       0        1        1        0        0
63540 winff                              	       0       37        0        0       37
63541 winff-data                         	       0       47        0        2       45
63542 winff-doc                          	       0       12        0        0       12
63543 winff-gtk2                         	       0       36        0       36        0
63544 winff-qt                           	       0       11        0       11        0
63545 wing                               	       0        5        0        5        0
63546 wing-data                          	       0        5        0        0        5
63547 wingpro10                          	       0        4        0        4        0
63548 wingpro7                           	       0        4        0        4        0
63549 wininfo                            	       0        4        0        4        0
63550 winpdb                             	       0        2        0        2        0
63551 winregfs                           	       0       24        0       24        0
63552 winsol                             	       0        1        0        1        0
63553 winswitch                          	       0        1        0        1        0
63554 wipe                               	       0       65        3       62        0
63555 wipe2fs                            	       0        1        0        1        0
63556 wire                               	       0        2        0        2        0
63557 wire-desktop                       	       0        3        0        1        2
63558 wiredpanda                         	       0        4        0        4        0
63559 wireguard                          	       0      154        0        0      154
63560 wireguard-dkms                     	       0       10        0       10        0
63561 wireguard-go                       	       0        3        0        3        0
63562 wireguird                          	       0        1        0        1        0
63563 wireplumber-doc                    	       0       16        0        0       16
63564 wireshark-dev                      	       0        2        0        2        0
63565 wireshark-doc                      	       0       39        0        0       39
63566 wireshark-gtk                      	       0       19        0        3       16
63567 wise                               	       0        2        0        2        0
63568 wise-data                          	       0        2        0        0        2
63569 wit                                	       0        3        0        3        0
63570 wixl                               	       0        1        0        1        0
63571 wixl-data                          	       0        1        0        0        1
63572 wizznic                            	       0        6        0        6        0
63573 wizznic-data                       	       0        6        0        0        6
63574 wkhtmltopdf                        	       0       65        2       63        0
63575 wkhtmltox                          	       0        7        0        7        0
63576 wkrt-lin                           	       0        1        0        1        0
63577 wks2ods                            	       0        2        0        2        0
63578 wl                                 	       0        2        0        2        0
63579 wlassistant-trinity                	       0        1        0        1        0
63580 wlc                                	       0        1        0        1        0
63581 wlcs                               	       0        3        0        3        0
63582 wlogout                            	       0        5        0        5        0
63583 wlr-randr                          	       0       11        0       11        0
63584 wlsunset                           	       0        5        0        5        0
63585 wm-icons                           	       0       16        1       15        0
63586 wm2                                	       0        5        0        5        0
63587 wmacpi                             	       0        7        2        5        0
63588 wmail                              	       0        3        0        3        0
63589 wmaker-data                        	       0       34        0        0       34
63590 wmakerconf                         	       0        1        0        1        0
63591 wmakerconf-data                    	       0        2        0        0        2
63592 wmanager                           	       0        1        0        1        0
63593 wmauda                             	       0        5        1        4        0
63594 wmbattery                          	       0       13        1       12        0
63595 wmbiff                             	       0        6        0        6        0
63596 wmbinclock                         	       0        1        0        1        0
63597 wmbubble                           	       0        5        1        4        0
63598 wmbutton                           	       0        6        1        5        0
63599 wmcalc                             	       0        5        0        5        0
63600 wmcalclock                         	       0       16        1       15        0
63601 wmcdplay                           	       0        1        0        1        0
63602 wmcliphist                         	       0        5        0        5        0
63603 wmclock                            	       0       21        2       19        0
63604 wmclockmon                         	       0       12        3        9        0
63605 wmcoincoin                         	       0        1        0        1        0
63606 wmcore                             	       0        3        0        3        0
63607 wmcpu                              	       0        5        0        5        0
63608 wmcpuload                          	       0       11        1       10        0
63609 wmcube                             	       0        6        1        5        0
63610 wmdate                             	       0        2        0        2        0
63611 wmdiskmon                          	       0        5        0        5        0
63612 wmdrawer                           	       0        6        0        6        0
63613 wmenu                              	       0        9        0        9        0
63614 wmfire                             	       0        2        0        2        0
63615 wmforecast                         	       0        1        0        1        0
63616 wmforkplop                         	       0        1        0        1        0
63617 wmfrog                             	       0        2        0        2        0
63618 wmfsm                              	       0        3        1        2        0
63619 wmget                              	       0        3        0        3        0
63620 wmgtemp                            	       0        3        0        3        0
63621 wmhdplop                           	       0        5        0        5        0
63622 wmibam                             	       0        1        0        1        0
63623 wmifinfo                           	       0        4        0        4        0
63624 wmifs                              	       0        5        0        5        0
63625 wminput                            	       0        4        0        4        0
63626 wmitime                            	       0        4        0        4        0
63627 wmix                               	       0       10        2        8        0
63628 wml                                	       0        4        0        4        0
63629 wmload                             	       0        9        0        9        0
63630 wmlongrun                          	       0        2        0        2        0
63631 wmmail                             	       0        3        0        3        0
63632 wmmand                             	       0        1        0        1        0
63633 wmmatrix                           	       0        4        0        4        0
63634 wmmemload                          	       0       10        2        8        0
63635 wmmisc                             	       0        2        0        2        0
63636 wmmixer                            	       0       12        0       12        0
63637 wmmon                              	       0       11        2        9        0
63638 wmmount                            	       0        2        0        2        0
63639 wmnd-snmp                          	       0        1        0        1        0
63640 wmnetselect                        	       0        1        0        1        0
63641 wmnut                              	       0        3        0        3        0
63642 wmpinboard                         	       0        5        0        5        0
63643 wmppp.app                          	       0        1        0        1        0
63644 wmpuzzle                           	       0        2        0        2        0
63645 wmrack                             	       0        2        0        2        0
63646 wmressel                           	       0        2        0        2        0
63647 wmsensors                          	       0        1        0        1        0
63648 wmshutdown                         	       0        5        0        5        0
63649 wmsmpmon                           	       0        1        0        1        0
63650 wmstickynotes                      	       0        9        1        8        0
63651 wmsun                              	       0        8        0        8        0
63652 wmsysmon                           	       0        4        0        4        0
63653 wmsystemtray                       	       0        9        1        8        0
63654 wmtemp                             	       0       10        1        9        0
63655 wmtime                             	       0        6        0        6        0
63656 wmtop                              	       0        6        0        6        0
63657 wmtv                               	       0        3        0        3        0
63658 wmtz                               	       0        1        0        1        0
63659 wmwave                             	       0        3        0        3        0
63660 wmweather                          	       0       10        0       10        0
63661 wmweather+                         	       0        5        0        5        0
63662 wmwork                             	       0        5        0        5        0
63663 wmxmms2                            	       0        4        1        3        0
63664 wmxres                             	       0        2        0        2        0
63665 woeusb                             	       0        3        0        3        0
63666 woeusb-build-deps                  	       0        1        0        0        1
63667 woeusb-frontend-wxgtk              	       0        1        0        1        0
63668 woeusb-ng                          	       0        1        0        1        0
63669 woff-tools                         	       0        8        0        8        0
63670 woff2                              	       0        9        0        9        0
63671 wofi                               	       0       14        1       13        0
63672 wogerman                           	       0       16        0       16        0
63673 wolf3d-v12-data                    	       0        1        0        0        1
63674 wolf4sdl                           	       0        5        0        5        0
63675 wolframscript                      	       0        7        0        7        0
63676 wolpertinger-lv2                   	       0        1        0        1        0
63677 wonderdraft                        	       0        1        0        0        1
63678 wondershaper                       	       0       12        1       11        0
63679 woob                               	       0        1        0        1        0
63680 woof-doom                          	       0        3        0        3        0
63681 wordgrinder                        	       0       17        0        0       17
63682 wordgrinder-doc                    	       0       17        0        0       17
63683 wordgrinder-x11                    	       0        2        0        2        0
63684 wordnet                            	       0       23        2       21        0
63685 wordnet-base                       	       0       23        0        0       23
63686 wordnet-dev                        	       0        1        0        1        0
63687 wordnet-gui                        	       0       16        1       15        0
63688 wordnet-sense-index                	       0        9        0        0        9
63689 wordpress                          	       0        9        0        9        0
63690 wordpress-l10n                     	       0        9        0        0        9
63691 wordpress-theme-twentyfifteen      	       0        1        0        0        1
63692 wordpress-theme-twentynineteen     	       0        2        0        2        0
63693 wordpress-theme-twentyseventeen    	       0        4        0        3        1
63694 wordpress-theme-twentysixteen      	       0        1        0        0        1
63695 wordpress-theme-twentytwenty       	       0        2        0        2        0
63696 wordpress-theme-twentytwentyone    	       0        3        0        2        1
63697 wordpress-theme-twentytwentythree  	       0        1        0        1        0
63698 wordwarvi                          	       0        4        0        4        0
63699 wordwarvi-build-deps               	       0        1        0        0        1
63700 wordwarvi-dbgsym                   	       0        1        0        1        0
63701 wordwarvi-sound                    	       0        4        0        0        4
63702 workbone                           	       0        1        0        1        0
63703 worker                             	       0       10        0       10        0
63704 worker-data                        	       0       10        0        0       10
63705 worklog                            	       0        1        0        1        0
63706 workrave-data                      	       0       16        0        0       16
63707 workrave-xfce4                     	       0        5        0        0        5
63708 worldofgoo                         	       0        2        0        2        0
63709 worldographer                      	       0        1        0        1        0
63710 worldpainter                       	       0        1        0        1        0
63711 wotsap                             	       0        1        0        1        0
63712 wound-up                           	       0        1        0        1        0
63713 wp2latex-styles                    	       0        3        0        0        3
63714 wp2x                               	       0       13        0       13        0
63715 wpd2epub                           	       0        2        0        2        0
63716 wpd2odt                            	       0        6        1        5        0
63717 wpd2sxw                            	       0        1        0        1        0
63718 wpewebkit-driver                   	       0        1        0        1        0
63719 wpg2odg                            	       0        3        1        2        0
63720 wps-office                         	       0        6        0        6        0
63721 wps2epub                           	       0        1        0        1        0
63722 wps2odt                            	       0        4        1        3        0
63723 wps2sxw                            	       0        1        0        1        0
63724 wput                               	       0       18        1       17        0
63725 wraplinux                          	       0        1        0        1        0
63726 wrapperfactory.app                 	       0        2        0        2        0
63727 wrapsrv                            	       0        1        0        1        0
63728 wreport-common                     	       0        2        0        0        2
63729 writer2latex                       	       0       21        1       20        0
63730 writer2latex-manual                	       0        3        0        0        3
63731 wrk                                	       0        5        0        5        0
63732 wsclean                            	       0        5        0        5        0
63733 wsclean-dev                        	       0        2        0        2        0
63734 wsdd2                              	       0        3        0        3        0
63735 wsjtx                              	       0       16        0       16        0
63736 wsjtx-data                         	       0       17        0        0       17
63737 wsjtx-doc                          	       0       13        0        0       13
63738 wsl                                	       0        1        0        1        0
63739 wsynth-dssi                        	       0        6        0        6        0
63740 wtav                               	       0        1        0        1        0
63741 wterm                              	       0        1        0        1        0
63742 wterm-ml                           	       0        1        0        1        0
63743 wtf-debian-keyring                 	       0        1        0        0        1
63744 wv                                 	       0       39        3       36        0
63745 wvdial                             	       0       28        0       28        0
63746 wwl                                	       0       10        0       10        0
63747 wwwconfig-common                   	       0       36        0        0       36
63748 wx2.6-headers                      	       0        1        0        1        0
63749 wx2.6-i18n                         	       0        2        0        0        2
63750 wx2.8-headers                      	       0        5        0        5        0
63751 wx3.0-doc                          	       0       14        0        0       14
63752 wx3.0-examples                     	       0        6        0        6        0
63753 wx3.0-headers                      	       0       71        1       70        0
63754 wx3.0-i18n                         	       0        9        0        0        9
63755 wx3.1-headers                      	       0        1        0        1        0
63756 wx3.2-doc                          	       0        8        0        0        8
63757 wx3.2-examples                     	       0        2        0        2        0
63758 wx3.2-headers                      	       0       66        0       66        0
63759 wx3.2-i18n                         	       0        7        0        0        7
63760 wxastrocapture                     	       0        3        0        3        0
63761 wxglade                            	       0        4        0        4        0
63762 wxhexeditor                        	       0       35        1       34        0
63763 wxmaxima                           	       0       29        0       29        0
63764 wxmp3gain                          	       0        1        0        1        0
63765 wxpython-tools                     	       0       12        0       12        0
63766 wxsmith-headers                    	       0        2        0        2        0
63767 wxsqlite3-doc                      	       0        2        0        0        2
63768 wyrd                               	       0       10        0       10        0
63769 x-dev                              	       0        3        0        0        3
63770 x-face-el                          	       0        3        0        3        0
63771 x-pgp-sig-el                       	       0        1        0        1        0
63772 x-tile                             	       0        2        0        2        0
63773 x-ttcidfont-conf                   	       0        3        0        0        3
63774 x-window-system-core               	       0        1        0        0        1
63775 x11-common                         	       0     3921        0        0     3921
63776 x11-touchscreen-calibrator         	       0        4        1        3        0
63777 x11proto-bigreqs-dev               	       0        7        0        2        5
63778 x11proto-composite-dev             	       0       53        0        9       44
63779 x11proto-core-dev                  	       0      502        2       46      454
63780 x11proto-damage-dev                	       0       90        1       25       64
63781 x11proto-dmx-dev                   	       0        7        0        2        5
63782 x11proto-dri2-dev                  	       0       55        1       23       31
63783 x11proto-dri3-dev                  	       0       12        0        4        8
63784 x11proto-evie-dev                  	       0        1        0        1        0
63785 x11proto-fixes-dev                 	       0       90        1       26       63
63786 x11proto-fontcache-dev             	       0        1        0        1        0
63787 x11proto-fonts-dev                 	       0       22        0        5       17
63788 x11proto-gl-dev                    	       0       55        1       23       31
63789 x11proto-input-dev                 	       0      224        2       46      176
63790 x11proto-kb-dev                    	       0      111        2       46       63
63791 x11proto-present-dev               	       0       13        0        4        9
63792 x11proto-print-dev                 	       0        8        0        7        1
63793 x11proto-randr-dev                 	       0      179        1       17      161
63794 x11proto-record-dev                	       0      185        0        5      180
63795 x11proto-render-dev                	       0      169        2       24      143
63796 x11proto-resource-dev              	       0       12        0        4        8
63797 x11proto-scrnsaver-dev             	       0      207        2       14      191
63798 x11proto-trap-dev                  	       0        2        0        2        0
63799 x11proto-video-dev                 	       0       60        1       11       48
63800 x11proto-xcmisc-dev                	       0        7        0        2        5
63801 x11proto-xext-dev                  	       0      355        2       31      322
63802 x11proto-xf86bigfont-dev           	       0       10        0        4        6
63803 x11proto-xf86dga-dev               	       0       41        0        3       38
63804 x11proto-xf86dri-dev               	       0       15        0        4       11
63805 x11proto-xf86misc-dev              	       0        2        0        2        0
63806 x11proto-xf86vidmode-dev           	       0      237        1       23      213
63807 x11proto-xinerama-dev              	       0      178        1       17      160
63808 x11vnc-data                        	       0       27        0        0       27
63809 x13as                              	       0        1        0        1        0
63810 x2go-keyring                       	       0       14        0        0       14
63811 x2goagent                          	       0        1        0        1        0
63812 x2gobroker-common                  	       0        1        0        0        1
63813 x2godesktopsharing                 	       0        7        2        5        0
63814 x2gohtmlclient                     	       0        1        0        0        1
63815 x2gokdriveclient                   	       0        6        1        5        0
63816 x2goplugin                         	       0        3        0        1        2
63817 x2goserver-x2gokdrive              	       0        8        0        0        8
63818 x2goserver-xsession                	       0       65        0        0       65
63819 x2gothinclient-smartcardrules      	       0        2        0        2        0
63820 x2gothinclient-usbmount            	       0        1        0        1        0
63821 x2gowebrpc                         	       0        1        0        1        0
63822 x2gowswrapper                      	       0        1        0        1        0
63823 x2vnc                              	       0       12        0       12        0
63824 x3270                              	       0        9        1        8        0
63825 x3270-doc                          	       0        3        0        0        3
63826 x42-gmsynth.lv2                    	       0        1        0        1        0
63827 x42-plugins                        	       0       14        1       13        0
63828 x4d-icons                          	       0        2        0        0        2
63829 x86-64-v2-support                  	       0        3        0        0        3
63830 x86-64-v3-support                  	       0        1        0        0        1
63831 x86info                            	       0       22        1       21        0
63832 xa65                               	       0        7        0        7        0
63833 xabacus                            	       0        4        0        4        0
63834 xalan                              	       0       10        0       10        0
63835 xamarin-gtk-theme                  	       0        5        0        5        0
63836 xamarin.android-oss                	       0        1        0        1        0
63837 xandikos                           	       0        1        0        1        0
63838 xanmod-repository                  	       0        2        0        0        2
63839 xaos                               	       0       21        0       21        0
63840 xapers                             	       0        2        0        2        0
63841 xapian-doc                         	       0       10        0        0       10
63842 xapian-examples                    	       0        4        1        3        0
63843 xapian-omega                       	       0        1        0        1        0
63844 xapian-tools                       	       0       14        0       14        0
63845 xapm                               	       0        5        0        5        0
63846 xapp                               	       0        1        1        0        0
63847 xapps-doc                          	       0        2        0        0        2
63848 xapt                               	       0        1        1        0        0
63849 xara-gtk                           	       0        4        0        4        0
63850 xaralx                             	       0        2        0        2        0
63851 xaralx-examples                    	       0        1        0        0        1
63852 xaralx-svg                         	       0        2        0        2        0
63853 xarchon                            	       0        1        0        1        0
63854 xarchon-theme-default              	       0        1        0        0        1
63855 xarclock                           	       0        3        0        3        0
63856 xasteroids                         	       0        1        0        1        0
63857 xastir                             	       0       12        0       12        0
63858 xastir-data                        	       0       12        0       12        0
63859 xautolock                          	       0       23        3       20        0
63860 xavante                            	       0        1        0        0        1
63861 xaw3dg                             	       0      215        1        5      209
63862 xaw3dg-dev                         	       0        9        0        9        0
63863 xawtv-plugin-qt                    	       0        6        0        0        6
63864 xawtv-plugins                      	       0       44        0        0       44
63865 xawtv-tools                        	       0        5        0        5        0
63866 xbanish                            	       0        4        1        3        0
63867 xbase-clients                      	       0       84        0        0       84
63868 xbattbar                           	       0        9        0        9        0
63869 xbattle                            	       0        1        0        1        0
63870 xbill                              	       0       12        0       12        0
63871 xbindkeys-config                   	       0       18        0       18        0
63872 xbitmaps                           	       0     3413        0        0     3413
63873 xblast-tnt                         	       0        5        0        5        0
63874 xblast-tnt-images                  	       0        6        0        0        6
63875 xblast-tnt-levels                  	       0        5        0        0        5
63876 xblast-tnt-models                  	       0        5        0        0        5
63877 xblast-tnt-musics                  	       0        4        0        0        4
63878 xblast-tnt-sounds                  	       0        4        0        0        4
63879 xbmc                               	       0        3        0        0        3
63880 xbmc-addons-dev                    	       0        1        0        0        1
63881 xbmc-skin-confluence               	       0        1        0        0        1
63882 xboard                             	       0       55        2       53        0
63883 xboing                             	       0        1        0        1        0
63884 xbomb                              	       0       20        0       20        0
63885 xboxdrv                            	       0       14        0       14        0
63886 xbreaky                            	       0        1        0        1        0
63887 xbrzscale                          	       0        3        0        3        0
63888 xbs                                	       0        1        0        1        0
63889 xbubble                            	       0       14        0       14        0
63890 xbubble-data                       	       0       14        0        0       14
63891 xbuilder                           	       0        1        0        1        0
63892 xc                                 	       0        2        0        2        0
63893 xca                                	       0       20        0       20        0
63894 xcaddy                             	       0        3        0        3        0
63895 xcal                               	       0        5        0        5        0
63896 xcape                              	       0       14        6        8        0
63897 xcas                               	       0       14        0       14        0
63898 xcb-proto                          	       0       21        0        0       21
63899 xcb-proto-build-deps               	       0        1        0        0        1
63900 xcb-util-build-deps                	       0        2        0        0        2
63901 xcdroast                           	       0        1        0        1        0
63902 xcftools                           	       0        4        0        4        0
63903 xchain                             	       0        3        0        3        0
63904 xchainkeys                         	       0        1        0        1        0
63905 xchat                              	       0        9        0        9        0
63906 xchat-common                       	       0       11        0       11        0
63907 xchpst                             	       0        1        0        1        0
63908 xcircuit                           	       0        7        0        7        0
63909 xclass-common                      	       0        1        0        0        1
63910 xcolmix                            	       0        1        0        1        0
63911 xcolors                            	       0       13        0       13        0
63912 xcolorsel                          	       0       19        0       19        0
63913 xcompmgr                           	       0       35        1       34        0
63914 xconq                              	       0        1        0        1        0
63915 xconq-common                       	       0        1        0        0        1
63916 xcowsay                            	       0       18        0       18        0
63917 xcruise                            	       0        2        0        2        0
63918 xcrysden                           	       0        1        0        1        0
63919 xcrysden-data                      	       0        1        0        0        1
63920 xcscope-el                         	       0        5        0        0        5
63921 xcsoar                             	       0        1        0        1        0
63922 xcursor-themes                     	       0       46        0        0       46
63923 xcwcp                              	       0       16        0       16        0
63924 xcwd                               	       0        2        0        2        0
63925 xd                                 	       0        5        0        5        0
63926 xdaliclock                         	       0       28        2       26        0
63927 xdd                                	       0        1        0        1        0
63928 xde-menu                           	       0        1        0        1        0
63929 xdeb                               	       0        1        0        1        0
63930 xdemineur                          	       0       19        0       19        0
63931 xdemorse                           	       0       16        0       16        0
63932 xdesktopwaves                      	       0        5        0        5        0
63933 xdeview                            	       0        5        0        5        0
63934 xdg-dbus-proxy-tests               	       0        3        0        0        3
63935 xdg-desktop-portal-dev             	       0        2        0        0        2
63936 xdg-desktop-portal-hyprland        	       0        1        0        1        0
63937 xdg-desktop-portal-lxqt            	       0        5        0        1        4
63938 xdg-desktop-portal-regolith        	       0        1        0        1        0
63939 xdg-desktop-portal-regolith-x11-config	       0        1        0        0        1
63940 xdg-desktop-portal-tests           	       0        3        0        0        3
63941 xdg-terminal-exec                  	       0        1        0        1        0
63942 xdg-themes-stackrpms               	       0       14        0        0       14
63943 xdg-utils-cxx                      	       0        5        0        1        4
63944 xdg-utils-cxx-dev                  	       0        4        0        4        0
63945 xdialog                            	       0        1        0        1        0
63946 xdigger                            	       0        1        0        1        0
63947 xdiskusage                         	       0       30        1       29        0
63948 xdkcal                             	       0        1        0        1        0
63949 xdman                              	       0        1        1        0        0
63950 xdms                               	       0       11        0       11        0
63951 xdmx                               	       0        2        0        2        0
63952 xdmx-tools                         	       0        2        0        2        0
63953 xdot                               	       0       31        1       30        0
63954 xdp-tests                          	       0        1        0        0        1
63955 xdp-tools                          	       0        1        0        1        0
63956 xdrawchem                          	       0        1        0        1        0
63957 xdtv                               	       0        1        0        1        0
63958 xdtv-fonts                         	       0        1        0        1        0
63959 xdu                                	       0       13        0       13        0
63960 xdvik-ja                           	       0        1        0        1        0
63961 xdx                                	       0        4        0        4        0
63962 xe                                 	       0        8        0        8        0
63963 xearth                             	       0        1        0        1        0
63964 xed                                	       0        2        0        2        0
63965 xed-common                         	       0        2        0        0        2
63966 xeji                               	       0        1        0        1        0
63967 xemacs21                           	       0       15        0        0       15
63968 xemacs21-basesupport               	       0      287        0        0      287
63969 xemacs21-mule                      	       0       15        1       14        0
63970 xemacs21-mulesupport               	       0      285        0        0      285
63971 xemacs21-nomule                    	       0        2        0        2        0
63972 xemacs21-supportel                 	       0        2        0        0        2
63973 xemeraldia                         	       0        1        0        1        0
63974 xemu                               	       0        1        0        1        0
63975 xen-doc                            	       0        4        0        0        4
63976 xen-hypervisor-4.1-amd64           	       0        1        0        0        1
63977 xen-hypervisor-4.11-amd64          	       0        1        0        1        0
63978 xen-hypervisor-4.14-amd64          	       0        2        0        2        0
63979 xen-hypervisor-4.17-amd64          	       0        8        0        0        8
63980 xen-hypervisor-4.4-amd64           	       0        3        0        0        3
63981 xen-hypervisor-4.8-amd64           	       0        1        0        1        0
63982 xen-hypervisor-common              	       0       11        0        0       11
63983 xen-linux-system-3.16.0-11-amd64   	       0        2        0        0        2
63984 xen-linux-system-3.16.0-6-amd64    	       0        1        0        0        1
63985 xen-linux-system-amd64             	       0        3        0        0        3
63986 xen-system-amd64                   	       0       11        0        0       11
63987 xen-tools                          	       0        6        0        6        0
63988 xen-utils-4.1                      	       0        1        0        1        0
63989 xen-utils-4.11                     	       0        1        0        1        0
63990 xen-utils-4.14                     	       0        2        1        1        0
63991 xen-utils-4.17                     	       0        8        2        6        0
63992 xen-utils-4.4                      	       0        3        0        3        0
63993 xen-utils-4.8                      	       0        1        0        1        0
63994 xen-utils-common                   	       0       14        1       13        0
63995 xengine                            	       0        2        0        2        0
63996 xenlism-minimalism-theme           	       0        1        0        0        1
63997 xenstore-utils                     	       0       16        1       15        0
63998 xenwatch                           	       0        1        0        1        0
63999 xephem                             	       0        2        0        2        0
64000 xerox-phaser-6000-6010             	       0        1        0        1        0
64001 xerox-workcentre-6015b-6015n-6015ni	       0        1        0        1        0
64002 xeroxofficeprtdrv                  	       0        3        1        2        0
64003 xeroxprtdrv                        	       0        2        0        2        0
64004 xevil                              	       0        4        0        4        0
64005 xfaces                             	       0        3        0        3        0
64006 xfce-keyboard-shortcuts            	       0       36        0        0       36
64007 xfce4                              	       0     1726        0        0     1726
64008 xfce4-appfinder-build-deps         	       0        1        0        0        1
64009 xfce4-appfinder-dbgsym             	       0        2        0        2        0
64010 xfce4-appmenu-plugin-dbgsym        	       0        1        0        1        0
64011 xfce4-artwork                      	       0       21        0        0       21
64012 xfce4-battery-plugin               	       0     1668       13       50     1605
64013 xfce4-battery-plugin-dbgsym        	       0        1        0        1        0
64014 xfce4-clipman-dbgsym               	       0        1        0        1        0
64015 xfce4-clipman-plugin-dbgsym        	       0        1        0        1        0
64016 xfce4-cpufreq-plugin-build-deps    	       0        1        0        0        1
64017 xfce4-cpufreq-plugin-dbgsym        	       0        2        0        2        0
64018 xfce4-cpugraph-plugin-dbgsym       	       0        1        0        1        0
64019 xfce4-datetime-plugin              	       0      465        3       28      434
64020 xfce4-datetime-plugin-dbgsym       	       0        1        0        1        0
64021 xfce4-dev-tools-dbgsym             	       0        1        0        1        0
64022 xfce4-dict-dbgsym                  	       0        1        0        1        0
64023 xfce4-diskperf-plugin              	       0     1660        2       29     1629
64024 xfce4-diskperf-plugin-dbgsym       	       0        1        0        1        0
64025 xfce4-docklike                     	       0        1        0        1        0
64026 xfce4-embed-plugin                 	       0        1        0        0        1
64027 xfce4-equake-plugin                	       0        1        0        0        1
64028 xfce4-eyes-plugin                  	       0       39        0        6       33
64029 xfce4-eyes-plugin-dbgsym           	       0        1        0        1        0
64030 xfce4-fsguard-plugin               	       0     1662        3       17     1642
64031 xfce4-fsguard-plugin-dbgsym        	       0        1        0        1        0
64032 xfce4-genmon-plugin                	       0     1659        2        9     1648
64033 xfce4-genmon-plugin-dbgsym         	       0        1        0        1        0
64034 xfce4-goodies                      	       0     1639        0        0     1639
64035 xfce4-hdaps                        	       0        1        0        0        1
64036 xfce4-helpers                      	       0     1697        0        0     1697
64037 xfce4-icon-theme                   	       0        1        0        1        0
64038 xfce4-indicator-plugin             	       0       48        0        4       44
64039 xfce4-indicator-plugin-dbgsym      	       0        1        0        1        0
64040 xfce4-mailwatch-plugin             	       0     1655        0        6     1649
64041 xfce4-mailwatch-plugin-dbgsym      	       0        1        0        1        0
64042 xfce4-mcs-manager                  	       0        2        0        2        0
64043 xfce4-messenger-plugin             	       0        3        0        0        3
64044 xfce4-minicmd-plugin               	       0        1        0        1        0
64045 xfce4-mixer-alsa                   	       0        1        0        1        0
64046 xfce4-mount-plugin                 	       0      113        1       11      101
64047 xfce4-mount-plugin-dbgsym          	       0        1        0        1        0
64048 xfce4-mpc-plugin                   	       0       28        0        1       27
64049 xfce4-mpc-plugin-dbgsym            	       0        1        0        1        0
64050 xfce4-multiload-ng-plugin          	       0        1        1        0        0
64051 xfce4-multiload-plugin             	       0        1        0        1        0
64052 xfce4-netload-plugin               	       0     1666       12       66     1588
64053 xfce4-netload-plugin-dbgsym        	       0        1        0        1        0
64054 xfce4-notes-plugin                 	       0      143        0        1      142
64055 xfce4-notifyd-dbgsym               	       0        1        0        1        0
64056 xfce4-panel-build-deps             	       0        1        0        0        1
64057 xfce4-panel-dbgsym                 	       0        2        0        2        0
64058 xfce4-panel-dev                    	       0        1        0        1        0
64059 xfce4-places-plugin-dbgsym         	       0        1        0        1        0
64060 xfce4-power-manager-dbgsym         	       0        1        0        1        0
64061 xfce4-power-manager-plugins-dbgsym 	       0        1        0        1        0
64062 xfce4-pulseaudio-plugin-dbgsym     	       0        1        0        1        0
64063 xfce4-quicklauncher-plugin         	       0       11        0        0       11
64064 xfce4-radio-plugin                 	       0        1        0        0        1
64065 xfce4-screensaver                  	       0       15        2       13        0
64066 xfce4-screenshooter-dbgsym         	       0        1        0        1        0
64067 xfce4-screenshooter-plugin         	       0        5        0        0        5
64068 xfce4-sensors-plugin-build-deps    	       0        1        0        0        1
64069 xfce4-sensors-plugin-dbgsym        	       0        2        0        2        0
64070 xfce4-session-build-deps           	       0        1        0        0        1
64071 xfce4-settings-build-deps          	       0        1        0        0        1
64072 xfce4-settings-dbgsym              	       0        2        0        2        0
64073 xfce4-shutdown-disable             	       0        1        0        0        1
64074 xfce4-smartbookmark-plugin         	       0     1660        0        2     1658
64075 xfce4-smartbookmark-plugin-dbgsym  	       0        1        0        1        0
64076 xfce4-sntray-plugin                	       0       30        0        0       30
64077 xfce4-sntray-plugin-common         	       0       40        0        0       40
64078 xfce4-sntray-plugin-dbgsym         	       0        1        0        1        0
64079 xfce4-statusnotifier-plugin        	       0       11        0        0       11
64080 xfce4-statusnotifier-plugin-dbgsym 	       0        1        0        1        0
64081 xfce4-systemload-plugin            	       0     1669       15       77     1577
64082 xfce4-systemload-plugin-dbgsym     	       0        1        0        1        0
64083 xfce4-taskmanager-dbgsym           	       0        1        0        1        0
64084 xfce4-terminal-build-deps          	       0        1        0        0        1
64085 xfce4-terminal-dbgsym              	       0        2        0        2        0
64086 xfce4-timer-plugin                 	       0     1662        1       19     1642
64087 xfce4-timer-plugin-dbgsym          	       0        1        0        1        0
64088 xfce4-verve-plugin-dbgsym          	       0        1        0        1        0
64089 xfce4-wavelan-plugin-dbgsym        	       0        1        0        1        0
64090 xfce4-wayland-session              	       0        1        0        0        1
64091 xfce4-weather-plugin-dbgsym        	       0        1        0        1        0
64092 xfce4-whiskermenu-plugin-dbgsym    	       0        1        0        1        0
64093 xfce4-windowck-plugin              	       0       16        0        2       14
64094 xfce4-xkb-plugin-dbgsym            	       0        1        0        1        0
64095 xfconf-build-deps                  	       0        1        0        0        1
64096 xfconf-dbgsym                      	       0        1        0        1        0
64097 xfconf-gsettings-backend           	       0        2        0        2        0
64098 xfdesktop4-build-deps              	       0        1        0        0        1
64099 xfdesktop4-dbgsym                  	       0        1        0        1        0
64100 xfe-i18n                           	       0       56        0        1       55
64101 xfe-themes                         	       0       78        0        0       78
64102 xfig-doc                           	       0       24        0        5       19
64103 xfig-libs                          	       0       75        0        0       75
64104 xfireworks                         	       0        8        0        8        0
64105 xfishtank                          	       0       20        0       20        0
64106 xfkc                               	       0        1        0        1        0
64107 xflip                              	       0        4        0        4        0
64108 xflr5-doc                          	       0        1        0        0        1
64109 xfm                                	       0        1        0        1        0
64110 xfmedia                            	       0        1        0        1        0
64111 xfoil                              	       0        4        0        4        0
64112 xfonts-100dpi                      	       0     3077        6       11     3060
64113 xfonts-100dpi-transcoded           	       0       34        0        0       34
64114 xfonts-75dpi                       	       0     3049        6        9     3034
64115 xfonts-75dpi-transcoded            	       0       26        0        0       26
64116 xfonts-a12k12                      	       0        8        0        0        8
64117 xfonts-artwiz                      	       0        1        0        0        1
64118 xfonts-ay                          	       0        1        0        0        1
64119 xfonts-ayu                         	       0        9        0        0        9
64120 xfonts-baekmuk                     	       0       14        0        0       14
64121 xfonts-base                        	       0     3238        2        2     3234
64122 xfonts-base-transcoded             	       0        1        0        1        0
64123 xfonts-bitmap-mule                 	       0        6        0        0        6
64124 xfonts-biznet-100dpi               	       0       14        0        0       14
64125 xfonts-biznet-75dpi                	       0       11        0        0       11
64126 xfonts-biznet-base                 	       0       12        0        0       12
64127 xfonts-bolkhov-75dpi               	       0        8        0        1        7
64128 xfonts-bolkhov-cp1251-75dpi        	       0        8        0        0        8
64129 xfonts-bolkhov-cp1251-misc         	       0        9        0        0        9
64130 xfonts-bolkhov-isocyr-75dpi        	       0        7        0        0        7
64131 xfonts-bolkhov-isocyr-misc         	       0        8        0        0        8
64132 xfonts-bolkhov-koi8r-75dpi         	       0        9        0        0        9
64133 xfonts-bolkhov-koi8r-misc          	       0       10        0        0       10
64134 xfonts-bolkhov-koi8u-75dpi         	       0        7        0        0        7
64135 xfonts-bolkhov-koi8u-misc          	       0        8        0        0        8
64136 xfonts-bolkhov-misc                	       0        9        0        0        9
64137 xfonts-cmex-big5p                  	       0        2        0        0        2
64138 xfonts-cronyx-100dpi               	       0       11        0        1       10
64139 xfonts-cronyx-75dpi                	       0       10        0        1        9
64140 xfonts-cronyx-cp1251-100dpi        	       0        8        0        0        8
64141 xfonts-cronyx-cp1251-75dpi         	       0        7        0        0        7
64142 xfonts-cronyx-cp1251-misc          	       0        8        0        0        8
64143 xfonts-cronyx-isocyr-100dpi        	       0        8        0        0        8
64144 xfonts-cronyx-isocyr-75dpi         	       0        7        0        0        7
64145 xfonts-cronyx-isocyr-misc          	       0        8        0        0        8
64146 xfonts-cronyx-koi8r-100dpi         	       0       10        0        0       10
64147 xfonts-cronyx-koi8r-75dpi          	       0        9        0        0        9
64148 xfonts-cronyx-koi8r-misc           	       0       10        0        0       10
64149 xfonts-cronyx-koi8u-100dpi         	       0        8        0        0        8
64150 xfonts-cronyx-koi8u-75dpi          	       0        7        0        0        7
64151 xfonts-cronyx-koi8u-misc           	       0        8        0        0        8
64152 xfonts-cronyx-misc                 	       0        7        0        0        7
64153 xfonts-cyrillic                    	       0       26        0        0       26
64154 xfonts-dosemu                      	       0        1        0        0        1
64155 xfonts-efont-unicode               	       0       21        0        0       21
64156 xfonts-efont-unicode-ib            	       0       21        0        0       21
64157 xfonts-encodings                   	       0     3521        0        0     3521
64158 xfonts-intl-arabic                 	       0       10        0        0       10
64159 xfonts-intl-asian                  	       0       10        0        0       10
64160 xfonts-intl-chinese                	       0       14        0        0       14
64161 xfonts-intl-chinese-big            	       0       12        0        0       12
64162 xfonts-intl-european               	       0       22        0        0       22
64163 xfonts-intl-japanese               	       0       16        0        0       16
64164 xfonts-intl-japanese-big           	       0       15        0        0       15
64165 xfonts-intl-phonetic               	       0       20        0        0       20
64166 xfonts-jisx0213                    	       0        7        0        0        7
64167 xfonts-jmk                         	       0       12        0        0       12
64168 xfonts-kaname                      	       0        8        0        0        8
64169 xfonts-kapl                        	       0       10        0        0       10
64170 xfonts-kappa20                     	       0       10        0        0       10
64171 xfonts-knickers                    	       0        2        0        0        2
64172 xfonts-konsole                     	       0        1        0        1        0
64173 xfonts-libdockapp                  	       0        8        0        0        8
64174 xfonts-marumoji                    	       0        9        0        0        9
64175 xfonts-mathml                      	       0       12        0        0       12
64176 xfonts-mona                        	       0       13        0        0       13
64177 xfonts-mplus                       	       0       14        0        0       14
64178 xfonts-naga10                      	       0        5        0        0        5
64179 xfonts-nexus                       	       0       11        0        0       11
64180 xfonts-scalable                    	       0     3054       14       50     2990
64181 xfonts-shinonome                   	       0       11        0        0       11
64182 xfonts-terminus                    	       0      211        1        0      210
64183 xfonts-terminus-dos                	       0       47        0        0       47
64184 xfonts-terminus-oblique            	       0       65        0        0       65
64185 xfonts-thai                        	       0       10        0        0       10
64186 xfonts-thai-etl                    	       0       10        0        0       10
64187 xfonts-thai-manop                  	       0       10        0        0       10
64188 xfonts-thai-nectec                 	       0       10        0        0       10
64189 xfonts-thai-poonlap                	       0        9        0        0        9
64190 xfonts-thai-vor                    	       0       10        0        0       10
64191 xfonts-tipa                        	       0       18        0        0       18
64192 xfonts-traditional                 	       0       23        2       21        0
64193 xfonts-unifont                     	       0      121        0        1      120
64194 xfonts-wqy                         	       0        9        0        0        9
64195 xfonts-x3270-misc                  	       0       22        0        0       22
64196 xfprint4                           	       0        3        0        3        0
64197 xfpt                               	       0        1        0        1        0
64198 xfractint                          	       0       11        0       11        0
64199 xfrisk                             	       0        6        0        6        0
64200 xfslibs-dev                        	       0       11        0       11        0
64201 xfstt                              	       0       10        2        8        0
64202 xfwm4-build-deps                   	       0        1        0        0        1
64203 xfwm4-dbgsym                       	       0        1        0        1        0
64204 xfwm4-theme-breeze                 	       0      280        0        0      280
64205 xfwm4-themes                       	       0       25        0        0       25
64206 xgalaga                            	       0       15        0       15        0
64207 xgalaga++                          	       0        9        0        9        0
64208 xgammon                            	       0        8        0        8        0
64209 xgnokii                            	       0        7        0        7        0
64210 xgraph                             	       0        1        0        1        0
64211 xgridfit                           	       0        5        0        5        0
64212 xgterm                             	       0        3        0        3        0
64213 xhtml2ps                           	       0       11        0       11        0
64214 xicc                               	       0        1        0        1        0
64215 xidel                              	       0        1        0        1        0
64216 xidle                              	       0        4        0        4        0
64217 ximtool                            	       0        1        0        1        0
64218 xindy-rules                        	       0       21        0        0       21
64219 xine-console                       	       0       14        0       14        0
64220 xine-plugin                        	       0        1        0        1        0
64221 xineliboutput-sxfe                 	       0        2        0        2        0
64222 xininfo                            	       0        4        0        4        0
64223 xinv3d                             	       0        5        0        5        0
64224 xiphos                             	       0       14        0       14        0
64225 xiphos-data                        	       0       14        0        0       14
64226 xipmsg                             	       0        1        0        1        0
64227 xiterm+thai                        	       0        2        0        2        0
64228 xjdic                              	       0        2        0        2        0
64229 xjig                               	       0        8        0        8        0
64230 xjokes                             	       0        1        0        1        0
64231 xjump                              	       0        3        0        3        0
64232 xkb-switch                         	       0        1        0        1        0
64233 xkbind                             	       0        4        0        4        0
64234 xkblayout-state                    	       0        1        0        1        0
64235 xkbsel                             	       0        3        0        3        0
64236 xkcdpass                           	       0       26        0       26        0
64237 xlassie                            	       0        2        0        2        0
64238 xlax                               	       0        1        0        1        0
64239 xlennart                           	       0        7        1        6        0
64240 xless                              	       0       13        1       12        0
64241 xletters                           	       0        4        0        4        0
64242 xlhtml                             	       0        3        1        2        0
64243 xli                                	       0       48        1       47        0
64244 xlib6g                             	       0        1        0        0        1
64245 xlibmesa-gl                        	       0        2        0        0        2
64246 xlibs                              	       0        1        0        0        1
64247 xlibs-data                         	       0        2        0        0        2
64248 xloadimage                         	       0       40        4       36        0
64249 xlockmore                          	       0        1        0        1        0
64250 xlog                               	       0       11        0       11        0
64251 xlog-data                          	       0       11        0        0       11
64252 xlsx2csv                           	       0        7        1        6        0
64253 xmabacus                           	       0        8        2        6        0
64254 xmake                              	       0        1        0        1        0
64255 xmake-data                         	       0        1        0        1        0
64256 xmakemol                           	       0        1        0        1        0
64257 xmakemol-gl                        	       0        2        0        2        0
64258 xmame-extras                       	       0        1        0        0        1
64259 xmame-sdl                          	       0        1        0        0        1
64260 xmame-tools                        	       0        1        0        0        1
64261 xmame-x                            	       0        1        0        0        1
64262 xmaxima                            	       0       13        0       13        0
64263 xmbmon                             	       0        7        0        7        0
64264 xmcd                               	       0        1        0        1        0
64265 xmedcon                            	       0        7        0        7        0
64266 xmem                               	       0        1        0        1        0
64267 xmhtml1                            	       0        1        0        0        1
64268 xmille                             	       0        6        0        6        0
64269 xmind-vana                         	       0        7        0        7        0
64270 xmix                               	       0        1        0        1        0
64271 xml-security-c-utils               	       0        1        0        1        0
64272 xml-twig-tools                     	       0        8        0        8        0
64273 xml2                               	       0       31        2       29        0
64274 xml2rfc                            	       0        4        0        4        0
64275 xmlcopyeditor                      	       0       10        0       10        0
64276 xmldiff                            	       0        6        0        6        0
64277 xmlformat-doc                      	       0        2        0        0        2
64278 xmlformat-perl                     	       0        2        0        2        0
64279 xmlformat-ruby                     	       0        2        0        2        0
64280 xmlindent                          	       0        5        0        5        0
64281 xmlrpc-api-utils                   	       0        2        0        2        0
64282 xmlsec1                            	       0       10        1        9        0
64283 xmlstarlet                         	       0       65        4       61        0
64284 xmlto-dbgsym                       	       0        1        0        1        0
64285 xmltoman                           	       0        5        0        5        0
64286 xmltooling-schemas                 	       0        1        0        0        1
64287 xmltreenav                         	       0        1        0        1        0
64288 xmltv                              	       0        4        0        0        4
64289 xmltv-gui                          	       0        5        2        3        0
64290 xmltv-util                         	       0       16        2       14        0
64291 xmms                               	       0        3        0        3        0
64292 xmms-xmmplayer                     	       0        1        0        1        0
64293 xmms2                              	       0       28        0        0       28
64294 xmms2-client-avahi                 	       0        3        0        3        0
64295 xmms2-client-cli                   	       0       30        0       30        0
64296 xmms2-client-medialib-updater      	       0        4        0        4        0
64297 xmms2-client-nycli                 	       0        9        0        9        0
64298 xmms2-dev                          	       0        3        0        3        0
64299 xmms2-icon                         	       0       29        0        0       29
64300 xmms2-plugin-airplay               	       0        8        0        8        0
64301 xmms2-plugin-all                   	       0        4        0        0        4
64302 xmms2-plugin-ao                    	       0        9        0        9        0
64303 xmms2-plugin-apefile               	       0        8        0        8        0
64304 xmms2-plugin-asf                   	       0       10        1        9        0
64305 xmms2-plugin-asx                   	       0        9        0        9        0
64306 xmms2-plugin-avcodec               	       0        9        0        9        0
64307 xmms2-plugin-cdda                  	       0        9        0        9        0
64308 xmms2-plugin-cue                   	       0        9        0        9        0
64309 xmms2-plugin-curl                  	       0       13        1       12        0
64310 xmms2-plugin-daap                  	       0       10        1        9        0
64311 xmms2-plugin-faad                  	       0       11        1       10        0
64312 xmms2-plugin-flac                  	       0       16        1       15        0
64313 xmms2-plugin-flv                   	       0       11        1       10        0
64314 xmms2-plugin-gme                   	       0       10        0       10        0
64315 xmms2-plugin-gvfs                  	       0        6        0        6        0
64316 xmms2-plugin-html                  	       0       11        0       11        0
64317 xmms2-plugin-ices                  	       0       12        1       11        0
64318 xmms2-plugin-icymetaint            	       0       13        1       12        0
64319 xmms2-plugin-jack                  	       0       10        1        9        0
64320 xmms2-plugin-karaoke               	       0        8        0        8        0
64321 xmms2-plugin-m3u                   	       0       13        1       12        0
64322 xmms2-plugin-mms                   	       0        9        1        8        0
64323 xmms2-plugin-modplug               	       0       10        1        9        0
64324 xmms2-plugin-mp4                   	       0       13        1       12        0
64325 xmms2-plugin-mpg123                	       0       12        0       12        0
64326 xmms2-plugin-musepack              	       0        9        0        9        0
64327 xmms2-plugin-normalize             	       0        9        0        9        0
64328 xmms2-plugin-ofa                   	       0        7        0        7        0
64329 xmms2-plugin-oss                   	       0       10        0       10        0
64330 xmms2-plugin-pls                   	       0       11        1       10        0
64331 xmms2-plugin-pulse                 	       0       13        0       13        0
64332 xmms2-plugin-rss                   	       0        9        0        9        0
64333 xmms2-plugin-sid                   	       0       10        1        9        0
64334 xmms2-plugin-smb                   	       0        6        0        6        0
64335 xmms2-plugin-sndfile               	       0        4        0        4        0
64336 xmms2-plugin-speex                 	       0        9        0        9        0
64337 xmms2-plugin-tta                   	       0        8        0        8        0
64338 xmms2-plugin-vocoder               	       0        9        0        9        0
64339 xmms2-plugin-wavpack               	       0        9        0        9        0
64340 xmms2-plugin-xml                   	       0       10        0       10        0
64341 xmms2-plugin-xspf                  	       0        8        0        8        0
64342 xmms2-scrobbler                    	       0        1        0        1        0
64343 xmms2tray                          	       0        3        0        3        0
64344 xmobar                             	       0       11        1       10        0
64345 xmorph                             	       0        3        0        3        0
64346 xmotd                              	       0        2        0        2        0
64347 xmoto                              	       0       11        0       11        0
64348 xmoto-data                         	       0       11        0        0       11
64349 xmount                             	       0       32        0       32        0
64350 xmountains                         	       0       13        0       13        0
64351 xmp                                	       0       18        0       18        0
64352 xmp-audacious                      	       0        1        0        0        1
64353 xmp-common                         	       0        2        0        0        2
64354 xmpi                               	       0        1        0        1        0
64355 xmpp-dns                           	       0        1        0        1        0
64356 xmppc                              	       0        3        0        3        0
64357 xmpsolve                           	       0        1        0        1        0
64358 xmpuzzles                          	       0        9        0        9        0
64359 xmrig                              	       0        1        0        1        0
64360 xnbd-common                        	       0        1        0        1        0
64361 xnbd-server                        	       0        1        0        1        0
64362 xnc                                	       0        1        0        1        0
64363 xnconvert                          	       0        9        0        9        0
64364 xnec2c                             	       0       12        0       12        0
64365 xnecview                           	       0        1        0        1        0
64366 xnedit                             	       0        1        0        1        0
64367 xnee                               	       0        7        0        0        7
64368 xnee-doc                           	       0        5        0        0        5
64369 xnetcardconfig                     	       0        1        0        1        0
64370 xneur                              	       0        1        0        1        0
64371 xnview                             	       0       13        0       13        0
64372 xoids                              	       0        1        0        1        0
64373 xombrero                           	       0        4        0        4        0
64374 xonix                              	       0        6        0        6        0
64375 xonix-jahu                         	       0        1        0        1        0
64376 xonsh-doc                          	       0        1        0        0        1
64377 xorg                               	       0     2967        0        0     2967
64378 xorg-dev                           	       0       50        0        0       50
64379 xorg-docs                          	       0       31        0        0       31
64380 xorg-docs-core                     	       0     3034        0        0     3034
64381 xorg-edit                          	       0        1        0        1        0
64382 xorg-server-source                 	       0        6        0        0        6
64383 xorg-sgml-doctools                 	       0     1193        0        0     1193
64384 xorg-video-abi-24                  	       0        1        0        0        1
64385 xorriso-dd-target                  	       0        1        0        1        0
64386 xoscope                            	       0       20        0       20        0
64387 xosd-bin                           	       0       16        0       16        0
64388 xosview                            	       0       33        3       30        0
64389 xotcl                              	       0        2        0        2        0
64390 xotcl-dev                          	       0        2        0        2        0
64391 xotcl-doc                          	       0        1        0        0        1
64392 xotcl-shells                       	       0        2        0        2        0
64393 xournal                            	       0       85        8       77        0
64394 xournal-build-deps                 	       0        1        0        0        1
64395 xournal-dbgsym                     	       0        1        0        1        0
64396 xpa-tools                          	       0        3        0        3        0
64397 xpad                               	       0       22        1       21        0
64398 xpat2                              	       0       12        0       12        0
64399 xphoon                             	       0       11        1       10        0
64400 xpilot-ng                          	       0        1        0        0        1
64401 xpilot-ng-client-sdl               	       0        1        0        1        0
64402 xpilot-ng-client-x11               	       0        1        0        1        0
64403 xpilot-ng-common                   	       0        1        0        1        0
64404 xpilot-ng-server                   	       0        3        0        3        0
64405 xpilot-ng-utils                    	       0        1        0        1        0
64406 xplanet                            	       0       58        2       56        0
64407 xplanet-images                     	       0       59        0        0       59
64408 xplot                              	       0        2        0        2        0
64409 xpm2wico                           	       0        1        0        1        0
64410 xpmutils                           	       0        6        0        6        0
64411 xpn                                	       0        2        0        2        0
64412 xpng                               	       0        1        0        1        0
64413 xpp                                	       0        8        0        8        0
64414 xppaut                             	       0        1        1        0        0
64415 xpra                               	       0       77        4       73        0
64416 xpra-html5                         	       0        1        0        1        0
64417 xprint-common                      	       0        2        0        0        2
64418 xprint-utils                       	       0        3        0        3        0
64419 xprintidle                         	       0       18        2       16        0
64420 xprobe                             	       0        7        0        7        0
64421 xpuyopuyo                          	       0        1        0        1        0
64422 xpuzzles                           	       0        5        0        5        0
64423 xpython                            	       0        1        0        1        0
64424 xq                                 	       0        4        0        4        0
64425 xqf                                	       0        1        0        1        0
64426 xracer                             	       0        6        0        6        0
64427 xracer-tools                       	       0        1        0        1        0
64428 xray                               	       0        1        0        1        0
64429 xrdesktop                          	       0        1        0        1        0
64430 xrdp-build-deps                    	       0        1        0        0        1
64431 xrescat                            	       0        1        0        1        0
64432 xresprobe                          	       0        6        0        6        0
64433 xrestop                            	       0       18        0       18        0
64434 xrick                              	       0        1        0        1        0
64435 xrick-data                         	       0        1        0        0        1
64436 xrootconsole                       	       0        8        0        8        0
64437 xrootd-client-plugins              	       0        2        0        0        2
64438 xrootd-fuse                        	       0        1        0        1        0
64439 xrootd-plugins                     	       0        2        0        0        2
64440 xrootd-server-plugins              	       0        1        0        0        1
64441 xrsh                               	       0        1        0        1        0
64442 xsane-common                       	       0     1871        0        1     1870
64443 xsane-dbg                          	       0        1        0        1        0
64444 xscavenger                         	       0        2        0        2        0
64445 xschem                             	       0        4        0        4        0
64446 xscope                             	       0        1        0        1        0
64447 xscorch                            	       0       11        0       11        0
64448 xscreensaver-data-extra            	       0      178        1       33      144
64449 xscreensaver-gl                    	       0      320        1       77      242
64450 xscreensaver-gl-extra              	       0      156        0       24      132
64451 xscreensaver-screensaver-bsod      	       0       60        0        5       55
64452 xscreensaver-screensaver-dizzy     	       0       38        0       38        0
64453 xsct                               	       0       10        2        8        0
64454 xsdcxx                             	       0        2        0        2        0
64455 xsddiagram                         	       0        2        0        2        0
64456 xsecurelock                        	       0        9        2        7        0
64457 xserver-x2gokdrive                 	       0        8        1        7        0
64458 xserver-xorg-amdgpu-video-amdgpu   	       0        7        2        5        0
64459 xserver-xorg-core-dbgsym           	       0        2        0        2        0
64460 xserver-xorg-input-aiptek          	       0        3        0        3        0
64461 xserver-xorg-input-all             	       0     3135        0        0     3135
64462 xserver-xorg-input-elographics     	       0        2        0        2        0
64463 xserver-xorg-input-evdev-dev       	       0        5        0        5        0
64464 xserver-xorg-input-joystick        	       0       17        1       16        0
64465 xserver-xorg-input-joystick-dev    	       0        1        0        1        0
64466 xserver-xorg-input-mtrack          	       0        6        0        6        0
64467 xserver-xorg-input-multitouch      	       0       11        1       10        0
64468 xserver-xorg-input-mutouch         	       0        3        0        3        0
64469 xserver-xorg-input-synaptics-dev   	       0        6        0        6        0
64470 xserver-xorg-input-void            	       0       32        0       32        0
64471 xserver-xorg-input-xwiimote        	       0        3        0        3        0
64472 xserver-xorg-legacy-dbgsym         	       0        1        0        1        0
64473 xserver-xorg-video-all             	       0     3059        0        0     3059
64474 xserver-xorg-video-glide           	       0        2        0        2        0
64475 xserver-xorg-video-intel-git20180925-2-amd64	       0        1        0        1        0
64476 xserver-xorg-video-ivtv            	       0        1        0        1        0
64477 xserver-xorg-video-nvidia-legacy-340xx	       0        8        1        7        0
64478 xserver-xorg-video-nvidia-legacy-390xx	       0       13        1       12        0
64479 xserver-xorg-video-nvidia-tesla-450	       0        2        0        2        0
64480 xserver-xorg-video-nvidia-tesla-470	       0       15        4       11        0
64481 xserver-xorg-video-via             	       0        1        0        0        1
64482 xserver-xspice                     	       0        4        0        4        0
64483 xseticon                           	       0        5        0        5        0
64484 xsettings-kde                      	       0       20        0       20        0
64485 xshisen                            	       0       10        0       10        0
64486 xshogi                             	       0        8        0        8        0
64487 xskat                              	       0       17        0       17        0
64488 xsnow                              	       0       29        0       29        0
64489 xsok                               	       0       14        0       14        0
64490 xsol                               	       0       23        0       23        0
64491 xsoldier                           	       0        8        0        8        0
64492 xspecs                             	       0        2        0        0        2
64493 xssproxy                           	       0        2        0        2        0
64494 xstarfish                          	       0        4        0        4        0
64495 xsteg                              	       0        1        0        1        0
64496 xstow                              	       0       10        2        8        0
64497 xsupplicant                        	       0        1        0        1        0
64498 xsynth-dssi                        	       0        9        0        9        0
64499 xsysinfo                           	       0        5        0        5        0
64500 xsystem35                          	       0        4        0        4        0
64501 xtail                              	       0        9        0        9        0
64502 xteddy                             	       0       10        0       10        0
64503 xtensor-dev                        	       0        2        0        0        2
64504 xtermset                           	       0       17        0       17        0
64505 xtide                              	       0        1        0        1        0
64506 xtide-coastline                    	       0        2        0        0        2
64507 xtide-data                         	       0        1        0        0        1
64508 xtitle                             	       0        3        0        3        0
64509 xtl-dev                            	       0       14        0        0       14
64510 xtokkaetama                        	       0        1        0        1        0
64511 xtomp-cat                          	       0        2        0        2        0
64512 xtrace                             	       0       10        0       10        0
64513 xtrkcad                            	       0        5        0        5        0
64514 xtrkcad-common                     	       0        5        0        0        5
64515 xtrs                               	       0        6        0        6        0
64516 xtrx-dkms                          	       0       26        2       24        0
64517 xtrx-fft                           	       0        1        0        1        0
64518 xtux-client                        	       0        1        0        1        0
64519 xtux-common                        	       0        1        0        0        1
64520 xtux-levels                        	       0        1        0        0        1
64521 xtux-server                        	       0        1        0        1        0
64522 xtv                                	       0        5        0        5        0
64523 xu4                                	       0        1        0        1        0
64524 xubuntu-icon-theme                 	       0        1        0        0        1
64525 xul-ext-adblock-plus               	       0        5        0        5        0
64526 xul-ext-adblock-plus-element-hiding-helper	       0        1        0        0        1
64527 xul-ext-autofill-forms             	       0        1        0        0        1
64528 xul-ext-certificatepatrol          	       0        2        0        0        2
64529 xul-ext-cookie-monster             	       0        2        0        0        2
64530 xul-ext-cookieculler               	       0        2        0        0        2
64531 xul-ext-dom-inspector              	       0        1        0        0        1
64532 xul-ext-downloadstatusbar          	       0        1        0        0        1
64533 xul-ext-downthemall                	       0        4        0        0        4
64534 xul-ext-flashblock                 	       0        1        0        0        1
64535 xul-ext-flashgot                   	       0        1        0        0        1
64536 xul-ext-gnome-keyring              	       0        2        0        0        2
64537 xul-ext-greasemonkey               	       0        1        0        0        1
64538 xul-ext-historyblock               	       0        1        0        0        1
64539 xul-ext-iceweasel-branding         	       0        1        0        0        1
64540 xul-ext-livehttpheaders            	       0        1        0        0        1
64541 xul-ext-lyz                        	       0        1        0        0        1
64542 xul-ext-noscript                   	       0        3        0        0        3
64543 xul-ext-notify                     	       0        1        0        0        1
64544 xul-ext-scrapbook                  	       0        1        0        0        1
64545 xul-ext-sogo-connector             	       0        4        0        0        4
64546 xul-ext-sync                       	       0        1        0        1        0
64547 xul-ext-tabmixplus                 	       0        2        0        0        2
64548 xul-ext-ublock-origin              	       0        5        0        0        5
64549 xul-ext-useragentswitcher          	       0        2        0        0        2
64550 xul-ext-video-without-flash        	       0        2        0        0        2
64551 xul-ext-wot                        	       0        1        0        0        1
64552 xulrunner-1.9.1                    	       0        1        0        1        0
64553 xulrunner-17.0                     	       0        4        1        3        0
64554 xulrunner-24.0                     	       0        7        0        7        0
64555 xulrunner-26.0                     	       0        1        0        1        0
64556 xulrunner-29                       	       0        1        0        1        0
64557 xulrunner-gnome-support            	       0        1        0        1        0
64558 xutils                             	       0       47        0        0       47
64559 xv                                 	       0        1        0        1        0
64560 xvattr                             	       0        1        0        1        0
64561 xvid4conf                          	       0        5        0        5        0
64562 xvidenc                            	       0        2        0        1        1
64563 xvier                              	       0        4        0        4        0
64564 xview-clients                      	       0        2        0        2        0
64565 xview-examples                     	       0        2        0        2        0
64566 xviewer-build-deps                 	       0        1        0        0        1
64567 xviewg                             	       0        2        0        2        0
64568 xviewg-dev                         	       0        1        0        1        0
64569 xvile                              	       0        2        0        2        0
64570 xvnc4viewer                        	       0       26        0        1       25
64571 xvncviewer                         	       0        2        0        2        0
64572 xvt                                	       0        2        0        2        0
64573 xwelltris                          	       0       13        0       13        0
64574 xwiimote                           	       0        2        0        2        0
64575 xwinwrap                           	       0        1        0        1        0
64576 xwit                               	       0        6        0        6        0
64577 xword                              	       0        2        0        2        0
64578 xwpe                               	       0        6        0        6        0
64579 xwrited                            	       0        3        1        2        0
64580 xwrits                             	       0        1        0        1        0
64581 xxdiff                             	       0       52        1       51        0
64582 xxdiff-scripts                     	       0        5        0        5        0
64583 xxgdb                              	       0       12        0       12        0
64584 xxhash                             	       0        8        0        8        0
64585 xxxterm                            	       0        1        0        0        1
64586 xye                                	       0        8        0        8        0
64587 xye-data                           	       0        8        0        0        8
64588 xygrib                             	       0        7        1        6        0
64589 xygrib-maps                        	       0        8        0        0        8
64590 xymon                              	       0        3        2        1        0
64591 xymon-client                       	       0       10        7        3        0
64592 xyscan                             	       0        5        0        5        0
64593 xzip                               	       0       14        0       14        0
64594 xzoom                              	       0       18        0       18        0
64595 y-ppa-manager                      	       0        1        0        1        0
64596 yabar                              	       0        4        0        4        0
64597 yabause                            	       0        6        0        0        6
64598 yabause-common                     	       0        7        0        0        7
64599 yabause-gtk                        	       0        1        0        1        0
64600 yabause-qt                         	       0        7        0        7        0
64601 yacas                              	       0        4        0        4        0
64602 yacas-doc                          	       0        4        0        0        4
64603 yacpi                              	       0        9        0        9        0
64604 yacreader                          	       0        1        1        0        0
64605 yacy                               	       0        1        0        1        0
64606 yadicons                           	       0        6        0        0        6
64607 yadm                               	       0        4        0        4        0
64608 yafaray                            	       0        1        0        1        0
64609 yafc                               	       0        3        0        3        0
64610 yagf                               	       0       15        0       15        0
64611 yagiuda                            	       0        9        0        9        0
64612 yaglom                             	       0        2        2        0        0
64613 yaglom-dbgsym                      	       0        2        1        1        0
64614 yagv                               	       0        4        0        4        0
64615 yahtzeesharp                       	       0        1        0        1        0
64616 yajl-tools                         	       0        2        0        2        0
64617 yakuake-trinity                    	       0        3        0        3        0
64618 yakyak                             	       0        1        0        1        0
64619 yale                               	       0        4        0        0        4
64620 yamagi-quake2                      	       0        9        0        9        0
64621 yamagi-quake2-core                 	       0        9        0        9        0
64622 yambar                             	       0        1        0        1        0
64623 yaml-cpp-sourcedep                 	       0        1        0        1        0
64624 yamllint                           	       0       16        0       16        0
64625 yandex-browser-beta                	       0        2        1        1        0
64626 yandex-disk                        	       0        3        0        3        0
64627 yang-tools                         	       0        1        0        0        1
64628 yangdump                           	       0        1        0        1        0
64629 yank                               	       0        2        0        2        0
64630 yap                                	       0        3        0        3        0
64631 yapps2                             	       0        3        0        3        0
64632 yapps2-runtime                     	       0        1        0        1        0
64633 yara                               	       0       27        0       27        0
64634 yard                               	       0        3        0        3        0
64635 yard-doc                           	       0        1        0        0        1
64636 yaret                              	       0        3        0        3        0
64637 yarn                               	       0       11        1       10        0
64638 yarnpkg                            	       0       26        1       25        0
64639 yaru-cinnamon-theme-gtk            	       0        2        0        0        2
64640 yaru-cinnamon-theme-icon           	       0        2        0        0        2
64641 yaru-theme-gnome-shell             	       0        1        0        0        1
64642 yaru-theme-gtk                     	       0       13        1        6        6
64643 yaru-theme-icon                    	       0       10        0        0       10
64644 yaru-theme-sound                   	       0        7        0        0        7
64645 yaru-theme-unity                   	       0        2        0        0        2
64646 yarxi                              	       0        1        0        1        0
64647 yasat                              	       0        4        0        4        0
64648 yash                               	       0        7        0        7        0
64649 yasm                               	       0       97        0       97        0
64650 yasnippet                          	       0        2        0        0        2
64651 yasnippet-snippets                 	       0        1        0        0        1
64652 yasw                               	       0        2        0        2        0
64653 yatex                              	       0        2        0        2        0
64654 yatm                               	       0        2        0        2        0
64655 yauap                              	       0        1        0        1        0
64656 yavta                              	       0        3        0        3        0
64657 yaws                               	       0        4        2        2        0
64658 yaws-doc                           	       0        3        0        0        3
64659 yaz                                	       0        9        1        8        0
64660 yazc                               	       0        1        0        1        0
64661 ydotool                            	       0        7        0        7        0
64662 ydotoold                           	       0        1        0        1        0
64663 yeahconsole                        	       0        1        0        1        0
64664 yealink-module-6.1.0-0.deb11.17-amd64	       0        1        0        0        1
64665 yealink-module-6.1.0-0.deb11.21-amd64	       0        1        0        0        1
64666 yelp-tools                         	       0       15        0       15        0
64667 yelp-tools-build-deps              	       0        1        0        0        1
64668 yelp-xsl                           	       0     1672        0        0     1672
64669 yencode                            	       0        1        0        1        0
64670 yersinia                           	       0        2        0        2        0
64671 yforth                             	       0        2        0        2        0
64672 yget                               	       0        1        0        1        0
64673 yggdrasil                          	       0        3        0        3        0
64674 ygraph                             	       0        1        0        1        0
64675 yhsm-tools                         	       0        1        0        1        0
64676 yi                                 	       0        1        0        1        0
64677 ykcs11                             	       0        6        0        0        6
64678 ykls                               	       0        5        0        5        0
64679 ykneomgr                           	       0        1        0        1        0
64680 ylva                               	       0        1        0        1        0
64681 ymuse                              	       0        2        0        2        0
64682 yodl                               	       0        3        0        3        0
64683 yodl-doc                           	       0        1        0        0        1
64684 yogabook-support                   	       0        1        0        1        0
64685 yokadi                             	       0        2        0        2        0
64686 yorick                             	       0        6        0        6        0
64687 yorick-av                          	       0        3        0        3        0
64688 yorick-cubeview                    	       0        2        0        2        0
64689 yorick-curses                      	       0        4        0        4        0
64690 yorick-data                        	       0        6        0        0        6
64691 yorick-dev                         	       0        2        0        2        0
64692 yorick-doc                         	       0        2        0        2        0
64693 yorick-full                        	       0        2        0        0        2
64694 yorick-gl                          	       0        3        0        3        0
64695 yorick-gy                          	       0        3        0        3        0
64696 yorick-gyoto                       	       0        2        0        2        0
64697 yorick-hdf5                        	       0        2        0        2        0
64698 yorick-imutil                      	       0        4        0        4        0
64699 yorick-mira                        	       0        3        0        3        0
64700 yorick-ml4                         	       0        3        0        3        0
64701 yorick-mpeg                        	       0        3        0        3        0
64702 yorick-optimpack                   	       0        4        0        4        0
64703 yorick-soy                         	       0        3        0        3        0
64704 yorick-svipc                       	       0        2        0        2        0
64705 yorick-yeti                        	       0        5        0        5        0
64706 yorick-yeti-fftw                   	       0        4        0        4        0
64707 yorick-yeti-regex                  	       0        3        0        3        0
64708 yorick-yeti-tiff                   	       0        4        0        4        0
64709 yorick-ygsl                        	       0        3        0        3        0
64710 yorick-ynfft                       	       0        3        0        3        0
64711 yorick-yutils                      	       0        5        0        0        5
64712 yoshimi-data                       	       0       18        0        0       18
64713 yoshimi-doc                        	       0       17        0        0       17
64714 yosys                              	       0       11        0       11        0
64715 yosys-abc                          	       0        2        0        2        0
64716 yosys-plugin-ghdl                  	       0        1        0        1        0
64717 youtube-dlg                        	       0        2        0        2        0
64718 youtube-downloader                 	       0        2        0        0        2
64719 youtube-to-mp3                     	       0        8        0        0        8
64720 yp-tools                           	       0        9        0        9        0
64721 ypbind-mt                          	       0        9        1        8        0
64722 ypserv                             	       0        8        1        7        0
64723 ytalk                              	       0       10        0       10        0
64724 ytnef                              	       0        1        0        1        0
64725 ytnef-tools                        	       0        9        0        9        0
64726 ytree                              	       0        9        0        9        0
64727 yubico-piv-tool                    	       0       15        0       15        0
64728 yubihsm-auth                       	       0        3        0        3        0
64729 yubihsm-pkcs11                     	       0        2        0        0        2
64730 yubihsm-shell                      	       0        3        0        3        0
64731 yubihsm-wrap                       	       0        3        0        3        0
64732 yubikey-agent                      	       0        4        0        4        0
64733 yubikey-luks                       	       0        3        0        3        0
64734 yubikey-manager                    	       0       26        0       26        0
64735 yubikey-manager-qt                 	       0       12        0       12        0
64736 yubikey-personalization            	       0       24        0       24        0
64737 yubikey-personalization-gui        	       0       11        0       11        0
64738 yubikey-val                        	       0        1        0        1        0
64739 yubioath-desktop                   	       0       22        0       22        0
64740 yudit-common                       	       0      310        0        0      310
64741 yudit-doc                          	       0        8        0        0        8
64742 yui-compressor                     	       0        4        0        4        0
64743 yuki-iptv                          	       0        1        1        0        0
64744 yum                                	       0        1        0        1        0
64745 yuview                             	       0        2        0        2        0
64746 yuzu                               	       0        4        0        4        0
64747 yydecode                           	       0        2        0        2        0
64748 z-library                          	       0        1        0        0        1
64749 z-push-backend-kopano              	       0        1        1        0        0
64750 z-push-common                      	       0        1        1        0        0
64751 z-push-config-apache               	       0        1        0        0        1
64752 z-push-ipc-sharedmemory            	       0        1        1        0        0
64753 z-push-kopano                      	       0        1        0        0        1
64754 z3                                 	       0       10        0       10        0
64755 z80asm                             	       0       11        0       11        0
64756 z80dasm                            	       0        9        0        9        0
64757 z88                                	       0        2        0        2        0
64758 z88-data                           	       0        2        0        0        2
64759 z88-doc                            	       0        2        0        0        2
64760 zabbix-agent2                      	       0        6        2        4        0
64761 zabbix-agent2-plugin-ember-plus    	       0        1        0        1        0
64762 zabbix-agent2-plugin-mongodb       	       0        2        0        2        0
64763 zabbix-agent2-plugin-mssql         	       0        1        0        1        0
64764 zabbix-agent2-plugin-postgresql    	       0        1        0        1        0
64765 zabbix-apache-conf                 	       0        3        0        0        3
64766 zabbix-frontend-php                	       0        7        0        7        0
64767 zabbix-get                         	       0        2        0        2        0
64768 zabbix-proxy-mysql                 	       0        1        0        1        0
64769 zabbix-proxy-sqlite3               	       0        1        0        1        0
64770 zabbix-release                     	       0       27        0        0       27
64771 zabbix-sender                      	       0        1        0        1        0
64772 zabbix-server-mysql                	       0        4        0        4        0
64773 zabbix-server-pgsql                	       0        1        0        1        0
64774 zabbix-sql-scripts                 	       0        3        0        0        3
64775 zam-plugins                        	       0       47        7       40        0
64776 zandronum                          	       0        3        0        0        3
64777 zandronum-client                   	       0        3        0        3        0
64778 zandronum-pk3                      	       0        3        0        3        0
64779 zandronum-server                   	       0        3        0        3        0
64780 zandronum-ssl                      	       0        2        0        2        0
64781 zangband                           	       0        5        0        5        0
64782 zangband-data                      	       0        5        0        5        0
64783 zanshin                            	       0        1        0        1        0
64784 zapping                            	       0        2        0        2        0
64785 zarchive-tools                     	       0        3        0        3        0
64786 zatacka                            	       0        3        0        3        0
64787 zathura-cb                         	       0       20        0        2       18
64788 zathura-dev                        	       0        1        0        1        0
64789 zathura-djvu                       	       0       38        0        3       35
64790 zathura-pdf-poppler                	       0      123        1        8      114
64791 zathura-ps                         	       0       39        0        2       37
64792 zaubberer-archive-keyring          	       0        1        0        0        1
64793 zaubberer-keyring                  	       0        1        0        0        1
64794 zaz                                	       0        9        0        9        0
64795 zaz-data                           	       0        9        0        0        9
64796 zbackup                            	       0        3        0        3        0
64797 zbarcam-gtk                        	       0        8        0        8        0
64798 zbarcam-qt                         	       0        5        0        5        0
64799 zcfan                              	       0        6        0        6        0
64800 zchunk                             	       0        2        0        2        0
64801 zd1211-firmware                    	       0        2        0        1        1
64802 zdbsp                              	       0        1        0        1        0
64803 zdkimfilter                        	       0        1        0        1        0
64804 zdoom                              	       0        1        0        1        0
64805 zeal                               	       0        6        0        6        0
64806 zebra-scanner-corescanner          	       0        1        0        1        0
64807 zebra-scanner-corescanner-dbg      	       0        1        0        1        0
64808 zebra-scanner-devel                	       0        1        0        1        0
64809 zebra-scanner-javapos              	       0        1        0        1        0
64810 zec                                	       0        3        0        3        0
64811 zecwallet                          	       0        1        0        1        0
64812 zecwallet-lite                     	       0        1        0        0        1
64813 zegrapher                          	       0        7        0        7        0
64814 zeitgeist                          	       0       16        0        0       16
64815 zeitgeist-datahub                  	       0       17        4       13        0
64816 zelcore                            	       0        1        0        0        1
64817 zen                                	       0        1        0        1        0
64818 zencash-desktop-gui-wallet         	       0        1        0        1        0
64819 zendframework                      	       0        2        0        2        0
64820 zenity-common                      	       0     1508        0        0     1508
64821 zenkit                             	       0        1        0        0        1
64822 zenlisp                            	       0        2        0        2        0
64823 zenmap                             	       0       19        0       19        0
64824 zenpower-dkms                      	       0        1        0        0        1
64825 zephyr-clients                     	       0        2        0        2        0
64826 zephyr-server                      	       0        1        0        1        0
64827 zeroc-ice-compilers                	       0        4        0        4        0
64828 zeroc-ice-slice                    	       0        4        0        0        4
64829 zeroconf                           	       0        1        0        1        0
64830 zerotier-one                       	       0        8        1        7        0
64831 zettlr                             	       0        4        0        3        1
64832 zfs                                	       0        1        0        1        0
64833 zfs-fuse                           	       0       11        2        9        0
64834 zfs-initramfs                      	       0       17        0        0       17
64835 zfs-test                           	       0        2        0        2        0
64836 zfsnap                             	       0        5        0        5        0
64837 zfsonlinux                         	       0        1        0        0        1
64838 zgen                               	       0        6        0        0        6
64839 zgv                                	       0        1        0        1        0
64840 zh-autoconvert                     	       0        1        0        1        0
64841 zhcon                              	       0       17        0       17        0
64842 zhcon-data                         	       0       17        0        0       17
64843 zhumu                              	       0        1        0        1        0
64844 zim                                	       0       62        3       59        0
64845 zim-tools                          	       0       10        0       10        0
64846 zinnia-utils                       	       0        1        0        1        0
64847 zint                               	       0        6        0        6        0
64848 zipalign                           	       0       34        0       34        0
64849 zipper.app                         	       0        8        0        8        0
64850 ziptime                            	       0        1        0        1        0
64851 zita-ajbridge                      	       0       11        0       11        0
64852 zita-alsa-pcmi-utils               	       0        9        0        9        0
64853 zita-at1                           	       0        6        0        6        0
64854 zita-bls1                          	       0        9        0        9        0
64855 zita-dc1                           	       0        4        0        4        0
64856 zita-dpl1                          	       0        1        0        1        0
64857 zita-lrx                           	       0        8        0        8        0
64858 zita-mu1                           	       0        9        0        9        0
64859 zita-njbridge                      	       0        9        0        9        0
64860 zita-resampler                     	       0        8        0        8        0
64861 zita-rev1                          	       0        5        0        5        0
64862 zivot                              	       0        4        0        4        0
64863 zlib-bin                           	       0        3        0        3        0
64864 zlibc                              	       0       12        0        0       12
64865 zmakebas                           	       0        2        0        2        0
64866 zmap                               	       0       10        0       10        0
64867 zmf2epub                           	       0        2        0        2        0
64868 zmf2odg                            	       0        1        0        1        0
64869 zmk                                	       0        3        0        0        3
64870 zmk-doc                            	       0        1        0        1        0
64871 zmusic-build-deps                  	       0        1        0        0        1
64872 znc                                	       0       14        2       12        0
64873 znc-backlog                        	       0        3        0        3        0
64874 znc-perl                           	       0       13        0       13        0
64875 znc-python                         	       0       13        0       13        0
64876 znc-tcl                            	       0       13        0       13        0
64877 zoiper5                            	       0        1        0        1        0
64878 zombietrackergps                   	       0        1        0        1        0
64879 zonecheck                          	       0        4        1        3        0
64880 zonecheck-cgi                      	       0        2        1        1        0
64881 zoneminder                         	       0       10        3        7        0
64882 zoneminder-dbg                     	       0        1        0        1        0
64883 zoneminder-doc                     	       0        8        0        0        8
64884 zoo                                	       0       34        1       33        0
64885 zookeeper                          	       0        3        0        3        0
64886 zoom-player                        	       0        9        0        9        0
64887 zoomvdi-universal-plugin           	       0        1        0        1        0
64888 zopfli                             	       0        9        0        9        0
64889 zotero                             	       0        5        0        5        0
64890 zotero-standalone                  	       0        1        0        1        0
64891 zotero6                            	       0        1        0        1        0
64892 zp                                 	       0        6        0        6        0
64893 zpaqfranz                          	       0        1        0        1        0
64894 zplug                              	       0        7        0        7        0
64895 zram-tools                         	       0       54        2       52        0
64896 zramen                             	       0        3        0        3        0
64897 zre-17                             	       0        1        0        1        0
64898 zsh-antidote                       	       0        1        0        1        0
64899 zsh-antigen                        	       0       12        0        0       12
64900 zsh-autosuggestions                	       0       35        0        0       35
64901 zsh-completions                    	       0        1        0        0        1
64902 zsh-doc                            	       0       41        0        0       41
64903 zsh-lovers                         	       0        2        0        0        2
64904 zsh-syntax-highlighting            	       0       36        0        0       36
64905 zsh-theme-powerlevel9k             	       0        7        0        0        7
64906 zshdb                              	       0        1        0        1        0
64907 zsnes                              	       0        7        0        7        0
64908 zssh                               	       0       12        0       12        0
64909 zst                                	       0        3        0        3        0
64910 zstd-dbgsym                        	       0        1        0        1        0
64911 zulip                              	       0        1        0        0        1
64912 zulu-11                            	       0        2        0        1        1
64913 zulu-17                            	       0        1        0        1        0
64914 zulu-6                             	       0        5        0        0        5
64915 zulu-8                             	       0        1        0        1        0
64916 zulu-fx-17                         	       0        1        0        1        0
64917 zulu11                             	       0        1        0        0        1
64918 zulu11-ca                          	       0        1        0        0        1
64919 zulu11-ca-doc                      	       0        1        0        0        1
64920 zulu11-ca-jdk                      	       0        1        0        0        1
64921 zulu11-ca-jdk-headless             	       0        1        0        0        1
64922 zulu11-ca-jre                      	       0        1        0        0        1
64923 zulu11-ca-jre-headless             	       0        1        0        1        0
64924 zulu11-doc                         	       0        1        0        0        1
64925 zulu11-jdk                         	       0        1        0        0        1
64926 zulu11-jdk-headless                	       0        1        0        0        1
64927 zulu11-jre                         	       0        1        0        0        1
64928 zulu11-jre-headless                	       0        1        0        0        1
64929 zulu17-ca-doc                      	       0        2        0        0        2
64930 zulu17-ca-jdk                      	       0        2        0        0        2
64931 zulu17-ca-jdk-headless             	       0        2        0        0        2
64932 zulu17-ca-jre                      	       0        2        0        0        2
64933 zulu17-ca-jre-headless             	       0        2        0        2        0
64934 zulu17-doc                         	       0        2        0        0        2
64935 zulu17-jdk                         	       0        2        0        0        2
64936 zulu17-jdk-headless                	       0        2        0        0        2
64937 zulu17-jre                         	       0        2        0        0        2
64938 zulu17-jre-headless                	       0        2        0        0        2
64939 zulucrypt-cli                      	       0       34        0       34        0
64940 zulucrypt-gui                      	       0       34        0       34        0
64941 zulumount-cli                      	       0       16        0       16        0
64942 zulumount-gui                      	       0       15        0       15        0
64943 zulusafe-cli                       	       0        5        0        5        0
64944 zurl                               	       0        1        0        1        0
64945 zutils                             	       0       19        1       18        0
64946 zvbi                               	       0        3        0        3        0
64947 zx                                 	       0        1        0        1        0
64948 zxing-cpp-tools                    	       0        1        0        1        0
64949 zygrib                             	       0        3        0        3        0
64950 zygrib-maps                        	       0        3        0        0        3
64951 zynadd                             	       0        4        0        4        0
64952 zynaddsubfx-data                   	       0       67        0        4       63
64953 zypper                             	       0        3        0        3        0
64954 zypper-common                      	       0        3        0        3        0
64955 zypper-doc                         	       0        1        0        0        1
64956 zziplib-bin                        	       0        3        0        3        0
64957 zzuf                               	       0        2        0        2        0